Wykres commitów

43 Commity (f8793a7ffefe1df6f10760cf5d7895b773bbc120)

Autor SHA1 Wiadomość Data
David Michaeli f8793a7ffe firmware removed debug on LEDs
driver cleanup unused variables
2024-03-14 23:26:20 +02:00
David Michaeli 96828b4bcc firmware update - syncs, ioctrl, smi_ctrl(dir and channel)
fpga driver update
smi_stream_driver update thanks to @matteoserva great work
2024-03-14 22:59:38 +02:00
matteo serva 26fe48f53d fixed driver lockup at channel 0 RX. The fpga firmware
was using the smi address pins to determine which channel can write the complex_fifo.
The read side of the complex fifo is connected to the DREQ pin of the SMI interface on the raspberry.
The address pins on the smi interface are at logic 1 when no transfer is in progress.

This caused a deadlock condition: the SMI address pin is at 1, so the fifo cannot be filled, so the DREQ cannot be asserted and the SMI cannot start reading data.
2024-03-13 20:42:30 +01:00
David Michaeli c684e7c439 update and adapted firmware for tx 2023-07-03 12:20:50 +00:00
David Michaeli 125a843908 update lvds tx verilog 2023-07-03 14:40:33 +03:00
David Michaeli 25851685ea firmware merging
updating of install script - consolidation udev into driver and adding to main install
2023-06-05 06:18:30 +00:00
David Michaeli 79d5ee11af Changes in IO utils.
1. removed pigpio
2. moved to using gpiomem api for gpios
3. using spidev for soft-hard spi
4. updated the other parts of software.

note: tbd changes in the config.txt of spidev.
note: tbd moving out he kernel module to /etc/modules.d to be completely free of sudoing anything

firmware rx verification
2023-05-31 14:11:47 +00:00
David Michaeli f97f960be6 added TX channel to the FPGA firmware.
LVDS RX -> FIFO Slacks are borderline - need perform timing analysis on the RX FIFO IO (add pipeline?)
Fixed reference to cariboulite_radio_get_native_mtu_size_samples
2023-05-30 14:47:23 +00:00
David Michaeli 0595990c8d Tx side integration firmware 2023-05-30 14:33:08 +03:00
David Michaeli c78e4b760a firmware 2023-02-22 10:42:41 +02:00
David Michaeli 8ab40c3cdf Merge develop_R1 into main 2023-02-14 10:39:24 -05:00
David Michaeli adca66f611 firmware , production 2022-04-20 18:53:15 +03:00
David Michaeli f53a79b7e2 firmware lvds ddr order testing 2022-01-09 12:30:16 +02:00
David Michaeli 41c9e0f50a example file cleaning 2022-01-03 16:09:55 +02:00
David Michaeli 880c561a10 updates 2021-12-28 00:58:59 +02:00
David Michaeli 50558c1fb5 updates 2021-12-11 22:10:31 +02:00
meexmachina c57291a935 bug fix down buffer 2021-10-21 14:58:55 +03:00
meexmachina 49dabcdfa8 testing 2021-10-15 23:00:17 +03:00
meexmachina ef16efb6c5 firmware bugfix 2021-10-11 01:00:12 +03:00
meexmachina 0d58122d74 bug fix spi + smi integration (firmware) 2021-09-06 14:43:01 +03:00
meexmachina a2ecf08046 fifo 9 bit length, for 900 and 2.4, half tested 2021-09-05 00:08:57 +03:00
meexmachina 421d7e49c4 fifo structure refinement 2021-09-03 23:17:44 +03:00
meexmachina ef5f3ae6bf smi lvds check 2021-09-03 11:19:28 +03:00
meexmachina c3532243a6 modem time synchronization 2021-09-02 00:50:13 +03:00
meexmachina f3d6cf3fea smi vital signs, finally 2021-08-31 21:07:08 +03:00
meexmachina 0806246a55 smi firmware and software 2021-08-27 12:57:20 +03:00
meexmachina 0bd479b825 smi driver experimenting 2021-08-18 23:02:35 +03:00
meexmachina ffb9a693b5 verolog bug fix 2021-08-18 16:08:01 +03:00
meexmachina 653d1f401e bug fixes, mixer, io_utils, spi, adaptation fpga 2021-08-17 23:48:21 +03:00
meexmachina 6079cd4855 fix firmware smi high-z 2021-08-10 14:27:21 +03:00
meexmachina 86e37e9d71 smi controler 2021-08-03 16:16:48 +03:00
meexmachina 8861797758 i/q sampling 2021-07-18 14:41:59 +03:00
meexmachina 12a4f66a35 smi funalization, at86rf215 testing 2021-07-18 11:20:56 +03:00
meexmachina 77129018c4 smi_rx 2021-07-14 22:53:59 +03:00
meexmachina 6dd6174df9 firmware wide soft reset integration 2021-07-14 21:47:12 +03:00
meexmachina e256066054 added soft reset 2021-07-14 16:11:06 +03:00
meexmachina ee64f38653 fpga control testing 2021-07-14 13:58:21 +03:00
meexmachina be86b50db9 connected smi_fifo to smi_ctrl 2021-07-13 13:04:44 +03:00
meexmachina 4b73d843c5 update smi_ctrl 2021-07-13 12:39:03 +03:00
meexmachina ce5a446c8c split blockram I/Q 2021-07-07 21:53:01 +03:00
meexmachina d61828c894 added fifos - work in progress 2021-07-07 16:04:37 +03:00
meexmachina eb699bc363 added lvds rx code 2021-07-07 15:29:32 +03:00
David Michaeli 0c6d08ff6a changed structure 2021-06-13 15:22:44 +03:00