Wykres commitów

59 Commity (8ab40c3cdf7a6d78bf133aa0ed1ca9dc4d84ffeb)

Autor SHA1 Wiadomość Data
David Michaeli 8ab40c3cdf Merge develop_R1 into main 2023-02-14 10:39:24 -05:00
David Michaeli adca66f611 firmware , production 2022-04-20 18:53:15 +03:00
David Michaeli f53a79b7e2 firmware lvds ddr order testing 2022-01-09 12:30:16 +02:00
David Michaeli 172e067762 Merge branch 'main' of https://github.com/cariboulabs/cariboulite 2022-01-03 16:39:27 +02:00
David Michaeli 6ea75a844e curcular buffer integration,
firmware - update
2022-01-03 16:25:52 +02:00
David Michaeli 41c9e0f50a example file cleaning 2022-01-03 16:09:55 +02:00
David Michaeli 880c561a10 updates 2021-12-28 00:58:59 +02:00
David Michaeli 4fb8276e2e bug fixes, sigaction handler, asyncio addition tst 2021-12-19 14:09:07 +02:00
David Michaeli 50558c1fb5 updates 2021-12-11 22:10:31 +02:00
David Michaeli a3b4bc0fb1 fixed signal handler pigpio 2021-12-02 16:19:50 +02:00
Steve Kelly 35c6e0a769 split up bitstream generation from header gen 2021-11-25 21:38:00 -05:00
Steve Kelly 2c70ed1f3f firmware: add shell.nix for CI/local repro 2021-11-25 21:37:38 -05:00
meexmachina c57291a935 bug fix down buffer 2021-10-21 14:58:55 +03:00
meexmachina 4441992f93 updated readme files 2021-10-18 13:34:09 +03:00
meexmachina 46d51fc9ae updated structure 2021-10-18 13:30:42 +03:00
meexmachina 49dabcdfa8 testing 2021-10-15 23:00:17 +03:00
meexmachina ef16efb6c5 firmware bugfix 2021-10-11 01:00:12 +03:00
meexmachina dfe3e9f251 continueing integration with sdrppp 2021-10-10 13:33:14 +03:00
meexmachina 8cc0b639c3 device tree + eeprom configuration + more 2021-09-17 15:36:29 +03:00
meexmachina 0d58122d74 bug fix spi + smi integration (firmware) 2021-09-06 14:43:01 +03:00
meexmachina a2ecf08046 fifo 9 bit length, for 900 and 2.4, half tested 2021-09-05 00:08:57 +03:00
meexmachina 421d7e49c4 fifo structure refinement 2021-09-03 23:17:44 +03:00
meexmachina ef5f3ae6bf smi lvds check 2021-09-03 11:19:28 +03:00
meexmachina c3532243a6 modem time synchronization 2021-09-02 00:50:13 +03:00
meexmachina f3d6cf3fea smi vital signs, finally 2021-08-31 21:07:08 +03:00
meexmachina 0806246a55 smi firmware and software 2021-08-27 12:57:20 +03:00
meexmachina 7c7b1cae31 update smi 2021-08-24 16:49:06 +03:00
meexmachina 0bd479b825 smi driver experimenting 2021-08-18 23:02:35 +03:00
meexmachina ffb9a693b5 verolog bug fix 2021-08-18 16:08:01 +03:00
meexmachina 653d1f401e bug fixes, mixer, io_utils, spi, adaptation fpga 2021-08-17 23:48:21 +03:00
meexmachina c88c8e1060 new boards integration first step 2021-08-15 23:39:34 +03:00
meexmachina 6079cd4855 fix firmware smi high-z 2021-08-10 14:27:21 +03:00
meexmachina c0c28756fd test after smi module probing 2021-08-10 11:57:02 +03:00
meexmachina 4bed354bbc smi rpi side 2021-08-10 09:02:20 +03:00
meexmachina 86e37e9d71 smi controler 2021-08-03 16:16:48 +03:00
meexmachina dbf7348d1f tested successful programming in new gpio configuration 2021-07-31 15:55:25 +03:00
meexmachina 8861797758 i/q sampling 2021-07-18 14:41:59 +03:00
meexmachina 12a4f66a35 smi funalization, at86rf215 testing 2021-07-18 11:20:56 +03:00
meexmachina 9a513c6d05 smi data pusher 2021-07-17 16:23:17 +03:00
meexmachina 741667c2bf fixed bug 2021-07-15 13:38:59 +03:00
meexmachina 77129018c4 smi_rx 2021-07-14 22:53:59 +03:00
meexmachina ac39c30b2f fix smi rx09 always 2021-07-14 22:07:15 +03:00
meexmachina 6dd6174df9 firmware wide soft reset integration 2021-07-14 21:47:12 +03:00
meexmachina 9b37557259 soft reset by command working 2021-07-14 21:27:55 +03:00
meexmachina e256066054 added soft reset 2021-07-14 16:11:06 +03:00
meexmachina ee64f38653 fpga control testing 2021-07-14 13:58:21 +03:00
meexmachina be86b50db9 connected smi_fifo to smi_ctrl 2021-07-13 13:04:44 +03:00
meexmachina 4b73d843c5 update smi_ctrl 2021-07-13 12:39:03 +03:00
meexmachina ce5a446c8c split blockram I/Q 2021-07-07 21:53:01 +03:00
meexmachina d61828c894 added fifos - work in progress 2021-07-07 16:04:37 +03:00