Wykres commitów

19 Commity (f39e17e2ec7df6259824b8252f33d0db027de279)

Autor SHA1 Wiadomość Data
David Banks c46daff0d5 vhdl_atom: removed v1 ucf file to avoid confusion, all boards are v2
Change-Id: Ibd581004faa512a707862ec82fd7f5c7096324ab
2020-02-08 17:52:00 +00:00
David Banks 110b085ff3 Atom CPLD: Adjust colour sampling point (now v2.4)
Change-Id: I6c130d1bdc21bd61682a4027e94eb98c6649e91b
2019-05-13 18:40:00 +01:00
David Banks 4d08a0fb46 Atom CPLD: Adjust start offset by one pixel to allow perfect centering (now v2.3)
Change-Id: Ic29f48e8b482bdc8bd1acbadf4ec4787120e5fbb
2019-05-13 10:46:07 +01:00
David Banks 0fd1e6d79c Atom CPLD: Added jedec file for Atom board
Change-Id: I00208c175d15aa68f1c66cb8022085e8e8ae675f
2019-05-10 15:47:04 +01:00
David Banks a26c5ecdc4 Merged atom_kicad_v2 into dev
Change-Id: Ifeebaeaa70f462712eb308446ced12b1e5534846
2019-04-30 16:19:03 +01:00
David Banks 1a3b861b29 Atom CPLD: Use sixbit pixels, with a new mapping of colours
Change-Id: I75c810fd7c99fee6a2c3b6f3bc892d699df677f2
2019-04-16 23:06:18 +01:00
David Banks a2affd0575 Atom CPLD: Updated .ucf file for PCB v2
Change-Id: Iebed9b83820b4c047b8579f042e2bebe1f034d57
2019-04-11 13:31:52 +01:00
David Banks 6c5355681d Atom CPLD: Added two cycles of skew to PSYNC
Change-Id: Ib4de95736bc615f948a0eb4fe3ca97e9d62949ea
2019-03-09 10:15:08 +00:00
David Banks 8104779784 Atom CPLD: Made C/L noise filters configurable
Change-Id: I92f6346f74dc1647fc2507df1f0b212399b359ef
2018-12-03 15:51:33 +00:00
David Banks 124739addb Atom CPLD: Discriminate dark green/dark orange text background
Change-Id: I102e31a6955c2cef4c97cec3f052742c8f2b0334
2018-11-28 16:04:40 +00:00
David Banks d9fbb6a033 Atom CPLD: Discriminate normal and bright orange
Change-Id: Id9e1d6b2872f5a3bc863ad891c3418ba8b6228ca
2018-11-28 16:04:25 +00:00
David Banks a40367459c Atom CPLD: Send two 4-bit pixels per psync edge
Change-Id: I585ee6b74a69405dcbd1ce13e38eadc792a16048
2018-11-27 16:02:34 +00:00
David Banks 92e812e20d Atom CPLD: Clock pixel pipeline every cycle
Change-Id: I2bd518340399117bdd089218e22496f536d228c5
2018-11-24 16:35:11 +00:00
David Banks f7fa4bd189 Atom CPLD: Increase Offset to 4 bits
Change-Id: I40bfa28cb3f60bfcb3a314c1e57eea61307c75c0
2018-11-24 11:33:36 +00:00
David Banks 827df2f535 Atom CPLD: Generate CSYNC from HS_N and FS_N
Change-Id: If7facf354dfd233a238b17b15a61154fd3f4393b
2018-11-24 10:19:30 +00:00
David Banks 49d0873393 Atom CPLD: Added back in glitch filtering
Change-Id: I1c9077a956577d7aa5353a7ecfb83c805fcade15
2018-11-23 22:43:46 +00:00
David Banks eab13bc481 Atom CPLD: Shave two bits of the counter
Change-Id: I0dc82a58282df583e910adb460ea33bc659900e6
2018-11-23 22:42:39 +00:00
David Banks 1ae2cf9fc4 Atom CPLD: Reworked for a 57.272MHz clock
Change-Id: Ie6abd79a38fd6eecec239afa6411be383df67ed3
2018-11-23 19:01:49 +00:00
David Banks 4d65ebe3c9 Atom CPLD: Initial version for home-etched prototype
Change-Id: I9f1311623de3aae565ff77376857bc29acb99933
2018-11-22 14:52:12 +00:00