Atom CPLD: Adjust start offset by one pixel to allow perfect centering (now v2.3)

Change-Id: Ic29f48e8b482bdc8bd1acbadf4ec4787120e5fbb
pull/106/head
David Banks 2019-05-13 10:46:07 +01:00
rodzic 0fd1e6d79c
commit 4d08a0fb46
3 zmienionych plików z 285 dodań i 274 usunięć

Plik diff jest za duży Load Diff

Wyświetl plik

@ -48,11 +48,11 @@ end RGBtoHDMI;
architecture Behavorial of RGBtoHDMI is
-- Version number: Design_Major_Minor
-- Design: 0 = Normal CPLD, 1 = Alternative CPLD, Atom CPLD
constant VERSION_NUM : std_logic_vector(11 downto 0) := x"222";
-- Design: 0 = Normal CPLD, 1 = Alternative CPLD, 2=Atom CPLD
constant VERSION_NUM : std_logic_vector(11 downto 0) := x"223";
-- Default offset to sstart sampling at
constant default_offset : unsigned(8 downto 0) := to_unsigned(512 - 255, 9);
-- Default offset to start sampling at
constant default_offset : unsigned(8 downto 0) := to_unsigned(512 - 255 + 8, 9);
-- Turn on back porch clamp
constant atom_clamp_start : unsigned(8 downto 0) := to_unsigned(512 - 255 + 48, 9);

Wyświetl plik

@ -151,3 +151,14 @@ FB3 18/18* 32/54 76/90 9/ 9*
FB4 17/18 18/54 23/90 3/ 7
----- ----- ----- -----
71/72 118/216 209/360 28/34
15. Atom CPLD: Adjust start offset by one pixel to allow perfect centering (now v2.3)
Function Mcells FB Inps Pterms IO
Block Used/Tot Used/Tot Used/Tot Used/Tot
FB1 18/18* 33/54 63/90 9/ 9*
FB2 18/18* 35/54 47/90 7/ 9
FB3 18/18* 32/54 77/90 9/ 9*
FB4 17/18 18/54 23/90 3/ 7
----- ----- ----- -----
71/72 118/216 210/360 28/34