Wykres commitów

186 Commity (ab1165dc2f0799bf5572c892f255f2019cc50228)

Autor SHA1 Wiadomość Data
David Michaeli 35b847434d removed no more needed files in software repo 2023-06-13 13:59:16 +03:00
David Michaeli aac8889ddf fixed cwtx issue 2023-06-13 05:42:28 -04:00
David Michaeli d8d8531137 added memory barriers
added bit to bit delay in bitbanging spi
2023-06-13 08:36:20 +01:00
David Michaeli e2496f4228 bugfix in app_menu, param change in dump1090 2023-06-13 06:55:19 +01:00
David Michaeli 61cb843b4c updated 2023-06-08 09:17:12 +00:00
David Michaeli 19dec1c388 integrated kernel module param modification ioctls 2023-06-08 09:11:23 +00:00
David Michaeli ff3ffb296e install script DragonOS detection 2023-06-07 07:22:30 -04:00
David Michaeli 77d251e2a3 install - reduce buffers for rpizero
radio - mixer bugfix
2023-06-07 06:08:02 +00:00
David Michaeli c42552dfff rffc frequency conversion bugfix 2023-06-06 10:33:26 +00:00
David Michaeli 5ff5f17f0b added kernel object parameters for different versions of caribou and rpi
added the modification in install scripts
compile kernel module with optimization
2023-06-06 08:21:04 +00:00
David Michaeli 25851685ea firmware merging
updating of install script - consolidation udev into driver and adding to main install
2023-06-05 06:18:30 +00:00
David Michaeli 603d4664ca 1. creation of device driver dir - loadable with "install.sh" file.
2. creation of udev file loading for smi to load automatically with the correct permissions
3. firmware bugfix - negate 2.4GHZ I/Q ddr data
2023-06-04 19:57:15 +00:00
David Michaeli 79d5ee11af Changes in IO utils.
1. removed pigpio
2. moved to using gpiomem api for gpios
3. using spidev for soft-hard spi
4. updated the other parts of software.

note: tbd changes in the config.txt of spidev.
note: tbd moving out he kernel module to /etc/modules.d to be completely free of sudoing anything

firmware rx verification
2023-05-31 14:11:47 +00:00
David Michaeli 5c72ea515c kernel module documentation 2023-05-30 18:34:02 +00:00
David Michaeli 4ef30f742a radio interface update 2023-05-30 19:56:49 +03:00
David Michaeli 0a0562d2b4 added function prototype void caribou_smi_setup_ios(caribou_smi_st* dev) 2023-05-30 16:08:03 +00:00
David Michaeli e04211a101 setup documetation 2023-05-30 19:06:33 +03:00
David Michaeli 585ff782bc Update caribou_smi.c 2023-05-30 18:56:26 +03:00
David Michaeli 6cf82d6fe6 Update caribou_smi.c 2023-05-30 18:55:50 +03:00
David Michaeli 34b37322ff Update caribou_smi.c 2023-05-30 18:55:29 +03:00
David Michaeli 68dee70c2e Update caribou_smi.c 2023-05-30 18:54:37 +03:00
David Michaeli f802aacf2e Merge branch 'main' of https://github.com/cariboulabs/cariboulite 2023-05-30 18:53:09 +03:00
David Michaeli eaff0b6d49 update smi user space driver
integration of TX buffer formatting
module loading correction
2023-05-30 18:53:00 +03:00
David Michaeli 9ebf168a40 Merge branch 'main' of https://github.com/cariboulabs/cariboulite 2023-05-30 15:29:14 +00:00
David Michaeli 0dbcc48c50 SMI tx and RX fifo status reporting and additional statuses in FPGA SMI status request 2023-05-30 15:27:59 +00:00
David Michaeli 817c7145f8 Merge branch 'main' of https://github.com/cariboulabs/cariboulite 2023-05-30 18:27:32 +03:00
David Michaeli 35d4a04295 Kernel module SMI
integration of TX stream
dma reader and writer corrections
using mb() for memory boundary
2023-05-30 18:27:29 +03:00
David Michaeli f97f960be6 added TX channel to the FPGA firmware.
LVDS RX -> FIFO Slacks are borderline - need perform timing analysis on the RX FIFO IO (add pipeline?)
Fixed reference to cariboulite_radio_get_native_mtu_size_samples
2023-05-30 14:47:23 +00:00
David Michaeli 132d19259c radio api doc 2023-05-16 16:54:25 +03:00
David Michaeli 09d5832a30 multiple sample rate
soapy api multiple options for sample rate in the modem. supported by firmware
2023-05-16 16:28:08 +03:00
David Michaeli 8f446598e0 Update app_menu.c 2023-05-16 15:48:55 +03:00
David Michaeli a80efecd3b Merge pull request #39 from MartinHerren/install_libiir
[Build] Install libiir as required by SoapyCariboulite
2023-05-05 22:32:00 +03:00
Marko Lukat a11b8a6c68 timeout limiter (#5)
* fly without timeouts
* added warning when buffer offset can't be found
2023-04-16 15:39:06 +02:00
Marko Lukat c61b73054d Logging update (#4)
* listen to environment variable
* added socket target
* reset color when dumping memory (ZF_LOG bug)
* minor improvements (build script)
* added generous send buffer and improved on thread-safety
* log which error prevented device from being opened
2023-04-16 15:34:55 +02:00
Marko Lukat 02a8eb3c6c added more efficient I/Q extraction (and sanitized whitespace) 2023-03-02 12:49:49 +01:00
Marko Lukat 3cb29ad6b7 apply proper phase reversal 2023-02-27 20:04:12 +01:00
David Michaeli ecfd56782f updated mixer params 2023-02-16 16:29:17 +00:00
David Michaeli fde23c851a added microseconf conditional variable timout to circular buffer
added async option to soapy-stream
2023-02-16 14:44:46 +00:00
David Michaeli 44b709b142 firmware bugfix for high speed smi stansactions (>350MBit/sec)
smi driver bug fix - SMI DEACTIVATION timeout
2023-02-16 12:52:40 +00:00
David Michaeli 568bcbc0b5 soapy added ring buffer 2023-02-15 18:46:15 +00:00
David Michaeli 789bc2dc8b stream start/stop bugfix 2023-02-15 15:44:05 +00:00
David Michaeli 8ab40c3cdf Merge develop_R1 into main 2023-02-14 10:39:24 -05:00
Martin Herren (HB9FXX) 8a9de17a90 [Build] Install libiir as required by SoapyCariboulite 2023-02-04 10:11:44 +01:00
David Michaeli 7261bd0c0e rf synth and modem bug fixes 2022-04-26 00:45:31 +03:00
David Michaeli 1c7e6abd2e stating gr-cariboulite 2022-04-24 22:20:41 +03:00
David Michaeli 6a60ecbc31 dump1090 bug fixes, working 2022-04-20 22:39:36 +03:00
David Michaeli adca66f611 firmware , production 2022-04-20 18:53:15 +03:00
David Michaeli 8d2189546f bug fix soapy find function filterring 2022-04-20 18:12:10 +03:00
David Michaeli a45958561c separation of soapy objects - two channels, two objects 2022-04-20 14:21:32 +03:00
David Michaeli 0935f22b47 major updates 2022-04-19 19:26:21 +03:00