From 4d08a0fb46e3b8287d70644149965ff9d51e3007 Mon Sep 17 00:00:00 2001 From: David Banks Date: Mon, 13 May 2019 10:46:07 +0100 Subject: [PATCH] Atom CPLD: Adjust start offset by one pixel to allow perfect centering (now v2.3) Change-Id: Ic29f48e8b482bdc8bd1acbadf4ec4787120e5fbb --- vhdl_atom/RGBtoHDMI.jed | 540 +++++++++++++++++++-------------------- vhdl_atom/RGBtoHDMI.vhdl | 8 +- vhdl_atom/fitting.notes | 11 + 3 files changed, 285 insertions(+), 274 deletions(-) diff --git a/vhdl_atom/RGBtoHDMI.jed b/vhdl_atom/RGBtoHDMI.jed index 231ce1cf..059f8c0b 100644 --- a/vhdl_atom/RGBtoHDMI.jed +++ b/vhdl_atom/RGBtoHDMI.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Thu May 2 19:12:58 2019 +Date Extracted: Mon May 13 10:40:23 2019 QF46656* QP44* @@ -52,27 +52,27 @@ L0000160 00000000 00000000 00000000 00000000* L0000192 00000000 00000000 00000000 00000000* L0000224 00001000 00000000 00000000 00000000* L0000256 00000000 00000000 00000000 00000000* -L0000288 110001 000000 110000 000000* +L0000288 110001 000000 010000 000000* L0000312 000000 000000 000000 000000* L0000336 000000 000000 000000 000000* L0000360 000000 000000 000000 000000* -L0000384 000000 000000 000000 000000* +L0000384 000000 000000 100000 000000* L0000408 000011 000000 000000 000000* L0000432 00000000 00000000 00000000 00000000* L0000464 00000000 00000000 00000000 00000000* L0000496 00000000 00000000 00000000 00000000* L0000528 00000000 00000000 00000000 00000000* L0000560 00000000 00000000 00000000 00000000* -L0000592 11000100 00000000 00000000 00000000* +L0000592 00000000 00000000 00000000 00000000* L0000624 00000000 00000000 00000000 00000000* -L0000656 00000000 00000000 00000000 00000000* +L0000656 11000100 00000000 00000000 00000000* L0000688 00000000 00000000 00000000 00000000* L0000720 000000 000001 000000 000000* -L0000744 000010 000000 000000 000000* +L0000744 000000 000000 000000 000000* L0000768 000000 000000 000000 000000* -L0000792 000000 000000 000000 000000* -L0000816 000000 000000 100000 000000* -L0000840 000000 000000 000000 000000* +L0000792 000010 000000 000000 000000* +L0000816 000000 000000 000000 000000* +L0000840 000000 000000 100000 000000* L0000864 00000000 10000000 00000000 00000000* L0000896 00000010 00000000 00000000 00000000* L0000928 00000010 00000000 00000000 00000000* @@ -95,42 +95,42 @@ L0001392 00000000 00000000 00000000 00000000* L0001424 00000000 00000000 00000000 00000000* L0001456 10000000 00000000 10000000 00000000* L0001488 10000000 00000000 10000000 00000000* -L0001520 10000000 00000000 10000000 00000000* -L0001552 10000000 00000000 10000000 00000000* +L0001520 10000000 00000000 00000000 00000000* +L0001552 10000000 00000000 00000000 00000000* L0001584 000000 000000 000000 000000* L0001608 000000 000000 000000 000000* -L0001632 000000 000000 000000 000000* -L0001656 000000 000000 000000 000000* +L0001632 000000 000000 100000 000000* +L0001656 000000 000000 100000 000000* L0001680 000000 000000 000000 000000* L0001704 000000 000000 000000 000000* L0001728 00000000 00000000 00000000 00000000* -L0001760 00000000 00000000 00001000 00000000* -L0001792 00000000 00000000 00001000 00000000* +L0001760 00000000 00000000 00000000 00000000* +L0001792 00000000 00000000 00000000 00000000* L0001824 00000000 00000000 00001000 00000000* -L0001856 00000000 00000000 00001100 00000000* +L0001856 00000000 00000000 00001000 00000000* L0001888 00000000 00000000 11001100 00000000* -L0001920 00000000 00000000 11000100 00000000* -L0001952 00000000 00000000 11001100 00000000* -L0001984 00000000 00000000 11001100 00000000* -L0002016 000000 000000 110011 000000* +L0001920 00000000 00000000 11001100 00000000* +L0001952 00000000 00000000 01001100 00000000* +L0001984 00000000 00000000 01000100 00000000* +L0002016 000000 000000 010010 000000* L0002040 000000 000000 100010 000000* -L0002064 000000 000000 000010 000000* -L0002088 000000 000000 000010 000000* -L0002112 000000 000000 101000 000000* -L0002136 000000 000000 000000 000000* +L0002064 000000 000000 100010 000000* +L0002088 000000 000000 100011 000000* +L0002112 000000 000000 101010 000000* +L0002136 000000 000000 100011 000000* L0002160 00000000 00000000 00000000 00000000* L0002192 00000000 00000000 01000000 00000000* L0002224 00000000 00000000 01000000 00000000* -L0002256 00000000 00000000 00000000 00000000* -L0002288 00000000 00000000 00000000 00000000* +L0002256 00000000 00000000 01000000 00000000* +L0002288 00000000 00000000 01000000 00000000* L0002320 00000000 00000000 00000000 10000000* L0002352 00000000 00000000 00000000 00000000* L0002384 00000000 00000000 00000000 00000000* L0002416 00000000 00000000 00000000 00000000* L0002448 110000 000000 000000 000000* L0002472 000000 000000 001000 000000* -L0002496 000000 000000 110000 000000* -L0002520 000000 000100 110000 000000* +L0002496 000000 000000 010000 000000* +L0002520 000000 000100 010000 000000* L0002544 000000 000000 000000 000000* L0002568 000000 000100 000000 000000* L0002592 00000010 00000000 00000000 00000000* @@ -151,16 +151,16 @@ L0003000 000000 000000 000000 000000* L0003024 00000010 00000000 01000000 00000000* L0003056 00000000 00000000 00000000 00000000* L0003088 00000000 00000000 00000000 00000000* -L0003120 00000001 00000000 00000000 00000000* -L0003152 00000010 00000000 00000000 00000000* +L0003120 00000001 00000000 01000000 00000000* +L0003152 00000010 00000000 01000000 00000000* L0003184 00000011 00000000 00000000 00000000* L0003216 00000010 00000000 00000000 00000000* L0003248 00000000 00000000 00000000 00000000* L0003280 00000000 00000000 00000000 00000000* L0003312 000000 000001 000000 000000* L0003336 000000 000000 000000 100000* -L0003360 000000 000000 100000 000000* -L0003384 000000 000000 100000 000000* +L0003360 000000 000000 000000 000000* +L0003384 000000 000000 000000 000000* L0003408 000000 000000 000000 000000* L0003432 000000 000000 000000 000000* L0003456 00000000 00000000 00000000 00000000* @@ -211,16 +211,16 @@ L0004728 000000 000000 000000 000000* L0004752 00000000 00001000 00000000 00000000* L0004784 00000000 00001000 01000000 00000000* L0004816 00000000 00001000 01000000 00000000* -L0004848 00000000 00000000 00000000 00000000* -L0004880 00000000 00000000 00000000 00000000* +L0004848 00000000 00000000 01000000 00000000* +L0004880 00000000 00000000 01000000 00000000* L0004912 00000000 01000000 00000000 01000000* L0004944 00000000 00000000 00000000 00000000* L0004976 00000000 00000000 00000000 00000000* L0005008 00000000 00000000 00000000 00000000* L0005040 100000 000000 000000 000000* L0005064 000000 000000 010000 000000* -L0005088 000000 000000 100000 000000* -L0005112 000000 000000 100000 000000* +L0005088 000000 000000 000000 000000* +L0005112 000000 000000 000000 000000* L0005136 000000 000000 000000 000000* L0005160 000000 000000 000000 000000* L0005184 00000011 00000011 00000011 00000001* @@ -241,72 +241,72 @@ L0005592 000001 000000 000000 000000* L0005616 00000000 00000000 00100000 00000000* L0005648 00000000 00000000 01000000 00000000* L0005680 00000000 00000100 01000000 00000000* -L0005712 00000000 00000000 00000000 00000000* -L0005744 00000000 00000100 00000000 00000000* +L0005712 00000000 00000000 01000000 00000000* +L0005744 00000000 00000100 01000000 00000000* L0005776 00100000 00000001 00000000 00000000* L0005808 00000000 00000000 00000000 00000000* L0005840 00000000 00000000 00000000 00000000* L0005872 00000000 00000000 00000000 00000000* L0005904 000000 000000 000000 000000* L0005928 000000 000000 000000 010000* -L0005952 000000 000000 110000 000000* -L0005976 000000 000000 110000 000000* +L0005952 000000 000000 010000 000000* +L0005976 000000 000000 010000 000000* L0006000 000000 000000 000000 000000* L0006024 000000 000000 000000 000000* -L0006048 00000000 00000000 00000001 00000000* +L0006048 00000000 00000000 00000011 00000000* L0006080 00000011 00000001 00000011 00000000* -L0006112 00000001 00000001 00000011 00000000* -L0006144 00000001 00000001 00000010 00000000* -L0006176 00000011 00000001 00000110 00000000* +L0006112 00000001 00000001 00000001 00000000* +L0006144 00000001 00000001 00000011 00000000* +L0006176 00000011 00000001 00000011 00000000* L0006208 00000001 00000000 00000011 00000000* L0006240 00000001 00000001 00000011 00000000* L0006272 00000001 00000001 00000011 00000000* -L0006304 00000001 00000001 00000011 00000000* +L0006304 00000001 00000001 00000010 00000000* L0006336 000000 000000 000010 000000* L0006360 000000 000000 000000 000000* L0006384 000000 000000 000000 000000* L0006408 000000 000000 000000 000000* L0006432 000000 000000 000000 000000* -L0006456 000000 000000 000000 000000* -L0006480 00000010 00100100 00000010 00000000* +L0006456 000000 000000 000001 000000* +L0006480 00000010 00100100 00000000 00000000* L0006512 00000000 00000100 00000000 00000000* -L0006544 00000000 00000100 00000000 00000000* -L0006576 00000000 00000000 00000001 00000000* -L0006608 00000000 00000000 00000001 00000000* +L0006544 00000000 00000100 00000010 00000000* +L0006576 00000000 00000000 00000000 00000000* +L0006608 00000000 00000000 00000000 00000000* L0006640 00000000 00000001 00000000 00000000* L0006672 00000000 00000000 00000000 00000000* L0006704 00000000 00000000 00000000 00000000* -L0006736 00000000 00000000 00001000 00000000* +L0006736 00000000 00000000 00000001 00000000* L0006768 000000 000000 000000 000000* L0006792 000000 000000 000000 000000* L0006816 000000 000000 000000 000000* L0006840 000000 000000 000000 000000* L0006864 000000 000000 000000 000000* -L0006888 000000 000000 000000 000000* -L0006912 00000000 00000000 00000001 00000000* -L0006944 00000010 00000001 00000011 00000000* -L0006976 00000001 00000001 00000011 00000000* -L0007008 00000001 00000001 00000010 00000000* -L0007040 00000011 00000001 00000010 00000000* +L0006888 000000 000000 000010 000000* +L0006912 00000000 00000000 00000011 00000000* +L0006944 00000010 00000001 00000001 00000000* +L0006976 00000001 00000001 00000001 00000000* +L0007008 00000001 00000001 00000011 00000000* +L0007040 00000011 00000001 00000011 00000000* L0007072 00000001 00000000 00000011 00000000* L0007104 00000001 00000001 00000011 00000000* L0007136 00000001 00000001 00000011 00000000* -L0007168 00000001 00000001 00000011 00000000* +L0007168 00000001 00000001 00000010 00000000* L0007200 000000 000001 000000 000000* L0007224 000000 000000 000000 000000* L0007248 000000 000000 000000 000000* L0007272 000000 000000 000000 000000* -L0007296 000000 000000 100000 000000* -L0007320 000000 000000 000000 000000* -L0007344 00000010 00000000 00000010 00000000* -L0007376 00000000 00000000 00000000 00000000* -L0007408 00000000 00000000 00000000 00000000* -L0007440 00000000 00000000 00000001 00000000* -L0007472 00000000 00000000 00000001 00000000* +L0007296 000000 000000 000000 000000* +L0007320 000000 000000 100000 000000* +L0007344 00000010 00000000 00000000 00000000* +L0007376 00000000 00000000 00000010 00000000* +L0007408 00000000 00000000 00000010 00000000* +L0007440 00000000 00000000 00000000 00000000* +L0007472 00000000 00000000 00000000 00000000* L0007504 00000000 00000000 00000000 00100000* L0007536 00000000 00000000 00000000 00000000* L0007568 00000000 00000000 00000000 00000000* -L0007600 00000000 00000000 00000000 00000000* +L0007600 00000000 00000000 00000001 00000000* L0007632 000000 000000 010000 000000* L0007656 000000 000000 000000 000000* L0007680 000000 000000 000000 000000* @@ -314,11 +314,11 @@ L0007704 000000 000000 000000 000000* L0007728 000000 000000 000000 000000* L0007752 000000 000000 000000 000000* L0007776 00000011 00000000 00000010 00000000* -L0007808 00000011 00000001 00000010 00000000* +L0007808 00000011 00000001 00000000 00000000* L0007840 00000011 00000001 00000000 00000000* L0007872 00000011 00000001 00000000 00000001* L0007904 00000010 00000001 00000010 00000001* -L0007936 00000011 00000000 00000000 00000001* +L0007936 00000011 00000000 00000001 00000001* L0007968 00000011 00000001 00000001 00000001* L0008000 00000011 00000001 00000001 00000001* L0008032 00000011 00000001 00000011 00000001* @@ -328,52 +328,52 @@ L0008112 000000 000000 000000 000000* L0008136 000000 000000 001000 000000* L0008160 000000 000000 000000 000000* L0008184 000000 000000 000000 000000* -L0008208 00000011 00000000 00010010 00000000* -L0008240 00000001 00000001 01100000 00000000* -L0008272 00000011 00000001 01100011 00000000* -L0008304 00000011 00000001 00000001 00000001* -L0008336 00000001 00000000 00000001 00000001* -L0008368 00000010 00000000 00000001 00000001* +L0008208 00000011 00000000 00010000 00000000* +L0008240 00000001 00000001 01100010 00000000* +L0008272 00000011 00000001 01100010 00000000* +L0008304 00000011 00000001 01000011 00000001* +L0008336 00000001 00000000 01000001 00000001* +L0008368 00000010 00000000 00000000 00000001* L0008400 00000011 00000001 00000000 00000001* L0008432 00000010 00000000 00000000 00000001* -L0008464 00000011 00000001 00000000 00000001* +L0008464 00000011 00000001 00000001 00000001* L0008496 000000 000000 000000 000000* L0008520 000000 001001 000000 000000* -L0008544 000000 000001 110000 000000* -L0008568 000000 000001 110000 000000* +L0008544 000000 000001 010000 000000* +L0008568 000000 000001 010000 000000* L0008592 000000 000000 001000 000000* L0008616 000000 000000 000000 000000* -L0008640 00000000 00000000 00000000 00000000* -L0008672 00000010 00000001 00000010 00000000* +L0008640 00000000 00000000 00000010 00000000* +L0008672 00000010 00000001 00000000 00000000* L0008704 00000000 00000001 00000000 00000000* L0008736 00000000 00000001 00000000 00000000* -L0008768 00000010 00000001 10001110 00000000* -L0008800 00000001 00000000 00000000 00000000* -L0008832 00000001 00000001 00000000 00000000* -L0008864 00000001 00000001 00000001 00000000* -L0008896 00000000 00000001 00001001 00000000* -L0008928 000000 000001 110011 000000* +L0008768 00000010 00000001 00001010 00000000* +L0008800 00000001 00000000 00010001 00000000* +L0008832 00000001 00000001 00000001 00000000* +L0008864 00000001 00000001 00000000 00000000* +L0008896 00000000 00000001 10000000 00000000* +L0008928 000000 000001 010010 000000* L0008952 000000 000000 000000 000000* L0008976 000000 000010 000000 000000* -L0009000 000000 000000 001000 000000* +L0009000 000000 000000 001001 000000* L0009024 000000 000000 101000 000000* -L0009048 000000 000000 000000 000000* -L0009072 10000000 00000000 00000010 00000000* -L0009104 00000000 00000000 01110000 00000000* -L0009136 00000000 00000000 11110001 00000000* -L0009168 00000000 00000000 00001001 00000000* -L0009200 00000000 00000000 00000001 00000000* -L0009232 00000000 00000000 00010001 00000000* -L0009264 00000000 00000000 00000001 00000000* -L0009296 00000000 00000000 00001000 00000000* -L0009328 00000001 00001100 11000100 00000000* +L0009048 000000 000000 100011 000000* +L0009072 10000000 00000000 00000000 00000000* +L0009104 00000000 00000000 01110010 00000000* +L0009136 00000000 00000000 01110010 00000000* +L0009168 00000000 00000000 01001011 00000000* +L0009200 00000000 00000000 01000001 00000000* +L0009232 00000000 00000000 00000000 00000000* +L0009264 00000000 00000100 01000100 00000000* +L0009296 00000000 00000000 10000001 00000000* +L0009328 00000001 00001000 00000001 00000000* L0009360 000000 000010 000000 000000* L0009384 000000 000010 000000 000000* -L0009408 000000 000000 110000 000000* +L0009408 000000 000000 010010 000000* L0009432 000000 000000 110000 000000* L0009456 000000 000000 000000 000000* L0009480 000000 000000 000000 000000* -L0009504 00000000 00000010 00000000 00000000* +L0009504 00000000 00000010 00000010 00000000* L0009536 00000000 00000000 00000000 00000000* L0009568 00000000 00000000 00000000 00000000* L0009600 00000000 00000000 00000001 00000000* @@ -381,7 +381,7 @@ L0009632 00000001 00000001 00000000 00000000* L0009664 00000000 00000000 00000000 00000000* L0009696 00000000 00000000 00000001 00000000* L0009728 00000000 00000000 00000000 00000000* -L0009760 00000000 00000000 00000001 00000000* +L0009760 00000000 00000000 00000000 00000000* L0009792 000000 000001 000000 000000* L0009816 000000 000000 000000 000000* L0009840 000000 000010 000000 000000* @@ -391,47 +391,47 @@ L0009912 000000 000000 000000 000000* L0009936 00100000 00000000 00000000 00000000* L0009968 00000000 00000000 00000000 00000000* L0010000 00000000 00000000 00000000 00000000* -L0010032 00000000 00000000 00000001 00000000* +L0010032 00000000 00000000 00000000 00000000* L0010064 00000000 00000000 00000001 00000000* L0010096 00000001 00000000 00000001 00000000* -L0010128 00000000 00000100 00000001 00000000* -L0010160 00000000 00000000 00000001 00000000* -L0010192 00000000 00000000 00000000 00000000* +L0010128 00000000 00000000 00000000 00000000* +L0010160 00000000 00000000 00000000 00000000* +L0010192 00000000 00000100 00000000 00000000* L0010224 000000 000010 000000 000000* L0010248 000000 000000 000000 000000* L0010272 000000 000000 000000 000000* L0010296 000000 000000 000000 000000* L0010320 000000 000000 000000 000000* L0010344 000000 000000 000000 000000* -L0010368 00000000 00000000 00000000 00000000* -L0010400 00000000 00000000 00000010 00000000* -L0010432 00000000 00000000 00000000 00000000* -L0010464 00000000 00000000 00000100 00000000* -L0010496 00000000 00000001 00001100 00000000* +L0010368 00000000 00000000 00000010 00000000* +L0010400 00000000 00000000 10000110 00000000* +L0010432 00000000 00000000 00001010 00000000* +L0010464 00000000 00000000 00000000 00000000* +L0010496 00000000 00000001 00001000 00000000* L0010528 00000000 00000000 00000000 00000000* -L0010560 00000000 00000000 00000000 00000000* -L0010592 00000001 00000000 00000000 00000000* -L0010624 00000001 00000000 00001001 00000000* -L0010656 000000 000001 110011 000000* +L0010560 00000000 00000000 00000001 00000000* +L0010592 00000001 00000000 00000001 00000000* +L0010624 00000001 00000000 00000000 00000000* +L0010656 000000 000001 010010 000000* L0010680 000000 000000 000000 000000* L0010704 000000 000010 000000 000000* -L0010728 000000 000000 000000 000000* +L0010728 000000 000000 000001 000000* L0010752 000000 000000 100000 000000* -L0010776 000000 000000 000101 000000* -L0010800 00000010 00000000 00001010 00000000* -L0010832 00000000 00000000 01110000 00000000* -L0010864 00000000 00000000 01111110 00000000* -L0010896 00000000 00000000 00000000 00000000* -L0010928 00000000 00000000 00000000 00000000* -L0010960 00000000 00000001 00000000 00001000* +L0010776 000000 000000 100011 000000* +L0010800 00000010 00000000 10000100 00000000* +L0010832 00000000 00000000 01111010 00000000* +L0010864 00000000 00000000 01110010 00000000* +L0010896 00000000 00000000 01000010 00000000* +L0010928 00000000 00000000 01000000 00000000* +L0010960 00000000 00000101 01001100 00001000* L0010992 00000000 00000000 00010000 00000000* -L0011024 00000000 00000100 11010100 00000000* -L0011056 00000001 00000000 00000000 00000000* +L0011024 00000000 00000000 00010001 00000000* +L0011056 00000001 00000000 00000001 00000000* L0011088 000000 000000 000000 000000* -L0011112 001000 000010 000000 000000* +L0011112 001000 000010 000010 000000* L0011136 000000 000000 110100 000000* -L0011160 000000 000000 111110 000000* -L0011184 000000 000000 001001 000000* +L0011160 000000 000000 011100 000000* +L0011184 000000 000000 001000 000000* L0011208 000000 000000 000000 000000* L0011232 00000011 00001111 00000011 00000001* L0011264 00000011 00000011 00000011 00000011* @@ -449,13 +449,13 @@ L0011592 000000 000000 000000 000000* L0011616 000000 000000 000000 000000* L0011640 000000 000000 000000 000000* L0011664 00000000 00000000 00000000 00010000* -L0011696 00000000 00000000 00001000 00000000* -L0011728 00000000 00000000 00001000 00000000* +L0011696 00000000 00000000 00000000 00000000* +L0011728 00000000 00000000 00000000 00000000* L0011760 00000000 00000000 00001000 00000000* L0011792 00000000 00001100 00000000 00000000* L0011824 00000000 00000000 00001000 00000000* -L0011856 00000000 00000000 00000000 00000000* -L0011888 00000000 00000000 00000000 00000000* +L0011856 00000000 00000000 00001000 00000000* +L0011888 00000000 00000000 00001000 00000000* L0011920 00000000 00000000 00000000 00000000* L0011952 000000 000000 000000 000000* L0011976 000000 000000 000000 000000* @@ -485,43 +485,43 @@ L0012624 00000000 00000000 00000000 00000000* L0012656 00000000 00000000 00000000 00000000* L0012688 00000000 00000000 00000000 00000000* L0012720 00000000 00000000 00000000 00000000* -L0012752 00000000 00000000 00001000 00000000* +L0012752 00000000 00000000 00000000 00000000* L0012784 00000000 00000000 00000000 00000000* L0012816 000000 000000 000000 000000* L0012840 000010 000000 000010 000000* L0012864 000010 000000 000010 000000* L0012888 000010 000000 000010 000000* -L0012912 000010 000000 000000 000000* +L0012912 000010 000000 000010 000000* L0012936 000000 000000 000000 000000* L0012960 00000000 00000000 00000000 00000000* L0012992 00000010 00000000 00000010 00000000* L0013024 00000000 00000000 00000000 00000000* L0013056 00000000 00000000 00000000 00000000* -L0013088 00000011 00000001 00000011 00000000* +L0013088 00000011 00000001 10000011 00000000* L0013120 00000001 00000001 00000001 00000000* L0013152 00000000 00000000 00000000 00000000* L0013184 00000001 00000001 00000011 00000000* L0013216 00000000 00000000 00000010 00000000* -L0013248 000000 000000 000000 000000* +L0013248 000000 000000 000001 000000* L0013272 000000 000000 000000 000000* L0013296 000000 000000 000000 000000* -L0013320 000000 000000 000001 000000* +L0013320 000000 000000 000000 000000* L0013344 000000 000000 000000 000000* -L0013368 000000 000000 000010 000000* +L0013368 000000 000000 000000 000000* L0013392 00000000 00000000 00000000 00000000* -L0013424 00000000 00000000 10000000 00000000* +L0013424 00000000 00000000 00000000 00000000* L0013456 00000000 00000000 00000000 00000000* L0013488 00000000 00000000 00000000 00000000* -L0013520 00000000 00000000 00000000 00000000* +L0013520 00000000 00000000 00000100 00000000* L0013552 00000000 00000000 00000000 00010000* L0013584 00000000 00000000 00000000 00000000* L0013616 00000000 00000000 00000000 00000000* L0013648 00000000 00010000 00000000 00000000* -L0013680 000000 000000 000000 000000* +L0013680 000000 000000 100000 000000* L0013704 000000 000000 000000 000000* L0013728 000001 000000 000000 000000* L0013752 000000 000000 000000 000000* -L0013776 000000 000000 000010 000000* +L0013776 000000 000000 000000 000000* L0013800 000000 000000 000000 000000* L0013824 00000000 00000000 00000000 00000000* L0013856 00000000 00000000 00000000 00000000* @@ -553,7 +553,7 @@ L0014592 000000 000000 000000 000000* L0014616 000000 000000 000000 000000* L0014640 000000 000000 000000 000000* L0014664 000000 000000 000000 000000* -L0014688 00000000 00000000 00000100 00000000* +L0014688 00000000 00000000 00000000 00000000* L0014720 00000000 00000000 00000000 00000000* L0014752 00000000 00000000 00000000 00000000* L0014784 00000000 00000000 00000000 00000001* @@ -563,25 +563,25 @@ L0014880 00000000 00000000 00000000 00000001* L0014912 00000000 00000000 00000000 00000001* L0014944 00000000 00000000 00000000 00000001* L0014976 000000 000000 000000 000000* -L0015000 000000 000000 000001 000000* +L0015000 000000 000000 000000 000000* L0015024 000000 000000 000000 000000* L0015048 000000 000000 000000 000000* L0015072 000000 000000 000000 000000* L0015096 000000 000000 000000 000000* L0015120 01000000 00000000 00000000 00000000* -L0015152 00000000 00000000 00000100 00000000* +L0015152 00000000 00000000 00000000 00000000* L0015184 00000000 00000000 00000000 00000000* -L0015216 00000000 00001100 00000000 00000000* +L0015216 00000000 00000000 00000000 00000000* L0015248 00000000 00000000 00000000 00000000* L0015280 00000000 00000000 00000000 00000000* L0015312 00000000 00000000 00000000 00000000* -L0015344 00000000 00000000 00000000 00000000* +L0015344 00000000 00000000 00100000 00000000* L0015376 00000000 00000000 00000000 00000000* L0015408 000000 000000 000000 000000* L0015432 000000 000000 000000 000000* -L0015456 000000 000000 000001 000000* +L0015456 000000 000000 000000 000000* L0015480 000000 000000 000000 000000* -L0015504 000000 000101 000000 000000* +L0015504 000000 000000 000000 000000* L0015528 000000 000000 000000 000000* L0015552 00000000 00000000 00000000 00000000* L0015584 00000000 00000000 00000000 00000000* @@ -598,7 +598,7 @@ L0015888 000000 000000 000000 000000* L0015912 000000 000000 000000 000000* L0015936 000000 000000 000000 000000* L0015960 000000 000000 000000 000000* -L0015984 00000011 00000000 00000010 00000000* +L0015984 00000011 00000000 00000000 00000000* L0016016 00000001 00000001 00000000 00000000* L0016048 00000011 00000001 00000000 00000000* L0016080 00000011 00000001 00000000 00000001* @@ -606,7 +606,7 @@ L0016112 00000000 00000000 00000000 00000001* L0016144 00000010 00000000 00000000 00000001* L0016176 00000011 00000001 00000000 00000001* L0016208 00000010 00000000 00000000 00000001* -L0016240 00000011 00000001 00000000 00000001* +L0016240 00000011 00000001 00000001 00000001* L0016272 000000 000000 000000 000000* L0016296 000000 000000 000000 000000* L0016320 000000 000000 000000 000000* @@ -628,7 +628,7 @@ L0016752 000000 000000 000000 000000* L0016776 000000 000000 000000 000000* L0016800 000000 000000 000000 000000* L0016824 000000 000000 000000 000000* -L0016848 00000000 00000010 10000001 00000000* +L0016848 00000000 00000010 00000001 00000000* L0016880 00000000 00000000 00000001 00000000* L0016912 00000000 00000000 00000011 00000000* L0016944 00000000 00000000 00000010 00000000* @@ -638,7 +638,7 @@ L0017040 00000000 00000000 00000010 00000000* L0017072 00000000 00000000 00000010 00000000* L0017104 00000000 00000000 00000010 00000000* L0017136 000000 000000 000000 000000* -L0017160 000000 000000 000000 000000* +L0017160 000000 000000 000001 000000* L0017184 000000 000000 000000 000000* L0017208 000000 000000 000000 000000* L0017232 000000 000000 000000 000000* @@ -765,8 +765,8 @@ L0020688 111101 000000 000000 000000* L0020712 000000 000000 000000 000000* L0020736 00000011 00000000 00000010 00000000* L0020768 00000011 00000001 00000010 00000000* -L0020800 00000011 00000001 00000011 00000000* -L0020832 00000011 00000001 00000001 00000001* +L0020800 00000011 00000001 00000010 00000000* +L0020832 00000011 00000001 00000011 00000001* L0020864 00000011 00000001 00000011 00000001* L0020896 00000011 00000000 00000001 00000001* L0020928 00000011 00000001 00000001 00000001* @@ -780,8 +780,8 @@ L0021120 000000 000000 000000 000000* L0021144 000000 000000 000000 000000* L0021168 00000000 00000000 00000010 00000000* L0021200 00000010 00000001 00000010 00000000* -L0021232 00000000 00000001 00000001 00000000* -L0021264 00000000 00000001 00000001 00000000* +L0021232 00000000 00000001 00000010 00000000* +L0021264 00000000 00000001 00000011 00000000* L0021296 00000010 00000001 00000011 00000000* L0021328 00000001 00000000 00000001 00000000* L0021360 00000001 00000001 00000001 00000000* @@ -844,23 +844,23 @@ L0022960 00000000 00000000 00000000 00000000* L0022992 00000000 00000000 00000000 00000000* L0023024 00000001 00000010 00000010 00000010* L0023056 00000000 00000000 00000000 00000000* -L0023088 11000100 00000000 00000000 00000000* +L0023088 00000000 00000000 00000000 00000000* L0023120 00000000 00000000 00000000 00000000* -L0023152 00000000 00000000 00000000 00000000* +L0023152 11000100 00000000 00000000 00000000* L0023184 000000 000000 000000 000000* L0023208 000000 000000 000000 000000* -L0023232 000010 000000 000000 000000* +L0023232 000000 000000 000000 000000* L0023256 000000 000000 000000 000000* -L0023280 000000 000000 000000 000000* +L0023280 000010 000000 000000 000000* L0023304 000000 000000 000000 000000* L0023328 00000000 00000000 00000011 00000000* L0023360 00000000 00000010 00000000 00000000* -L0023392 00000000 00000000 10000000 00000000* +L0023392 00000000 00000000 00000000 00000000* L0023424 00000000 00000000 00000000 00000000* L0023456 00000010 00000010 00000011 00000000* L0023488 00000000 00000000 00000000 00000000* L0023520 00000000 00000000 00000000 00000000* -L0023552 00000000 00000000 00000000 00000000* +L0023552 00000000 00000000 10000000 00000000* L0023584 00000000 00000000 00000000 00000000* L0023616 000000 000000 000000 000000* L0023640 000000 000000 000000 000000* @@ -872,11 +872,11 @@ L0023760 00000000 00000001 00000011 00000010* L0023792 00000000 00000011 00000001 00000010* L0023824 00000000 00000000 00000000 00000000* L0023856 00000000 00000000 00000000 00000000* -L0023888 00000010 00000011 10000011 00000010* +L0023888 00000010 00000011 00000011 00000010* L0023920 00000000 00000000 00000000 00000000* L0023952 00000000 00000000 00000000 00000000* L0023984 00000000 00000000 00000000 00000000* -L0024016 00000000 00000000 00000000 00000000* +L0024016 00000000 00000000 10000000 00000000* L0024048 000000 000000 000000 000000* L0024072 000000 000000 000000 000000* L0024096 000000 000000 000000 000000* @@ -913,11 +913,11 @@ L0024960 000000 000000 000000 000000* L0024984 000000 000000 000000 000000* L0025008 000000 000000 000000 000000* L0025032 000000 000000 000000 000000* -L0025056 00000000 00000000 00000001 00000011* +L0025056 00000000 00000001 00000000 00000011* L0025088 00000000 00000000 00000000 00000001* -L0025120 00000000 00000000 00000000 00000000* +L0025120 00000000 00000000 00000001 00000000* L0025152 00000000 00000000 00000000 00000000* -L0025184 00000000 00000010 00000011 00000001* +L0025184 00000000 00000011 00000010 00000001* L0025216 00000000 00000000 00000000 00000000* L0025248 00000000 00000000 00000000 00000000* L0025280 00000000 00000000 00000000 00000000* @@ -1006,7 +1006,7 @@ L0027624 000000 000000 000000 000000* L0027648 00000000 00000000 00000010 00000010* L0027680 00000000 00000000 01110000 00000010* L0027712 00000000 00000000 00000000 00000000* -L0027744 00000000 00000000 00000000 00000000* +L0027744 00000000 00000000 01000000 00000000* L0027776 00000011 00000000 00000010 00000010* L0027808 00000000 00000000 00100000 00000000* L0027840 00000000 00000000 00010000 00000000* @@ -1014,14 +1014,14 @@ L0027872 00000000 00000000 00000000 00000000* L0027904 00000000 00000000 00000000 00000000* L0027936 000000 000000 000000 000000* L0027960 000000 000000 000000 000000* -L0027984 000000 000000 110100 000000* +L0027984 000000 000000 010100 000000* L0028008 000000 000000 000000 000000* L0028032 000000 000000 000000 000000* L0028056 000000 000000 000000 000000* -L0028080 00000001 10000011 01110010 00000001* +L0028080 00000001 10000011 01111010 00000001* L0028112 00000000 00000001 00000010 00000010* L0028144 00000000 00000000 00000000 00000000* -L0028176 00000000 00000000 10000000 00000000* +L0028176 00000000 00000000 00000000 00000000* L0028208 00000011 00000011 00000010 00000000* L0028240 00000000 10000000 00010000 00000000* L0028272 00000000 00000000 00100000 00000000* @@ -1031,36 +1031,36 @@ L0028368 000000 000000 000000 000000* L0028392 000000 000000 110100 000000* L0028416 000000 000000 000000 000000* L0028440 000000 000000 000000 000000* -L0028464 000000 000000 000100 000000* +L0028464 000000 000000 000001 000000* L0028488 000000 000000 000000 000000* L0028512 00000000 00000001 00000010 00000010* -L0028544 00000001 00000010 00000100 00000010* -L0028576 00000000 00000000 00000000 00000000* -L0028608 00000000 00000000 00000000 00000000* -L0028640 00000011 00000011 00001110 00000010* +L0028544 00000001 00000010 00000000 00000010* +L0028576 00000000 00000000 00001000 00000000* +L0028608 00000000 00000000 10000100 00000000* +L0028640 00000011 00000011 00001010 00000010* L0028672 00000000 00000000 00000000 00000000* L0028704 00000000 00000000 00000000 00000000* L0028736 00000000 00000000 00000000 00000000* -L0028768 00000000 00000000 00001000 00000000* -L0028800 000001 000000 110011 000000* +L0028768 00000000 00000000 00000000 00000000* +L0028800 000001 000000 010010 000000* L0028824 000000 000000 000000 000000* -L0028848 000000 000000 000001 000000* -L0028872 000000 000000 001000 000000* +L0028848 000000 000000 000000 000000* +L0028872 000000 000000 001001 000000* L0028896 000000 000000 101000 000000* -L0028920 000000 000000 000100 000000* -L0028944 00000000 00000011 00001110 00000000* -L0028976 00000001 00000001 01110010 00000000* -L0029008 00000000 00000000 01110000 00000000* -L0029040 00000000 00000000 00000000 00001100* -L0029072 00000011 00000011 00000010 00000000* -L0029104 00000000 00000000 11001100 00000000* -L0029136 00000000 00000000 00010000 00000000* -L0029168 00000000 00000000 00010000 00000000* +L0028920 000000 000000 100011 000000* +L0028944 00000000 00000001 00000010 00000000* +L0028976 00000001 00000001 01111000 00000000* +L0029008 00000000 00000000 11110100 00000000* +L0029040 00000000 00000000 01000000 00001100* +L0029072 00000011 00000001 01000010 00000000* +L0029104 00000000 00000000 10000000 00000000* +L0029136 00000000 00000000 00011000 00000000* +L0029168 00000000 00000000 01010100 00000000* L0029200 00000000 00000000 00000000 00001100* L0029232 000000 000000 000000 000000* -L0029256 100000 000000 000011 000000* -L0029280 000000 000000 110100 000000* -L0029304 000000 000000 110100 000000* +L0029256 100000 000000 000000 000000* +L0029280 000000 000000 010100 000000* +L0029304 000000 000000 010110 000000* L0029328 000000 000000 000000 000011* L0029352 000011 000000 000000 000000* L0029376 00000001 00000001 00000000 00000000* @@ -1093,36 +1093,36 @@ L0030144 000000 000100 000000 000000* L0030168 000000 000100 000000 000000* L0030192 000000 000000 000000 000000* L0030216 000000 000000 000000 000000* -L0030240 00000000 00000010 00000000 00000000* -L0030272 00000000 00000010 00000000 00000000* -L0030304 00000001 00000001 00000001 00000000* +L0030240 00000000 00000010 00000001 00000000* +L0030272 00000000 00000010 00000001 00000000* +L0030304 00000001 00000001 00000000 00000000* L0030336 00000000 00000000 00000000 00000000* -L0030368 00000000 00000010 00000000 00000000* +L0030368 00000000 00000010 00000001 00000000* L0030400 00000000 00000000 00000000 00000000* L0030432 00000000 00000000 00000000 00000000* L0030464 00000000 00000000 00000000 00000000* -L0030496 00000000 00000000 00000000 00000000* +L0030496 00000000 00000000 00001000 00000000* L0030528 000000 000000 000000 000000* L0030552 000000 000000 000000 000000* -L0030576 000000 000000 000000 000000* +L0030576 000000 000000 001001 000000* L0030600 000000 000000 000000 000000* L0030624 000000 000000 000000 000000* L0030648 000000 000000 000000 000000* L0030672 00000000 00000011 00000000 00000000* L0030704 00000000 00000001 00000000 00000000* L0030736 00000000 00000000 00000000 00000000* -L0030768 00000000 00000000 00000000 00000000* -L0030800 00000000 00000011 00000000 00000000* -L0030832 00000000 00000000 00000000 00000100* -L0030864 00000000 00000000 00000000 00000000* -L0030896 00000000 00000000 00100000 00000000* -L0030928 00000000 00000000 00000000 00000000* -L0030960 000000 000000 000000 000000* +L0030768 00000000 00001100 00001000 00000000* +L0030800 00000000 00000011 00001000 00000000* +L0030832 00000000 00000000 11001000 00000100* +L0030864 00000000 00000000 11001000 00000000* +L0030896 00000000 00000000 01001000 00000000* +L0030928 00000000 00000000 01000000 00000000* +L0030960 000000 000000 010000 000000* L0030984 000000 000000 000000 000000* -L0031008 000000 000000 000000 000000* -L0031032 000000 000000 000000 000000* -L0031056 000000 000000 000000 000000* -L0031080 000000 000000 000000 000000* +L0031008 000000 000000 100000 000000* +L0031032 000000 000000 100000 000000* +L0031056 000000 000101 101000 000000* +L0031080 000000 000000 100000 000000* L0031104 00000011 00000000 00000000 00000000* L0031136 10001010 00000000 00000000 00000000* L0031168 10001000 00000000 00000000 00000000* @@ -1161,28 +1161,28 @@ L0032096 00000001 00000001 00001001 00000000* L0032128 00000000 00000000 00000000 00000000* L0032160 00000000 00000000 00000000 00000000* L0032192 00000000 00000000 00000000 00000000* -L0032224 00000000 00000000 00001000 00000000* -L0032256 000000 000001 000001 000000* +L0032224 00000000 00000000 00000000 00000000* +L0032256 000000 000001 000000 000000* L0032280 000000 000000 000000 000000* L0032304 000000 000010 000000 000000* -L0032328 000000 000000 000000 000000* +L0032328 000000 000000 000001 000000* L0032352 000000 000000 000000 000000* -L0032376 000000 000000 000000 000000* +L0032376 000000 000000 000010 000000* L0032400 00000000 10000001 00000000 00000001* L0032432 00000000 00000001 00000000 00000000* L0032464 00000000 00000000 00000000 00000000* L0032496 00000000 00000000 00000000 00000000* -L0032528 00000000 00000001 00000100 00000000* -L0032560 00000000 00000100 00000000 00000000* +L0032528 00000000 00000001 00000000 00000000* +L0032560 00000000 00000000 00000000 00000000* L0032592 00000000 00000000 00000000 00000000* -L0032624 00000000 00000000 00000000 00000000* +L0032624 00000000 00000100 00000000 00000000* L0032656 00000000 00001000 00000000 00000000* L0032688 000000 000000 000010 000000* L0032712 000000 000000 000000 000000* L0032736 000000 000000 000000 000000* L0032760 000000 000000 000000 000000* L0032784 000000 000000 000000 000000* -L0032808 000000 000000 000000 000000* +L0032808 000000 000000 000001 000000* L0032832 00000010 00000001 00000000 00000000* L0032864 00000001 00000000 00000000 00000000* L0032896 00000000 00000000 00000000 00000000* @@ -1226,8 +1226,8 @@ L0033984 000000 000000 000000 000000* L0034008 000000 000000 000000 000000* L0034032 000000 000000 000000 000000* L0034056 000000 000000 000000 000000* -L0034080 000000 000000 100000 000000* -L0034104 000000 000000 000000 000000* +L0034080 000000 000000 000000 000000* +L0034104 000000 000000 100000 000000* L0034128 00000000 00000000 00000000 00000000* L0034160 00000100 00000000 00000000 00000000* L0034192 00000000 00000000 00000000 00000000* @@ -1237,11 +1237,11 @@ L0034288 00000000 00000000 00000000 00000000* L0034320 00000000 00000000 00000000 00000000* L0034352 00000000 00000000 00000000 00000000* L0034384 00000000 00000000 00000000 00000000* -L0034416 000000 000000 100000 000000* +L0034416 000000 000000 000000 000000* L0034440 000000 000000 000000 000100* L0034464 000000 000000 000000 000000* L0034488 000000 000001 000000 000000* -L0034512 000000 000000 000000 000000* +L0034512 000000 000000 100000 000000* L0034536 000000 000001 000000 000000* L0034560 00000000 00000000 00000000 00000000* L0034592 00000000 00000000 00000000 00000000* @@ -1323,14 +1323,14 @@ L0036752 00000000 00000100 00000000 00000000* L0036784 00000000 00000000 00000000 00000000* L0036816 00000000 00000000 00000000 00000000* L0036848 00000000 00000100 00000000 00000000* -L0036880 00000000 00100000 00000000 00000000* +L0036880 11000100 00100000 00000000 00000000* L0036912 00000000 00000000 00000000 00000000* -L0036944 11000100 00000000 00000000 00000000* +L0036944 00000000 00000000 00000000 00000000* L0036976 00000000 00000000 00000000 00000000* L0037008 000000 000000 000000 000000* -L0037032 000000 000000 000000 001000* +L0037032 000010 000000 000000 001000* L0037056 000000 000000 000000 000000* -L0037080 000010 000000 000000 000000* +L0037080 000000 000000 000000 000000* L0037104 000000 000000 000000 000000* L0037128 000000 000000 000000 000000* L0037152 00000000 00000000 00000000 00000000* @@ -1397,7 +1397,7 @@ L0038880 00000000 00000000 00000000 00000000* L0038912 00000000 00000000 00000000 00000000* L0038944 00000000 00000000 00000000 00000000* L0038976 11110000 00000000 00000000 00000000* -L0039008 00000000 00000000 00000100 00000000* +L0039008 00000000 00000000 00000000 00000000* L0039040 11000100 00001100 00000000 00000000* L0039072 11000100 00000100 00000000 00000000* L0039104 11000100 00000100 00000000 00000000* @@ -1407,7 +1407,7 @@ L0039192 000010 000000 000000 000000* L0039216 000010 000000 000000 000000* L0039240 000010 000000 000000 000000* L0039264 111010 000000 000000 000000* -L0039288 000011 000000 000000 000000* +L0039288 000011 000000 000001 000000* L0039312 00000000 00000000 00000000 00000000* L0039344 00000000 00000000 00000000 00000000* L0039376 00000000 00000000 00000000 00000000* @@ -1423,9 +1423,9 @@ L0039648 000000 000000 000000 000000* L0039672 000000 000000 000000 000000* L0039696 000000 000000 000000 000000* L0039720 000000 000000 000000 000000* -L0039744 00000000 00000000 00000000 00000000* +L0039744 00000000 00000000 10000100 00000000* L0039776 00000000 00000000 00000000 00000000* -L0039808 00000000 00000000 00000100 00000000* +L0039808 00000000 00000000 00000000 00000000* L0039840 00000000 00000000 00000000 00000000* L0039872 00000000 00000000 00000000 00000000* L0039904 00000000 00000000 00000000 00000000* @@ -1436,12 +1436,12 @@ L0040032 000000 000000 000000 000000* L0040056 000000 000000 000000 000000* L0040080 000000 000010 000000 000000* L0040104 000000 000000 000000 000000* -L0040128 000000 000000 000001 000000* +L0040128 000000 000000 000000 000000* L0040152 000010 000000 000000 000000* L0040176 00000000 00000000 00000000 00000000* -L0040208 00000000 00000000 00000000 00000000* +L0040208 00000000 00000000 10000100 00000000* L0040240 00000000 00000000 00000000 00000000* -L0040272 00000000 00000000 00000100 00000000* +L0040272 00000000 00000000 00000000 00000000* L0040304 00000000 00000000 00000000 00000000* L0040336 00000000 00000000 00000000 00000000* L0040368 00000000 00000000 00000000 00000000* @@ -1452,36 +1452,36 @@ L0040488 010000 000010 000000 000000* L0040512 000000 000000 000000 000000* L0040536 000000 000000 000000 000000* L0040560 000000 000000 000000 000000* -L0040584 000000 000000 000001 000000* +L0040584 000000 000000 000000 000000* L0040608 00000000 00000000 00000000 00000000* L0040640 00000000 00000000 00000000 00000000* -L0040672 00000000 00000000 00000000 00000000* +L0040672 00000000 00000000 10000100 00000000* L0040704 00000000 00000000 00000000 00000000* L0040736 00000000 00000000 00000000 00000000* L0040768 00000000 00000000 00000000 00000000* -L0040800 00000000 00000000 00001000 00000000* +L0040800 00000000 00000000 00000000 00000000* L0040832 00000000 00000000 00000000 00000000* L0040864 00001000 00000000 00000000 00000000* L0040896 000000 000000 000000 000000* L0040920 000000 000000 000000 000000* -L0040944 000000 000000 001000 000000* +L0040944 000000 000000 000000 000000* L0040968 000000 000000 000000 000000* L0040992 000000 000000 000000 000000* L0041016 000000 000000 000000 000000* L0041040 00000000 00000000 00000000 00000000* -L0041072 00000000 00000000 00001000 00000000* -L0041104 00000000 00000000 00001000 00000000* -L0041136 00000000 00000000 00001000 00000000* -L0041168 00000000 00000000 00001100 00000000* -L0041200 11001100 00000100 11001100 00000000* -L0041232 11001100 00000100 11000100 00000000* -L0041264 11001100 00000100 11000100 00000000* -L0041296 11000100 00000100 11000100 00000000* -L0041328 110001 000001 110001 000000* +L0041072 00000000 00000000 00000000 00000000* +L0041104 00000000 00000000 00000000 00000000* +L0041136 00000000 00000000 10000100 00000000* +L0041168 00000000 00000000 00000000 00000000* +L0041200 11001100 00000100 00000000 00000000* +L0041232 11001100 00000100 00000000 00000000* +L0041264 11001100 00000100 00000000 00000000* +L0041296 11000100 00000100 00000000 00000000* +L0041328 110001 000001 000000 000000* L0041352 000000 000000 000000 000000* L0041376 000000 000000 000000 000000* L0041400 000000 000000 000000 000000* -L0041424 000000 000000 101000 000000* +L0041424 000000 000000 000000 000000* L0041448 000001 000000 000000 000000* L0041472 00000000 00000000 00000000 00000000* L0041504 00000000 00000000 00000000 00000000* @@ -1534,49 +1534,49 @@ L0042832 00000000 00000000 00000000 00000000* L0042864 00000000 00000000 00000000 00000000* L0042896 00000000 00000000 00000000 00000000* L0042928 00000000 00000000 00000000 00000000* -L0042960 00000000 00000000 00000000 00000000* +L0042960 11000100 00000000 00000000 00000000* L0042992 00000000 00000000 00000000 00000000* -L0043024 11000100 00000000 00000000 00000000* +L0043024 00000000 00000000 00000000 00000000* L0043056 000000 000000 000000 000000* L0043080 000000 000000 000000 000000* -L0043104 000000 000000 000000 000000* +L0043104 000010 000000 000000 000000* L0043128 000000 000000 000000 000000* -L0043152 000010 000000 000000 000000* +L0043152 000000 000000 000000 000000* L0043176 000000 000000 000000 000000* L0043200 00001000 00000000 00000000 00000000* -L0043232 00001000 00000000 10000000 00000000* +L0043232 00001000 00000000 00000000 00000000* L0043264 00001000 00000000 00000000 00000000* L0043296 00000000 00000000 00000000 00000000* -L0043328 00000000 00000000 00001100 00000000* +L0043328 00000000 00000000 00001000 00000000* L0043360 00000000 00000000 00000000 00000000* L0043392 00000000 00000000 00000000 00000000* L0043424 00000000 00000000 00000000 00000000* -L0043456 00000000 00000000 00001000 00000000* +L0043456 00000000 00000000 00000000 00000000* L0043488 000000 000000 110011 000000* L0043512 000001 000000 000000 000000* L0043536 000000 000000 000000 000000* -L0043560 000000 000000 001000 000000* +L0043560 000000 000000 001001 000000* L0043584 000000 000000 101000 000000* -L0043608 000000 000000 000010 000000* +L0043608 000000 000000 100011 000000* L0043632 00000000 00000000 00000000 00100000* -L0043664 00000000 00001000 01111000 00000000* +L0043664 00000000 00001000 01110000 00000000* L0043696 10000000 00000000 01110000 00000000* -L0043728 00000000 00000000 00000000 00000000* -L0043760 00000000 00001000 00000000 00000000* +L0043728 00000000 00000000 01000000 00000000* +L0043760 00000000 00001000 11000100 00000000* L0043792 00010000 00000000 00000000 00000000* -L0043824 00010000 00000000 11010100 00000000* -L0043856 00000000 00000000 00010000 00000000* -L0043888 00000000 00000000 00000000 00000000* +L0043824 00010000 00000000 10010000 00000000* +L0043856 00000000 00000000 00011000 00000000* +L0043888 00000000 00000000 01000100 00000000* L0043920 000000 000000 000000 000000* L0043944 000000 010000 000100 000000* -L0043968 000000 000000 110010 000000* -L0043992 000100 000000 110001 000000* +L0043968 000000 000000 010000 000000* +L0043992 000100 000000 010000 000000* L0044016 000000 000000 000010 000000* L0044040 000000 000000 000000 000000* -L0044064 00000100 00000000 01110000 00000000* +L0044064 00000100 00000000 01111000 00000000* L0044096 00000000 00000000 00000000 00000000* L0044128 00000000 00000000 00000000 00000000* -L0044160 00000000 00000000 10000000 00000000* +L0044160 00000000 00000000 00000000 00000000* L0044192 00000000 00000000 00000000 00000000* L0044224 00000000 00010000 00010000 00000000* L0044256 00000000 00000000 00100000 00000000* @@ -1586,13 +1586,13 @@ L0044352 000000 000000 000000 000000* L0044376 000000 000000 110100 000000* L0044400 000000 000000 000000 000000* L0044424 000000 000000 000000 000000* -L0044448 000000 000000 000100 000000* +L0044448 000000 000000 000001 000000* L0044472 000000 000000 000000 000000* L0044496 00000000 00000000 00000000 00000000* L0044528 00000000 00000000 00000000 00000000* L0044560 00000000 00000000 01110000 00000000* L0044592 00000000 00000000 00000000 00000000* -L0044624 00000100 00000000 00000000 00000000* +L0044624 00000100 00000000 01000000 00000000* L0044656 00000000 00000000 00100000 00000000* L0044688 00000000 00000000 00000000 00000000* L0044720 00000000 00000000 00010000 00000000* @@ -1600,7 +1600,7 @@ L0044752 00000000 00000000 00000000 00000000* L0044784 000000 000100 000000 000000* L0044808 000000 000000 000000 000000* L0044832 000000 000000 000000 000000* -L0044856 000000 000000 110100 000000* +L0044856 000000 000000 010100 000000* L0044880 000000 000000 000000 000000* L0044904 000000 000000 000000 000000* L0044928 00000000 00000000 00000000 00000000* @@ -1657,11 +1657,11 @@ L0046384 00010000 00000000 00000000 00000000* L0046416 00000000 00000000 00000000 00000000* L0046448 00000000 00000000 00000000 00000000* L0046480 00000000 00001000 00000000 00000000* -L0046512 000000 000010 000001 000000* +L0046512 000000 000010 000000 000000* L0046536 000001 000010 000000 000000* L0046560 000001 000000 000000 000000* -L0046584 000000 000000 000000 000000* +L0046584 000000 000000 000001 000000* L0046608 000000 000000 000000 000000* L0046632 000000 000000 000000 000000* -C7A71* -C9BF +C7782* +C9B7 diff --git a/vhdl_atom/RGBtoHDMI.vhdl b/vhdl_atom/RGBtoHDMI.vhdl index 1a87910f..4c1a0782 100644 --- a/vhdl_atom/RGBtoHDMI.vhdl +++ b/vhdl_atom/RGBtoHDMI.vhdl @@ -48,11 +48,11 @@ end RGBtoHDMI; architecture Behavorial of RGBtoHDMI is -- Version number: Design_Major_Minor - -- Design: 0 = Normal CPLD, 1 = Alternative CPLD, Atom CPLD - constant VERSION_NUM : std_logic_vector(11 downto 0) := x"222"; + -- Design: 0 = Normal CPLD, 1 = Alternative CPLD, 2=Atom CPLD + constant VERSION_NUM : std_logic_vector(11 downto 0) := x"223"; - -- Default offset to sstart sampling at - constant default_offset : unsigned(8 downto 0) := to_unsigned(512 - 255, 9); + -- Default offset to start sampling at + constant default_offset : unsigned(8 downto 0) := to_unsigned(512 - 255 + 8, 9); -- Turn on back porch clamp constant atom_clamp_start : unsigned(8 downto 0) := to_unsigned(512 - 255 + 48, 9); diff --git a/vhdl_atom/fitting.notes b/vhdl_atom/fitting.notes index a7934e6c..e79e34e7 100644 --- a/vhdl_atom/fitting.notes +++ b/vhdl_atom/fitting.notes @@ -151,3 +151,14 @@ FB3 18/18* 32/54 76/90 9/ 9* FB4 17/18 18/54 23/90 3/ 7 ----- ----- ----- ----- 71/72 118/216 209/360 28/34 + +15. Atom CPLD: Adjust start offset by one pixel to allow perfect centering (now v2.3) + +Function Mcells FB Inps Pterms IO +Block Used/Tot Used/Tot Used/Tot Used/Tot +FB1 18/18* 33/54 63/90 9/ 9* +FB2 18/18* 35/54 47/90 7/ 9 +FB3 18/18* 32/54 77/90 9/ 9* +FB4 17/18 18/54 23/90 3/ 7 + ----- ----- ----- ----- + 71/72 118/216 210/360 28/34