From bed08b1b13441bf964389a72ee954023fa8f9a7a Mon Sep 17 00:00:00 2001 From: sq5bpf Date: Mon, 19 Jun 2023 17:27:15 +0200 Subject: [PATCH] update memory map document --- UVK5_memory_map.ods | Bin 31887 -> 31985 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git a/UVK5_memory_map.ods b/UVK5_memory_map.ods index 585bcc9312ea57eb0bd1536d9a974814cf627c56..2cfe4c788c205e29b917c83d82fc5730c3190f33 100644 GIT binary patch delta 9542 zcmZWv1ymiqwmt`UceiqIw<4uLafjl?-Q8tc+={y$pg2W}yB945io3f*aeMv$d+%HS zeJ?XB*^~X{OJ*`_Cs}*P-ht2W!00OSuyA-F5E2Na<~tdWt_u67NW=nDCyx4{p#DRZ zcn0SFTh|2U{i}>OrcQ){3H}!6s1qx^(f$jK^)Gb%+`qt?g8yp6jfjMV^jA-{A7F&R zfAeL+k^=gUnH+fjt5t97s`}^r;Pis!rr#guO$zcRUK3z1ny-)vaTS@p1Q<~%wg~_J z?CbYaWY-|`;-pEdyS%!B$A>(h0RuKKeO-;xU2PBkr)Zfli7Bac@NDAI1G)h zNMt!{D)*EFSqL779-=|wkP8V|MmLFhTPJL~H?cHdwKe&89rKqzgH_}akqm_&6nelQ zPys9m^soQwKeHVRuYmCPY|GJ80(!1xf_MRG20EefAY!t4+37k=tyR^#r zg?o;aIa+F&8fV5QN1aV78V@xavJ&)iJYuH=V6`v|C(9KQtu8CR3T{)1uIA79o`^5o z!r)M8XgQ!2#?(;HrD^x@^x0M}WZ@^K(53CHo*p0NZny;d#pt|;0FH+`7Lyhf8_lp? zQ%3hDV3df{tXZWHi>QzN2ynQlXLq3afy%qza*Mqc`|-i)=cQNE_-tM$ghbr z{cwVjchgl{P%XqwsBf33^xP-ry`zyp;|ul3+3@hZLkXTx2^ z+HnyPbSmMC*!Wqno=*L%iF#1CX9h0|x&9y<(zhE9@mL_N7$ZfKnl-l|%wSI=$;cO7Y(BGw- zzvqV`hvlD>{>+=a{Z$EsN@RXpytf^_cApST9(f%VCK-+jW}6Z~H|js?YH*@=Lu=ZI zHSx@Br90|{ub#E8*#WxD8GCRLRtW~{7M))S@x*VEq^i9G2y5+r?%_llAnkNb+sl2J zh2e2OOkf8rSY&{pdruWON63@BpP1F_7b2&x9A$ke3-$<-e*QOH`oP zC5CYZhM=!47LeR$@md!$d zJHOte@f`T(`FU0ov%Gr*izC0%nh1Cf>z>1L%l8V z8MVFoMg$Sc^+O+|jtZNCL%XAd7OhW7oi^&EQ_>v2>?N@?PnaUcz|R5@anCQivAJEW zNhxEy+MC3FajOcfQ_{=WKPdZjXv@)@^uxN!1aO}gDt9}~-0_LFZei55(*pKR9; z+&^RO#(Vr)TnL5IvT^i`0BH?0IY=h&1a4y{^`+- zU&o2BE97$-D=6QK)+Hw&dYRJiEYFjVatoQlD^M(H5uf`_LK(jdw;y0ivsPF$#&^gw zHUP$Zn3lK$@s)ROy%cPH;gE;rc2CNqorl!+Kyjocn}fsiHNl^ICGc>Km}I1@ zmgDVfG8fw0^PP~7(o0UrBeWhDhv40%ChMQIh*`eMz~|T6`{w~=-QHXxx9RAFVtigZp5B|L%B(9)R0hJ7nUf;(=3E!jQtPD=oKM?tZ&Nk+Sw7r?QpA+-YZtT=49(%Z& zKh&h+X>ZSx{!Bx-8=938X}Yw5-pc-SueqW<(50z2A) zZ2JS!7g<3Kg+d#>9NtfHH}J4Fb{GI1v-E?3=)KoSb-Z;YKw+l=Gm~&n<+eRzRo&xJ zb#+Wd1?waZ`tNrT9;RdK%JsN{k@Zvq1Ed-EUkhK4T=Y>BhEiUi)K^;5zcf9QrQA#~ zTRVce*sj7jV_Hn{A92=?N?2cROw43`Gkh&fgBct2FeIn)npgIZz8hFpip7z#)?3fT zE@H=SOQ9b30P|52355jAwQv#FG;}09^(E($s+I>CZE= z$!W@|O{p3x{f$IOPiEDZ1Y)pQd`|G|7yYxbDs zAr*O!U3pd(erx3lY8PBu*kn&qI&QAGQiz`EQgz!EyhZ)fPGE-of%wCgehKL4PjWZ5Ws!7xh+s_US+gzqjY{q@gM8(fyPk~uA(_Uwq1>*%rfW5m24_>de zbhzEEx;`pMF>x(LJ!YQPJO?iud#N+=rFrDxIzmL zuybi;te@=KaoBWZ%c%fMsi)*!)M{_Q5pN<-vlUoqX+mn`hVAMop#0O6(?;AkqP(V)s1OnCcF6-5FMW)t2b&1%A)&4m<$>`SQO zCeG)zDLUIAU6Q!Y$yxL<&UIIi@;FKD6!CSFv2k{TSqnOsLY`-?y)U6zhH(t6z6JlT zXaiWm^V#+uX;s_1A6i%URd9<=E)zacu&=12M&2}pbeubvTvKQGw{6HgaPFQi*8lGE zxc&=FTR^1FLPM0?YkAc|_hs}}LGC1(QErsiXVunwYork`g<#jkP&vFb6<@N}A5?ws zRTRL_7CaH{gNz)3F#mltVho!Ajt;wYR z6!OF3CKSy2&P_`7K<#F=2=Jxdp({!YLMN8O8tj1#$icqly$)DnF`r^vLF0?+AE`)e zTc9DYEPH=Qz-&VmNloq7*YR|=L-KE52K6Gsi6uSVD$7lzzhRQon!xo)skZG@_mzfx z&iC>MT}h!Vu7s0Go}-ANu(F*qM5aE;+kCE8J(Bn#U86F`a7{k}OxiI<5snIq>(hCZ zO>anEI)yLT>#s3T@D04>x7V{L6;rj)oO5~Zw0Mf!_)5v=!AhiveHw&;(_Cas3zTUS zliD+4DX}V$IY2W~Cdx=KLXIdTYLeMN;0Mu?-TR2I zg1DybYDrg&Wdy7r<~C|#`*b5p?g=_WB+u~|lj|(}b-9%)EUPjaG-v5%eDKx#AeG08 zw|9EFYlq=1mN5R&`Ua*3i;_j3q&TBup$6S^C0+DA4&#=9ZTX>O9B1GCWsl{6Gxk(F zviR1ra)*D?>mx-)r3iFPYzqQ(#o{PFX@u=p^kg)XQE^M`ybapPA_q5t$SjdbBp?7}#5RfZZ? zCgm;u*4(%TlHiV2-9^2F>?hF6@=1@v9jZzB_8wZ`B}F2oF#_TC9hD8B+bTr#r&mYQ z28M=_zuT`}tS+za5?haJv!ypTbQBtYd@)8BUoar^JMyCYO%}X^2j4SA%eKu5q56## zGxX)SmTY%fwCKH^6|Pxqw__)Nz%giK7b&1))<;?gfb~+ND`a&~x)j}l>WY1b>K)-IIvWv6oe@M?m)Krz>zHgOo+vn;+e$|F6I5W8%oaEzoV3B&ya%T-JA z<4-jFyg^ApqBlV=A4z=Rpy<2UV{Ou~OD|ts&$m(hY!fKk0guq1d#j@yvEE965WQ#$ zN%5QykagNYAy;!HBN(jY9o7{~&7D6L5>YShB(XRWiA$4X=h3C$X}@HrM=I1yAyw;tBNW8<7knlL(nT5uoQ*^k50yp^4F!9Dzj)`N4;z1E`u{}Knh!1auX?|aK zI5MQ!%Zs)(TMw@K8*-n~p*n+lD0jb)=U|Zr_^2QAQ3FqTLXgEVGPYw}=^wk`u=2Tj|twv3L42B?`;>0pcnp z!g(W9p%N&YVm=;f=%1?Y%8Sxp0&x7aoM1h9bN^RG+Q|7hQk( zY`M<)s{GtRVqalgm*3mA&TTg-04S-8oC5J#(BoR5zlKk!(%@F@|9!4bC2tI)5w$xD*N3D!p-^^;Ld!; zA}A#Me&z-){F~R?Yt{ov*3|G?t4}4akBAe!x^<2s_l0W9xS!(SQ9S68BLTu=WZlC8 zHM}V&meVQ;3XD0IkBjBZZzWfJR>F6ex4z;8(?H!Fy!h@OssQY_%^+hoFqRj|aX*jn zg()a^{rh%5FEcby<(8W@>@|5?`{fHa{93*7*V#L2fy@N!sB<0ZTuM6g#6Y^naqePT z!VQ?1EI8Ej%{Pv}Y^a}WumHlfKD9Kg)l9rq`ohgum*@zD>W;=}rdnKQNx?mLCTDj9 zRGErfMUAAmt;HQY=zO)frov#19BTfBGhG?}BF&_|RPNHHHO6mhJi+!z_SuLi2y(me zcLzhZLp8t5h@C&n7}L68Qh1vO$N1J2_FLGnzBSxd@hMKpsB-X!ZvwOQ^c7zeJJ~kj7e;#*~YK@deLEo1~suo>g8mm(HEqgvK7}n?vHa*_Llvg%f zj$$^wW^(EY`B$zn=eZFpQ?o7n8m0MtH?=))OV-eE1rj@(q zmf0QP^F@_WASC4#&`Hh%2|FHA+Y>l^K_fZhT|7?qzkN>5>WeX1-ik2^#KT;L(=VrF zFQMeGzgI1%{F4z}nZ7Tl)SJc}4I!|fXNBikqN&OnE`CJQjbvFfKzgN)3_~1aH|oD5 zru69Q`C5q*3D5|=>Oq-h-5|AreaADwk00}%{Ozz#1yWls636P1E`Eu|CtdtO#-&vq zwf?khyUNN>sP3?+xyBlBhZ>S;A2Rh%RR|f1MQ8P-;Ewhz{yI8%@Q^6jHRE=pe6U1xWc%W%3@Jq}V)(ezIdZGN$m$%*Q zbAScyS$K|)j;p=;2RvB>&Ean8md{0Y!7EsK9IRFze4}Hf%g0AyVyA%l4APTy(uVS0 zbVtBpwKFzfp?X(q-rH*;I_|Q2aU$H_^-2HaxWnL^oW#PPE%M}fW-!0R;+?hr`NwQ1 z#4a9OLe20Iy5GSqfPA@uy)Qd=UOayLZ8cr}A zx59p~R-vOW(Jpd_pPR)cJ(CAExTu|_g%1PAcvpo+0orr8#-(X)5MoigsBn=alxhI) zv07g+K&H6-;E`k7g^WuS&0eo%{WZNGg64sz5FF=shO&j8Vf@gPT26U&&B-+f zFx&A0Ba5AIpRG*>@U$i4Dm1gRH{~x`8iRL^*Q6hK7RW~Yzb$T(Rq}2Hr!(s7V-Rz* z7mven|1m=yaC{8!O(-#NipXxLY1iSWC=^YFsh37gfrmACJ{MBsFo+Z(FW_wxhGK`l z*y5FX+1oXIgzCzneFs9fc%ed~nyf}6t+9IT+dWb^IB?0~hpAk`fK$|l@uly~-qP2DchZ8ear)rCk`cP%-lg%HpUN*BZIr8Z08CK{)wz zOi-+qr4@c`K(z&GiKk{`1D3oiW~@z}s>IQ5R>q$|2wZ3MbFaFb;~{<$%ZV5!%gpZW zoVuR4i<=?lg~Nx2d8qmm_ssak56!_p)pIJyhFV-(st#(nU8=_6^LzBZwFK4W?*zN!11-B}AtDJsz6w3K z9bGw}+Q^Z%&VC3!D%3N1iBLdSuY4S$U~Rs6j!}de*f4zm2JKOS*w?hGk^kNLF5#Xx z_mRa7j|~0{7#6bEh^f7ITpz10$Jg?7WB5X2WEMTYIp8JdmF8p>^UXFc0zL;DJl&_R zO-;I8)v-oDw}N|v20V%N+@|36N*z(($C(CH4-~Bz{>~C4QTQ77{eru0U~;NPHSW17 z*u&+s2M0tDH{b%a;;f0G^H+YQjwmVBdW-Sd6tupyOcYAw+pel3fS|$@GP8Z z#Up~S^}fr30OX}vPwSh1FWKxz=wx6l)sEaKFQc01Qwvl*4v!4z3VkZB%yVr!stUo- za=-^02%pAhMf-swrf;P#c4c@Sj)x(M{q~VArmyqfLjofV#}f;;zAB*^??}T+i>Gz> zet#=(&oPY5Vt8$fi;~iR?da$Svlnzj7&LO)DccO#ju4*jJIP1g(X3btTl{$W{#`u` zpC1$P#*~8DLRsgkR~kHjj7iVTBXAWrFeb{+B)~?|I$opgj2SzK)}l}X8V%VNq}WSI zZGeMI5V2Wkc^6%fMhOkFuOh3{ASpcM89(=XHI|dH!-&K>gSVl69r%;n<%i|{s4|6# z8q+Yq@~s0xRj{wBPVebZ;1+=dFqGka6saaGM{A81{-WcC#dUmx6dTq!D&;uoTWW32!RUi&FGRmB!8tjed7eUq-eo@!(l>xm4G zG6^C^5ytX&M22y;#Bfc6J#e3-dliV885%X1pGFsWZl6gQvPEve$*Bga-57!)?a~Z# zasK^vR^Axmi$=E!afr8{_VN;}x)}`4D2l366W|oas-)7D?u2+$uisSyw7S>(>&{qr3Gj_;a$pT>KL*2Q z0bIqL9|rPh7H4>aw#~z84lQ@1Hc$YX`-($Al}m*x_X{Yr!q}n+Qo@*ZdL22=YTyIq zCwxP*k#rz)H;70<353v)%^G1(52ps%+5pHUl6@jQ z8M+vXg>rWp&dDX_5`}6u$p_lOeM*?J8nDNwn`iBsrDY1NG%V8^1u43PWi<6$mY$+s zHuGb)Wm;OYhU%ZG18*`y^(n4WQoO|*V)S!O?QN(tt5b)IiZDXMMU_b*kD4P!m;i}PJ-AF12_m)eN0YA8w|+vm^?11)>kHbq8T?(-Mr)nm z2@os=Rl8#6lS_9;>F>;il(|`qmkbeI|1urdZ}9ZN0tTJaB&23ssEeU9 zkuaUl-MvoF&gM-7sH9UQ8D4WWxyFrk6StVCI)L`LweALVlXYuJ4v?+H4yWFJEZJF; zZC;M2=tzOVp}vu_!4Xlx!FQJ2l#zWOZ-Y}I>-Iv&M6)#;pI}u?KaufH{%t-D+b|hp zgqq|{uOcH=schXa9n%I)Uwp#e)7puI;+N^XiD`@(19xk!?;lrwMt^-In-D!Wt0>~h zv)r}LmTRBEBip|6_1I7gelJ{GsQ4hI>#=)D)$HJJrG5ZNkm_%Q@%eOz@yS8bOY*me zIaFT>Hso=;FzoUT(NelyKQ0u zXAc7_dcWb`i{}gGUrw(f_A$P25)f!I0{kb|0Sto&`rjy;L|U(Zh05gkkS4l$;r$7v z!9x7EODyqE9XK-a8BC7;-)+vkNMX7_iL5>hf5%Dac#(o{6QjY@{~reCO3d(P{2TR$ z48{hWNC_tU|1g-`zZ3-VPe_T(-Y8Umyl|SJeTjk-sqi^bw-{7iJn2 z1adWZbF+4^bY=6hxBI^z^Tn4G<`;INA`1!dAJxByq!}LZ^uqZk-h>4X1j7F>Qn3#p zkfP*!F;-b6DRz5f2Wty+SGRw%|A*qA)A(l~|48-vAdsn}gPXa-zZ=5i{&`0b2>Z`v M{-;9^ufLxD2lgtKb^rhX delta 9470 zcmZ8n1y~$Qv)yHJg1fuBySoN=4esu;xVy7xAZUVXu;38f-CY8~1HoSI{r&YwS5KX) zY5S(9zdBcsz@$eYvZ^8o8Vdk`2LN1>x>AtUK!36{7$A8XqdyALf2qj%$zJ8SpV1D>@OAK|Cosax&P^s_h05I7g&FZDSyyxzW;+xF=K^;hll^GDvgFv zLcqT*azTV(W%pb*tj=FLYzj3J@D?Im+2i}kC*=8MnAT;ysF_$*+L=NU(7(2Dq4~T{ zC7n7KF4h9y7k3I?XkF4cFeVs-h-ApnAowhvjj*h_aM@uUr;4`5Ce)begp219UM{SL z#P8HMW{2Er7Pqt9;bK*{ewh`95Ao0?7DIDKFV#eXoz-u7O||29xsSh;l{r}|dUoM5 zd&Wb;rsH4&kJL%+tM~==hy^}(qFc++vB&C%OUC0|sL8(^>2nxj!CaRkE#SFRB+{4p zX0gLVPBGVX3W?1&^GQFviWvAnRatiIebG9h&D0mrV;uQXkzc=XleF+MCmB;iqJm+9 zth`ePc2OHt%j>a$xv~q>fb6I5n`tLAO=4n91+rjMTi;3$;1U{6Yl){(jW-BU+NPIM z>)TMinRjy9AW}b|Y4Ij|FB)jQ&;7xe_>Fqs7v+j{PkrQ-gL)OQob;GeB!%!}#9d92 zoAsdgHZ`1^*dxZNPseq(8E`vy+FVlLw2Bqrdz-^x^g>)w0f%4 zVvChD3!cn9`N;vV#PjhoJ9~RX3h@}rO%$Nswa|p)>4Dx+*b~fr~lYt1o<;{MLFclD{76p}%(jWG2E z=4>gLWLU+ZbNU&v>^v@`^oJLT>W1^#$>-L)$M$a7f{@0!>y)G476qf_W;(7rpSV4Z zhCUc>6unFO(0o=+9{9|s)YX#I&;6-1p{UR=!*>S;%ZxFFKz3Vwi-&^E(Nf#1yXL@2 zjCm(-s+n!;6K4zD$oqtMnw!J6A|1;^AYzmPjbBQRPauN z2(Sz21hDg}lCqG9t#8PHxHR$0+}^8;<2ZTQ@8$68;!%j~u|#TWYfS~4#4iA0+_z3m z7C9j(B<05I1ai0I9=h6oOw7Fqi~@Nsh60k0&TbG#Vbs-T#r30*SjA63@DT#01yTr{ zVUg@{4|(-34jcD~2=NvD)>$(FBFwBRsItZF^8vaZQQVqlN%#ky3;fMzYM2gS2InO&HB9nOYNvms24(L=FmibtnD69YV`km1)R4%}mSf;0a^dNmzq&re(j0f>hVdcFW!}t_&5K06}`xmQ7okWk1Va zn$}!(K_rJ)f!D*1H0mCkQ6Wun97Q5>cfR7)i1{C*i099~!NYjmhDq5xO$0a1F`_bh z+s6G*JfQ~X>2SXYy4!&33L2-Gf5d{XGXcNa?QC6YcghJTTJ?9q0ku2LguNSQqouuF zk*Ll9-UCZRu?)~J0+KG^x?AI#V;1bMN;#3`^Gw)QCIl(0QYq-HL3yPdC}W&5wCs1@ z={9pO{MUpeM8z_ydr76(8I6Wda#zIUJa3;$Ef*+dU012{=0ogHkk%Bn+ko{5`4yvv zR;rAnDm7zF@;H#1T4LPoJI?Xh_H&aVy;w$O#{8OEPNbxHp^wR+ANpk|#`4dsNZDsz zp~XGntNqi~nM`GZ9a!5sk?cizKjm@%tSUq~15Z zcv)XE zPKm}7d(9mM=2u~Mz&bbsRanzG_*1%J9WX-UH?_@|(3#v%RdV;q)FZQ#j!Br6EJLKG z8cLZ=xX$1`QSo7q=^Q$>vlTV0Es?`BW1P97*p3i*eH8p8CE}!`! z#2r_CYBFzrr3wxU_&H-4yI-bUn|*eQagOo@GZh9FmiP?EV_BDLK2e{|rMlYj`_D8r zx6#Ca7e9wn09~t{DMn1@piE>f{3-EFe>@Z1tco-!Sx_-`KRrMV4Z&!mdqs#C*ayn= z#fN+roybcNA{8f2LZkS(1$(b{Xv^2aN6o`VG0sGgqhjqyKyyHiLqvu&EJu=VwrE0% zY>N~GQqJ+_xN%ir;AUqw3hPd^F54kX14qJuL5D684ohGtp0#C|lbe9#oLJn`$f#Ka z>91fV8#t6U>mF9z(P>9NMo)i**!zn1!tUOdV_2;jWG^Y+X0ni$5!Ap2Y|GbFNVp;91S!ICAS zn61t~|5bW?3I`~_sToc|dSZGB{R-p;-vYX`H^T&bQEA$qtdE}0s2_SMMEAg+umx8? zwbO?UyTN#=g^URr4#FzDCaBaKexHaX%G)Kkhm-l$PS@R<;ars0#^Nw3iV!j=E6$Ww zs-UDy=&DoHu*oV%35<$*stc?7BvxD+=;-0k$PbFK`#3%iHKf)tO_T5*j-NMy^S0h0R7u zrsn8tlD<5d+9T@(49Z3K!Ye0%t*0a3fF2%f?BC&&(Tfd8LfJ0Ex{|xqANH^~N)A^P zBDoec#Y9(cB}u1IDi4CfS{72zG_Ne-}zz^THZKLh21Dq9xEx_PuGC#&o+Y{biLfcLTFS{Y2x%l%h{ zYpOd0@yhFPmM}aN`gk}5>sCAmzL6@n`8mkPn%ul6ME3cPWXuE8Yw4>36>8rkt_2l4 z?0ZYX0jt9=ebs2GT<*au)`2*SKfE{gT{0%>w(Bsa!A4ZmVZz^UZ&MoMli4@v(5`u-T8WCqq?3VmQi@NI`nB z7!~?T;GxG9PITo>==GNAUPcLnw3U6itNnEr<;nBwKbgv;zT)$kKl%GTCsR)P=liytKCu24q+alR}c ztin;qBlz^Y;`fGG3w-$mIWdOq*`Z=2MkF zGw+?gQ%%>Xn|8Oy#I6nOgVe7Py-)Za>NC z1Iz3Ode0ztQUeRo>z^FhMO=4tjeQXcQ*lq96~QhcP?XNoy2-coVD~FmEiWgNmb_0H z%#ZI^ixY&YxXjsTymWv^xe7XVaM{ED#euc1JERl6sp;=g$RYzAH>Q;AZ+N#=@+)Xv5 z(oiA<*qkr;e(WUvcm z;lj^ZgBi-`HGj} z6p&%G&R!hF8peavnNt%kS_sp}n^M5==hrSV#f-ZON3R%?Of6WIG@+eRE)XebUuK#a zb3D+YeYPVG^p945z}IGIW_wf!cl3 z*dOuB0Dmsa>YSM(f+m^9WVB~?j2)x+KCQ6wCe=D~n?>}h9$QCI)|!h%rYQr?`Y@s< zb~#5=rwsQ@yV3ZplVKVG@(X0?hR<6mII(}s`ue-@uBViV z{p9e5djZHHKyq;8!*)w&jtCFF0a*KOpt$tRq9||zsqbb(s1c%#AP99{<-UG?5R|qe zhY94?apbkAe&kwbk$~tDrMe@X6?mICmikQItC1(J5A}$1# zghcVTGve&*CRZGyWWAX1A06vXJ<3|18_>U%5Zm>g6KP`E{2#vN?Niup-Lp z0KbG@JTg&ukP0;$PG?n`C-LvL*MFy{PsUSI>ATVNa8$6wiY-vHqHKZT%6f$&R&d|( z1nAnJwpq&PTpJx`>Xd92<>hNC7Pt-<GkSsSW}n41C1&M#%3_%?~gtUt64!x-Ar z!E+3%N@SI@8xvZ=jlUv zAJ1xcJ&%ID3^_aAHJbDWG2XC_PnsSGbLG5*kp(l&@eq#`A2`6U9#N3KFS!&J+7U}( z+mr4wl99l{cpZ{_I{KwOK)saJ@uRZCly~&E_6`f>gV#DZnoBsJaK?%!jH90rD?9|w zquD_*(P5P3!#2*clds?439x>{JzPkPmt(+N(cAd5=PLBNAlcN8AP(NFtdB!U^^d!L zxJhTLiY3%;IDBtXR4f?O5!YX!Mo!@(TGUfhBy>kFH-9a(MdD=8zRtZLg~n6M$ra}I z=0P@(SNS6tp5Ogq?=6IBqSWxQ(R}>z<@rJ%8f8$lxsC84=SuxTdqY5a=SU~|YT)jM zRNSI-@hsw{0Em<3ezKSS=PPm<^$pp5#8}#6ID8m2VsZLTnWLc>bAz9lrl1eB+WCwF z@3bpmuv;)Au7>qsXCV;XrAp{Jo-{el;W|XQ(A5hb05uQ?hD^HT>G2@Wk{(T~)XbpZ zP*r@6_9A6EURx8S{q_{_#@^EoyCGvjdK`pLGOZP1V3C`sl4c_b;1@_zYvNuJr1f)l z4tnt+9Qj~*STzIC!F>S@j)JcFzaeK1muoob8xrSvF92#C4V|{Xl**zw1i&s9F;5}a zXMO`uj08pLVD4=jFrBld_^8fX(O3c?&zaLo3ZDc$#FOC_7AY@&7m9+2|Jqt}#(KSt zfwUU=7QkSGl-Hmn2!4TB1#6FR?LZ*c20_nccyZxm#3~+gK#BG;<0I z`g7HT=l2xG>n28_f@U*UFk({pVJy2Rm0*VM3VMfLC1OX@bVm43%tGh+*$lL03NvHg zd=M@t&2+*WgbV=;rI!{IqmKDbm3L-1vLJtn?47hou`vgB2KX;x5B8LZnL|7-wIC=y z5b5L-uCuY@?X;g+>iZg&!#JN_+d}p?oh@SjFKx|&ZH1Td`*@}sS2Y;KxaL<;FLFnh|mPIf`s$Gj?2I2p8mo%Z#n3CbSvb{`;x>fu3YORUp2a+L-MzbIZqy{f^11b=bneB0k9o%^{t7 zghI+VvNd?LvFMKoaieYE*W&%Hi@06WF2r`uEhu(N6xjF+!w zv=RCWKho%4MJ5i6wqe2;i3RMZpgnp*Wno=AZ3n3<4tc}c+aXj+4{Y>7^u3hlMj!5X z$koPJzdchxCtf>(F|ZFO2~Pr|LY%$b*Ov9ODk~$@k3dAzjZ9FAqMv|a1qNCR{NvTHRHPI8Lzb8B7pzjwJj16VwzB*?B zB4UPz#yvZLEKe`n$`P=~H^F<3zt5N4@0Clp=36|*3s0=TCO5L+DK7hN`!IBJ5)u36 zNE-YEIOU0EgK4kibAhtOSWx9_Z@>PltL<-|xV||8-HRei$vIowp-WW474Y)3{pzmc zR%sqI{fT8eo;pjSomWBn8u7`iKQ$S;8*&NF3^BDDI*X3KLUF8SnvHVt8m$^SdeeQn zlpuG^B9%TEh=J|;)8f5+Izu~YEhA7~xj0Ixm_j1uoIYmr&RKMk%a4z%eYtm*k^Wqg zy{Ofll2H`l-6WIVXME-3M_>J;B4bfR)DMrES*xySAma;I?>evRx;P4da#R;Jtml(E zPs!ISPI9$JCIgGZN`paoB5#ai4bqlH$Lo7r49H>-LjFkOT z{WUN++A&yYx)knI7V+VFLUoLjEEV!SfA{CXkjUm8u9K1ySy`q!fQnOHPR) zgX$<)Q(r-slN{wHRJ;#eEo4cc58{lHjNZ z+p+q|hyX|3@xcg-#Vzk9TCYd4zFNTh|ZY@C>6K>pBnh4}@yYE@a^d6EKkAC8X zO+j(FUzmr7UDfv#8{?;ivE+}CZegG+&bb11aKaj}f;;KpQ(tup5EOrYU9E;@5>#HL zX5^BPxFCtd(U8u*_Xg1`ONTpt56(G&37Zt<-{Rp3jzsv~|jD^*$6tpr} zE|TuiMKg6aKM|4tbVO9E4_=vwDR@#@%yn4I9P;tRl#Pw}Sd{sr?t>_q>}nW)QEc&v z0O4SB6}3rk>J%TcT!Y1TTF$~Vu3RoXG0pt0)Bq2eDze8zjpE1^vO8Fa-w&xobs;P6 z{+$mHcuygT=y43Vnpdu;(tiYaz-+G)Z{Objj#Q=iZrX7Y004I(!2gX@{ZD}E-JbxJ zyQPPRt&_F;-w`T(Bllt zQR1xF!^*k^S4-kLFRkBQ#BKi+e6;F0SwLnPy?rL}Xi(__TQBVpp0yNFzsdim_OSBs zGnNTwB-Arkw;PFF)H>OnJt9BmAbWv16jzSvlD-DB2ko=@wuJVPcD@hV&&&mrsT$e7 z-IV6A%RNuC!LK^3IALTDIGWw)?M#{W&HC)^9k~kdzBzawh9S~vrRpdCVD|2y9AYEl zs%5XNln-k^Yn3$UxxBoV9lyCYZzw*yCh~bmuH`vKNt!Led~|z3=-nQ)i}>W}yrhIC zSq8F13{mOqyP(U1h+-PCkM%?ZdyKx1e79TLE-Hqwr zH3ZPTenskZ4|i;fyzgeh15=5X+9a61+aM}tfA{;o01~eu9wI?WHBe|v5PES^2{KEW z!V2uzM`@RekLiG`t2@z=$-KTlvTk?!H@qwoEp#0HbhC2^NI%fFc5ua?|K( zL!tI!M3TIc`*BmYwNQv>6~AnoVeEBP|KpIzn5vY|MW!53)ku%D=A3-dR_B%b~IZbB!A&n4$+T;Y{J#c5W{%}U=vCvn4- z+yXEmPb}K<(_uY0*pDX>9`K%laCE^mI9UcJ!fmGhNSoHEBDhg_6^@2!d`e+Y546kT zh0@_a5zg~n;3&jeT=4`oRy@L!?s6hS$}zFoP=4`yA_Cd#z{?tjcSkhh5lSv+VW%zW z?91o;g4cB^=nEhk+15F7PGIYJi{Q0F|@5)ADW19D-$)ua& z(QpBX5a0dPbjrg2$2Ek!O+kq;Oo_eY1!^&PV!2ov{ zx;kk3+<`kfum$>UJt~^@DA3X)(r>h<=wqS~ROqE| zJ-VoT#TN*_QaAN5JR@Tq2zPNuiMOl?0G+qJPa?zj$z$z=qI>+ zHwH#wl~@&qzL;?OUsvmWy?W;=Tv%B^A6T2v(VVc+p%=1p?8UMG#g;FGdgt|!p99rD zJ$4b$9>gOSE%# zN_Muly{FdFu?iJim$$Kn)D5yTOM<<311~}ALMDC0?;3>}5}8dKk>>pReNE&IjSI_z zp#g=Ji}kqXl2aQV`kav27HdRKtFfw!#Q<}vclDb3ew9K5%JUJcIq|pm79xxpQFi`9 z>EJcHmD3vHWfoEV4cEJ!`a^=Tvomm4#Gi9%o4_aZ_o%n>J5`jZb+jOiZ)lgnxhQ77 zF0|iBTqYCFg#3HH{<`JO4r|6a(7OqKs(wL>w%qiKc2uK_E zWBwbm;!6lM21pa}!%2hqVf_6F=t~F$r3w4d{u{s#Oj7}p{J)p{aSr7QO#9-?@Ne8_ zV9NUchyC#ewFgWi@um5fb0~bM6c?g@diy8wB2C7h7x^#V#lNW;X|ewFe={+@>}%iS z!U6z=WB>r-f3Y$EfY3i3VEOl=r>gjmPWY!s?f+gn|6*|bC(ZmR{uiI){~P|N z&oKaizn0ejBw?B~qyPf4zbe`~5zfG`008`T;r$2kM`CA6yFe#MGYNS2cZ;0@2%(C+ c(u@poaa0vy{xk#tK>u@CLIVI;K7W<|56WD2UjP6A