From 55fe65c3f569e90ebe6b0181ce13641fdb3a0e54 Mon Sep 17 00:00:00 2001 From: sq5bpf Date: Mon, 29 May 2023 20:35:15 +0200 Subject: [PATCH] rename the memory map document, update README --- README | 22 ++++++++++++------ ..._map_20230529_1.ods => UVK5_memory_map.ods | Bin UVK5_memory_map_20230515_2.ods | Bin 26909 -> 0 bytes UVK5_memory_map_20230524_1.ods | Bin 31731 -> 0 bytes 4 files changed, 15 insertions(+), 7 deletions(-) rename UVK5_memory_map_20230529_1.ods => UVK5_memory_map.ods (100%) delete mode 100644 UVK5_memory_map_20230515_2.ods delete mode 100644 UVK5_memory_map_20230524_1.ods diff --git a/README b/README index 9ed8310..66e48d9 100644 --- a/README +++ b/README @@ -11,7 +11,7 @@ is supported by opensource software (chirp or other). Files in this repository: -UVK5_memory_map_20230515_2.ods is the memory map i've reverse engineered using +UVK5_memory_map.ods is the memory map i've reverse engineered using the UK-K5 emulator. sample_read.txt - dump of communication while reading the radio @@ -30,17 +30,16 @@ To use the experimental chirp driver on all OS-es: - Install chirp-next, minimum version 20230515 -- Download the uvk5.py file from this repository - -- Enable developer mode in chirp (Help -> Developer mode), restart chirp - -- File -> Load module , select the uvk5.py file that you've downloaded -(do this every time you start chirp) +- Click Help -> Load module from issue and enter 10478, and select the newest + uvk5.py file from the list (this should be the default selection). - Now you can select the UV-K5 from the list of radios - Download a copy of the radio memory with k5prog or chirp and keep it safe. +You will need to do this every time chirp is started. This will load the +driver i have posted in chirp issue 10748 at this url: +https://chirp.danplanet.com/issues/10478 Alternate instructions for linux users: @@ -70,6 +69,7 @@ k5emulator is a tool that looks like a real radio to UV-K5 programming software. The eeprom contents are stored in a file. Changes to this file can be studied to see what the original software does. + Quansheng UV-K5 Firmware collection https://github.com/amnemonic/Quansheng_UV-K5_Firmware @@ -77,3 +77,11 @@ amnemonic's repository of firmware. Also has various bits and pieces reversed. This includes the firmware files xor obfuscation, which is great because in the future maybe they will be reverse engineered to see what the radio is capable of, or to make opensource firmware for this radio. + + + +Chirp issue #10478 +https://chirp.danplanet.com/issues/10478 + +Discussion regarding chirp support for the UV-K5, and my driver for it. + diff --git a/UVK5_memory_map_20230529_1.ods b/UVK5_memory_map.ods similarity index 100% rename from UVK5_memory_map_20230529_1.ods rename to UVK5_memory_map.ods diff --git a/UVK5_memory_map_20230515_2.ods b/UVK5_memory_map_20230515_2.ods deleted file mode 100644 index 72a3e03db7d2816bfc2688fa864db7d7941cbd1c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 26909 zcmb4q1#BI^((ef~Gc$8en3 z9UVZ{mhLY9lIF_J@|WA))Wn75|Bnk~=V;<)@!z=c|AQ_kM<;iue-!=~ZG``c&&AQw z#lqF~zqj*0X>@fnadZEF@cpMzadULE`~TPW50{gPgN5CH=^x2|=%2N{iKT@rifu7eWHH3*9(QdA(kk%{n zK|r~id|-vgSq5>mITjXBtYCclH1dq^;_9kst50v0`>VYm<7`jdB@*Mb$47YYEz| z)NnC8VWVxzZ}WMWPbYr72Y$CpJW+{&a1?j|?EsUX$9mv*LhMTxY(>Nn!3#3>)wpeM zJH3N>+v|if7&2@<@3ykeex&y_InOlsJL z-z&fH4Z6t`vrg2Klhd8yOXWq6RfX9yVXz_NDNNd%j{3~{#QD7LaSV^RScK{_IXZf? zQ=HuSZd+nPA#J>{LHTGphO+|OOjmS5euYqj|5G2ubk~FU^o=D#oM?-kXLbwypJ6q5 z8e6CgtQKIa&ugxbfN<*R$v)-X$~-1A0*+>I5$*wIqMJB7{!fmHAJ`-xpq%^O$nCWR(u2H`Q&Gx!h+s=>CdPX}rV2(eh;P zL&;WEzas^QFxt@cbR6F(J+=HEHYKW9;g;gJ1ZB60+Jx`zvZw~|OnmE4(u|R*Uakw0 zBE{LW{2sI3@_OG^km0&XtcuCDTH0wDbs%REQeUWba zs6YSfSun71I!HNPe+{1ZUkwib*I!)SyzMMp|1tT9-WSJBF3hjHb*vi3j*;eFSLiIx z^|iL#`Ea4FL&OM~h319HwDHY3k3Zr(~Kz%Ij1z{uM;hzgn_hs^#KXD5srS?lDQo=jhX~LC@T}E&?#r2uN3+(N5 zTJi(Tddo#3Uc~yLYE+LkaKiWyu2!qXXMY~h>mjJJB$~Hv=3JdvCSy(fn2Sgp0pE@o zEUre=D1!hyj)2))YqrfJI}{sf>BV$hzMWMqV6Zk6c4pU z%j^~9#+ajH5O2Y1t@r}oKsh?Dwh2}(`LcFz@QVvCo5Nio=X^QUwB94A2 zHQdowD$p1b@p9QTOHCClmL)V(n+`Y(etpMo>K3Ki(;o$0_-xe@0ah)NQ(~^WZdK4f zO2D~WMuc*UCJPTUPWGv&pFle_@yl^P)+7r>6`{rb>8b^`GrxoEazm=-no60gMr5)b z(L-$}gK5mZK&m$|LGyvtf+0{#27QUHj6o>Hbn{D-2~e>Ju|G%m5p&*LDl;jtZrn&_ z7!K@6`Y|9H@)H+rtoLUfH@e(m;#hlP8Db3%lD*li4Hxs0ce5L}rP6Ut21NJ)qUc~+ z8Z~mC0NZZLX4NT1s_Yq2h96i;x2ZW3k5$CPAI(Q#Io)SV5HM17v44Jx=h9=wGEOx;&q#q!+eR0p| z4>9#;wIb+MMHPlm#fg{GH1->V9Ub-(2gTm z6h9?U+lWwB@|X$5jywL)ujDxl%ouJ40&PKo6-Ubm<6phQoElP}Cn6K=>YJlWN>#?J zOJ>c*9jf_6`s|fI1Ke3iT5rfUhI&I|Rcv%$x#as4b9C3AIl-Qt5!fe=)C7fA98yncA6TxeM8>6Em0=ktD+B8nRpiW@1rGX)>lPebMEnT z{^)_d^cdT;Mj15yyocD&6;_#tkN1)^9nfgfI2EBTUYknRoMh*`EwSty-^p^4bK>%L z&#e?5!ZAGT&$v+Lfgqv9T3NbWc2eQ`xQ+-rUW?ejz2l^PUIC%)`+Kky0p~{N(rfwd z6LwXRk}V2}DAKw>9)QN744-{4u0Ui`zTCivPx64Z+n?v{amgT>^;VOdMOW1GTm!z>I7H@N${+~AyF^KihP6xkj+OCq(8LQ<0Nt{i^lln8UWLvmkCN;8`E|P%*DIjPFH%xMB20}~JYi_g%~Oa!UrOxz$`NO} z29B3QR(1HpS2JwxFi>kGW2LhQ>zioWhs+i~KM_(t!Tt#+)kj*taG?K&6Vm^-e?a&f zPRtw~-2U0B|BWYCf?r%WxzcXl8CYi(XzUdumS^V`T$L zz;s>m-bj8~TY7xzf13c`lWdmQD27!{mJpV~u7&CE*x%dRo0)Un1VTEd^_j%+*2?_6+|k(Ih9Zof&x7_Iy1G^Ttu_qy9jfB*8(x3J z2-!E}X0$W)o;ZV}VE6Yb_qReLVFQ|C#a&`WTKD&v6FV;3=?$dIm@H3j#oRI#jF0*RqI0EQu!w4FeAQR)b5Mw7VmX0 z1A;}_Ny0p?zIuhbyJJ_K9qGF29;k8L3luX#_c4YSs=@U$jz7Cm2^Lq@N{kl4c&(ev z;>!gsTW6Q+hL*Xq2{=qG7JScV;bX2vA;0!6*U7AHlF}kX3xyFPkeLK+{8X27d{Sx6 zPZiC{gq#+a@%1DOyNM~dpT!|B-Dq!C7KXN} zJce1!d)^J~2IqKjjYYUsKO*0P?auPX8k$OJF^vYQ{qGv1w@1M^yIT`_d^W>>Jn6q7 zW^b2<>0d7Jq|61?77ohVMzUG5t>LnTj@9FL(G@Ebk7oS%Ws)tX_Xg>cp{<@ zJhr~vUN6out~ZF8T9_&20VA$IsnpxsBASqkb@XC<-P{uE zfbe3rCjj%Mig9ftCe|YprPaf`zGc}#XpPTeVAVF;qs6b@eJb#(*?D7<*RiM3*p^do zJNv~$jo7ZtlGlWv34yC-TV>PR_+jxSE6h?ppdpz@<14muHmR$C$wtV=sWsE;>jOX; zPOV;Ekw8zbo9n5R7G;aB+mWfAfBSwH<7Mh~gM>r{4o+Op^H)V#PSlmy+jdiu0cGEh^&j^|aU zO}uwcSvtyEGK`l-!=8bxK^|#)Z(ceHxJicO37JSor{0LavJe~zF)M!IWS)mrV(R25 zUkmUniZ?<35f}$1AZoi+Zp&vjG74=wagWJ2T~JuqY|q?S%{mrBENqGWK`=l)#*g2yKQ6JzVK#UI4JIAxvx*gz?mdsin41;# zic%pi-y~`0UW2Ly~SS9Gb&;{bGoz?56Oux593keVE15?LnZr!XB&*DyVf|W63;)PUNd%l$Tu%vIdNfUCXJlQ> zZ>CSGX_s8`pq%5&GO2c$>t9~> zp`v5ptI03n{khctd@O1Q>B7cs|)(b?(3Z&(x4V4;`%%VuT zLq|YPkR+#ko^tA{2pg@2QWkZ+mYw<2kSI5!Fg0Ib86Tp$GKZNbDw@aZC(8AU`1?$o z#8;ne6c>t%q|N~_A2HSaDGVJI|Le1dDH@A4=(dcG9<9({>4n)n1Fe_P{EY;clMeMb zT&Mh)(2{UN6gZ-h(QVQ!b1t-qP0v2}rgbbVk{^c3-18?>4`ojqG`4Z0LruXB9h>9m z!PPyKFE0aPr@3%7bnwA+1;mF;(xh%fO5kp#j+X3=?up?{UD8^sSMK^z&ZR+0G$e2lv30RprBw)RLB8H=#tXY8CSN(ZDx`(TayI98SSCI(zfFSK;-6N+Er^B4D z34v`C9ct6ms**imD~`GvUl9x0F>j_}BKWnBNvc*0fZ z$!!?#k<`qGTqxfU{s8~x^)NPblFCdKDNUAHm@843ZYaI7qSnrHJ=j({u;mH*fZR5(bWJdvA!jMCKuMpAT2`1!? zlRqH}1|-2v>YdI8K1s09e7@{*Cr`JokQf=!Z9EGvBMKlu^i@JLD&xJZ7y@sXT=3dc zk?0r(IPty_vLfri=?KO2?>RX6A}D~DDljO|_YMeu`{X{&@%xRyfsa(`-^Q7+cW@FR1GgKScPY^akQlwUa$k5T@Fav z#k@JwN_;MN{Zp)EV$>>HZ8^KqjwPmvgUtF~JvW&7r&KXkWnBuJP#ZiGKwvPgA$n(2 z9mIcix;2sYoixwTXk5dn(EG!Og`Xk=hb2 z{VHnTP>XGgNdckH$WUG&iWwOt>8T8TScjc-6Z@$#Ren^rSz>wpGw9TWX+u5kYla35 zJ8GlEz$}&MYoVaH?Y4fT7!BdnI|~^Kj300R(=nAXxg)P5<$WzzHF_|4o~HwP*vG^3 zr%Hc2;FuW<6*b4*EF<^>6JV8Cyj2Wp5#ruN!n+m#544w{v3rulGKBCNKbSVj*>?^p zoa3aq9>4uH`l%Jz#9&YLbBqSYE=8S0sj&)0x1*eLfcZ(rX3nBJ7=vzt{cB%b(WBm| zFv}s;OM5c)VH@?)Qm|L!Uhm+ZpB#28`&TmvWoz25IhedUFqapJ+DK03lsJDAf=hRr z6EARm0dd1-lGX$hr7PM67E87cGBvf}Y9kw1B5_Dt=$dOm&*P=k#1^d}OG?hd(3pBA zpQC29x2J~Gm^CyWZ_WRV40}m<<}js1+{-uRPb-Sb-*P@X!^rGVoc3G z7}FFiK7@hiS4?yG!j6}C<$?~kG(<(^$SlY+Fv{S zhEXGGQuQ!IRmtafV7^t>EBiAMth+AxZ6r?Fa@|4#2t0Q- ze}8FF$3zM^A$5u)_SR*19HGP81W(%8EAp7eQet{p*+`yHZ0z1dMaGs6${85=Lj8@g z)&6Cgi?W0wuMuRNDJasAeLk*Kg!@jFJJfjn9qDI4roxPueU{R!`0c?9G(;XuKVROx zJiDWaOX273$rv=({GDpU`0h3rzwK$Jyalj|d@fnQ9H8<;;UFj>EyHgaQsoKLp zF|R#JB2NMSFvL~3k?r>M22Ax-#m6=KY6$omYlQ89wDC`5CtA3t!uJvcRYJo?cUEOv zLB*hpo|tBo(+r@)wo*}97#x~*`gd-}#aAsAjIpPo=e=yvCXsR1yLS~;Hp}c11E~>L z8M=F7uzTjsRJn^ZiBpEzUZ5vEJ)_(p{#$u|qQUmIbZme$vU0C-7(JkI%28S7G8K0}y=s zzH@D)&!-C^&})Hx#!Q}OR-PhZUPj)@N&z`Fxn(cop1c+@+vmh$N|*VsM&pZYhf{gk zs+Stao_4A{Ldy^-P1z`4K$7z@-6D(#qJ3gwpfv`EyvgH-pzj2an=ro@mlns^iU@|# zz)q!4(!+L#)#;h7L~Wx5la%ak!)bX`Btl@CgI-tCwlVp?9OM1oTibi5%v{i?##)91m zRdit_C9&Ba&53_NT{*oo|H~sBt`%e%_7$-EeRsEXo($tC`t$Yu6B+aMF-Wra;F&Or zY`1MU#i(mVyt_=WKJf0OOO_2ui(qj<_t!Xa8H<$Zsld`&DTv$^S5k2>isAQN9BcCs z-zg~(`6wyEyHoX^%P1Bt=W@gwgF z=xL?WT|k11e$B+#$a*_`X{8R$3p5SW}dx?F}qQDdL35;@CoY2$6Q; zI(4!VMG9y&Q%4VxMQ86Mhe_ZXC0J%*dX1lmYFsNaSW0}4snI)TSw9(gP7^tE7(grU ze2kR)HE(TM_+y5M;uzaJkBvbuCu!c}b}*L*ZCewa32#JxXUGj$@N!bU+`$}{smXV8 zvpSxG(;-duaAP2LP4H+eq%j^;C3W-r)PVhFhxh3y>kHBETKA;x7eO`o9p)RtuST~& zHf<5pLicOruH%}Fk{96XOx(^gq<=KEujkI6S=BttlnjmX1X7D1P>DBMK7t28EUa6&Fr`a7PJ_uH3>Vvx^ICs@krx`4@tonf7S<8=q=6y>AmwuIspl|xwvfMi) z(!RN-)Vd0?aoIm2AM)`FKT@*=Vv(lVWL`Gen$--#Iw{hOBbf1y!#ZdZQikdg;nm_y z#M@<5Vdmw^A3r?p{g4Y7>1gZZ^@ zB*^?{CMU|Ku~>!t+sw&Yanf0Rfms)vJ%sY?4b?)y^MPIGJLe${&=SMU?bIbQa38 z%_XapdP%3hWHqFs3k0ba925&VBeVh}EWA!`=pxu9pNBN5NGoI?{sU00t+Lyx%2Fdpi7;0N&RDwDiyJ}P}o1r0}dj=;C6-_5iv;BfImXT-p z-E7~mT{8>0wy!841yz_W&XN})sZlN|0{qIsJ}wv)@)A!apsJ7bp#S*6633HvJ$$~K zQjq+(7iIk^?%J($c>i)nqKyH}23L?w>(+RCicmjt2p?42a@M)qdCjrW%VqQPs4hfs|`xvJ(fcTUBF~nZum< zx)wJd!-5iD{$SNYGV&{KP%&_*1y&M=VyjE0|MG;Wn6(%_9{-+k9P-X2#Jju76)GD!Hg-2O(lPO6+i-gA=OFZTL zzNCVW9of13o<$dbFn+qe#{shy+F_VTdT0UGzc_Kl)gOtO(mIa|vYqr$>DI+cI~-)O z103+`LdeEv8dsOrYb12|8Zq^SviX5#gIYDMI*iEJVKC*TEu&{=)=b`A8Ij>}7-p9m zP3A65hMyYpc_fxJ%92=LZrD}r{(L4C@w#nfxvtsu`M2)KzggxHz#cTMe?b`=oF!80 z;QU$dCBR8zyZylVtpCsufBKDBTz%`XUG9le8XY{IREzXIUoHi!H)-?8f0^o#xNh<$%2%X^A2 zcgx#eum>7H!fa(R4vn18I_~Gj*S6y)STiAR@EopL4YO0>w?c%@sHXMy6h;j~8!+G1 zm323p_oXhhUzS*sD!L@AryIuppnr3gb@B|2Vu^I*k7FwYQ0KI&q9r#YpDmaK3I~>S zl$>&*wVw_pdshkQVo zITki^qPjnPmSWrDM9Zy^bfQWc*4_?wqAq3F6K|^_N~sf8F@5A>UloGU`g2dvp8${E zwBG>A409vI{x`hG{1V*0ql_=wO#tChO0>TvbCySaL#_uEf;5l$Mn6|sC2BnQQto2) zZe}o<)q$3S$7cBtdA_c{Up$ze+FsoF?|!rHwQpG8Eg6hb`RIO+B-(mPu7`_Fd^>4+ z{GsH&dTj9v5~9l!fnC; zGX`;oRni6GlyulEz)+m&J**CoBmru5g?zjo^E8e>HFBM8!3h%LVtB#_Kr-}hD()o&f zo~AUxMXYWP#&TbU+4Aex8?r*jr8=`F{=VE>UG475QXkc>Dg6go1v{LxB_I^u72&ie zAYEZ(9}+XR@7R=gQ*tZ<5)` z!7@TcNg4?r{~xRnlB|q`8UO&M@VAt}{)H9+O5cTP003}96$K5+zqfGE5Qy;L2nYyh zh|uUruoxHsY*aV`3wG6NwpH32F;5gHj8fRPcvM2f-83II}K zana%O(BSaU;_%bs0)YSl0RROB1p@;k6ALR42;}GI7Zw&5XTla?!k1trl;t3nVj)xD zB30rg(-Nf65u!8|CKQ(d$jJk=v;g}0fG?udhT^p55)>wqbQUu7HnKD}3XC90HX9Wd z2Q@Y)C1z(8mam%huIj9Ax*XnGK!05hGc$ml9l*r}@bxP|TwGjAMqW-%PDVymQ&US_ zT}M|}*T~q|)YQzx#KF?m(bm@1)z#J4*Y{g+cz_mTkSn1fnPMlBVK0*AB$neWR_rEO>Lp#`EmQ6(RqiES z?I+vtRW2k15E=!Dhyf%e0g^KSSy_OhB0xn2pt%{)(E%t6kgp9=Y6(>63zF;%QEHA* z?Fm!qiBRnimL3X~nT=5xic*`1(-=KPxr8sx-f-v$|-qxvvI2)mc3?SUq;wf3(j0CMN;Q%Ycmyz`+6F;sWsa2>AE_d_fs;X*gYWfRR_}mWqJdGF{8k(A#T3TA#+}u1o zJiNHLczk^P_$wL!`2752l9wI?0JvskB}6s6Hm>}mJTas*>-aO*Ehs@(d$ul!=;+UU z4KpDDEQm=th+$>UcKd7C>n%kJ?Oinc2Sd7~^<++i=6ln88B|?s6Ek;fO%5eha4apy zXjV6kK08&G&wcKFb)8>qCK9Wq+kVTW+4XdGomUzC>Ak6{t6W~8&X8?e28kI5c8v5- z4+E+FEcz7?`7eVC^!>GCfuge2``zilU=|Zg#?z`g{%s~cAwHoSQj{01oR*{ z9MH~+X#kaoj@nqJwsd? z&PWEKc}++ynQ|l-{zihvkdfp;pCSVqh3~W42bi-$(nd4{W1^U5$yTW7C`dL%HV@Ie zSc<}`x&Y@8jKNchy=*Pvxga8^O-3hB?VsFu(v!>0Cyd1=CB+`6C1`_pL4{`uJZK|r zXIAuil7**7ewu0>PAa@~cq0@MRv;9Nl!Iu+NRg~EJcj)JWWcypX2Z{7wo#;m-e8uw zK{&HUZ?jRUy(-fTwhncBFRGPZ%KOpCEoXK(I%^ZgXeOj}L=<*Jh!uS34|Zl%Iq-

I!(njL1>XdlY}5AH`IH;N`cB4 zE8Btnwpzz8V>V`H$zfKerTSED2sMwWB}shV+VvZ7^RfEpq_6CKI6_(iw(r;!Y6@$P?yrIrIXL=}o%b1U;I0OKRr4B)i4g{JGzTS8A!!Yc{GcMaG z?;pV_YVH(BQA@s(fTuH&!s}f%@rEP^y}0Ezj6+kTIPJ@hD|k&>xP*JeuR_^_s40#u zW_=&XigC3tH>N|?HZmZ?34*Zs5EhZi(~-|{N2?VmWAstUDBwZ3wM3?dBBJEA^S{?9OUU@R#THB*yBc=Ml;h|sS(N%cz0=HGM^wUs z{)e6MN9;Le8ArHri%ODG5`i9yB)q&ef|(>(fSJ>vA?>DE7rG9}5Om&8m#$_5?o+*d z6pm3_pm1a-Cl|{@T^zoYL>%~G4Z@9=>C8dq&c!5f>4xBuggL||3UDzWd*+!7(&poa zhBn?pI!FEHDNyARvzN4a%va`j+pT`uF9BUa$r37%_~JM3t;FU-$H?x7&G_e(ws{sI z&5SVMK03gY0GfVmc2E#_gn{s2(<-}oWOUFGGtB!J=$8Tr)H0W@0 z7yvzLzOIH#UbAY=i9@S1eyPlU5HEw3Lp_CdOU5o)19l3hdZDVm|#$=AV zDlN~G%7%>*&TT~>W)#AO8X8AJ+ZKxA;5v#;`cc%jo{;-nR(8ZHA{m1c>6|X{{^;(v zmYoxJdrk_mo~6_J3Lpr^(;MEG^vs80B~`enX-sw$a~F=IHCE!CBrc>cmP}I>-Ull( zNA#Bz(0VDBNWzzXxqjF@^x-~m>M_1neS2Mi7bJ=<38hafCwgaroWW-u!GF*6J_bQ zuvUix8r+;N;VWHUkxY@5>Z=kzctNaqx%0P{*sAi-UmHcJ0bXhmO+2QDCX7W3I3A4>oV#rq*zdVcMnbdG)Q`zSZ z)kej}9-4T56Qw$=+7>b55A{x>HA;PRc)7Yg$Oma-}WQ+3<#<#MPO~bPw?~lxPt~$RwI^YT; z0g>a9RB_RQ_!QUhxNnUyrAtE}n&B2i4dug;2|Cl8FtF*LNSm#)1JBeB+QEU(Ds)ELs<&egFtMGi>N?Tn-<^wY5sO37Ec(go=>HIZWww#Xnyr9=3;gfx0ZAmJGov7SK_GuK+=ur8j~`+ zR|o^vorq6Dif3np19JlrL^)yPfo!8XdmFBgcJV%##lJ+hYkZfJfXjK2@adRXcnYHt zlcYxcknjifBkAVR5nn`pPveq8pI;dtEvy~5V>=@x#TIQuc=asq;tm}Hnzs+2vNFVc zQ)cIGk$|ECe+VDM(2!8_g{P6(Bhp*HnQEUHCch$e3EUA%bIxf)&~R_bX`wxto_DS& zTUYzeW_pTvgnTKS+1EOGPxMHG70E4nAd}@Ax*Aknwfbs^J~>&@gg!DoEb2`=CCM9y z5r)Q>W}1dnD>=<7&QlWSo^3q308%3;WCxl)(~8d)hHNB6BTXBg>cfBcsR+mz4~j5b zbc=Lgycm$hs}DGB?Qf=3=aNtLq2ysphGI>MH=_hfNTc3$_GHjDm9h4Lr8+X&NV5`Y z3v)^vk-|j*?9S>+HnNk!g`IW6wZUIya*;mQj27% zpWolOe5msL;PjzOkB!^75D^ivkbVH2VKphbeMHL60kgxIo+Hwq-`0-f46YR5JashB zwnt@22Ed+4xogS>c5G?5Y`t`fl8ix!*XPO?akFh=!r7|8lrU;RkTn#+9Y9`H0V z;V!C8!~Jz*U>pI$H2-S4EAkrYFf-Wvdxjeqt9b(^o2$kIyn?uXht73Dl`d62i+~u7 z1tnh|k|4kqU$o&UOxJA9fH*0QM<6fYL~*a>FjBvkk%{4F{15VCtU6Y?1U$3(qbsaq zYGD{C>Z^7Ya>XnRO24#97K4r3SU&GDz((Xu^rBK1gga}XW6hzAslYbAdQ@ZdL9iZ| zV$WBu7@}r#eJzYvj9Pd3J=NEw^hlaU7a1%Tw}>U@Y-JpEo)($8DbSf)Zt{23C{V7v zC4%n$$X|feig`***`B$@SK5@M#QTXxrE<0$!m*NlNrnBu?BGUp<|i!7MZd`T`>$Dwnb(JXs)>Sw*E~(z8`r1YC+C>kHy)zQMVl@V&o_^&5yTDpTmwg~ zV-jTPEdT8k3QQYWHoCR}C`fU854N-d3{svkGWw`j$zzP)Qy3hRWUAgW=M^(Fs_;-H z)p{E1YQw*k5r=7Q;%R@!b&OLXC3^R9S(3~o(qN$ig0_f1<{@d`kbi!T6@XIY?a)KoewsU z<0mKX`C5n>O&KNyh~F1QYjT%GYe`NP1TU1ZBc6+=jPX&?zEVY{Fp2VFT&EQ1ON`kV zZ92Se$0$Y#%kH=}_OmKP2hyb%PGLBM*tL|`GBK}mCbq+mp8|jvD5GH2pvLSiN?TgacV^B$Z_z`L_mZoLW%t8q+I=QJ7u2WOI zstF_>$w}06E}@CSYhT$cK#CA=%40Utkw5%a$BYj-Bz1~JGPV}a(Gq| zBU!?OrwIcFWfWwqyYO!h1yzLWMe-x^VMGM#91a|zuDIYtg85MLo~lY?4=d^x7y;== z43J50+J{mq2NlqorvwEsWe?@XV3Q%6!B`u*7xIaxAwx^-;emn}FN6@@K^kw>2wPY4 z`8|AjD5_H+gz_)b;z@#8%Dq;EL>CT+B92ltc4yLR~10__97Mgu2m8fLSiQ@_o zr|=Esx}N|~f=F{kKrGEm{gIq4CF9YooY!TX%a!)XSs{PM4bsdQNHma7)YAc!$)Gzx z0xymjSZn8uorY8;I%^ihcR7A+%!nYPn>3fCDR<&HN?ulDH!crCri(@p4ZG4v8C8we z*}~4MH$VP)3#($s!-hM{NA7HCW6HzErY}2Fb2#8!UP5!CV37~-lxc<}*xi|c0aWYMMs#{|Q8Qb^u zNN3gA*79V=ufboJ+PBaUp@0+Nn0YdkjgP!(vIi)_G$O0XV-S|1G=o^(ul1NAnPPcV zN8j%QPGxE7v!QL#5G)QK&6c>-tNq$vcMn(BtZ{yf3*oq%pHDU0mHBH+N>(b) zpWcGpHwSflbIPP5&?FsV(Dj^y?H3EoH^fd{Iko=~u-w{qV{^+d;)scji#^wlYqjtD z^XKN9@WXp!#o!N4!fL@kzl^@#MSXjMVkQ^5=fVk!bMB?wvqgcHQfm0=(az!2-x=9Mdo&|Eoq`6eJplk!=V)h1MQQ7QpQe1nCD5GF{;sawGmhU~X#7^m-b8mu6|~+P8H<&!JFHrJdQn=gy9449ndZ!( z)1U-J_}d0k+9hOaQ-bi4*5N^1lGFFo9E;}I%iYyQwKnYTX4F}pB3Ky}Fl-OTT4W&g z>7&S=*NtIDkCRR|S2Yh2#q{Zx2;EVT78U4E@pzSY2O$Hxy0WDbhXDnJzjtni6j~Cd z_X9p(MritUAjIN=c_O}DY431y#=7D6jFpIrJfQXf##Us{ge=31u?sU%cE%tX zA_ilLVdghIpWpN8d7jU=_xy9ux$pPueeSvEo_p_^`+mRl0KBS**&T#tpo-zG!Uz+P z<>`V*yy}Q^$sWEN@0ko2Rzt-jI{2$t0UPQFk5Rm|7UG^7>M>&Hh{ZRT9ZQ5E)`VOV zSx}BYBUKTygda$S$7}-72{UY=2>cy&i^-Kj1+e#rr_YIRZtfu3?!v4W2ph`}hZYIE z$CY0otla?{K*W8MAE<;5K)~`t^?Aa^N#&OC?ly9L%JJ#x1pL68^sd2ag0&+*!0>_3 zNZnz{F_09=mSPWDB4ysxp5wj^@B{JiCSz*?@4~~OWx}~9H!h?7A4=PB%ZNCE zOxns45lQ@2mf+;$l^Jp6A2a7$@M`kXDpkE_K`+LfASZB_KdbFBFNU2Psw455H1jK;)DY&N;sM|E!731ZEx9vef?j;tV#U!`M z3D5UQ(XA(JD}h{UGJ-Tj<`C&>#+N#2U_L^ zF(V-_Fp1}fpO+`Wlfob-s{|F;S;+xmu6&9_gIvRx$L~qn6z#!;qe;30CdTCtz}Z1T zv8LvEn3s!r*56AYGO({E(uHIAFXhg!P=&8RSK2)BNFkyftPStraFj*uzKZOVK@La1 z5`x_Fjbpahf@I#q0uCapsr`9Q~L_pQfshXXUw`no~Y-;e4quILRDhTa5a8AS+O?#XRxNP;m!KrlX_!G$CH0 z?aab;nsY1uwn>({-@w`n`5*A`LLEi)(3If9?U{Y1w+s@bY-dQeGLC)(6$>X!Vn>+e z_Kg~Tq)_N7sq)*;i)&aiU+jvAx+%V>3UO3_2;jMSG->N)a!=pf5wQI{(k0P3S7f%g$t6TBfI#;AUhC!qQ~B@v z?eWIuH}JwwE4zh+PUpT5e_eS#SFXoBMh-R=#dP0|L8Gq62t(D4ht7cPD@N8xn3wP| zQyX4P4408&^ws9|F2qV7svT)r#Ie6;gBp*V9s%9S^l+5tkSzEWuIk>c|PZ2$B zyZ5xPul4a+?n)KNRBT+{$sYB#o?T)h9uLO$>u!`zhKR3h_=d|n`i+@}X@HJxgp~rS z{ImF>3>M6eLgf4F2*Y~lA#oSPxmOKyOL;mIN0%qPw6v?KYV_6!S?#Ay)SeGlu6F~% zCHWsLD3KhVpj-}NZ^pLliymHFyg-^X@JZU&D-I=$`=+9j5YBmm zj)4|wwJa}5(RrfUDzNohHZH8*DraD%*i`JYThac8CHJT~K4?${<1*!8OGNm5U&QqC zY|2i-^yqjHVuj%|zhV_#+#0RL#@3(2Zy{j9Vu^t80an2=sQYV^Z#`OAPJJKc|RV@j6;wx}0+_3n4Q@Y8((`VyYBJETsBiTJ=o zAqBcWd7&m2F?@{v>T%pwP-OQPYE7(SePhFTb4W_%I7M$hYX%+43pzD_1su7$B4vNU z|3Lwaf{1(vn*nK|ufeTT;hxrDdpuq(-g*oeqj&#h6K-$lHU%qKLe|*|J%&0-731*_ z`o8$>0*n3up|EG!eH`EUR5S$joL>-mg^r;~V5-St`H&aA{Z^k$cJBa8j9 zCt-b{$O}pYs)4i>W~r0a!Jq0}{`hSiVr(`0K-<6{@2y-wcO26;`Bx=JG;dBPE28ML zEtBR-E@t6AUuD_*8!k*NKR&(Ppcm(|Y=+t5?+wtY8(W<=7$4<{V?Wdsv$>S1MFebY z4z$F@u}#uTN?j@}k=B9NU)p8A#uMjwiB1ZNz5daA%O%A*)*!jkBg&(f3$dJ_p=-wI z8`?@17eeLu=W)A8A#U;>Sd$hr)5(UiJIrdp=_>Ok;s*anxuW9fD89{zUB)sa=EHq@ zZ^V!A-M5T}T{Vgh{$p9$y6w@0**DV3dFoViXw&d^)MEYT4f$bZnhO|r6CAm%MtB%+ z4bLh|LZ}@Pu(-dF&NA(nBH=%=M}V+HKp}IhsZO2EptVe}R=qj>l0omqPUU8vzU6SG ziOBDFy`~h|gc#M(jd`-z$T< zfsp(~mL<0#SC#4jSu^}2g0rCj-d4~wZ)twfm6A10+X)Su9Zx4HK9AL}e76rg^V{;nb$x;9-v>vIDg60Uf|1we<6tR-+P!9>Xwclna^tQDI=6eI66~Y&u zJ8iW4JykzjzU}fL-N>o-^%vDQmE9SEmM0uh64n8RR)bt-w(yXZ`yiIkZ$S}$7YtQp zuP7Zf?+|AfW??Z})iH><4C}jZ_{>a@-MnCM;d zPI9v;GR7QzeSO`04e5`$A|0nI>fXIS1MN{zke72aeWVxoz%4pfMq9Mkh0&(atbd0g zo6n&Vkm+#Oc1M6X^XJR!@cNtH;x_cQJCj4t8p4Ggo4QpL71nSE zYLsm1{vnmGg+L-T}q! zZjxTr#{JM*e3spKsbXZA+vO*!T;%-RpzPT};$0=Rt&`<-a5E4OEz*dmPh^poU8Jj#Z?Os0lPWm6iN--A0u3@p0$n?D~R5pGf%59&r z$0}J30P_?E3Fm>@J(7gyKmvrf6^OPzgTsLMTk2KVG;!72b0COiK%x>%_MXSU1t8LG z8ADJVaIT3$eE0Lp+8nFfo&iZ)k0Xa$O+I_-S-f$2)p9KaB1)CVD-EASqPhsu_q3qB z({CvRj~S3aTR#5G$@D^A>^7TOIHvrJN(|!cSybEYa1#>dn74ZWNE3cmU}7RiRx)h2 zQztP7+Sd<(Pq5>^;uiO=PyOhb&?jp=Reklk@!hrw|cKPC=-tsC>%5vnC^3)k|jg>JlUyYk4C4**-4oON_<F=UXfmZ8DNOWo z6xnIjzsS#W_%y%xDcz%ofqb*!tcM3;^~w%G$bRrcnpOKOLwmb&Nd^X^phAPqf@KQt!AyfE=eO_s9eK0zx%15K zOjuUWs@S&`(-Riw&VSF}!ex8W;)AmKV;i%1~>w5wely{9>5ESYw6=Z{=WU`6RiT#f5X#1lyWain1aVzgY5 zhq)+HPn)!IA@HAmDE;oZP5OVmD z86`Q9EblR8mcLPf(Dbu_BCRX2S*J)Cd+wp^ni04<^Fj1U2sKpVZVzao!(_q>v|l-C zjl6N`T^eD)K=;ow?>f2q%DWoEKs+*Z9DhgTkuaIC7@b>FOiBU)jN;vjfGEL7_dE*} zhy$a{N8N(wBupT;dj*2|y5xdHC%`$wsACdE1m<7TCJ|ob1^JiOSFj;(`3D-cK{F%9 z<@h!FtR->yx;S!F6&O&Bc(}O<;@u=yU%FXJ@H92G^8%f4JW``ytJvQOQtvX+`B@%X z6>yV8TTi}dNxBc{u{QpE0Ni+tePaY)P*`6z3(ZdesB(1rVU;NR@wS+r}3VvqJgL z3W2Ir5=Mo6V;s+kE>0($5nSlk1l#jI>WHkbv{$cURkm3q$X@DuO7MJ&)EvbhX!Cpv zL>;^&sa_R{2&iN(2#mUe?ZqB-c!Jo71D&8332JUk1@=obdRrb?LfLivP#D#ZU)3G3 zNG?!NuR5)4Lm~8B=_?|XnR(lJf*v}S#{m^*I7Mm@0e%*INW&6Ur$uat26qN6-e1-W zBrjI|S66C6B3~_fTvo7?{u;csxTkC1*j&Dqsu--&P$dow zE90+G_X1c62t3@GsF~635f59cCe3aT`L074_;17MjJHmkq*~|IWE8bDwKy``MzcP~ zChx^|`6lYe8-b7XV@ zahl<`rznjznB7yP(dvi@xHZ2CyxwOWy8kj`{Y`digbcZ!+gnjKe3FKh$h>d_zL>R4 z9xV^47aG|Tot!4zm=kJf=!#{zRHvlWkcAsC8M#P#-xPpfvmM?$AhMw9#T-upz)l^x zmCh8X@mZ8Lh?PXDSZH?Rl`quhs&)Pj4L+oLDoo`ArLPf zHaJ^4`*h#eH9bHM7buVwpe01HUus4NoC`hE$IMswTkAK2F4eFE1+;`rjQD~nr z-8^SS2O`&0!QN!s@>m7XqyN@q4DZ*LKWZvQj%_tyiw2hOf+jE_uh6l@MigB2X@6)1 zam$i#+o|(Q_nZzZBLZc{AF8;Yijv*3ZE?svFVuf7%iPO>{B7E3^_*YVvj5pU?HR!EEKDlYyvya41XL?uh?Z? z(L#lw?d`5E4NTSIW&kJb&DJA<`K4PL-^&Gvna!Q@mxePbIp(!mO<}`_F()KysfU{L zbnE9T!;`|JV$&10$az758(qpqS88(yiGQTc91t;d>WX2W*Qw+p35+*iWAxFLNd6z$ zQ`IYqE_r0B-SM~;Im&<>)F_6Q&dR#{z|QvAb3oB)=6C<}eczVsp)0l2qPONsY}Lk%j9o5z_otdA5+1KfClHZ3q0Yx9 z2Qn5ktRREK;#=Vnwatk}-8d@+WCI_)6JAEftUbG9jF$D?gBF==(4R*Qi=4e4#dq4} z&g%kghtULAMbxu2+*mDM1aXs4i^2iHqxM)&0a@ITFzSIU^9oBXei&AC!q>%$H&?{j z$eY6aS1!Lf^jN?cEd(1?pVICx%f+wRXH)7ll19&rlC`RM8Y9*LzFFs-i z0F&aFR)3md(kV+9zh=x)T1#oHHdi)Hb48WY`P?}#l>2*c3aRwEGljm9qE z-SLTLXY^}c-=m--2^H$TycA75^X1TJAO4|9^V*7t?$hk}8Qkld)i|aw+coV{ zQWdcVt!~91xq1}VEM&MpOyxnJg?mmR;tWCz?p)z;SL zXJ+Xwsi`tZUV+Y_Y~Ka-lzx@KT2Cb>Qw2@E; zO98Fg$w(L?zvFq}3`IlRIub>CJ4>)>Ca6?sjQUlCEY?{|sms`$Q3neGOWVlE1VjLF zrEMhaPESAlLkcwQXIn8%>Sc}u*j#QH_;j4*&v)Z5DBnWa(N2a|n<##@EU9epQ~H^qCDZK{dF21EJSA zV$0{9X5HVo4L9`131uc%Y!^5cEZg`2MUWz)vN43O1Q9QP1VYfc5A4L}1h+m@`g3Qu z@fX=JWrw=nAJ-mAPtR(Z(t_Cn`CXqPdSvPu<8xQHt@ll9&}Wt#=~+RsUCZ7f)Cu14 z-ap?o?{7orBfYw#8-nK6*kNw=&hBI4)EkSh)q&HB(mtbnP}SJFxso4PxEczW^BX}L#P-!r84xn2yO>Fxl5nrJM* zPJNLV15_Y^<9kL~&h<9)s|V~z+sU#m##)xRy~S@IrLv?iB~>7(05%;!A~UU{gQv|8 zo5wX@%To}r=x>d5YkFJ6G&0Y5xo=U3TQ7`N4N_kVwL}Gf;0LX3(YOw6^l}I7?38;) zVM8Ozl+@zKJC2CIvJ0B$=%GDpJr!*9l@K%vV$9oP{uc`f zs?0ias8atQQO2MQcW+Gz0b>h-374}^xC2iO|g8Uk>0)3spTvczG*D= ze&>%#ZQ?B0@5+P+Pz)DJ>rhbR!>^N*4S)_2JFTU)C`xF}tLE^)6_-L5tY{92IE~}9 zlVmI-FXGwg7KN+xGhWx918A#z$!3Q?iHj}O)zF$O7~l42W;Aipn5|wh@%%~R2z2Tt zBhHR)L*qLcOk{`WWNXZ9Q5qwbo-nFl^l{o&&U%i<{x^EMygN8X6)!ItW-xqdKt$%7 zI+~kYm|L`Oz8FTAG26{q?xcu6pisL?&CbnSl2vr6)kyF_v1vGvAU88pL*jh@0Ydd!Ad>kGW1kaE!0jyyavU)9t-NzRa-z zlfa8+LE0hgWB%mSy@u#=+F@KL>T_XX;OlNFX zuWAOLS1_3O`!6xXI0C;N{RCqf3RkWV(1cHni%5nqELF1xkIw zkcMQ{WKKe-IL}{*jF{@@Jw#U9M^$awA`?X3d#tyX+oha?!#8p9_e?9$n+uW*m?%(; z`O*1t?ShZjIjEc^+a@tPcnb#NF+qvCsldpy%F!KLbsm;yl=AI?NxHnFOLY+*Lav># zq@}3cLKghER<;fd5r6f9HTTtQF=90|y`{=SYxA*uFuQr?_WI~Dn@hQ9@byVO7TGZJ z6UNvwkWV`{&m|Ct#_iXMdt|o6naA55NsD3(E$@MMa>RlKFj)-MNGx+C)rAe0Pt>x+ zPq-v-X=a~`{!k?o%V(C|C7R>1Hf*-1zn5ER_EjK9*So;0LNKTMVbScx=J!Q~W*f|p ztc#&=jwjDIkKgJ8Fd7e^&Ru*PA9YCBwh6)Tq$S$a4jSmXkIv0ROLQ*+R`T&v__=H>;W{MmNwFvwGR?t2(r zuh?IwnGf3B!whlTXQ*#_wVWAW_WS(&f=n$F{o9x14Nb2p{+H)~nQ8Ye%*4A@g8$l< zMr?bHO8!#8W#7u80fBt3T#(PRm8knqUyKXc_C3+*?4P)yE-bP>b6TUrrvS9K31C7_~=SR=n+IV)R`ZL$XrEwzL zi(MPV&iqAB>6MQPu5;6>zD_bS&sI-Vzc!E9|HvZBHBNpuAJ|bN;S!_l)==VYr8IQO zu6t%%`hF!O;HJMTylyeTgVnXvU6acdexP|Y@aPePf$;AvISyHc{FlD@?~{;DD+&)) zt?BX2F9fdUUhe*2#1&kF$r4D5HS^GRr(X0a4n6mZ2M{%o8yaUeyVvIE`nu}zy1%EJ z6fbJq-%jE@ZfU!Pc4ZlQ(>k5q$?3%n?5cL4HXxGvJ#^)$=Dk$iJ=ro*?{Zr1&p^ za{QfR;@@_E&$aP0efTf=$SgYjN+$kq!@tM1|MLJF&ipem$6uDei+lg&^69zXN5TJv o@>e(gefIb{FaHt+r{B!dH;p)$Db)AvJIwq&WKKG-oqv}8A9brnp#T5? diff --git a/UVK5_memory_map_20230524_1.ods b/UVK5_memory_map_20230524_1.ods deleted file mode 100644 index 6c0c9849949addcb01d1e9e8461cb25a868e8f95..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 31731 zcmb4p1#Bk0vgH?MW=$f`Ow00MG!y3vHK1m@RiS0{{T{r~JJIu(PrQx_UVRjU63rtxSzw ztsLwb-R;d79E@G8To@c2f%aw&rfzmXdshY*M`xh1nTsV5=&JO8*!*kezXkuVChA~s zZe`)-{4Z-RER4pcra)WZ-=>2zcE%P!7e)~)S36@zm;V69{WoA&2M1e6 zV|$?Of52h?UvMVI|LIl#U2iU~#;$HI{~7o1oa5;1VBrjO`F|k)U5}0qj&6>BBjfh} zIX-h+2V+;@e;VJv^U6OR{m99_MbvM2x=h_&5Jf^8~ zP>s!$ntW2qvh|#nr<UD8yeT$UTfi*8=8uhUP4*)N=f`WAOFTcMc}i}%FSmIoqr$$BMODCm|~ z%LND!03ZYm0QleY|KGXqU#k)5YRuqiXB($F=$ylZ-t$U}O|D4-J`@OUC&&ka(Hij_%YN!Jpfs5c=7V=tbJnHspXxMk&Pe+WHrT zcmSCBD3w9TjJ5TJMw{BI&%DZ5B{y`h)|>~!b7OgSbINj2^CX^AcqnQ~r8w7!$79>FKbKKh_D!%_yceW>XCEEddpkGP;LIGwtvgRQi^rFXkN_wlGxR$nSXLyqC4#E9BqV8T!i3AMpNoR)tdQrZ(>G;U1Z=*%cMQMYw@rOG>jPMKp780f`Z`~4w;x~QnE*}b_O1XoD_^wlkYNgvqiQuG(^hK6tHtC4t)!VBZ7s3s z*Lp_!C*-_Xv4y>VLtgkFLk|0Q#kjb7*#ceu3HqpxuEQn=y8muHlbVr3v|0BxDx*_F zoegIpOnBQcAzXH`S#c^=VoToBYJ!Js6B+9akYR3#Z@2TFH-b;a+sjWgc>d%noHApwS^H+*^@&9)#>DDuRPre3cGO4_ zTsp86iC=cnON9&vnRv&f#pjsqW~KOQInzK-k4)#H5QiR0P7y6Ca$bXCfFN5m+y*7P zPnZ*JmYPCI1Im-%0?kT_ z9=RjjaU}FM+Ss%UZe4iKU8Y*ZCs7m~?*eiy$=<~{YjNdl@@QdJVxW?wL4iUJzDTv4 zv6f1ZXkv*nxs;2|Rg4y8lvA7bShT+V$F8cta?R<_qHbK~8nHmjR`DqjmtEIt$kj4X z&elp5ia*Aj39m>R|gw-|iVqtkmQ9tS$zU}NFbKCr|>e=RUhU!tNTnE%} z>&Z|`Q(bV?MtVpdkU9`J3h@x#*za+0<>;=y8B&2tz%aWDRBsWd&BY4iBCDp2RN9f? z&Xm^iw9V=>v~=lnf-GNp>PdM?YTi4XNB)=KKH))3a1`BI?H{voJ33z8S=J;6y& zSY>12!^0YAxSJ&TVbQjV@L0%0WTN9ur_gcA2&-2@TOnxJ5-*_+*dH@x3Q@UDH&&KT zTb9%igvOB6X4{Zuc`Q*esiQr1qe7!XgR#~c{t@u>#S9ebmKKpqu3^1EneEF5IzOb|LUQWUI_wzqh&d3JeKu#4O?hN~Y-7T=+gzt$5Y+GOWaOoRlH%|~M z(-+&Fmn%cDHSWsjotu^?dgCxO?6HVlSB{mFzReB#peeHH8B8=h6hK!-1fm>AG0K05 zA-5ACEf+B0iyU`;&@30&5B@aR3}&@453V{|f*beuig0X9f1QX2%zY z?_Xc)9rBx^zNhw@{qdOJE4I_z*y9uSyT<});&*lIp)x(!!u~_780Gqk@LS#kZr+Cu z$gf@_>$VvE=Ff zg2Pw_hXYxcidOPpb*Aw~FUAuJvljh3rO^*Bo^VlI$= zQ2D$uI0_T~q+BfsWPThk{na6S%Lxs9pJn&^x{Zp1Z-8_>_+#CEor>D1_zj6F3C)=h z+rVz7;%8mL_#24J;yL%Mq)UFk>rB>XDCGmr{e8sQn1{)2$7`%>mnyYg9^fF>&@{F+X#;iz-_DA6X3l{Fu+$_}nwQt&=JVIf7#JcS z1}x9@zmoSOZK)WE$_m{SBd;Bk!4O}_h?=Y+$oF;fLxMId{7`uh6hx?=#?D{L=e|8TZ zFNLjW@kXv>S>K}}*NVqW=HNFpQ+5oSE_{8#rGJ6^vz^o&X}n-T0ssZX|0#oj`@5Z( zI@r7ZldS*UPp zi`#S%g#J&0%sHVOz!cb>?M?gSPp=&SlwD-R9t}0tNPFh=vVE4!cEO`Ejf+k_Y{J zP0&1kd+?U2r1De8mYwif%MW@8lQqwUxhr)g6M;bqTbTPle|kpq!?pG4i>w=;Ki;Q$ z`Gg^DEz4tys7rv<$Hywh=F86ToFoC=$NY#awCPD&tP}G>ilw5%TXk2K916Vn1~+Fy zbD97I8_Y>uyT}&~{}JjEa&{ z#vSEiAOW7Bs73oR@ust*+T`hH_0Y7FskJt`37t53pk&8J6PnnrA8rNVW`$F*xL_NxQ`(2&{QN4aYc?^|(_ zMiT?*>l~R(ER$s7^4!yCcM4IVU8i9E@gu6@fXp&mfN8AU0#mTtjhxGN8h%?iusA=z zu!K3wj$d&jF0A%63f85R#A4sX%&`bcub9$uh?)A8#VToftJ0P{uB8C6V(nXbAO)uu zKM7pJY#PTzI(FZ5MF9-OAe5hVCZ+I%rO7abTr_?S30CEb(Gl^lHEa@4sZx>mi$V#( z-*T#z>fhLTi^=d}KcTs2=T{hF+7wh#f3I6!rb_DSo|%`()5NqHH1A?G&#%zOwD~vh z&a64~Hd)$mZf?i^an~TU`*@6Pd7dhkzhVnhz}(0s^L43N$2BG+mq~k#q)u&u#SauAa>wTNz-%M0|=ou_x3D&YmeYA@{GM)y9R&kM}befH?5 zOq1{c&9`6PRf+n@3vAV$-@c71`}=TMcTT<`mb%jUOkv=x_Pv`jb&T?K>kKoe*Pw!} zOFW1&@YVYmWk%5yb+J`!0{yK@E(zHHv)TxIcVD;|WFmFWYRxeg*C0x^bf_wR6&J%Q z@l;otZFOaTsA8->?p=c2Ws8X}Y^jtNfp602yr^{j+rsl+6aqn)%Ah|PO6-Z}Pf#;e zZOz{)N0{Vz1))}~{i>4bbv4s5Rwt0I?3R$?-;jD$1`mHVEkTr*csZXlfzcKeWh9@} z;`mV0wb;|^#*65yiaf?DA?nGlqTk6F1!#}UWe+`kLz_%6jp*8|oOeJ8HmtgcBwm-! zmLPMMQPO;Qp^%SxE$Q{w$JxkXTV`nL{VtO|oFbiaax}^g`aRll2N;*hJ!g)r*jx7m zwOURte;#9#9gMzG62?hCL8?S{No_Hy4I?khBn6jFqfTFBCCyl1J-O*a?J4F(_w56lm#~=7^oulC3*;ecu zLL7pT(S>n*`5CD_T#M1I^jO4jv=W_X^+cyS`Jmn$tb}xbZC&NN%!gZ~Ai2L59r2SR zQChdyVR%+%8y1F_VWo#iB=>c=1s^P2e`H4(Hws^$HEC6yEg=fk`B&cHceAhhBUA0W z(5Fq$g#kF;8ro7i&p}9(74*f0QtQfY1DNz1g+dXJbGx~g2ZmV~@z)mXlcj8Lzami= zzA)1VKo;c=xTm#A#M5Ivmaw{T{=nelsadDNI13a~I47RCNd1hME+e|YJ3b~H6hkF* z7cG!pQj5>HVw~l7^sS86qd+Zp#R^e@0K^An-hmj|(MSQu?E`z>npp%o4@$;Y=R)|!{^zUF_C7xJ_1z7|!kLWUidSwk zZT4}dKTQl|UlVJTr7mJh5*QM|eb|cx#QRv>8buq9!NGl+O!Jf8&(VH=2v&&MjiZ^x z54P{(^}7Y_lLWtR+4WM+0rEFQx=CF(7l;lv(+%(JZ1RTG*r53DRr+P{N?K}eam?ds zN4g`9VA$kK(fAMKfP8Lq9DWaUqR*Kx+J~u=jWYzrc%dl7{Gw`9&WWHBaCb;lC6agI z%|x|Xzbk`&2e>o#83Ylz{%QVQe>1M}rH_<9-+Lh#dq10YLd`>Xx|tx!yX{$OC(_;} zZ#0$2t$iWVekAEAdNDPH##ebCM_Ng>wDuiT+%U3cUmAm|Y7$%j+btg`b6Dq)V-F2= zuIPW|_cq?h~|25fhKvcChC&48eEL zp`K|HeUp0B?cs2^qqdc|xr3_t0b9(Ba8}P+ra@ek#d&cK*GRRq7$OgdBN6rvmY{g8 zx>ObBGBs>vZJyIf;jip(ss?H?xP@6l=yxOJ?7@lw`QA<_(2^opa&v!NrE9+s3abLM z!YKiY$XO3YN1$UlaMXBNf-@v;)`%R0&@S4bMHsVHyAp_zQaj$X`H@Z@)hk;!B& z-9sAi8mXYk3p9nNTW1?$kaxmckm== ze7+We0j~s=eUo#E-BFKy3xza;^cRAK` zO|W~MPzZY;&LDbnW2tFE^1%tB!GURo$IY zuam8KNSaY!*Daw7esm@kEO~PK*7ZU9$?*c9Ya~>Fx3TJ-zK$zt9Iyh&1cTWJEcCII3$dm)KiOp&}>T}D$98W2jeHbU|j zxe>4+7rhB$+(La+SLYh2KB1ky8aWM&zlqyiEHG}ukVB6lGez4hj1l^!^M>U()FTdM zlnz}=5TdBZKVy3Kg!#M#7w*;rGxHIc*oowAFzHkmP;WV7XV6=p7gi;lJrpM*#G;`Q zjC7WOBE2LPLU%RR-zO{i{b9vOq=?O#-*5zwIM9-g?8dJh?R;}=?7m&&lU(I?x6X9x z-sW~M&veFI=CYf(*N6L9Ejx0y)BW*xn{uM(5#$$JedVrf^b~Qgz3@W7N@%r=uW|VW zH(#RDO3CnnT6^(eFy9|C@{es@=#)<>0GMA3D3K9;+gTME30gIg0{wW8XwTI<^ z0Zmghtm~xs{9XSw7+44>*99eZ1U}&EyWGzT1ZCsBveoI_qk~Tt=y1C(4tDe2=HLPt z*-d+=6+bsprL1EETmdh_I+;hMot<^u1RbcS4M!u|QA97^DSEW`r)gXf@^od?_jy+L zWb_2}Sq^lL%x)PoOx|R}*#|=0hVcDcpk@p4TU*x>%QsaO@*fjdVt9&yc0Uu*6g&}1 zEmcY7o*vG{92!!!=yB&bg3E_QSVP=jlWvyLR+C5FQv@Qb#h__OT<#n-B^sO(mX_A! zYBA1YpvzosYTpi)GKMi}cU%n53!lqXE`N@c(5)hA+UkrRRx0~D^DSdXtoHuY$COJN zrLEUeN6*<1cxIbijfj}d2od_C%AQiwsVrl#ClX1xl6>ixLZ_1cc&c$G*?4@|e}~ok zHal^tenRetzhmIPwUpPrN^fE1Ci|JzW@LiI?8TIAGIGc@kApORcCQs3TVd8-I9TLU ziCVImXyQx2TS^miJKf?q2apj&uCnCNNa@Hta$>Y`=&Q+l1&$QI)_M^i)yf`dX;Pkn z^UdpBP=~k%2nWWAg+7EToRJ> zVMJhC?G#AM;q922&GwYF!Oef@Q{Hgqz@VAP7D_S{`EPf;5og-%F zO)CtKUbQ-H@ssg83yLz*0f+IWsVdsDaW|Ws35MXlU)dhzq9tJ>TVZX_8bvWwh9ahW z6sU46JONl2EQb%Uh6A>9^m9O4sg-g-A;Nz1D#FFSjhbh6(ZgSE`x?`K#ruj+ zUF(2F#6Q1`GoDMjvR}WRtB4C+`WZw0@*|J3X(>R3J_%u_ty*mQ2nOl$!85FQ>`>}- zA6B%oZ9{|}+$&57{h*Y%eu}IE!BmVB&-@Y+5$(o5#CKA+v!xAosn?yMH<0+#b^~RL zIk}*gjCnK;Q5TZp6yLox5DozCV#Yt!uevL{b7w&TnqVpXcwy6g&?L6tp^7M&*+11##RpbuH%ph`qfqcA) zQm#zt{DbwRCa}id1|On^Upg9}lW4ugw=#b9$leITa>F;7;5oNzDS?381(Cbq<`WoLR-0=6P3EkE zRCW)!hYig*&;IexA3g#ngB@H1kJ#|ML*e0^O(dcrCbXwd^)6xq4rCVm9(8q|pU>u< z?cH5JXksigP5?9EoYV>7?$OO%2Qa*Xib$ab1`ObYEusvE*f*>yAO64nIMGzU%mn;e zp}*yGr7uuWv(2%~3L2J&Tn|;I#v0|R9p)+%oP?{BY}=;GE-lH00)-klB*CYqhLLuI z$`=Sio`|q)y#~iY3V}c9%YMhEuV%yFB%NDp`7Aj8a5GTA%-b`hA#OC(6%U}oL6YsJ zp+b}LSll;`kc3bEYiwXx;rK4mb+z%(_{aX51s{BQNA$@Cj~;;3<&VEYA-063j)Hp# zvTC{b;zqTVV|R6cEaZ&+caq!m7)2EsQ5Bla#8mI|pv75T#V|wA=2+oBuej6rQ*PQF zoO{!{o_%P<&BdQxNPEFb&7t*hFFr_tw0X@nW|~>4&itA*KEmx8sLDPN->ltb>viX| z9Ihx9g6Eh`@t^!8#^55EoiPW(6uX)4H8fa8f;vLQorGqgW_dpoW~{h)F`;}<$HcE3 z7$VtO>%4ARZ44BO5Y3UNQuM2AmA=pHkTOiK-T9pi!BGibYa2DLa=$;T|^%_q>RVoufO90NZi?R|WX4YU#C5j7YLX-&U_O&{h z4vPx?PDF5t-d7|d+M&z?)~^3V#^dM{n}HSYH<+gNH5UEo;yRf+E8Vd;>8_X$!9P+! zXhEZ$>xX!BpYRdA4Y(cr7&AQ{B;8nf4pI;%oF58@T^npX)wz{eyNP@m^DWD`0!(e4 z2RDrQYO1QN_g)d3Nw{NuLM;Ge_8u%$h9hgP*v$3udhyNyGI9k%x{{xO~Y;pP`DFp#%pSSUZ z7aVS3S^cORiN`f|yS8L2!2j1-qkf27xkJ3$3Vebk?9;_+FanF+toJy} z-R@08!5y!Qj$XYfo50Jd{l=za6g#<|#~))Pes$;5WU+O}L95}q_K9JwksaZ@02tq? z{CC@_y4Rxqk4IYLLdb0QQawHzYYEk9@is36X&YM*MwC=rnJpy207zJseYG*LA&;-A zV%&wo@PM!90Le+;hj|vm&knw~%!JYT8p6Zcz92KbIPPqzg$u~T$0v~J-;5HUscroF zNfneME%F%LTbXi^;33 z2t09bn4=PGMJh2NRi=$8jk0lWzTnMVI9$ksPxS*J&gSjP!e(9@hWW@%W;_u-*DwPB z-wtepKCjm0(cCbrK3ErlgvhUm>9>BKm8J6a=a2o5OdAJFxg%j@{d_8@a#}Mkx1=dd z5l+~(cy{+f8Nylj$1^Qxl?;t_bGeqx74tWBd9`!^`!^$Bt`e`9~%C!rba}-kymmbUz zq2-yWH;no7jFx)lBDWYbx23|@1+hqu3O`2z9Rv=8XWYqLgPT}_QTrh8++`kWbF6P~ zQNr%Cx5&xz_Yw>kLe6@ECMi9u_?UHDsI_sYbHCwMSNu5FyN-!JiDifKm+JI*k~Dbu z_NH8x>y^g`T*twp1N|ZCF(helKS#z%v~32t2nWYIavn0l4{4p@5x}5u*dixO$}M84 zl-4TMKNIaR{R5&>PwV*VKtGNrX=%Jd6s$OP?o`YS>5^M{;ED*Cbmpqh)`HLaqAe%r z3?A^yTeLRuyG}W4Wvc&dXLmNUwCk|=-=ewf7ExYTiQsiuzs$_+kej~lWKr&3UEF!t z<(M5is8e}$^?3a8v-&xmJ^be6*`54&2oD8(Fyukb_b%UmcD-o#xL5m$Ez(N70ZkUk zr)Gdr4|h7pPe=R>kL3$lkG%5xG~^_f!q3n=%7rP8KZJY`2OEp-M^MIT8?+v@Eqv-`HhYcU>;#m|Sli2EZS7N8XA6; zQ5piKc+(gA&=m7=l;T%LwuR}4*`RFqMm|I`x)y%hs1fj zj_sEl?dn>>@Uv|+2 z^}F=>p2Y>i@GTSnoyZKCgfGc zR?KK@KIUJ)7~re~jnFE5yF?(w%Xv^0OO(U(yt0j^ER!oK3uQiR%FASxwkKBGYYo<0 zpO=sVsS8NU>f6H`VF~eI3t(j|i~*>jLv=1AV-jQ?UfUP!E6BJHcTpLb@mVZRjdYfH zSKzBLCYAAbY;UkTjf_v3;uuxK1<8i-85^)jmgcD6MJguuyNGC1!oFajp;eMC`9!69 z8fppiuiM&V9GD^qWl0VzmJN+3h8^>B(9gjVaZ>NH;}z2;4<>y+mw znfwv5%TXa4(RmV$WuK*t60=?Df{;s2-8f2=hm~}HCxdioG_No;BbsD-lh&sSotHH+ z8Yfj>;_d6#zt{x7r((>0-~a%B+(7;Vf#e_ljSJA#)ym%DUwndV9etN#KJ>nT0P(R+ zkFIF@>x-6~z};FqjJud_fHG@XsIA?M?b(IWSX*-}{-%FGD_fd~X**)~IcHs)OO)UY zN`!p}hsEZ}+?tzkhhBgPlc#p8$U;ZEDMcj7Vh~H15T${!M~VZV6PmGsWoljYt?(zb zCjg6&=!m-MjRxku_|IXnGn+3`@iEQ(^V9`Uod^AE3u1?Rh(k}*XBQJbxj=z}0{t)y9eKi(t6F0q!VZm5Qab5)76M5YmO!YYP9TV zZnu+e)8q+Sd=}hg&k~b7-V`*u%S9S1<4ekqtx6OudTo#>0zI792y%hIelqdWFO>!Yr5Iy+Yd7LY>n;#Ta zAcggmT@7K)>Q3!J`J4sHzR!>kWp0pEq8a~I z#>L3p11_^2=@K+KHh)F)(``D><|5D;3i53qBD7<$g4k@aKn>MQa@vOq9IcU&9AxLT6b4_m)G|LCzG;|KGZ0iZu}NAlK?KwJ^C-IZI(sf12UIIk z{^&j)IiLH=EGf&85K-^c;Y{?3w8;fr09o%Vc+`6U#Z8eb<7p^)4u_)2F4B<7!#B$c zaJK+;dx^g(iaLT568x*^1AVD3xiK25GBVKPokoJ*U%((pHWW%k7ARq9`g{0(%=~@! z>Xd48=Jfx2X~^aT9h#9lR%Sy#iY{REG+ z5m~mZETeSZvY26M#;mlR@=;qF_xts|l6`gZvOaGEJwML2)AN4g3GUS8Wk74#-)nUb z*E9Hi(nB6z2{B>|*&nqZ=`Jt_(b&t8vLDxRChU^WW}tKRi8C{#74y1+MvrVNWXg7} z@dEl)lOS64EeaOf$^m?2?AUy%g&y;I3ej2eS!N>Y1JnQZ)|1j!sz;6DubiF zMU;|)Bmyk%KU6aWX(=%k002bpZ;62Z%S;1Y75x3F2>_K)l2a4^+k}AxgNFr$gM&kX zheAh$Mn?x=BE#UL!QtTn(9qEE@bHK+;mL3iDe#bK2~o(&0d#Z#24XaJdRSI63{EO6 zE=nveDl9%499C8UFE4RM*g4j-m!bXnHUX8_3 zkd=L`6j((>tx&qHP?nugo});Kt5}(*WSO^ArKd!VuXLloOh^bIA_@>23rJ1|faYdEPY<9jM4>)XsWnKhKSaDMRG~dWsXJV$H%fUhRB||6Y9>x@I7VeWUTri< z<42m-bh5^Dn$}XX;#`XQ!e1pzXERrCyU<{}#AvU;V877du*B%7()7IC9kTwL7T+&nxyyu7@8dV2c&YZn0c`ub9EcT58SB)z4@gw;GZ zuKf@^&?ViedQ)l|(eX+wUCbb}P;T!?c#ZlDqzIYUFhxXI76#3qrMPC^BcDxs4I0)( zBYUIVSC4RlXD4Z8vzH>?)MU-(Zs4jXq_S_{Y;HB4r(HFdYx9%XL~2;+GqdumZoYT9 z^*cQG=E(dkF&-Dd*Syr>s?da;}rTbQmSw->8s8Ay$Mh*DD z1}<&jrXUm-gT(fZM*R|^Mdyr~;AV=sLab<4)6oH!Vypm2!&Ql)?mSf4GP4&X{mPM| zi*XAy#DoK7CBnkOJnCJD@(NUOa_VeJMYhqNoMuF4t@8D1@dJGUb*9Yo`n7b+_Ywrt zfFGWqJa&pR2Nd4o;%8)L?@pA;I2vDwI#wa!X4GYvosDsDsj{^<<|Y>~SIxShPfz=? zTxA9e7v)^yD)Xl)ce3}OR7O_8h8kvgnui_`rv(GZn&g=Woo*xw{|(;92ZJ!AQX-D2X|tdjwXhceS6{8kNAImK@(nO}%ly5#;?y5HVx+gPY7 z>ZhE#jN%}2vBcWJK(~a1W{A9trhKaa4)Kes$bYGo4U4cbTgRo}A60OFtDZ-DsU|H! zztV~2$_ap}f*K)0$8PMKMdM`7QwORuF#hl_UxFR}36fgC6(yo$l(lLkEXUf(516*~ zSA{t_{OQdbTP|)C2@n+|o@YWPmb2<`gbhp#AEicUFWp}qo0G-@uqIF1LurwLl*PbM8i+b6{$jXat(^na#rv_?eTSfP0MfZ={$) z#JOipQ2rRNfmkpX;*{U+IJ_tpvKS?Dd$2v3_W_vV`KjL#9+(=_r4077OuQyZrQS2O zCoNoH0vC##2`WJh78Irq+2A#2>KalZjq)MShGYv|C{nnO>7>)4J*F%Ov=}=jMv2W4 zVOAQHco4SHo3*ue7eRa5V&;zsPM7|;gSc_4>{ayws&dh&Df05=C_qxKL(2>>{R)B% zkKSY4V^FD6n4(LKdIgxk;y$VX_$~@rMUm1kQAMdn^zP_@#tgA~>R;ce-|`2d#)Gvi zV5Wy~D#$@d6*8iMKi1%y;gdT7=FG6|K`4X2i;E2DwfCqNf${jllkOc*pi>fcagkTZ+7V$FVp&PUG08R@}L(=G$Cig7Y2_g2}1J|xX$1}_u>U36lBuXgCjMo9a<$hIdp$yc+fLHobYaFTGxe= z&{!cwqrKY}u8Ep~uy1mou^qQ)9WSMi*gQ0_B49>s(9`HjQRx%D!c4Xxx+Lz!^f3dA zvSC4=eW9Ta{@nwW-}D%enQxQ45}@P;oX_^un02WzY41=*nBZ*?6Vax+&hBG{EgIc? zs3Wt2etfERfr~ov?c}<2rH?2*7HJ%<=4UU%R z`(s!x^NBXP81oU2^1CX?HU;f3kxuyxQtIy6bJ~+fFE2`?QV~Q(Ea6|J;9%bHxnk3g zFmjDh-T*p-$w|o0gM+=SqQOWPo|22K1b+TUGvztw(pFU^wdzHjYilP$%?aQ2sN4%5 zM1PRc8ctBA3^M^FwBXk33y`yuNwa*6Xd78J9SRpG3QXlX!C1132^gWaMF8eRQM!@2 z2q=AenU^9)rWauN#eg!oD$z??g`q}BGh>cS1!%Q$92Gk`k24|{Ktn&DFD1^1_8|em z?InqcStu@d+2XN-R81NF6rsX#FyJGxhs|~dik=uzdSa4j!C+PjkxCfNvq?Thjp+7# zl1#~3_Ebe+Av3Y&SdLW_tVdL+)Ft>L({I_bw&?}CmwKU%x&mV468>=9MjjN@D=g19$EBVnV=~X(iht>?qHdvBAQQFv`6=)Vtk08T z1RFG?g`^!q14|c(RSVMu)=CHdQ^z zI~NW9mU`ocxuT6)#~db3pvj7h)W%Z0e(~}(zJ>5om`s+r5l)65#`2n#PjIro))Bus2e=} zHuu((#itX#I7a3ug&1yV*61_w(1DKStG00aT*C2V@vDByG+xIFU|NqSMcTqSZY=uK z(mibEw^lhFP59jIV_|UU)`Rqt#}LM1mI)9Y1P{3GY$1;q<<&dgu912m!qb}hVy~s8 z;02NcI)DJ6>Va|+T- z^+m7o5nwD?;p&MYn@~Ut=F%w6(*YhyBpdU`%piN;u|+Mma=Zs{61e#6$)(IZKBQh} zqW`_1P8q{aC)-`Q<_a%KRl-|~P~iOSF*OySU71dp1Jism zU761}l!o(%Jg^w1g)mDpXIP>9TL&j^8m){AR~-Opy3Q$} zd8(zpjF?VXa7K_W%pn{@yz3zD>*zvl?{YI}4<)TZw|E8Pn;zU+nV)ilp-W?aFi|5n zxrl30I>`PbVW7=^v`O*udf%C`c^_^1V=e&0=*0o6LNQo96?nehH zk{-l34;BF(J($F3IzOy-{0nGSg|DJB^_QnISKf%G*Z!x$-4;Sxoryvl?DTUzvChIS1&qw3x}wTOBu^${K2<;U z7B4yi?If>rjw@#cMDe3aAEPB%)J%OK@66bACcgL)I-7oCJPOJh^)pYS7`#0P^ePhE zA>%n80}p)y+R!ew5OP#$wJ(^^TE>h|^EQK%UMMc=%=ut`QO_BT>Uf22E+62rW$~FT z>CCBkwC66}yeNk7yeb|f1s7A{yPPmQIFBEEb;}V1A!aV+awDd0SPn;W7|JzrpVxkj zJ@t42B!peAJ0$0Yq_`qz4=c`K z;$w{0z_hsZxjID;ai)o8u@VfPl;RG~1PyO>e1(}bs48JE_rCci%4KR%mp?OGrX(At zja#xfcMH&{y_dR?j=oWgdtAxVscp;zg|eMYuYO3AvLq>RQ(T%p#DqJvq;AX#qg}`M z8$-Oz>RYlFkrxNC{tRkbH6JTu&u8z9bK^x&>W)a}^n;Kh7Sksp8%urnV%hs1kmXSGSjDiWV%0(>noBbiq-|Lm1HU5RF7GparHAbC(^f*P!l?IKg<^pKE8PJA0>$szxohW~2wx5h z|GZsS078Wx7J2Pc;cLLCNe-j}@_iN_GlP%OS#4(i(aw93>i6NkM#!86#l9_3pf1I6 z{egxfN5h#okBK^qpf(~C9k)e#uCZb0^srgrB0K^Q3Qjxt7WoWc60W$0aMN|NjXhHjPKxq`%qH$n?9=E?%GsYa|QdC<(@rTrQDum`qD zh9j94KJ88|kvivej4trNdeG@>;1*4r`%A{5-?^nG3cyR}hv)*!5WQuQpn9BaFdHMZ zxv5Dx{3m%)FLoI;e)O!#Rr0<>H1}!g9QX)+gxMWK@0IKOZ@T1pV@0tT$M~)1d01Nq zgAu~_TuPu3gJux5(T5Dm+^L+uR_J^Xe(0Kxv(DoAij;v2S!16Uz#R7`bNX2Zskoz# zk`nYa24fmrk3dcwf{FK-&1~)3^-V*ePpMODfo;zD_$p7YAL~4EzJIU>%UYJpdBX%0 zr6Rx}*1DTD)gK~LK(9OTGFjwQ&R{_3iQK7>jQ zc{Mb@7FmBhk}GTMI|LN@k`y zv3PoWq1T(#u&Ef&t+0JG=XDQ<)4a^TtJ7Qu zL#7;jZ7wTu#SE{?9>hrreMVwez5*x2aB7+#A{LXiE}{_oK%tW zk#}MGVM1|f_H|C z{$tpUJ7V?b*7IAUK)T`YLh{F}Dh%ODtjsueFw-aV;O1zV|8wiH8*F;|L4xS~N%g{F`?QgI zVRvtztpGJYf42WPt9-EK&kI;OK;+(?vBu3hkVEHlv?D8Z%8XZaqf_ePCL%Ysja{ z^&~8(7m0d(!v`U@yX$`GjAHv#o^-B3&91p^niT~SuPDcK>HwWP0;OrE-qf9lY!@~`enKfGX;mzjzWrYZ7W?G|qW8+m z>X$9d&7lIUPzpCG3*IKjWc(h>wE8mX&5O&z@>SYewJxTS5J98pIbc~A!5)Xp`qUJq zcR2EDURd;K+~_Gs|DGp1?*d7nrgSb8Q(@`70vURS>N_p#QZJ}m%w@tB3O&ua&@%(^ z8<@P#3I(Z8aAh%hfB_$mam0^iRULB>19C5e(~!v~0hw#RmFd7(SF^5Z%zWOVbYtK? z%H-hRXAxXqUSAnFDSTlEuBM)kyx|&E1TCfcv&_n{3Nus;Ss;dSbVk8@N?^-j>a&J~2_1MC2q#r;9-;UWA+ zpxhR^Q<>aX#uTxfB$5TUpoy<9=)Y0R^lV@PsU=WSxE8tcVFE91^{L!oRWN1w_CT70 zvpx=j7D1$;dY!RBS#=-59x(iWy2HLP=0n}0N5QM)6@gMww|mN-kz>(jYD1cXd73+z zbht>}P0x&c`&)^9qv5s`F)>#rgQh}wrbBF_gP9I3ayM_DKN8U_3i@BAopn?k+uG)F zcZVPeBm@EkX{YI-u`FT zs(PQ=wX4_e+Piu`zfM`6TWTu}x)0z;pxuv{?`Md)KcwDO;yTj0u5QcRP{g;lbaHOE~EL7lc+}3|?le zm|;$I$CA#&4PVDU*i)-(jeS2il9W@D&NZ&$7Ru0K2h1;qk_(`=CL=b+Kf&btGhYn`8ZwkMh_ zE`+=Wn0wD4U0)7~U*0|f$)1cx2Rfc+Cj6LIC%n*~H1^i19Xy z4n`;*FPNXssGiTV{ggH)d3Vl)Al9A}ThOfvH~X{Lcm3c7pK=U<1;<}p!X|KFr5swo zs_cb<^p)!z@S;ndI;XlOt6uZCZCf-g_Y*W#_FmpU96_S81LLJsp6@^YCM~-( zKr_`kfreG6z4n)-o1y2hgyVq$11r>{>v~xe(pi4*hy|{)!zc*A5@3ttO5iLS>~sC{ zf0-lg8IG^;RMt`+ro|iaq426HG&Kx{w((@;%`7hIXDLXlvgHR{t3*|!) zkoP~hM;F!}tiLtqO00@Yt$)^mu=v zoKk7gBaku=$W}TMYt-NR@d)FG?o`OZ&rjwkF|#CJ{c;BY3>_>~EW=Tnbgm5Wleh_c zaA6>Hqc7HAY8`sp%#xHLXC&o#U^{-e`p^EIoHUv_PM{A$M3E0CWyQT>@0gORI@J%1 zUeKvtuvxXg*VwhJgDMK5v6Z5`ijN_OB=WlH`^HqYC5Z|r1fW1o9H+08 z^JIqTA$VBbhKB~~Bu%-sD)6q1H$IB?EQyA!>kLY>VK8;`+n%2g^1bD_HivU8!ip58 z03}UG;8R$GcC!!zMoIsn&!5E6Sw4e!%aaxm!iEEc%B0(oMio^c1%>Gp4v$uAXg_6i zZK?-GN1T+rjl!~3CR#|uodqmG5*U|r)3_znZ^<9!P)g}?_EHOTd#tKy+-oci+p#mQ{F!1+b|W>S zzdL;9o!sXz$x$&hOhD7g-47R|cOS7*jK=NxzxQGYs0bsIfqE`-?BBa`e8f@Y>pb{{ zBy3UCOcN-ZF_F`6W>6R~sH%S6xZckS#Lf&1F%OhQ^|E-9)#@o4LgAD)O-UGLXUf%6 z`$eG@R!aLyMf?Z7KMrN=qyQyWUn&Pp0p-@GNZ1Loh{DOzF_X_MS$WN=1X)AjmPR=t z*%3*gUEx}~CDXZ_fr0VersW=x?Ux+spYf@KrN;rHj8<}Xv$bZH97%MJe8FZ~t*pTB zBi+k<=4JCxs{&pYf}zH5=3<`)y1a$Zmd!nBMLkppgA^ya2;K$YjXcS^v`ql>n~O!7 zO~UeN$Uni>TOhnDX=)Qq#WB@3$Z|FMYn48m)(#p99U^{b+Na#A9badL|0%x-p( zP8vnksaNF}925x>Q1S)TuQvrL`-3LHXR5wD;zpY>Rl`ubBC%6;d-V$6++vC8->gb_ zwur3!WFluj%SJIougDf$%uVs^S$-Oy*MGoA+Yojv|J6r$n@hR6(964vPy2me~0H9TJ@BJPR}%%c!$EO2{Sgb zrq@=?Rf&y5$k9Yk{IiCpM>XHfr9R;yjzbBgnuS!B2g*;xrgUCmm{p?fgS9SYdu;~0 zyV+AQz=quYNht0^`Rs}GKjjH^Bc=__a zNoH9`^S4f@^22t=i!ScpW^;(d%?vzy0}r0WVr~G0N_m4~6;8I6@>KyG-rm=U@;9Lr z6enY-29vswW!hs%rjyeV&4&KdW|O`M%}U$BZ0`@D+tFZAmAQ=|1s~tQ@$j3DXt@cy z^@d+b{R6g&*HA;Qr#=m6^YhH-fbN5d`6rX5iA>2IL36(^>Y;pSm3%|J6+K=tU|3U1{!_~Vgg%1NMcdMI zgJmb;=et(Emg~ud{Ma!{QoH=)%B7ONW9r67gB!%~z0jVARIsN&d)Ep%c+Pk;?4bR= zAwj>9GWF!vfUC{n^yZZlg`dySViU1H?s+5y9v2i{TgPoASzkB3?a>TIV@$vGsEtzS zJgmXbERS&&xDs%P|u%H#-!GE0*a6Vd*3DZZR||pmCrm zZHUm6t3XY$k?iW5HytSgUcFnAOR+~#CdM4%n=$4VKMpotN9&6G4UJI$A277paH#BD zj)U)xI^IgQ=r8lT2z$3*KEb*_p4;Dd1^T%@28!0$SuZ#(&r|p}Jw4bbW9eR#!z8qX zLdG74Z*LD>V75o=8>OSC?T1+9&fkQTOJIZu;RBxQmIWE#{?^8w9>8un{$)F7^L+UD z9xo*s%S|Bz5&UqQ?`PM6#64v9xOb$<%jbCz7zpPtiQTf1zK3}0q1sL)eY=SK4IZ2v99~AB}84w$nI)F! zPQUB!n7UECI-wJKmc&K-J@knS!V{7IM5A@5m!IPT^1KyU4{V-u^FAD}s0!C+_I35S zm{W#{hB^y1a^CxWY%=Ru^RG|l#vyHMG@F}PI<8Zak9jt2ZMm=M5c1OXrhLZGgRD5N zt}Y1-`>_p6Hn&I?|5E4R&^Eoc49wzjbGYtehH|yr+fn_%mvoI*7n|5E%%u9TI)K?$|-B|xa43wq`#;LQMp$bd8@WedL)}CSXA&1 zp)y0(VT}I=zArV~_2dV9mOhhjbZD7!UKG8$MIM0Fxv#0!knNz-sX%v zYTOjXSVN=}fra0BEnNfoRGEIi37S*yFLRqNGY4+pr$0GwX7Ee&cErcWpNH;eU(@=b zcnh%Qd7oD3_ra>`&bM3#%(-pFBxQ{-NyL>(s6ipO`8G5Rq(5duO-Q~@=*=&;>?w?? z`SBn4ojyHG)g(>T?5q%GuSL2+JcTj@8VWZKgLPXV$h_#T(9VrR?AY39_NQ6G@y^wk zc~O6`nQk(~)9?K4sn2Dk=5xn2XA9?g3dhU1B(wN*E_C*5I{5CH^3g6;!>+m8sA%tZ zEsltDGoxzpLwbe1!CBx~WD&!t+I#=P4K^9WruUT%!;+JoH1Y$@hL#0Y1GNtc&+8&E zZHg|((#xU`7f<(OsFMyNJ|Gdf+M*Xs7ix@rH}y^KIiw zNlrJsr9!S-r>t9AT>lo@)Bu0!7NlUXP`{776MoT(Oc5&Z+#`*FvMfK96YiC=pje=E z?W4+CV+s~R@VBCf%Q|<+#4DVrf=^_3Et#IM)JB^Lxe10 z*R8N*i`@3X@(pT6Hm9xH5cDcaC6LwW(SE8E9Njr;dB@iBp$aQSimX*__X(U-V5f8B zvfnQjo;t-%pq>tybmwBJnK&SvV@;^Up(m;4umPqFH66SXhN4F~wvVL@k^Pi+%yHBY zm3jHGo=K>Puh{4Hk-;?B&bLC2g@S^D+TFi=J1yB*raA?#5{=kd7?_IMCnUsY+jrYh z3f?S0y@LAExc8K{nWARsfb|vl+-~Db}Ec=!e zI$KVNFq`=3bUwcQB}3Mlv~9ls`B=(+hXvp+UG5w$HcD(}Jy`MhF)x9NQs{ng+2sft zESZdT0U21bb6{R8722!@m3{jR&8YCb<#1ltUnbz={Yajg@1WiTPFfeN5fav+f?yu* za=ZH)jf`Hgj=gX2-ijG2M^TBcy^E79P;YqHzt5PTIOFn)tAC%MLGZ45Y2$?9$)(Q?$ISBDIEbhOFGTAq$cNZkytGHl{&uieX>5{-XD=jV!)R z`GIz)tZq*aG)lWMEk>2$KZA8{SJrGz{aoz7PhFvL|B$<%B27fYHz9@pOxVv|opsRh_clZ@hLYKP*85C-qO28BQfB7Nq3|8j0ifw3HuY z%xK=Y%e}E;uhR3$ZNgd9)?}5`o+0#VRo??X!wFpvdN?cz2G zT*yV2D!(Nr^}~>f?ORrn$fP|&1T};7nr5wH_XsJcJVLkHAVmxAD0p5}8jc9}?==L} znlhK(ONKMJHiv1J(tN1cdH?|gt5Rw6f@)<}K#Kj)XL1`8$nYJ29jaCuR%Dy5eTz`4 zq>B^2Og72S?mqfJSjyZ^uHmIFlTaFyGOKELX>C7!m*Z=b6~0LYWYZxNcd650;+k|X z-d9QmYknqBeypfh%QMBPFp;k|z-2CA$p$SrBy5b6@%Pk@ayaxv$(kam*9xQ-DDai3 z*@bzA4#Zx<#n73uwKe8{+)o+`3fJS${Kz3embg7@Nv^Y%-z%nvFP)}3ZSB;G0*6_G z{0ekLovPc!!`Rj=^(axE71<@f4Vy(e$Uhn-rb|$sJ`i9PnkWdkBCGODBqGx5Q>Gv9 z$3!2jFZr6{nVxkav!S{DBTCCXgn5*S6mv9+_q#Roye)moii`CoFMV zh+=o~k%c;k)G`>gj*7Ixb|1`0ISoL~QjCA|)-18%lW%q78jC1%)b8)0jU_r(^srY8 z$|4UKBr+!M(k{~D(A{>Mih|4uG~xXP>!ZWq#oweOPM<6xpe)Gveg3Aj%Mvt;NMJ3a zV3rQ0M>dofG*m*Qn4%{U{{4fLzV{}YskD=6Nl}g=D9w)`)jMU5PAmfqM8*nmWQ_aFxuK8e@#68iR@O{5z*beON zh@w7|l4Pa|@t#3pE*8TrV`a}aU{WI^Qv|RJ6H=Tlek!h18VR>clrBiA7d~_`R2)bK zA|Vg2BXF}*?u$pXYk#P-a1l;9o&|+4>1~l=#4S;yZZpaY!tXG~-Ycp6eEnOLqbW)o zUu^0}bOhdHMif3qHvJuA5XT$AcjmA>pwCYH2I8aB&C{UQ$_0nt)Jh_7-|oOx2mlyj zv{Zqr;gU=_FoI6y9QnOQ!Ev6-oR$#SGn`2N+Ryyk-IEWgDTpyq@uZrqm)&%4-zv%| zH68v~7w#dHh3`<>WLvAvGpF{JS*Xkzk-M(cq_pk6z(ouytsr9s0iAjR(>bf;!lOOz z(hvcqpVM>oVh9V0P_)JBlw(XqfYweb}UGg5mm&utKeLvOu zeAvZ2;9si5PB+P;bg_vCy_ww7d!5rC)^`z!s!eumshTV^Ae%6xEYK)*^l9DP9g|Rw z&U9Z-e`YJ_M=&tUxks{BaY=_59YcjYfUv4up7r^bcuvi263^`|Li}0;9~kecZV<@@ zUnFI@1jt@^C)X%mj{5!a5y*cMwB$lN@RIdzfC@BTp4a&KOF(wKcy=1{+Dnxj- zT0~lD83slgRimg?9-nxW=flm>yXg+6PzTgb*(ie=>fD@s|6OOMHr@q!PO= zWu7q-owo4APk#>4$i5BjS;7*L9*$(iY(Bt58Qy*!@|W&=gR4grekdol%6xH2L)x%v zjvO>hJ_JQP$bjdK#`4SbYhC^1c9tcAvHWu93X?j0&0wI)FGA(S7GqsMn|#9Czi%vFMY*ZS5+r3iDg%dBqu3ws{or;N#kH;W{c8S(vHXe=}U(j zcG~RYomHL4iz+9WHNSf<`Stvr0fvKt${xw5zLvS-!oi;`OVIwHB;8_ngt1kzr;&7O zs4#38n**eA8mDDI=+3FN7okacUVu?~$|PwmezO-erZr_1i_ad(CeNtz1w!ak=9Fm~t`8sZ(vK zX>u*SzWJzrag>yZjdHy1y#HcjJJ83xB`EpxxqI_m0x;IRYN*+ZxN;1S@p_+BX*i85VL5Uv64*V`G+x{9BGPcHV_yvcWBCQonqc#dDcy1#M> zJzh>&&OdhN6LJk|h+Vz2j*3I|C;r7(x!UZpao`r)eB7t&G`HBi!G3()zAIlFjei#i z;Q@gS>v?+K@<@Ky_xl))bVzs24RdiCsju^G=25TKqo#+l8FdM^La4gilD}#@>y^NH z`vE0+>OJ(<-u4g~576;6TA_{aJooO}^`5|{rT*doog%boHxXewEAnH~r8q2LxzWj( z$TQ?*-G+-HrKAO&=@u|k#TH~AWpvnVL*fRZbY0FL*xtT+YE1hPxBey*q5^`sF= z=%UJ%cTV8k9D?lMe%2&FHFkE4P5B5K&B4}-wUI{=ZXm#G`9#N+%I9W`Mc3=HB;@xT zj>omrKP$jkFNJZE!ioTLPp3aFE#fe>ED93+by$c zk8yXbCeG(8c6eiygvX39B>9@%nodr#+Rls~){jSzD&>8fwmvU~lB4o!y}Z0|8f5)) zA9?Pb#!0G?%fx(4y0PqaeXI#NWWA(xxb^Q;@Rb@G<<8~W_`Urjyjmu6#Wpr!>C|Jr z(E4s`ezb}iiv2;ee4wIluiTEVjpHo6in)U%eSIU@b^+It;+t4_eDrc7D-y%w!sSiI zpfX*g^Y=&_4`3Nd()ZBofuN^>;hE0X8b@^HiU+9@{!3fsrYKdr1F8&FGRJ2wHU^Ql zZnzJAupx56Q>e$sKI-++jp%rLuHr?GZR>e?{)K~tpVvmGScWUM_s3g}&XAkT=+t?y zcBEQ85GA%0B)>+xzS!53Q!BKvzt>O6$Vf>XNPNJ5j>~_@zh*s^yVTygczZsQ_^fiv z=OH`pWVYAa+gtFk((D|l1)tl|Z>-y%^h$Y7~amPQ`xmZt+3(;Fy5lEIkW;4mt7jgOG##W=G?*!b6gfB{3al z;jdU(HM@MMYD>0zcEQfrgw%rX2TGDabBaow=+%S=e!}dCpF_kNuKIW??cq2&#!vM4J*~GPS)D_Gs7qI}S z%^`3u8B1$~P&UzEZp4E>T`F&O%l(5U+AD0?W@Q7*B+!$_*QPE{+II!6-{`a;0Jpm2 zdMy`|nNmF=mOZ40R9FtH(lq_xxmB7$QD7o_J?}o!g{)AelJ^hqz>2ciBAM-1p()?M z0uzJfzf3kKlhj5pbnOq=lcW1&^%kt0zv|`VpPulRK%}JiSAlqgDRVdAiea3Mnv-Bd zS~~;b#yC$`81R{^XHB5*LT>Zk^drw*{=2mFkG! zNXhj%)%A!jd%V|x`_e$CgT(>pU_x}Ijf2W;{f)P^wJy4jH?5U+*~_rH60VLZ?i^EM zB0{1gG8TD>sg7yd75R!%wY{!ZY|(u#a*^nOgT{j#ua1ecHE1+;4B|i>6QW*T0$j@L zx^PEA7*_$=uwFVNjMuDjr1|nrKJXpw78`6Iy1h(mEKmueKY=e+as9TjXN(J8onp&K zG=F?VaO*0UL6%eSq8Z|ck*)t~T)`c>;0f=P$ve*KL{kh^NN9=px{F8_<@XhBL;72> zOUmcQxm|h>_L(T{j37al{FY&<#>j6u3dQ9RRn81EB+Bbj&9$%VEM;?&9mkUo3!UJL znT(#+^HX0Vg3?5itxQl|3#Hf+3GhZ2r`~_HWBkuDUHHb zetWSM?9Obwrn5?LX1Xh-L~-BjW~@O1XXS!MR!sp*j5!htL|tHB={5E%ij7pp0(zRO z&|8~Wid)ZZ*dyFMB>C?`@ccE+b99v7SQ%xfFh=Tgf9z`6SqKVP6|AGuf1SFyz#-1i zs~lKMR+T9ojAKYteR1gv*%y6^PK{D3L3>B0Es!ITp}r660T;G@D;A!mf-=GysV@vf z--T&Fx#Lji7e%9~#Pz$YjcHo@pkOpBdgC5U1#kP=;YWWp0~4Ww|43I;-g*rV(mOV#YYHN|(h zmnZx}kn77=GeaFkTMlz-qXs2`CISH8zwUQ(oY&HP(K;{=%dJiSNScii!22;jn)f}K zDN>zOj7jp`RI&pUW|Crb+B7{OL{=WVSPAc&6=^P78~^bBSV=zs7m87UI`s{hBWltJ zm@-Y@&^%G&CAHg&7I_1L^nGhAJ>YU(SCz}B4fm`@fDpIkHs6ug~`K{R3f=JkXMqftE%D*tus_aWSL9#S<| z77f^sDPtUTR0o1D4h!#1_f6RkkTFduuoWCb7Pre-6Y|3*$5gW;zf&>tLr#Y;(dwx8 zE%*JDrzwaBfq5tjr8e?M4`pLRI0cF*lotTFf-u3NcdF$F{B)xm&8Gcu^zk4yggI3= zK%NDD7UvR_z^ybbr)(&b}3XPPx{XAo-l=}8uw*dqt%lk zg?t7`W3;KHM1t1C_>SMphGOSQpuD6{Zkq&;zX7ulO%2S+HMRK~(lNu=q$%?xt6Rju zR<6zVpah?Lw4>^VwIuuc2Lel9DR7A(1BQC3fQPkO=a*)>rF=gSEHxGCPw1d3bE1^K zthB)&x3-YDEkVR=>V&3}-yNP5^)fJ@`7JVaM=NRRxkxWO)UCmlMC!yON9RjPBKrxl zS!c;N83588VC2u+8$Uv&glgSk1k<@>4R3dM39cT45((k;? zqsh|M3%rqQ+M6(W&fqRRm2^!%+PK@(mnovWx7-ua&LF1;L{k?VrqB74iOaa*w3;{K z?f0>^QKFU}>I2Yv*OT}O4UjLvKRZ+Nge<*;z$*b;@uFE=MV!|(qCa@`*rE3`bV(Ws zHhH*AE(T$d8ToAJ6Mz}AAPgpvAyE=y_#jKO1Cp5SI$uS~q&Rkgr$)!~%6*s4P?C zjI7$rL2HW(0PLBLX$_^~JtYR)vBW$%RpNae%w3v((P#>au=EHrGz`{gU!uYc(gut> zfM$7B$`+=Ghw`UD(H}fYXbaQx2ScY?Tj2RdlSDaGs#ksN^shP$_pe|n421nm%`;sj zdRP^g9`l(BEz-4JsF)hKxZv(AlXh_|#(sYz;?)<)tZp31Gg8q z8S>r9Ct5$b7{}&nSjcG88`U_+S2;xPB{gXj=Y_}TTE1bKmMI3H=2PNOi@*^(sa%6( zy6P9VO>JJk9sBfHO%~#+d&X+u75zD^D`enH4{5Z1Je^`*Oe!|Ycv_+)7&r1)EhUGKVcQ6imt6Fql2K%9_^cA_-4MFosr*Go+*MrB`&V?6&OWH=8&ZM zBN5k}1__5V<&31M%Q}k+hltB(qZ+j7hjqfdiBgvdt*?DKSwmrc!6rl}YjtqkVonnC z0!L)wtUB6Pc0UGjEtM8~+~OA^g3ZIq)ooe2KgdQaAEcBdij8|;OvgE;AnQe1sb=&3 zJh5mH`;aomS$B(bNy^P<`a#$uB!WF5i?wS^MApW3H`UyK92#L!DQy_}R;z#qz!IFR zmc{`ZW0K|rL`#NvUK3*EgCa$1a8B86-+0oRic-rHfCS&jp_;ms_VjOTH*1NPYgC^5 z6f%%~FjbDeP;p)&P*x}{G_g8M!jXaUgN=+_E$q&6@An-)L;2aUf)33@1O&n+V>Eim z<6WlhR~&mq)(p`IE~!Au52-p^Y7uw=b1q85$_pXUi`xX()_~CxvQ3ZANH9|`2%9FQ zreH)W8bIl5HvY2GSsyiuT48~NP{emVml}`p7P>6j1w1p&67N_f;b@WQQ&WaSqfm*A z&H+>A;)jdVHEQ ze`ha~Bcb$2LOWXO^1gJ%SxG|tVOvY*Z3J`HHypfoy?3om$EbO|)#;I%fs($xJVH6HZ`!w_kJ|`lHKtb`_Bt+P zLSrcJry#VOb4a3G0GfPTxzU1#h3)U%1q4eSy#cOqb3HAqeEpZr2?fn=GRr%imfN2P z_{;0EKcEQOI2^AJPDmc>4>l+4?A}ZqW7F?AWxGKr0xS6{tlsH~-o%H?GBVoQe*8Ev zVC%X5!W%2*^XM(+lX93**0qYjsSP$w8Doa4e>3H=z57dPpR$!@cKf(>&9&8Qqwl~w z;$dyB#!I5f3ulSiEHX~{_e9S4&S?D3T+%!&Iz(4=do0c6y&N_0(O2$Nfx9D%{=t>pkKO=D2weAMz6aBatFW0TEB$d&~L)n=J8 z%nfN7pU07UQggxsx{{mY`S*vTMU9?n)H1VWDS5$ruoqTX2(g6rNgy~&NJxhJ+VXQZ zkJ}?v*UwMrf)#nM8gz7q77bjkT}q1G=^0;#r>ea2didUU|1tH(bUl42yrjgg{p_iy z)ctw8Vda(!b2miiz_jD>h-;PI^|w(_M{tYZ#igD2^3cg+Y_y=B=P%}L2`;}U=-tXQ zbM^`J_hb*IpsDZORBF-0=*;86(kOVKWA*nWSb~bm??JWs*7eyFveA)+X(0F@4|5@u zo^qSn1Eyt3|F6X+v@FjpQWvzQFY8bK*QyglMSQ@2?|dns<@~?yg)2q{|8wsD-`?=l z|G7Zsk2*sbS*SP>$M4`Ta_`Q5Esv)|tq@Gf#=UP8Ukw4Uz@gv3`t1+(+&m7K3CY(- zOINeto`(9i`XiOfK9if9GjL|I=)jF5y#MW0y-haVYFm@t>Q-uMY<=6+AL;k^zDSko z^)~mPVVn+@laC=_sF&|{`Q!D;N*h;=-D(@MdI$5)>Dh%FCDh|-xGkAV#|Ng!t=)(F z;lG}xWmQlEorPKd@gmesui1~v7W?_6YgEt|Q^NUqD!FeC!p?GXvU)`e z_B(h)zBl!y9n|T(I+`_p{kP&hFW{ zzpn2-SWd;imv5y#y~_8ovwd{EgumMz*8a46FL=8t}i#)Y%E$Za!_ziKVwN!-AH*hI$%WRy%}ho;Ok% z@@5`SmXX(la4M4#Z?kXq+LF!ceR-X(kF{7XE*aM40ZSFuUZ(~JZK<&pW7bR8=jnie zeg*H}Q5WC_Smagj+w!^L6rP&PW1#=e5+vLGv5rB#9#zj%eQifT*yz-E2eOqRxZ<{c zuYO_^3=wK!))%^c3ZK*WMQ^_~KByl7SRrjo0#%ZX42Ae6RbuXAK<9~|Hfba~s}Y^s`F0=vB)#-E(GY!wDGbW3|0thY28UHF2$MIoi^%xsm=>YPCp?|vQ zi08SzyW`_+f4)MZqB`vdFA|I+voR3>FHp)7kX5Af}Cy7YaEGdp5VTEwt}NV5r^vHY5W4@98>$aQ-6c7Z%f454(p%@0-1#~ zK*y!2vp1j{5 z7Tzjd#=WhZME-QN1cm?hpv^o`a9~Y)I`+|g=NG%fm-p}E&PeO>lzmVGRQ%0DnYIeJ z`s-ht1+iMRfNa%SW`%W!H*RSSs-0XEoy}7k4_vI^%u6kKk$-cysJ{KL}Kb_+l zs<8FgYh<2R6ZqTN+TtB%<*l>s>kl1Ax83)m$9{^M$p~_yls{YWjl5>Ql3d9QOFZ14 zE$RPZ*A*82_{{o(ePl%V!>$V!jsWJL$-95tpFiVY9NvG=`tt}Fm_P8be}?Ky@&8QP z{rma<%!GmYgSGo-#Jm*$UFm-#@BXvci-Xu-V&p%FIsb*k`_DRmxS0JJ@Gs5y@0I#P z=f4=V|E%)&+VK1bmA{gD|5@knjqUIsbpB56{b!}W*QoSADgA}y`_DRmZ=a3-pz|l$ z_y1Of6z+d-o({mD)J_q@NlrT&4$`!mL0Hva<1`}f4Zn(h7l0wM4I zZms&S%zrZD`}34T4F7D+_b-)yHPc^vk3ah5KLf$_A9`s;8N?SXAPfxd%g5xU({XqE HW9xqax#zjq