From 52f0ab07a2807cdaefa4b4ec725403e25e610bc8 Mon Sep 17 00:00:00 2001 From: Conor Patrick Date: Fri, 1 Jan 2016 15:34:52 -0500 Subject: [PATCH] bug fixes, started layout --- library/atmel_cryptoauth.lib | 2 +- library/discrete.lib | 30 ++- library/siliconlabs.lib | 4 +- u2f-zero-cache.lib | 114 ++++----- u2f-zero-rescue.dcm | 3 + u2f-zero-rescue.lib | 52 ++++ u2f-zero.kicad_pcb | 479 ++++++++++++++++++++++++++++++++++- u2f-zero.kicad_pcb-bak | 478 ++++++++++++++++++++++++++++++++++ u2f-zero.net | 252 ++++++++++++++++++ u2f-zero.pro | 73 +++--- u2f-zero.sch | 284 +++++++++++---------- 11 files changed, 1520 insertions(+), 251 deletions(-) create mode 100644 u2f-zero-rescue.dcm create mode 100644 u2f-zero-rescue.lib create mode 100644 u2f-zero.kicad_pcb-bak create mode 100644 u2f-zero.net diff --git a/library/atmel_cryptoauth.lib b/library/atmel_cryptoauth.lib index d195764..2ca3938 100644 --- a/library/atmel_cryptoauth.lib +++ b/library/atmel_cryptoauth.lib @@ -13,7 +13,7 @@ S -350 250 350 -250 1 1 4 N X NC 1 -600 150 276 R 39 39 1 1 P X NC 2 -600 50 276 R 39 39 1 1 P X NC 3 -600 -50 276 R 39 39 1 1 P -X GND 4 -600 -150 276 R 39 39 1 1 P +X GND 4 -600 -150 276 R 39 39 1 1 w X SDA 5 600 -150 276 L 39 39 1 1 P X SCL 6 600 -50 276 L 39 39 1 1 P X NC 7 600 50 276 L 39 39 1 1 P diff --git a/library/discrete.lib b/library/discrete.lib index 0dfd50d..9417b07 100644 --- a/library/discrete.lib +++ b/library/discrete.lib @@ -1,6 +1,34 @@ EESchema-LIBRARY Version 2.3 #encoding utf-8 # +# CA_RGB +# +DEF CA_RGB RGB 0 40 Y Y 1 F N +F0 "RGB" 250 150 60 H V C CNN +F1 "CA_RGB" -100 150 60 H V C CNN +F2 "" 0 50 60 H V C CNN +F3 "" 0 50 60 H V C CNN +DRAW +S -250 100 300 -500 0 1 0 N +P 2 0 1 0 -100 -350 -150 -350 N +P 2 0 1 0 -100 -300 -100 -400 N +P 2 0 1 0 -100 -200 -150 -200 N +P 2 0 1 0 -100 -150 -100 -250 N +P 2 0 1 0 -100 -50 -150 -50 N +P 2 0 1 0 -100 0 -100 -100 N +P 2 0 1 0 50 -200 0 -200 N +P 2 0 1 0 50 -200 100 -200 N +P 4 0 1 0 -100 -350 0 -300 0 -400 -100 -350 N +P 4 0 1 0 -100 -200 0 -150 0 -250 -100 -200 N +P 4 0 1 0 -100 -50 0 0 0 -100 -100 -50 N +P 5 0 1 0 0 -50 50 -50 50 -350 0 -350 50 -350 N +X R 1 -450 -50 200 R 50 50 1 1 P +X G 2 -450 -200 200 R 50 50 1 1 P +X B 3 -450 -350 200 R 50 50 1 1 P +X VCC 4 500 -200 200 L 50 50 1 1 P +ENDDRAW +ENDDEF +# # DF5A5.6JE # DEF DF5A5.6JE Z 0 40 Y Y 1 F N @@ -11,7 +39,7 @@ F3 "" 0 0 60 H V C CNN DRAW S -300 200 300 -200 0 1 0 N X C1 1 -200 300 79 D 50 50 1 1 I -X GND 2 0 300 79 D 50 50 1 1 I +X GND 2 0 300 79 D 50 50 1 1 B X C2 3 200 300 79 D 50 50 1 1 I X C3 4 -150 -300 79 U 50 50 1 1 I X C4 5 150 -300 79 U 50 50 1 1 I diff --git a/library/siliconlabs.lib b/library/siliconlabs.lib index 85f3ae4..5a89637 100644 --- a/library/siliconlabs.lib +++ b/library/siliconlabs.lib @@ -13,7 +13,7 @@ C -300 250 71 0 1 4 N S -550 550 550 -500 0 1 4 N X P0.1 1 -850 350 276 R 39 39 1 1 P X P0.0 2 -850 200 276 R 39 39 1 1 P -X GND 3 -850 50 276 R 39 39 1 1 P +X GND 3 -850 50 276 R 39 39 1 1 B X D+ 4 -850 -100 276 R 39 39 1 1 P X D- 5 -850 -250 276 R 39 39 1 1 P X VDD 6 -450 -800 276 U 39 39 1 1 P @@ -23,7 +23,7 @@ X RSTb/C2CK 9 0 -800 276 U 39 39 1 1 P X P2.0/C2D 10 150 -800 276 U 39 39 1 1 P X P0.2 20 -250 800 276 D 39 39 1 1 P X P1.2/SCL 11 850 -350 276 L 39 39 1 1 P -X GND 12 850 -200 276 L 39 39 1 1 P +X GND 12 850 -200 276 L 39 39 1 1 B X P1.1/SDA 13 850 -50 276 L 39 39 1 1 P X P1.0 14 850 100 276 L 39 39 1 1 P X P0.7 15 850 250 276 L 39 39 1 1 P diff --git a/u2f-zero-cache.lib b/u2f-zero-cache.lib index 1ab0dda..071b0ca 100644 --- a/u2f-zero-cache.lib +++ b/u2f-zero-cache.lib @@ -1,26 +1,11 @@ EESchema-LIBRARY Version 2.3 #encoding utf-8 # -# +5V +# ATECC508A-RESCUE-u2f-zero # -DEF +5V #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -150 50 H I C CNN -F1 "+5V" 0 140 50 H V C CNN -F2 "" 0 0 50 H V C CNN -F3 "" 0 0 50 H V C CNN -DRAW -P 2 0 1 0 -30 50 0 100 N -P 2 0 1 0 0 0 0 100 N -P 2 0 1 0 0 100 30 50 N -X +5V 1 0 0 0 U 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# ATECC508A -# -DEF ATECC508A A 0 40 Y Y 1 F N +DEF ATECC508A-RESCUE-u2f-zero A 0 40 Y Y 1 F N F0 "A" 300 300 60 H V C CNN -F1 "ATECC508A" -100 300 60 H V C CNN +F1 "ATECC508A-RESCUE-u2f-zero" -100 300 60 H V C CNN F2 "" -400 250 60 H V C CNN F3 "" -400 250 60 H V C CNN DRAW @@ -58,6 +43,34 @@ X ~ 2 0 -150 110 U 40 40 1 1 P ENDDRAW ENDDEF # +# CA_RGB-RESCUE-u2f-zero +# +DEF CA_RGB-RESCUE-u2f-zero RGB 0 40 Y Y 1 F N +F0 "RGB" 250 150 60 H V C CNN +F1 "CA_RGB-RESCUE-u2f-zero" -100 150 60 H V C CNN +F2 "" 0 50 60 H V C CNN +F3 "" 0 50 60 H V C CNN +DRAW +S -250 100 300 -500 0 1 0 N +P 2 0 1 0 -100 -350 -150 -350 N +P 2 0 1 0 -100 -300 -100 -400 N +P 2 0 1 0 -100 -200 -150 -200 N +P 2 0 1 0 -100 -150 -100 -250 N +P 2 0 1 0 -100 -50 -150 -50 N +P 2 0 1 0 -100 0 -100 -100 N +P 2 0 1 0 50 -200 0 -200 N +P 2 0 1 0 50 -200 100 -200 N +P 4 0 1 0 -100 -350 0 -300 0 -400 -100 -350 N +P 4 0 1 0 -100 -200 0 -150 0 -250 -100 -200 N +P 4 0 1 0 -100 -50 0 0 0 -100 -100 -50 N +P 5 0 1 0 0 -50 50 -50 50 -350 0 -350 50 -350 N +X R 1 -450 -50 200 R 50 50 1 1 I +X G 2 -450 -200 200 R 50 50 1 1 I +X B 3 -450 -350 200 R 50 50 1 1 I +X VCC 4 500 -200 200 L 50 50 1 1 I +ENDDRAW +ENDDEF +# # DF5A5.6JE # DEF DF5A5.6JE Z 0 40 Y Y 1 F N @@ -68,7 +81,7 @@ F3 "" 0 0 60 H V C CNN DRAW S -300 200 300 -200 0 1 0 N X C1 1 -200 300 79 D 50 50 1 1 I -X GND 2 0 300 79 D 50 50 1 1 I +X GND 2 0 300 79 D 50 50 1 1 B X C2 3 200 300 79 D 50 50 1 1 I X C3 4 -150 -300 79 U 50 50 1 1 I X C4 5 150 -300 79 U 50 50 1 1 I @@ -87,7 +100,7 @@ C -300 250 71 0 1 4 N S -550 550 550 -500 0 1 4 N X P0.1 1 -850 350 276 R 39 39 1 1 P X P0.0 2 -850 200 276 R 39 39 1 1 P -X GND 3 -850 50 276 R 39 39 1 1 P +X GND 3 -850 50 276 R 39 39 1 1 B X D+ 4 -850 -100 276 R 39 39 1 1 P X D- 5 -850 -250 276 R 39 39 1 1 P X VDD 6 -450 -800 276 U 39 39 1 1 P @@ -97,7 +110,7 @@ X RSTb/C2CK 9 0 -800 276 U 39 39 1 1 P X P2.0/C2D 10 150 -800 276 U 39 39 1 1 P X P0.2 20 -250 800 276 D 39 39 1 1 P X P1.2/SCL 11 850 -350 276 L 39 39 1 1 P -X GND 12 850 -200 276 L 39 39 1 1 P +X GND 12 850 -200 276 L 39 39 1 1 B X P1.1/SDA 13 850 -50 276 L 39 39 1 1 P X P1.0 14 850 100 276 L 39 39 1 1 P X P0.7 15 850 250 276 L 39 39 1 1 P @@ -108,62 +121,29 @@ X P0.3 19 -100 800 276 D 39 39 1 1 P ENDDRAW ENDDEF # -# GNDREF +# GND # -DEF GNDREF #PWR 0 0 Y Y 1 F P +DEF GND #PWR 0 0 Y Y 1 F P F0 "#PWR" 0 -250 50 H I C CNN -F1 "GNDREF" 0 -150 50 H V C CNN +F1 "GND" 0 -150 50 H V C CNN F2 "" 0 0 50 H V C CNN F3 "" 0 0 50 H V C CNN DRAW -P 2 0 1 0 -25 -75 25 -75 N -P 2 0 1 0 -5 -100 5 -100 N -P 2 0 1 0 0 -50 0 0 N -P 2 0 1 0 50 -50 -50 -50 N -X GNDREF 1 0 0 0 D 50 50 1 1 W N +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N ENDDRAW ENDDEF # -# LED_RGB +# PWR_FLAG # -DEF LED_RGB D 0 0 Y Y 1 F N -F0 "D" 0 425 50 H V C CNN -F1 "LED_RGB" 0 350 50 H V C CNN -F2 "" 0 -50 50 H V C CNN -F3 "" 0 -50 50 H V C CNN +DEF PWR_FLAG #FLG 0 0 N N 1 F P +F0 "#FLG" 0 95 50 H I C CNN +F1 "PWR_FLAG" 0 180 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN DRAW -T 0 -75 -250 50 0 0 0 B Normal 0 C C -T 0 -75 -50 50 0 0 0 G Normal 0 C C -T 0 -75 150 50 0 0 0 R Normal 0 C C -S 50 -50 50 50 0 1 0 N -S 50 50 50 50 0 1 0 N -S 50 150 50 250 0 1 0 N -S 50 250 50 250 0 1 0 N -S 100 300 -100 -300 0 1 0 f -P 2 0 1 0 -50 -200 -100 -200 N -P 2 0 1 0 -50 -150 -50 -250 N -P 2 0 1 0 -50 0 -100 0 N -P 2 0 1 0 -50 200 -100 200 N -P 2 0 1 0 50 -200 100 -200 N -P 2 0 1 0 50 0 100 0 N -P 2 0 1 0 50 200 100 200 N -P 3 0 1 0 -50 50 -50 -50 -50 -50 N -P 3 0 1 0 -50 250 -50 150 -50 150 N -P 4 0 1 0 -53 -116 -73 -116 -53 -126 -53 -126 N -P 4 0 1 0 -40 80 -60 80 -40 70 -40 70 N -P 4 0 1 0 -40 280 -60 280 -40 270 -40 270 N -P 5 0 1 0 20 -150 -30 -140 -20 -130 -60 -120 -60 -120 N -P 5 0 1 0 50 -150 50 -250 -50 -200 50 -150 50 -150 F -P 6 0 1 0 20 50 -30 60 -20 70 -60 80 -60 80 -60 80 N -P 6 0 1 0 20 250 -30 260 -20 270 -60 280 -60 280 -60 280 N -P 6 0 1 0 50 50 50 -50 -50 0 50 50 50 50 50 50 F -P 6 0 1 0 50 250 50 150 -50 200 50 250 50 250 50 250 F -X RC 1 -200 200 100 R 50 50 1 1 P -X GC 2 -200 0 100 R 50 50 1 1 P -X BC 3 -200 -200 100 R 50 50 1 1 P -X BA 4 200 -200 100 L 50 50 1 1 P -X GA 5 200 0 100 L 50 50 1 1 P -X RA 6 200 200 100 L 50 50 1 1 P +X pwr 1 0 0 0 U 50 50 0 0 w +P 6 0 1 0 0 0 0 50 -75 100 0 150 75 100 0 50 N ENDDRAW ENDDEF # diff --git a/u2f-zero-rescue.dcm b/u2f-zero-rescue.dcm new file mode 100644 index 0000000..5f3ed79 --- /dev/null +++ b/u2f-zero-rescue.dcm @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/u2f-zero-rescue.lib b/u2f-zero-rescue.lib new file mode 100644 index 0000000..6a67868 --- /dev/null +++ b/u2f-zero-rescue.lib @@ -0,0 +1,52 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# ATECC508A-RESCUE-u2f-zero +# +DEF ATECC508A-RESCUE-u2f-zero A 0 40 Y Y 1 F N +F0 "A" 300 300 60 H V C CNN +F1 "ATECC508A-RESCUE-u2f-zero" -100 300 60 H V C CNN +F2 "" -400 250 60 H V C CNN +F3 "" -400 250 60 H V C CNN +DRAW +S -350 250 350 -250 1 1 4 N +X NC 1 -600 150 276 R 39 39 1 1 P +X NC 2 -600 50 276 R 39 39 1 1 P +X NC 3 -600 -50 276 R 39 39 1 1 P +X GND 4 -600 -150 276 R 39 39 1 1 P +X SDA 5 600 -150 276 L 39 39 1 1 P +X SCL 6 600 -50 276 L 39 39 1 1 P +X NC 7 600 50 276 L 39 39 1 1 P +X VCC 8 600 150 276 L 39 39 1 1 P +ENDDRAW +ENDDEF +# +# CA_RGB-RESCUE-u2f-zero +# +DEF CA_RGB-RESCUE-u2f-zero RGB 0 40 Y Y 1 F N +F0 "RGB" 250 150 60 H V C CNN +F1 "CA_RGB-RESCUE-u2f-zero" -100 150 60 H V C CNN +F2 "" 0 50 60 H V C CNN +F3 "" 0 50 60 H V C CNN +DRAW +S -250 100 300 -500 0 1 0 N +P 2 0 1 0 -100 -350 -150 -350 N +P 2 0 1 0 -100 -300 -100 -400 N +P 2 0 1 0 -100 -200 -150 -200 N +P 2 0 1 0 -100 -150 -100 -250 N +P 2 0 1 0 -100 -50 -150 -50 N +P 2 0 1 0 -100 0 -100 -100 N +P 2 0 1 0 50 -200 0 -200 N +P 2 0 1 0 50 -200 100 -200 N +P 4 0 1 0 -100 -350 0 -300 0 -400 -100 -350 N +P 4 0 1 0 -100 -200 0 -150 0 -250 -100 -200 N +P 4 0 1 0 -100 -50 0 0 0 -100 -100 -50 N +P 5 0 1 0 0 -50 50 -50 50 -350 0 -350 50 -350 N +X R 1 -450 -50 200 R 50 50 1 1 I +X G 2 -450 -200 200 R 50 50 1 1 I +X B 3 -450 -350 200 R 50 50 1 1 I +X VCC 4 500 -200 200 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +#End Library diff --git a/u2f-zero.kicad_pcb b/u2f-zero.kicad_pcb index 02c8ecb..ea58715 100644 --- a/u2f-zero.kicad_pcb +++ b/u2f-zero.kicad_pcb @@ -1 +1,478 @@ -(kicad_pcb (version 4) (host kicad "dummy file") ) +(kicad_pcb (version 4) (host pcbnew 4.0.1-3.201512221402+6198~38~ubuntu15.04.1-stable) + + (general + (links 27) + (no_connects 27) + (area 0 0 0 0) + (thickness 1.6) + (drawings 0) + (tracks 1) + (zones 0) + (modules 10) + (nets 25) + ) + + (page A4) + (layers + (0 F.Cu signal) + (31 B.Cu signal) + (32 B.Adhes user) + (33 F.Adhes user) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) + (44 Edge.Cuts user) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user) + (48 B.Fab user) + (49 F.Fab user) + ) + + (setup + (last_trace_width 0.25) + (trace_clearance 0.2) + (zone_clearance 0.508) + (zone_45_only no) + (trace_min 0.2) + (segment_width 0.2) + (edge_width 0.15) + (via_size 0.6) + (via_drill 0.4) + (via_min_size 0.4) + (via_min_drill 0.3) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.15) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.524 1.524) + (pad_drill 0.762) + (pad_to_mask_clearance 0.2) + (aux_axis_origin 0 0) + (visible_elements FFFFFF5F) + (pcbplotparams + (layerselection 0x00030_80000001) + (usegerberextensions false) + (excludeedgelayer true) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15) + (hpglpenoverlay 2) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 1) + (scaleselection 1) + (outputdirectory "")) + ) + + (net 0 "") + (net 1 /+5V) + (net 2 "Net-(A1-Pad7)") + (net 3 "Net-(A1-Pad6)") + (net 4 "Net-(A1-Pad5)") + (net 5 GND) + (net 6 "Net-(A1-Pad3)") + (net 7 "Net-(A1-Pad2)") + (net 8 "Net-(A1-Pad1)") + (net 9 /+3.3V) + (net 10 "Net-(E1-Pad1)") + (net 11 "Net-(E1-Pad2)") + (net 12 /HD+) + (net 13 /HD-) + (net 14 "Net-(E1-Pad8)") + (net 15 "Net-(E1-Pad9)") + (net 16 "Net-(E1-Pad10)") + (net 17 "Net-(E1-Pad14)") + (net 18 "Net-(E1-Pad15)") + (net 19 "Net-(E1-Pad16)") + (net 20 "Net-(E1-Pad17)") + (net 21 "Net-(E1-Pad18)") + (net 22 "Net-(E1-Pad19)") + (net 23 "Net-(R1-Pad2)") + (net 24 "Net-(Z1-Pad5)") + + (net_class Default "This is the default net class." + (clearance 0.2) + (trace_width 0.25) + (via_dia 0.6) + (via_drill 0.4) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net /+3.3V) + (add_net /+5V) + (add_net /HD+) + (add_net /HD-) + (add_net GND) + (add_net "Net-(A1-Pad1)") + (add_net "Net-(A1-Pad2)") + (add_net "Net-(A1-Pad3)") + (add_net "Net-(A1-Pad5)") + (add_net "Net-(A1-Pad6)") + (add_net "Net-(A1-Pad7)") + (add_net "Net-(E1-Pad1)") + (add_net "Net-(E1-Pad10)") + (add_net "Net-(E1-Pad14)") + (add_net "Net-(E1-Pad15)") + (add_net "Net-(E1-Pad16)") + (add_net "Net-(E1-Pad17)") + (add_net "Net-(E1-Pad18)") + (add_net "Net-(E1-Pad19)") + (add_net "Net-(E1-Pad2)") + (add_net "Net-(E1-Pad8)") + (add_net "Net-(E1-Pad9)") + (add_net "Net-(R1-Pad2)") + (add_net "Net-(Z1-Pad5)") + ) + + (module SMD_Packages:SOIC-8-N (layer F.Cu) (tedit 0) (tstamp 5686DC0B) + (at 163.83 96.52) + (descr "Module Narrow CMS SOJ 8 pins large") + (tags "CMS SOJ") + (path /56857313) + (attr smd) + (fp_text reference A1 (at 0 -1.27) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value ATECC508A (at 0 1.27) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.54 -2.286) (end 2.54 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 -2.286) (end 2.54 2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 2.286) (end -2.54 2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.54 2.286) (end -2.54 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.54 -0.762) (end -2.032 -0.762) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.032 -0.762) (end -2.032 0.508) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.032 0.508) (end -2.54 0.508) (layer F.SilkS) (width 0.15)) + (pad 8 smd rect (at -1.905 -3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 1 /+5V)) + (pad 7 smd rect (at -0.635 -3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 2 "Net-(A1-Pad7)")) + (pad 6 smd rect (at 0.635 -3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 3 "Net-(A1-Pad6)")) + (pad 5 smd rect (at 1.905 -3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 4 "Net-(A1-Pad5)")) + (pad 4 smd rect (at 1.905 3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 3 smd rect (at 0.635 3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 6 "Net-(A1-Pad3)")) + (pad 2 smd rect (at -0.635 3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 7 "Net-(A1-Pad2)")) + (pad 1 smd rect (at -1.905 3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 8 "Net-(A1-Pad1)")) + (model SMD_Packages.3dshapes/SOIC-8-N.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.5 0.38 0.5)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0402 (layer F.Cu) (tedit 5415D599) (tstamp 5686DC11) + (at 149.86 97.79 90) + (descr "Capacitor SMD 0402, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0402") + (path /56858041) + (attr smd) + (fp_text reference C1 (at 0 -1.7 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.7 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.15 -0.6) (end 1.15 -0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.15 0.6) (end 1.15 0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.15 -0.6) (end -1.15 0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.15 -0.6) (end 1.15 0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.25 -0.475) (end -0.25 -0.475) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 0.475) (end 0.25 0.475) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.55 0 90) (size 0.6 0.5) (layers F.Cu F.Paste F.Mask) + (net 9 /+3.3V)) + (pad 2 smd rect (at 0.55 0 90) (size 0.6 0.5) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0402.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 5686DC17) + (at 147.32 97.79 90) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /5685809E) + (attr smd) + (fp_text reference C2 (at 0 -1.9 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 4.7uF (at 0 1.9 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.45 0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.45 -0.75) (end -1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.45 -0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 9 /+3.3V)) + (pad 2 smd rect (at 0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0402 (layer F.Cu) (tedit 5415D599) (tstamp 5686DC1D) + (at 153.67 102.87) + (descr "Capacitor SMD 0402, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0402") + (path /56857DEB) + (attr smd) + (fp_text reference C3 (at 0 -1.7) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.15 -0.6) (end 1.15 -0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.15 0.6) (end 1.15 0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.15 -0.6) (end -1.15 0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.15 -0.6) (end 1.15 0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.25 -0.475) (end -0.25 -0.475) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 0.475) (end 0.25 0.475) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.55 0) (size 0.6 0.5) (layers F.Cu F.Paste F.Mask) + (net 1 /+5V)) + (pad 2 smd rect (at 0.55 0) (size 0.6 0.5) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0402.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 5686DC23) + (at 153.67 100.33 180) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /56857E44) + (attr smd) + (fp_text reference C4 (at 0 -1.9 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 4.7uF (at 0 1.9 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.45 0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.45 -0.75) (end -1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.45 -0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 2 smd rect (at 0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 1 /+5V)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module footprints:EFM8UB1 (layer F.Cu) (tedit 5686C774) (tstamp 5686DC3C) + (at 152.4 95.25) + (descr 13) + (path /56857441) + (fp_text reference E1 (at 0 -1.5) (layer F.SilkS) + (effects (font (size 0.5 0.5) (thickness 0.1))) + ) + (fp_text value EFM8UB1 (at 3 -1.5) (layer F.Fab) hide + (effects (font (size 0.5 0.5) (thickness 0.1))) + ) + (fp_circle (center -0.5 -0.5) (end -0.4 -0.6) (layer F.SilkS) (width 0.03)) + (fp_line (start -1 -1) (end 3.5 -1) (layer F.SilkS) (width 0.03)) + (fp_line (start 3.5 -1) (end 3.5 3.5) (layer F.SilkS) (width 0.03)) + (fp_line (start 3.5 3.5) (end -1 3.5) (layer F.SilkS) (width 0.03)) + (fp_line (start -1 3.5) (end -1 -1) (layer F.SilkS) (width 0.03)) + (pad 6 smd rect (at 0 2.5) (size 0.3 0.3) (layers F.Cu F.Paste F.Mask) + (net 9 /+3.3V)) + (pad 1 smd rect (at 0 0) (size 0.3 0.3) (layers F.Cu F.Paste F.Mask) + (net 10 "Net-(E1-Pad1)")) + (pad 2 smd rect (at 0 0.5 90) (size 0.3 0.9) (drill (offset 0 -0.3)) (layers F.Cu F.Paste F.Mask) + (net 11 "Net-(E1-Pad2)")) + (pad 3 smd rect (at 0 1 90) (size 0.3 0.9) (drill (offset 0 -0.3)) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 4 smd rect (at 0 1.5 90) (size 0.3 0.9) (drill (offset 0 -0.3)) (layers F.Cu F.Paste F.Mask) + (net 12 /HD+)) + (pad 5 smd rect (at 0 2 90) (size 0.3 0.9) (drill (offset 0 -0.3)) (layers F.Cu F.Paste F.Mask) + (net 13 /HD-)) + (pad 7 smd rect (at 0.5 2.5) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 1 /+5V)) + (pad 8 smd rect (at 1 2.5) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 14 "Net-(E1-Pad8)")) + (pad 9 smd rect (at 1.5 2.5) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 15 "Net-(E1-Pad9)")) + (pad 10 smd rect (at 2 2.5) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 16 "Net-(E1-Pad10)")) + (pad 11 smd rect (at 2.5 2.5) (size 0.3 0.3) (layers F.Cu F.Paste F.Mask) + (net 3 "Net-(A1-Pad6)")) + (pad 12 smd rect (at 2.5 2 90) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 13 smd rect (at 2.5 1.5 90) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 4 "Net-(A1-Pad5)")) + (pad 14 smd rect (at 2.5 1 90) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 17 "Net-(E1-Pad14)")) + (pad 15 smd rect (at 2.5 0.5 90) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 18 "Net-(E1-Pad15)")) + (pad 16 smd rect (at 2.5 0 90) (size 0.3 0.3) (layers F.Cu F.Paste F.Mask) + (net 19 "Net-(E1-Pad16)")) + (pad 17 smd rect (at 2 0 180) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 20 "Net-(E1-Pad17)")) + (pad 18 smd rect (at 1.5 0 180) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 21 "Net-(E1-Pad18)")) + (pad 19 smd rect (at 1 0 180) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 22 "Net-(E1-Pad19)")) + (pad 20 smd rect (at 0.5 0 180) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 22 "Net-(E1-Pad19)")) + (pad 21 smd rect (at 1.25 1.25 180) (size 1.8 1.8) (layers F.Cu F.Paste F.Mask)) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 5686DC42) + (at 161.29 87.63 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /56857B9B) + (attr smd) + (fp_text reference R1 (at 0 -1.9 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100 (at 0 1.9 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.3 -0.8) (end -1.3 0.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.3 -0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 1 /+5V)) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 23 "Net-(R1-Pad2)")) + (model Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module footprints:LED-0606 (layer F.Cu) (tedit 5686CF1E) (tstamp 5686DC4A) + (at 157.48 87.63) + (path /5686DEFD) + (fp_text reference RGB1 (at 0.50546 -1.18872) (layer F.SilkS) + (effects (font (size 0.4 0.4) (thickness 0.1))) + ) + (fp_text value CA_RGB (at 0.4445 2.42824) (layer F.Fab) hide + (effects (font (size 0.4 0.4) (thickness 0.1))) + ) + (fp_line (start -0.508 -0.635) (end 1.397 -0.635) (layer F.SilkS) (width 0.03)) + (fp_line (start 1.397 -0.635) (end 1.397 2.032) (layer F.SilkS) (width 0.03)) + (fp_line (start 1.397 2.032) (end -0.508 2.032) (layer F.SilkS) (width 0.03)) + (fp_line (start -0.508 2.032) (end -0.508 -0.635) (layer F.SilkS) (width 0.03)) + (pad 1 smd rect (at 0 0) (size 0.65 0.85) (layers F.Cu F.Paste F.Mask) + (net 21 "Net-(E1-Pad18)")) + (pad 2 smd rect (at 0.85 0) (size 0.65 0.85) (layers F.Cu F.Paste F.Mask) + (net 20 "Net-(E1-Pad17)")) + (pad 3 smd rect (at 0.85 1.45) (size 0.65 0.85) (layers F.Cu F.Paste F.Mask) + (net 19 "Net-(E1-Pad16)")) + (pad 4 smd rect (at 0 1.45) (size 0.65 0.85) (layers F.Cu F.Paste F.Mask) + (net 23 "Net-(R1-Pad2)")) + ) + + (module Buttons_Switches_ThroughHole:SW_PUSH_SMALL (layer F.Cu) (tedit 0) (tstamp 5686DC52) + (at 149.86 88.9 90) + (path /5685E9F9) + (fp_text reference SW1 (at 0 -0.762 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SW_PUSH (at 0 1.016 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center 0 0) (end 0 -2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.81 -3.81) (end 3.81 -3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.81 -3.81) (end 3.81 3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.81 3.81) (end -3.81 3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.81 -3.81) (end -3.81 3.81) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole circle (at 3.81 -2.54 90) (size 1.397 1.397) (drill 0.8128) (layers *.Cu *.Mask F.SilkS) + (net 5 GND)) + (pad 2 thru_hole circle (at 3.81 2.54 90) (size 1.397 1.397) (drill 0.8128) (layers *.Cu *.Mask F.SilkS) + (net 22 "Net-(E1-Pad19)")) + (pad 1 thru_hole circle (at -3.81 -2.54 90) (size 1.397 1.397) (drill 0.8128) (layers *.Cu *.Mask F.SilkS) + (net 5 GND)) + (pad 2 thru_hole circle (at -3.81 2.54 90) (size 1.397 1.397) (drill 0.8128) (layers *.Cu *.Mask F.SilkS) + (net 22 "Net-(E1-Pad19)")) + ) + + (module TO_SOT_Packages_SMD:SOT-553 (layer F.Cu) (tedit 0) (tstamp 5686DC5B) + (at 149.86 100.33 180) + (descr SOT553) + (path /56857EAF) + (attr smd) + (fp_text reference Z1 (at 0 -1.19888 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value DF5A5.6JE (at 0 1.34874 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.04902 -0.8509) (end 1.04902 -0.8509) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.04902 -0.8509) (end 1.04902 0.8509) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.04902 0.8509) (end -1.04902 0.8509) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.04902 0.8509) (end -1.04902 -0.8509) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.70104 -0.50038 180) (size 0.44958 0.29972) (layers F.Cu F.Paste F.Mask) + (net 13 /HD-)) + (pad 3 smd rect (at -0.70104 0.50038 180) (size 0.44958 0.29972) (layers F.Cu F.Paste F.Mask) + (net 12 /HD+)) + (pad 5 smd rect (at 0.70104 -0.50038 180) (size 0.44958 0.29972) (layers F.Cu F.Paste F.Mask) + (net 24 "Net-(Z1-Pad5)")) + (pad 2 smd rect (at -0.70104 0 180) (size 0.44958 0.29972) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 4 smd rect (at 0.70104 0.50038 180) (size 0.44958 0.29972) (layers F.Cu F.Paste F.Mask) + (net 1 /+5V)) + (model TO_SOT_Packages_SMD.3dshapes/SOT-553.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.77 0.65 0.7)) + (rotate (xyz 0 0 90)) + ) + ) + + (segment (start 152.9 98.56) (end 152.9 97.75) (width 0.25) (layer F.Cu) (net 1) (tstamp 5686E058)) + +) diff --git a/u2f-zero.kicad_pcb-bak b/u2f-zero.kicad_pcb-bak new file mode 100644 index 0000000..ea58715 --- /dev/null +++ b/u2f-zero.kicad_pcb-bak @@ -0,0 +1,478 @@ +(kicad_pcb (version 4) (host pcbnew 4.0.1-3.201512221402+6198~38~ubuntu15.04.1-stable) + + (general + (links 27) + (no_connects 27) + (area 0 0 0 0) + (thickness 1.6) + (drawings 0) + (tracks 1) + (zones 0) + (modules 10) + (nets 25) + ) + + (page A4) + (layers + (0 F.Cu signal) + (31 B.Cu signal) + (32 B.Adhes user) + (33 F.Adhes user) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) + (44 Edge.Cuts user) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user) + (48 B.Fab user) + (49 F.Fab user) + ) + + (setup + (last_trace_width 0.25) + (trace_clearance 0.2) + (zone_clearance 0.508) + (zone_45_only no) + (trace_min 0.2) + (segment_width 0.2) + (edge_width 0.15) + (via_size 0.6) + (via_drill 0.4) + (via_min_size 0.4) + (via_min_drill 0.3) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.15) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.524 1.524) + (pad_drill 0.762) + (pad_to_mask_clearance 0.2) + (aux_axis_origin 0 0) + (visible_elements FFFFFF5F) + (pcbplotparams + (layerselection 0x00030_80000001) + (usegerberextensions false) + (excludeedgelayer true) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15) + (hpglpenoverlay 2) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 1) + (scaleselection 1) + (outputdirectory "")) + ) + + (net 0 "") + (net 1 /+5V) + (net 2 "Net-(A1-Pad7)") + (net 3 "Net-(A1-Pad6)") + (net 4 "Net-(A1-Pad5)") + (net 5 GND) + (net 6 "Net-(A1-Pad3)") + (net 7 "Net-(A1-Pad2)") + (net 8 "Net-(A1-Pad1)") + (net 9 /+3.3V) + (net 10 "Net-(E1-Pad1)") + (net 11 "Net-(E1-Pad2)") + (net 12 /HD+) + (net 13 /HD-) + (net 14 "Net-(E1-Pad8)") + (net 15 "Net-(E1-Pad9)") + (net 16 "Net-(E1-Pad10)") + (net 17 "Net-(E1-Pad14)") + (net 18 "Net-(E1-Pad15)") + (net 19 "Net-(E1-Pad16)") + (net 20 "Net-(E1-Pad17)") + (net 21 "Net-(E1-Pad18)") + (net 22 "Net-(E1-Pad19)") + (net 23 "Net-(R1-Pad2)") + (net 24 "Net-(Z1-Pad5)") + + (net_class Default "This is the default net class." + (clearance 0.2) + (trace_width 0.25) + (via_dia 0.6) + (via_drill 0.4) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net /+3.3V) + (add_net /+5V) + (add_net /HD+) + (add_net /HD-) + (add_net GND) + (add_net "Net-(A1-Pad1)") + (add_net "Net-(A1-Pad2)") + (add_net "Net-(A1-Pad3)") + (add_net "Net-(A1-Pad5)") + (add_net "Net-(A1-Pad6)") + (add_net "Net-(A1-Pad7)") + (add_net "Net-(E1-Pad1)") + (add_net "Net-(E1-Pad10)") + (add_net "Net-(E1-Pad14)") + (add_net "Net-(E1-Pad15)") + (add_net "Net-(E1-Pad16)") + (add_net "Net-(E1-Pad17)") + (add_net "Net-(E1-Pad18)") + (add_net "Net-(E1-Pad19)") + (add_net "Net-(E1-Pad2)") + (add_net "Net-(E1-Pad8)") + (add_net "Net-(E1-Pad9)") + (add_net "Net-(R1-Pad2)") + (add_net "Net-(Z1-Pad5)") + ) + + (module SMD_Packages:SOIC-8-N (layer F.Cu) (tedit 0) (tstamp 5686DC0B) + (at 163.83 96.52) + (descr "Module Narrow CMS SOJ 8 pins large") + (tags "CMS SOJ") + (path /56857313) + (attr smd) + (fp_text reference A1 (at 0 -1.27) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value ATECC508A (at 0 1.27) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.54 -2.286) (end 2.54 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 -2.286) (end 2.54 2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 2.286) (end -2.54 2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.54 2.286) (end -2.54 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.54 -0.762) (end -2.032 -0.762) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.032 -0.762) (end -2.032 0.508) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.032 0.508) (end -2.54 0.508) (layer F.SilkS) (width 0.15)) + (pad 8 smd rect (at -1.905 -3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 1 /+5V)) + (pad 7 smd rect (at -0.635 -3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 2 "Net-(A1-Pad7)")) + (pad 6 smd rect (at 0.635 -3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 3 "Net-(A1-Pad6)")) + (pad 5 smd rect (at 1.905 -3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 4 "Net-(A1-Pad5)")) + (pad 4 smd rect (at 1.905 3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 3 smd rect (at 0.635 3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 6 "Net-(A1-Pad3)")) + (pad 2 smd rect (at -0.635 3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 7 "Net-(A1-Pad2)")) + (pad 1 smd rect (at -1.905 3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 8 "Net-(A1-Pad1)")) + (model SMD_Packages.3dshapes/SOIC-8-N.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.5 0.38 0.5)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0402 (layer F.Cu) (tedit 5415D599) (tstamp 5686DC11) + (at 149.86 97.79 90) + (descr "Capacitor SMD 0402, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0402") + (path /56858041) + (attr smd) + (fp_text reference C1 (at 0 -1.7 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.7 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.15 -0.6) (end 1.15 -0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.15 0.6) (end 1.15 0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.15 -0.6) (end -1.15 0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.15 -0.6) (end 1.15 0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.25 -0.475) (end -0.25 -0.475) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 0.475) (end 0.25 0.475) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.55 0 90) (size 0.6 0.5) (layers F.Cu F.Paste F.Mask) + (net 9 /+3.3V)) + (pad 2 smd rect (at 0.55 0 90) (size 0.6 0.5) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0402.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 5686DC17) + (at 147.32 97.79 90) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /5685809E) + (attr smd) + (fp_text reference C2 (at 0 -1.9 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 4.7uF (at 0 1.9 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.45 0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.45 -0.75) (end -1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.45 -0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 9 /+3.3V)) + (pad 2 smd rect (at 0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0402 (layer F.Cu) (tedit 5415D599) (tstamp 5686DC1D) + (at 153.67 102.87) + (descr "Capacitor SMD 0402, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0402") + (path /56857DEB) + (attr smd) + (fp_text reference C3 (at 0 -1.7) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.15 -0.6) (end 1.15 -0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.15 0.6) (end 1.15 0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.15 -0.6) (end -1.15 0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.15 -0.6) (end 1.15 0.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.25 -0.475) (end -0.25 -0.475) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 0.475) (end 0.25 0.475) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.55 0) (size 0.6 0.5) (layers F.Cu F.Paste F.Mask) + (net 1 /+5V)) + (pad 2 smd rect (at 0.55 0) (size 0.6 0.5) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0402.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 5415D631) (tstamp 5686DC23) + (at 153.67 100.33 180) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /56857E44) + (attr smd) + (fp_text reference C4 (at 0 -1.9 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 4.7uF (at 0 1.9 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.45 -0.75) (end 1.45 -0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.45 0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.45 -0.75) (end -1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.45 -0.75) (end 1.45 0.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 2 smd rect (at 0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 1 /+5V)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module footprints:EFM8UB1 (layer F.Cu) (tedit 5686C774) (tstamp 5686DC3C) + (at 152.4 95.25) + (descr 13) + (path /56857441) + (fp_text reference E1 (at 0 -1.5) (layer F.SilkS) + (effects (font (size 0.5 0.5) (thickness 0.1))) + ) + (fp_text value EFM8UB1 (at 3 -1.5) (layer F.Fab) hide + (effects (font (size 0.5 0.5) (thickness 0.1))) + ) + (fp_circle (center -0.5 -0.5) (end -0.4 -0.6) (layer F.SilkS) (width 0.03)) + (fp_line (start -1 -1) (end 3.5 -1) (layer F.SilkS) (width 0.03)) + (fp_line (start 3.5 -1) (end 3.5 3.5) (layer F.SilkS) (width 0.03)) + (fp_line (start 3.5 3.5) (end -1 3.5) (layer F.SilkS) (width 0.03)) + (fp_line (start -1 3.5) (end -1 -1) (layer F.SilkS) (width 0.03)) + (pad 6 smd rect (at 0 2.5) (size 0.3 0.3) (layers F.Cu F.Paste F.Mask) + (net 9 /+3.3V)) + (pad 1 smd rect (at 0 0) (size 0.3 0.3) (layers F.Cu F.Paste F.Mask) + (net 10 "Net-(E1-Pad1)")) + (pad 2 smd rect (at 0 0.5 90) (size 0.3 0.9) (drill (offset 0 -0.3)) (layers F.Cu F.Paste F.Mask) + (net 11 "Net-(E1-Pad2)")) + (pad 3 smd rect (at 0 1 90) (size 0.3 0.9) (drill (offset 0 -0.3)) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 4 smd rect (at 0 1.5 90) (size 0.3 0.9) (drill (offset 0 -0.3)) (layers F.Cu F.Paste F.Mask) + (net 12 /HD+)) + (pad 5 smd rect (at 0 2 90) (size 0.3 0.9) (drill (offset 0 -0.3)) (layers F.Cu F.Paste F.Mask) + (net 13 /HD-)) + (pad 7 smd rect (at 0.5 2.5) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 1 /+5V)) + (pad 8 smd rect (at 1 2.5) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 14 "Net-(E1-Pad8)")) + (pad 9 smd rect (at 1.5 2.5) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 15 "Net-(E1-Pad9)")) + (pad 10 smd rect (at 2 2.5) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 16 "Net-(E1-Pad10)")) + (pad 11 smd rect (at 2.5 2.5) (size 0.3 0.3) (layers F.Cu F.Paste F.Mask) + (net 3 "Net-(A1-Pad6)")) + (pad 12 smd rect (at 2.5 2 90) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 13 smd rect (at 2.5 1.5 90) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 4 "Net-(A1-Pad5)")) + (pad 14 smd rect (at 2.5 1 90) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 17 "Net-(E1-Pad14)")) + (pad 15 smd rect (at 2.5 0.5 90) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 18 "Net-(E1-Pad15)")) + (pad 16 smd rect (at 2.5 0 90) (size 0.3 0.3) (layers F.Cu F.Paste F.Mask) + (net 19 "Net-(E1-Pad16)")) + (pad 17 smd rect (at 2 0 180) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 20 "Net-(E1-Pad17)")) + (pad 18 smd rect (at 1.5 0 180) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 21 "Net-(E1-Pad18)")) + (pad 19 smd rect (at 1 0 180) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 22 "Net-(E1-Pad19)")) + (pad 20 smd rect (at 0.5 0 180) (size 0.3 0.9) (drill (offset 0 0.3)) (layers F.Cu F.Paste F.Mask) + (net 22 "Net-(E1-Pad19)")) + (pad 21 smd rect (at 1.25 1.25 180) (size 1.8 1.8) (layers F.Cu F.Paste F.Mask)) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 5415CC62) (tstamp 5686DC42) + (at 161.29 87.63 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /56857B9B) + (attr smd) + (fp_text reference R1 (at 0 -1.9 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100 (at 0 1.9 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.3 -0.8) (end 1.3 -0.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.3 0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.3 -0.8) (end -1.3 0.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.3 -0.8) (end 1.3 0.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.5 0.675) (end -0.5 0.675) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.5 -0.675) (end 0.5 -0.675) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 1 /+5V)) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 23 "Net-(R1-Pad2)")) + (model Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module footprints:LED-0606 (layer F.Cu) (tedit 5686CF1E) (tstamp 5686DC4A) + (at 157.48 87.63) + (path /5686DEFD) + (fp_text reference RGB1 (at 0.50546 -1.18872) (layer F.SilkS) + (effects (font (size 0.4 0.4) (thickness 0.1))) + ) + (fp_text value CA_RGB (at 0.4445 2.42824) (layer F.Fab) hide + (effects (font (size 0.4 0.4) (thickness 0.1))) + ) + (fp_line (start -0.508 -0.635) (end 1.397 -0.635) (layer F.SilkS) (width 0.03)) + (fp_line (start 1.397 -0.635) (end 1.397 2.032) (layer F.SilkS) (width 0.03)) + (fp_line (start 1.397 2.032) (end -0.508 2.032) (layer F.SilkS) (width 0.03)) + (fp_line (start -0.508 2.032) (end -0.508 -0.635) (layer F.SilkS) (width 0.03)) + (pad 1 smd rect (at 0 0) (size 0.65 0.85) (layers F.Cu F.Paste F.Mask) + (net 21 "Net-(E1-Pad18)")) + (pad 2 smd rect (at 0.85 0) (size 0.65 0.85) (layers F.Cu F.Paste F.Mask) + (net 20 "Net-(E1-Pad17)")) + (pad 3 smd rect (at 0.85 1.45) (size 0.65 0.85) (layers F.Cu F.Paste F.Mask) + (net 19 "Net-(E1-Pad16)")) + (pad 4 smd rect (at 0 1.45) (size 0.65 0.85) (layers F.Cu F.Paste F.Mask) + (net 23 "Net-(R1-Pad2)")) + ) + + (module Buttons_Switches_ThroughHole:SW_PUSH_SMALL (layer F.Cu) (tedit 0) (tstamp 5686DC52) + (at 149.86 88.9 90) + (path /5685E9F9) + (fp_text reference SW1 (at 0 -0.762 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SW_PUSH (at 0 1.016 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center 0 0) (end 0 -2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.81 -3.81) (end 3.81 -3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.81 -3.81) (end 3.81 3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.81 3.81) (end -3.81 3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.81 -3.81) (end -3.81 3.81) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole circle (at 3.81 -2.54 90) (size 1.397 1.397) (drill 0.8128) (layers *.Cu *.Mask F.SilkS) + (net 5 GND)) + (pad 2 thru_hole circle (at 3.81 2.54 90) (size 1.397 1.397) (drill 0.8128) (layers *.Cu *.Mask F.SilkS) + (net 22 "Net-(E1-Pad19)")) + (pad 1 thru_hole circle (at -3.81 -2.54 90) (size 1.397 1.397) (drill 0.8128) (layers *.Cu *.Mask F.SilkS) + (net 5 GND)) + (pad 2 thru_hole circle (at -3.81 2.54 90) (size 1.397 1.397) (drill 0.8128) (layers *.Cu *.Mask F.SilkS) + (net 22 "Net-(E1-Pad19)")) + ) + + (module TO_SOT_Packages_SMD:SOT-553 (layer F.Cu) (tedit 0) (tstamp 5686DC5B) + (at 149.86 100.33 180) + (descr SOT553) + (path /56857EAF) + (attr smd) + (fp_text reference Z1 (at 0 -1.19888 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value DF5A5.6JE (at 0 1.34874 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.04902 -0.8509) (end 1.04902 -0.8509) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.04902 -0.8509) (end 1.04902 0.8509) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.04902 0.8509) (end -1.04902 0.8509) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.04902 0.8509) (end -1.04902 -0.8509) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.70104 -0.50038 180) (size 0.44958 0.29972) (layers F.Cu F.Paste F.Mask) + (net 13 /HD-)) + (pad 3 smd rect (at -0.70104 0.50038 180) (size 0.44958 0.29972) (layers F.Cu F.Paste F.Mask) + (net 12 /HD+)) + (pad 5 smd rect (at 0.70104 -0.50038 180) (size 0.44958 0.29972) (layers F.Cu F.Paste F.Mask) + (net 24 "Net-(Z1-Pad5)")) + (pad 2 smd rect (at -0.70104 0 180) (size 0.44958 0.29972) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 4 smd rect (at 0.70104 0.50038 180) (size 0.44958 0.29972) (layers F.Cu F.Paste F.Mask) + (net 1 /+5V)) + (model TO_SOT_Packages_SMD.3dshapes/SOT-553.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.77 0.65 0.7)) + (rotate (xyz 0 0 90)) + ) + ) + + (segment (start 152.9 98.56) (end 152.9 97.75) (width 0.25) (layer F.Cu) (net 1) (tstamp 5686E058)) + +) diff --git a/u2f-zero.net b/u2f-zero.net new file mode 100644 index 0000000..f035761 --- /dev/null +++ b/u2f-zero.net @@ -0,0 +1,252 @@ +(export (version D) + (design + (source /home/wintermute/apps/u2f-zero/u2f-zero.sch) + (date "Fri 01 Jan 2016 03:33:20 PM EST") + (tool "Eeschema 4.0.1-3.201512221402+6198~38~ubuntu15.04.1-stable") + (sheet (number 1) (name /) (tstamps /) + (title_block + (title) + (company) + (rev) + (date) + (source u2f-zero.sch) + (comment (number 1) (value "")) + (comment (number 2) (value "")) + (comment (number 3) (value "")) + (comment (number 4) (value ""))))) + (components + (comp (ref E1) + (value EFM8UB1) + (footprint footprints:EFM8UB1) + (libsource (lib siliconlabs) (part EFM8UB1)) + (sheetpath (names /) (tstamps /)) + (tstamp 56857441)) + (comp (ref A1) + (value ATECC508A) + (footprint SMD_Packages:SOIC-8-N) + (libsource (lib u2f-zero-rescue) (part ATECC508A-RESCUE-u2f-zero)) + (sheetpath (names /) (tstamps /)) + (tstamp 56857313)) + (comp (ref C3) + (value 0.1uF) + (footprint Capacitors_SMD:C_0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 56857DEB)) + (comp (ref C4) + (value 4.7uF) + (footprint Capacitors_SMD:C_0603) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 56857E44)) + (comp (ref C1) + (value 0.1uF) + (footprint Capacitors_SMD:C_0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 56858041)) + (comp (ref C2) + (value 4.7uF) + (footprint Capacitors_SMD:C_0603) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5685809E)) + (comp (ref Z1) + (value DF5A5.6JE) + (footprint TO_SOT_Packages_SMD:SOT-553) + (libsource (lib discrete) (part DF5A5.6JE)) + (sheetpath (names /) (tstamps /)) + (tstamp 56857EAF)) + (comp (ref R1) + (value 100) + (footprint Resistors_SMD:R_0603) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 56857B9B)) + (comp (ref RGB1) + (value CA_RGB) + (footprint footprints:LED-0606) + (libsource (lib u2f-zero-rescue) (part CA_RGB-RESCUE-u2f-zero)) + (sheetpath (names /) (tstamps /)) + (tstamp 5686DEFD)) + (comp (ref SW1) + (value SW_PUSH) + (footprint Buttons_Switches_ThroughHole:SW_PUSH_SMALL) + (libsource (lib device) (part SW_PUSH)) + (sheetpath (names /) (tstamps /)) + (tstamp 5685E9F9))) + (libparts + (libpart (lib u2f-zero-rescue) (part ATECC508A-RESCUE-u2f-zero) + (fields + (field (name Reference) A) + (field (name Value) ATECC508A-RESCUE-u2f-zero)) + (pins + (pin (num 1) (name NC) (type passive)) + (pin (num 2) (name NC) (type passive)) + (pin (num 3) (name NC) (type passive)) + (pin (num 4) (name GND) (type passive)) + (pin (num 5) (name SDA) (type passive)) + (pin (num 6) (name SCL) (type passive)) + (pin (num 7) (name NC) (type passive)) + (pin (num 8) (name VCC) (type passive)))) + (libpart (lib device) (part C) + (description "Unpolarized capacitor") + (footprints + (fp C?) + (fp C_????_*) + (fp C_????) + (fp SMD*_c) + (fp Capacitor*)) + (fields + (field (name Reference) C) + (field (name Value) C)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib u2f-zero-rescue) (part CA_RGB-RESCUE-u2f-zero) + (fields + (field (name Reference) RGB) + (field (name Value) CA_RGB-RESCUE-u2f-zero)) + (pins + (pin (num 1) (name R) (type input)) + (pin (num 2) (name G) (type input)) + (pin (num 3) (name B) (type input)) + (pin (num 4) (name VCC) (type input)))) + (libpart (lib discrete) (part DF5A5.6JE) + (fields + (field (name Reference) Z) + (field (name Value) DF5A5.6JE)) + (pins + (pin (num 1) (name C1) (type input)) + (pin (num 2) (name GND) (type BiDi)) + (pin (num 3) (name C2) (type input)) + (pin (num 4) (name C3) (type input)) + (pin (num 5) (name C4) (type input)))) + (libpart (lib siliconlabs) (part EFM8UB1) + (description "USB 8 bit Microcontroller") + (fields + (field (name Reference) E) + (field (name Value) EFM8UB1)) + (pins + (pin (num 1) (name P0.1) (type passive)) + (pin (num 2) (name P0.0) (type passive)) + (pin (num 3) (name GND) (type BiDi)) + (pin (num 4) (name D+) (type passive)) + (pin (num 5) (name D-) (type passive)) + (pin (num 6) (name VDD) (type passive)) + (pin (num 7) (name VREGIN) (type passive)) + (pin (num 8) (name P3.1/VBUS) (type passive)) + (pin (num 9) (name RSTb/C2CK) (type passive)) + (pin (num 10) (name P2.0/C2D) (type passive)) + (pin (num 11) (name P1.2/SCL) (type passive)) + (pin (num 12) (name GND) (type BiDi)) + (pin (num 13) (name P1.1/SDA) (type passive)) + (pin (num 14) (name P1.0) (type passive)) + (pin (num 15) (name P0.7) (type passive)) + (pin (num 16) (name P0.6) (type passive)) + (pin (num 17) (name P0.5) (type passive)) + (pin (num 18) (name P0.4) (type passive)) + (pin (num 19) (name P0.3) (type passive)) + (pin (num 20) (name P0.2) (type passive)))) + (libpart (lib device) (part R) + (description Resistor) + (footprints + (fp R_*) + (fp Resistor_*)) + (fields + (field (name Reference) R) + (field (name Value) R)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib device) (part SW_PUSH) + (description Button) + (fields + (field (name Reference) SW) + (field (name Value) SW_PUSH)) + (pins + (pin (num 1) (name 1) (type passive)) + (pin (num 2) (name 2) (type passive))))) + (libraries + (library (logical discrete) + (uri /home/wintermute/apps/u2f-zero/library/discrete.lib)) + (library (logical siliconlabs) + (uri /home/wintermute/apps/u2f-zero/library/siliconlabs.lib)) + (library (logical device) + (uri /usr/share/kicad/library/device.lib)) + (library (logical u2f-zero-rescue) + (uri /home/wintermute/apps/u2f-zero/u2f-zero-rescue.lib))) + (nets + (net (code 1) (name "Net-(A1-Pad5)") + (node (ref A1) (pin 5)) + (node (ref E1) (pin 13))) + (net (code 2) (name "Net-(A1-Pad6)") + (node (ref A1) (pin 6)) + (node (ref E1) (pin 11))) + (net (code 3) (name "Net-(E1-Pad16)") + (node (ref RGB1) (pin 3)) + (node (ref E1) (pin 16))) + (net (code 4) (name "Net-(E1-Pad18)") + (node (ref E1) (pin 18)) + (node (ref RGB1) (pin 1))) + (net (code 5) (name "Net-(E1-Pad10)") + (node (ref E1) (pin 10))) + (net (code 6) (name "Net-(E1-Pad9)") + (node (ref E1) (pin 9))) + (net (code 7) (name "Net-(E1-Pad8)") + (node (ref E1) (pin 8))) + (net (code 8) (name "Net-(R1-Pad2)") + (node (ref RGB1) (pin 4)) + (node (ref R1) (pin 2))) + (net (code 9) (name /HD+) + (node (ref Z1) (pin 3)) + (node (ref E1) (pin 4))) + (net (code 10) (name /HD-) + (node (ref Z1) (pin 1)) + (node (ref E1) (pin 5))) + (net (code 11) (name "Net-(E1-Pad19)") + (node (ref E1) (pin 20)) + (node (ref E1) (pin 19)) + (node (ref SW1) (pin 2))) + (net (code 12) (name GND) + (node (ref A1) (pin 4)) + (node (ref C4) (pin 1)) + (node (ref C3) (pin 2)) + (node (ref E1) (pin 3)) + (node (ref E1) (pin 12)) + (node (ref C2) (pin 2)) + (node (ref Z1) (pin 2)) + (node (ref C1) (pin 2)) + (node (ref SW1) (pin 1))) + (net (code 13) (name /+5V) + (node (ref E1) (pin 7)) + (node (ref C3) (pin 1)) + (node (ref Z1) (pin 4)) + (node (ref C4) (pin 2)) + (node (ref A1) (pin 8)) + (node (ref R1) (pin 1))) + (net (code 14) (name "Net-(E1-Pad17)") + (node (ref E1) (pin 17)) + (node (ref RGB1) (pin 2))) + (net (code 15) (name "Net-(A1-Pad1)") + (node (ref A1) (pin 1))) + (net (code 16) (name "Net-(A1-Pad2)") + (node (ref A1) (pin 2))) + (net (code 17) (name "Net-(A1-Pad7)") + (node (ref A1) (pin 7))) + (net (code 18) (name "Net-(A1-Pad3)") + (node (ref A1) (pin 3))) + (net (code 19) (name "Net-(E1-Pad15)") + (node (ref E1) (pin 15))) + (net (code 20) (name "Net-(E1-Pad14)") + (node (ref E1) (pin 14))) + (net (code 21) (name "Net-(E1-Pad2)") + (node (ref E1) (pin 2))) + (net (code 22) (name /+3.3V) + (node (ref C2) (pin 1)) + (node (ref C1) (pin 1)) + (node (ref E1) (pin 6))) + (net (code 23) (name "Net-(Z1-Pad5)") + (node (ref Z1) (pin 5))) + (net (code 24) (name "Net-(E1-Pad1)") + (node (ref E1) (pin 1))))) \ No newline at end of file diff --git a/u2f-zero.pro b/u2f-zero.pro index a8cbb41..48ffa63 100644 --- a/u2f-zero.pro +++ b/u2f-zero.pro @@ -1,4 +1,4 @@ -update=Fri 01 Jan 2016 10:32:34 AM EST +update=Fri 01 Jan 2016 02:45:21 PM EST version=1 last_client=kicad [pcbnew] @@ -22,42 +22,43 @@ BoardOutlineThickness=0.100000000000 ModuleOutlineThickness=0.150000000000 [general] version=1 +[cvpcb] +version=1 +NetIExt=net [eeschema] version=1 LibDir=library [eeschema/libraries] -LibName1=power -LibName2=device -LibName3=transistors -LibName4=conn -LibName5=linear -LibName6=regul -LibName7=74xx -LibName8=cmos4000 -LibName9=adc-dac -LibName10=memory -LibName11=xilinx -LibName12=microcontrollers -LibName13=dsp -LibName14=microchip -LibName15=analog_switches -LibName16=motorola -LibName17=texas -LibName18=intel -LibName19=audio -LibName20=interface -LibName21=digital-audio -LibName22=philips -LibName23=display -LibName24=cypress -LibName25=siliconi -LibName26=opto -LibName27=atmel -LibName28=contrib -LibName29=valves -LibName30=library/siliconlabs -LibName31=library/atmel_cryptoauth -LibName32=library/discrete -[cvpcb] -version=1 -NetIExt=net +LibName1=u2f-zero-rescue +LibName2=power +LibName3=device +LibName4=transistors +LibName5=conn +LibName6=linear +LibName7=regul +LibName8=74xx +LibName9=cmos4000 +LibName10=adc-dac +LibName11=memory +LibName12=xilinx +LibName13=microcontrollers +LibName14=dsp +LibName15=microchip +LibName16=analog_switches +LibName17=motorola +LibName18=texas +LibName19=intel +LibName20=audio +LibName21=interface +LibName22=digital-audio +LibName23=philips +LibName24=display +LibName25=cypress +LibName26=siliconi +LibName27=opto +LibName28=atmel +LibName29=contrib +LibName30=valves +LibName31=library/siliconlabs +LibName32=library/atmel_cryptoauth +LibName33=library/discrete diff --git a/u2f-zero.sch b/u2f-zero.sch index c8773c6..4d48ef0 100644 --- a/u2f-zero.sch +++ b/u2f-zero.sch @@ -1,4 +1,5 @@ EESchema Schematic File Version 2 +LIBS:u2f-zero-rescue LIBS:power LIBS:device LIBS:transistors @@ -47,17 +48,6 @@ Comment3 "" Comment4 "" $EndDescr $Comp -L LED_RGB D1 -U 1 1 5685729F -P 6400 3450 -F 0 "D1" H 6400 3875 50 0000 C CNN -F 1 "LED_RGB" H 6400 3800 50 0000 C CNN -F 2 "footprints:LED-0606" H 6400 3400 50 0001 C CNN -F 3 "" H 6400 3400 50 0000 C CNN - 1 6400 3450 - 1 0 0 -1 -$EndComp -$Comp L EFM8UB1 E1 U 1 1 56857441 P 5200 4500 @@ -70,7 +60,7 @@ F 3 "" H 4700 5000 60 0000 C CNN $EndComp NoConn ~ 7600 4650 $Comp -L ATECC508A A1 +L ATECC508A-RESCUE-u2f-zero A1 U 1 1 56857313 P 7000 4700 F 0 "A1" H 7300 5000 60 0000 C CNN @@ -90,48 +80,9 @@ NoConn ~ 6050 4250 Text Label 7850 5050 0 60 ~ 0 GND Text Label 6400 5150 0 60 ~ 0 -GND ++5V Text Label 6050 4700 0 60 ~ 0 GND -Wire Wire Line - 6050 4850 6300 4850 -Wire Wire Line - 6300 4850 6300 4650 -Wire Wire Line - 6300 4650 6400 4650 -Wire Wire Line - 6400 4550 6050 4550 -Wire Wire Line - 6400 4850 6400 5150 -Wire Wire Line - 7600 4550 7850 4550 -Wire Wire Line - 7850 4550 7850 5050 -Wire Wire Line - 6200 3650 5550 3650 -Wire Wire Line - 5550 3650 5550 3700 -Wire Wire Line - 6200 3450 5400 3450 -Wire Wire Line - 5400 3450 5400 3700 -Wire Wire Line - 6200 3250 5250 3250 -Wire Wire Line - 5250 3250 5250 3700 -Wire Wire Line - 6750 3650 6600 3650 -Wire Wire Line - 6750 3250 6750 3650 -Wire Wire Line - 6600 3450 6900 3450 -Connection ~ 6750 3450 -Wire Wire Line - 6600 3250 6750 3250 -Wire Wire Line - 7200 3450 7350 3450 -Wire Wire Line - 7350 3450 7350 3600 $Comp L C C3 U 1 1 56857DEB @@ -141,7 +92,7 @@ F 1 "0.1uF" H 5375 5500 50 0000 L CNN F 2 "Capacitors_SMD:C_0402" H 5388 5450 50 0001 C CNN F 3 "" H 5350 5600 50 0000 C CNN 1 5350 5600 - 0 1 1 0 + 0 -1 1 0 $EndComp $Comp L C C4 @@ -154,18 +105,6 @@ F 3 "" H 5350 5950 50 0000 C CNN 1 5350 5950 0 1 1 0 $EndComp -Wire Wire Line - 4900 5300 4900 6150 -Wire Wire Line - 4900 5950 5200 5950 -Wire Wire Line - 4900 5600 5200 5600 -Connection ~ 4900 5950 -Wire Wire Line - 5500 5950 5750 5950 -Wire Wire Line - 5750 5950 5750 5600 -Connection ~ 5750 5600 $Comp L C C1 U 1 1 56858041 @@ -188,28 +127,12 @@ F 3 "" H 4400 5950 50 0000 C CNN 1 4400 5950 0 1 1 0 $EndComp -Connection ~ 4900 5600 -Wire Wire Line - 4750 5300 4750 5950 -Wire Wire Line - 4750 5600 4550 5600 -Connection ~ 4750 5600 -Wire Wire Line - 4050 5600 4250 5600 -Wire Wire Line - 4750 5950 4550 5950 -Wire Wire Line - 4050 5600 4050 6100 -Wire Wire Line - 4050 5950 4250 5950 -Connection ~ 4050 5950 Text Label 4050 6100 3 60 ~ 0 GND Text Label 4750 5450 2 60 ~ 0 +3.3V Text Label 7350 3600 0 60 ~ 0 +5V -NoConn ~ 4950 3700 NoConn ~ 4350 4150 NoConn ~ 4350 4300 $Comp @@ -223,40 +146,6 @@ F 3 "" H 3700 5100 60 0000 C CNN 1 3700 5100 1 0 0 -1 $EndComp -Wire Wire Line - 3550 5400 3550 5650 -$Comp -L GNDREF #PWR01 -U 1 1 568575A6 -P 6500 5850 -F 0 "#PWR01" H 6500 5600 50 0001 C CNN -F 1 "GNDREF" H 6500 5700 50 0000 C CNN -F 2 "" H 6500 5850 50 0000 C CNN -F 3 "" H 6500 5850 50 0000 C CNN - 1 6500 5850 - 1 0 0 -1 -$EndComp -Wire Wire Line - 6500 5650 6500 5850 -Text Label 6500 5650 0 60 ~ 0 -GND -$Comp -L +5V #PWR02 -U 1 1 56858241 -P 6850 5850 -F 0 "#PWR02" H 6850 5700 50 0001 C CNN -F 1 "+5V" H 6850 5990 50 0000 C CNN -F 2 "" H 6850 5850 50 0000 C CNN -F 3 "" H 6850 5850 50 0000 C CNN - 1 6850 5850 - -1 0 0 1 -$EndComp -Wire Wire Line - 6850 5850 6850 5650 -Text Label 6850 5650 0 60 ~ 0 -+5V -Wire Wire Line - 5500 5600 5900 5600 Text Label 5900 5600 0 60 ~ 0 GND Text Label 4900 6150 0 60 ~ 0 @@ -266,37 +155,12 @@ Text Label 3550 5650 0 60 ~ 0 NoConn ~ 3850 5400 Text Label 3700 4800 0 60 ~ 0 GND -Wire Wire Line - 3500 4400 3500 4800 -Wire Wire Line - 3500 4600 4350 4600 -Wire Wire Line - 3900 4400 3900 4800 -Wire Wire Line - 3900 4750 4350 4750 -Connection ~ 3500 4600 -Connection ~ 3900 4750 Text Label 3500 4400 0 60 ~ 0 -HD+ -Text Label 3900 4400 0 60 ~ 0 HD- -$Comp -L SW_PUSH SW1 -U 1 1 5685E9F9 -P 4800 3400 -F 0 "SW1" H 4950 3510 50 0000 C CNN -F 1 "SW_PUSH" H 4800 3320 50 0000 C CNN -F 2 "Buttons_Switches_ThroughHole:SW_PUSH_SMALL" H 4800 3400 50 0001 C CNN -F 3 "" H 4800 3400 50 0000 C CNN - 1 4800 3400 - 1 0 0 -1 -$EndComp -Wire Wire Line - 5100 3700 5100 3400 -Wire Wire Line - 4500 3400 4350 3400 +Text Label 3900 4400 0 60 ~ 0 +HD+ Text Label 4350 3400 2 60 ~ 0 -+3.3V +GND $Comp L R R1 U 1 1 56857B9B @@ -317,4 +181,138 @@ Text Notes 3450 4250 0 60 ~ 0 Host USB data Text Notes 6500 4350 0 60 ~ 0 Secure element for EC +$Comp +L CA_RGB-RESCUE-u2f-zero RGB1 +U 1 1 5686DEFD +P 6250 3250 +F 0 "RGB1" H 6500 3400 60 0000 C CNN +F 1 "CA_RGB" H 6150 3400 60 0000 C CNN +F 2 "footprints:LED-0606" H 7050 3300 60 0001 C CNN +F 3 "" H 6250 3300 60 0000 C CNN + 1 6250 3250 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR01 +U 1 1 5686E5B0 +P 6550 5850 +F 0 "#PWR01" H 6550 5600 50 0001 C CNN +F 1 "GND" H 6550 5700 50 0000 C CNN +F 2 "" H 6550 5850 50 0000 C CNN +F 3 "" H 6550 5850 50 0000 C CNN + 1 6550 5850 + 1 0 0 -1 +$EndComp +$Comp +L PWR_FLAG #FLG02 +U 1 1 5686E60B +P 6850 5850 +F 0 "#FLG02" H 6850 5945 50 0001 C CNN +F 1 "PWR_FLAG" H 6850 6030 50 0000 C CNN +F 2 "" H 6850 5850 50 0000 C CNN +F 3 "" H 6850 5850 50 0000 C CNN + 1 6850 5850 + -1 0 0 1 +$EndComp +Wire Wire Line + 6050 4850 6300 4850 +Wire Wire Line + 6300 4850 6300 4650 +Wire Wire Line + 6300 4650 6400 4650 +Wire Wire Line + 6400 4550 6050 4550 +Wire Wire Line + 6400 4850 6400 5150 +Wire Wire Line + 7600 4550 7850 4550 +Wire Wire Line + 7850 4550 7850 5050 +Wire Wire Line + 7200 3450 7350 3450 +Wire Wire Line + 7350 3450 7350 3600 +Wire Wire Line + 4900 5300 4900 6150 +Wire Wire Line + 4900 5950 5200 5950 +Wire Wire Line + 4900 5600 5200 5600 +Connection ~ 4900 5950 +Wire Wire Line + 5500 5950 5750 5950 +Wire Wire Line + 5750 5950 5750 5600 +Connection ~ 5750 5600 +Connection ~ 4900 5600 +Wire Wire Line + 4750 5300 4750 5950 +Wire Wire Line + 4750 5600 4550 5600 +Connection ~ 4750 5600 +Wire Wire Line + 4050 5600 4250 5600 +Wire Wire Line + 4750 5950 4550 5950 +Wire Wire Line + 4050 5600 4050 6100 +Wire Wire Line + 4050 5950 4250 5950 +Connection ~ 4050 5950 +Wire Wire Line + 3550 5400 3550 5650 +Wire Wire Line + 5500 5600 5900 5600 +Wire Wire Line + 5100 3400 5100 3700 +Wire Wire Line + 4500 3400 4350 3400 +Wire Wire Line + 6900 3450 6750 3450 +Wire Wire Line + 5550 3700 5550 3600 +Wire Wire Line + 5550 3600 5800 3600 +Wire Wire Line + 5800 3450 5400 3450 +Wire Wire Line + 5400 3450 5400 3700 +Wire Wire Line + 5250 3700 5250 3300 +Wire Wire Line + 5250 3300 5800 3300 +Wire Wire Line + 6850 5850 6850 5650 +Wire Wire Line + 6550 5650 6550 5850 +Text Label 6850 5650 0 60 ~ 0 ++5V +Text Label 6550 5650 0 60 ~ 0 +GND +$Comp +L SW_PUSH SW1 +U 1 1 5685E9F9 +P 4800 3400 +F 0 "SW1" H 4950 3510 50 0000 C CNN +F 1 "SW_PUSH" H 4800 3320 50 0000 C CNN +F 2 "Buttons_Switches_ThroughHole:SW_PUSH_SMALL" H 4800 3400 50 0001 C CNN +F 3 "" H 4800 3400 50 0000 C CNN + 1 4800 3400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4950 3700 4950 3650 +Wire Wire Line + 4950 3650 5100 3650 +Connection ~ 5100 3650 +Wire Wire Line + 4350 4750 3500 4750 +Wire Wire Line + 3500 4400 3500 4800 +Wire Wire Line + 3900 4800 3900 4400 +Connection ~ 3500 4750 +Wire Wire Line + 4350 4600 3900 4600 +Connection ~ 3900 4600 $EndSCHEMATC