From 9719f456cf20d65c8ceae36d153018ad9d480601 Mon Sep 17 00:00:00 2001 From: f4exb Date: Sat, 20 Jan 2018 17:49:26 +0100 Subject: [PATCH] TestSource: Added documentation --- doc/img/TestSourceInput_plugin.png | Bin 0 -> 31812 bytes doc/img/TestSourceInput_plugin.xcf | Bin 0 -> 133507 bytes plugins/samplesource/rtlsdr/readme.md | 2 +- plugins/samplesource/testsource/readme.md | 102 ++++++++++++++++++++++ 4 files changed, 103 insertions(+), 1 deletion(-) create mode 100644 doc/img/TestSourceInput_plugin.png create mode 100644 doc/img/TestSourceInput_plugin.xcf create mode 100644 plugins/samplesource/testsource/readme.md diff --git a/doc/img/TestSourceInput_plugin.png b/doc/img/TestSourceInput_plugin.png new file mode 100644 index 0000000000000000000000000000000000000000..3ad8b3630fe8c9dec18a010b81bd6355c45a5245 GIT binary patch literal 31812 zcmbrmbyQVRyElqKsvsaOARyh{AzcE}-3=S08{x5fHRtpEYVHsPIf+*&_$Y92aId5!MHS)To{WNr2NDAKjj035Yw!cX zP)0%&`~-ilMH+m-59H618V+!9FEC+`Cvb_$xZszFj#3}R5LceOL85x{358<<4(=VC zl;{U#*V(-kH+7YXn{Gif(+#)`s*Dx5-xk!U2?-k$NeOXI`|(Z?mcDw9Y4gj4(G3q; z$aw!wj)tXb&U%c6Wp*seAlXx2(%_dY?aQ()f^tOM_Fta8MIF0!OTBNM@o3rAvD$B% za%r(DX{vr&N{ZzB4oNsgK^hD84_~A_@YlQGD3t%lf8Ghxz+OR`1$*Xy{^x)9^8fKc z|MTVl*s&MUk1~Va@0%GWUwPyX!{Zq7j3`$_e4sVJPt|G7svg7w^uZ4!r|d=k(xI2Jz0An z{dSeDUSN$2hWq^q%_TGL%bx?LVd9xuo|bI*w=2{adoyHe!SC2uFezl0#`BaE(Mhwy zC2S5Bx2Nsclyc5K6gbHzuCE`pJQA+;ltRhR0!80v>0^yF5vQ!NjW8=9= zlH^9y@%=q2@0B+wQNtvtIEZCbt)4Qw!`XfY z;?kwj%v)Zitx0=j56m1z7B^8dIE>L3Gj?pyeStX*RQY7Jfu5jYlz?&ksm}>2FMl_M z|9Rchbg3ICY>@q{mH+zaB9K~%VYO}(BgKX@X+Ts=EL|~=%jF+x_gf zg#7DR=>X|$`}+OfpRYZgXcQ}?si>I0K7H!Nc4mGkQ<}D4OwL~5U#Tq;Yw=rIwN<+) z?Iu3mlGra(xR!;-e{3e(7z>w*j@_VI{dhDVX>50kXd_6|qye4BGe63nRqj+HB~q90 zexEeazSzGJgVo@JfXW7E$@Es`lVU3!Y84#YwHOq!s_JkrK0YU1c1B|1f-p&+h#<)E zfsCyv-OI?LB#3w*4h`0uy5l-mJLaqFnNgd?<`d`4k>HvdP7MtWo705&cnNd!-_ZJR zIyU~Xy)=yW*}@-g-!aZ2av!n?#_IIiBD+m+{FTmzXHwhEeK7gG5Tsg^rS+3>zRj~h zcGcA#9Z?QTRR?F{YHNBU!`#_oS0uh#U}EF4`(DEsE2g2SSQ*P-IbDI{dUZMEG~ey| zwPtpdO=u8~fW4kOBcFl`34Ez~tF^N&9z8?yqN>$tOHv^N3%6bMn`ro11k2+QnorbN zF?jTAZ}7R@ietj~+S6XSIBjde89kE_Qn{UWWC|)3B7{7v+VYyXGFSN>gVK*p+lj!c z5wQN=EbfPvaUsc%f>{Yj!$m=UX#HetW)#hiHmdwvH2D;@fxe(&nt;kYgEB50fs{dt zQVON{QR`RAQ@^ldG^Ty>ajA+&C#%G<(Aee%y<+f}jjO*#&jjM{rWXr%bI0lBy1S_9 zXnZYkF|AXM>k=SJ{^P5-u5}v`48wVnlsN^Xkx>N_!B)2n{f2>^a@pJ;o;%?(*)D@ zp*7E#T#xjL+}6;~-1$)gd`CwW1#b6PCrk8famKoWC1a&c{KT_xMr|YoS42G7T!=v- zeHK4I$%UTBO5(I9To&H34^{iH*o<;}> z=j-e1{{H@@5GaRD^R?;i#RoqGbV9<9o}P`OS>U53MQMVgIEtet-+Wi44|b|(aV-7R zX|wR{SqKAGw@i)NTy7Zx0sGpQpl{DO@OvY#UK0<@1j+Wi6Q0cqsN~U^ZJ6p!7m12f zeJq~Gq?A+8)|Lgwq*a?0*9r&5r0<`a`MwL}?fb{>otT z=4^t=3&9X}&pV2ZY3+%Q`fjjZvsjqSZ&l=!$S#(NMb-m8!TE4upjf9ty9EP>!Dn@e#>2%|a@66up^Oxr#lDnk-^aiQA|E?UNb;fLxrr0WY`{{t`X7nTu z&J^RotZ0@^UV}KsRN}$6TbCCblLcg3Sm?nmHHpb>_ReX44X%gsk_QSkNw*4yu@%T;)vEFSGn0aggzF%IEX7C-6KV*Cb5{9QQb5WiqubSC~_euAWr#a zvwy!exe%EYZ!;%T5VfJF6kgt)~HONkuj|qlu)7p`9xi zNTZ`jX~}uT-*G2-V{XM=;Fqovgs~r@MBU}6n|R=v?gNSZudLjbBLrmKTyXFcEUD<~K;Rd8PO-ToMgj?{*X+?DYbZT5)@|yw;}~ik84DO-A%&gjTQ6k^u-f!IkKx;c z&~U`8q=b1$Coh<7TyoUZ8k?fk8!niPx-Tf0UhhCT)Qc2U5q2jD+n~H7+XDFEft8hP zZSCzA(CQ+sonN)JKUvKNU9}|(GUVfhNN1;YSFbH^Ej-BVzGj7ydh;iD1`9y&W@_jU zJ=26V>gsy*UW*tC*XFoT-TpY8c0baFCn@4358UbVB5exx%ey{1#1=i2`&v~0;RKwh zqGzpdON$`18e(*Jbqp3DbZRJ>8?#8YuaB{Xj%Hdpz|pl3Vu9ix$gnxb4Ihd_86Ca4 z9>*pk+eY!{9=H6Nh zCbomq9LbLbhwnJ-woh~v-N{xtz6$^JlU{W}%cIR;@rIAgDWK7CHSPOLs#uiVp(UO3 zPfEJ;cuft^Aj-jljV%##6X99hAt9>_2-F15@nDZTjVEPrHDgnXWPf2{2%9=S;V+lo zhGQD$wixQ|qa9z3)QjzyPv0viJj!+=S+kO9|7aED|CIDY&I9Xq`rrfHdoygKUGd@| zw1B5gC2_+-gzFS965LF1!?0^6RYVBUU?6Tp0`il|;OXU@1KL=weD1Gbzq;;;y{`;x z>bEIwZ3P7dn{Re#7rbvFpZ<1*Ne0A;sJyc5er*dkxK5DYI6btkcV{fu%^@InX+b)RkE9QIiKseI%>Pz z+D6axHb=X8mhHbmR60$njB*DRAwodg&gb#o*u-S{@K7&vpwaF0C#P-d zKF`)dN~FL|a5w>{^eUw=4O?Z@jR#aN#TNq;CE#h5^q)egyS-Res;xI~`;VeL+yT|z z-T_w&l`9Xc#KI_=H%A>G`4woeJnOjw4E^d(no%y1w#P@l%i>R#jo!><*l(1`tVFKX zontEsGIsZA7AZ3Q@_1b~@2g(RoB%#^%s5~ib5q6PY#9ZO|LnC$5bFBF?IES$;&GtG z_GmV$ExN0RM}_yjdyv=Dr%!+Kx-i(b+;ad}@x4plU->f*c4+6e6{oI`vj@JcDINBU zGJfG&nyw4&tzs+QPm}g1P^FLoCIv}FytI-1(CM{3PWXT z1k)T$cPWsDZdJ>iZwE0qw> zEH>}%DB#IZrT*!xqoz27Rl!P9qq}bY`ya66zWI%uBG;WI743RHp+*}*)tVV8&|BxQ z`BrqQEJ(Bm5Z*3u9bJj+R$0rKBv^A&;jC;LkXz$q#!kbk3}vdn^mMtUzC{Q7tObnN3z2?-L z`8svb7?y%u*I0YUl&uoBP}tMG`Uq9C&>5W}{ozezC_n1<;Ne0Xg95K4A)(5REM!EC z7MZFx@K@p^?n?wBFl%8G_2l$)rp_UEbwE=NQ2vCA#q8{C^D52TLjVX?*VjAe>Kw91 z!1?o$XPK;(=Fj*ri-*7se@{9Vn_N<~?1hc-t?_US|A!^;Sijccg;@en!U9D{uW zhW*v~XO4Ep7ui}Kg0tIB$^3egYZ3oh@a)jg%b>8@gc(Z8A_1}?)lX&bp|daWz5S?9_{rAW9g)E3 zIxhk(Nq*26C5Vg5Z5IVh2a|htOIilU8vi)b8MS!R0`ADHZlvnA4XkZ+7nQ@Li0{N6I^d#Kp^*k5F?m!jHZ7K!#m zfA^~_woR#1bV?tiLtau1$Js8v@uOft;MwlZ>W(f1u2j9~tvs!{eRsPOC>G}=03eP> zzarpxO-MLzOgef`Ipjdocky9LYRa)oBG-O;fxbU-*~|L$rR{=CoT6Xe0=mMN$i%wK z^BP7%k;YxDCs?ug+$lQR>-H3zD>bB{eXsMASOl&k_v2MF_lkp7?7|7EU z^1-~{m3HfQrr41JGIrdPx!8Ok=6#=B-K%q4cdmataCO~*mD%SZk^wTf-1hHno3ANe zVAGWf4qqN08*KC^XnUPc{GPB}Sy^%2ubTSX(_?|5(-3dSp`}rJ@cglE6Gix$QzIt? zj<`@}NmdRSESr<*aArUrrC(A!)KHi8BBXN+tyR*H`(-i?8tklQ+vla{?K%i{e`;_d zwmzHw$jm1|5~zoovZ`Q7gjbghnv(Bb<=G)of9;25R|{)Dxi}kMDY>udlLDy^TJnvR zG~NO~d%i1O1HxabUg#fmbrj#%Udz&j9gZEF;QDyG`tjl)ngkaGX6I7@0Rh=Gft1zm zNZ!Jh$A{bPkxayzMQ>hE3>vRiLiz5`#`tdcD#8;NcXay~-QW2|`W%_;IPnK>p~U+P^?#{)bUE_5z4fyVF0QVa zAF`gU{CfL&cR_MYIuEfbZRM-8mC1sFR0ST|mM|Z$>iC%cHVU9KfW^iML!k;^Eyi+= z?=Kg}T6}zh&Ix%Om!|Z5s)htk+R+4=oOYCREzWi(Lb)9_j&=%4%8j}s!~v5%>gmGV zlNmG_W!Kr7*NAM13`~CdtRcee^g7Pi<1&snqw`4L_V#fG9^uCjwmThZ(UAX3rG=S4 zt-m=soYB%HY6T(BnvO<)Q?Vv;do+jL_tbe_c3VWG4wg7@FKD|3qkFY)VWsDKm2!G7 zSSq8y!)J^CU_rS)ALeepU1cLjP^#b}O64j^3`M(s2!&n5!F+?mdaT;XWy@pJkEmi$ zB7%tAgJWsc6g4$_e=-{-e>1kWrYmVYL3w<*Y?-MrllR-4iA8X75$0&XEZ&gKxwPD?rGxk3% z>*_TL775maXu87Dkk3OjXjVKa{O?IfzWVw;1w;+5b-6vr?csWp@B)v+8n|#b;7aP1fSdcWRUvHVb-WJ*L_`{~jMD0}(!Fk`l z-U}#r>U7xR;rIc6^!0Dfwj1v+pfEOl;bqgX&rxkXvvSPH>bhfCJQx`%_wjJh9ZukZ zI$j0ijs{R{%l*D>GLKU)Lk66in;YNNlAq^E z59Lo;3T#H*xut8Hze5%}k}c`?!Dz=Lb6$XrJXKIu7X38R8S*z=oD8g;H*em+9d!}d z9`&;hmAao>j$5*KM-Uo;f)P8~GcaH>TWR5Wcias=u^Fr~RrK#LPKurf6l|`eX`@IL zjK4bzcufyCyZeu3CML`VZOkiNd*2~1AK^NXV6tturj!>`0|njC&jgfM&kPuH>ef5mzHU2M$yg}{rbY+_0ohAAB>gFvk_N{U0-Y{$w>G0$pYI2gMsB(LUX#RgI z1SVuDo2LuU);KVg&HJU;RA?44us^N#QYJF5-95~#KN;_o093^p-6?xe`xt#I)4;Li zV#})vbr-ZxPe+=zGu<^TJgx+dapTB;h+!Rw0$7Rc1|w=1ssk5Zbt=|JldSqelvAGC zCp8~>KZQrq^nFHthVb&U2lrdg1_iU?D@kU!!2FsZ(JkZ<^ZNfZqGJ+(DF%Lmndh)G zPMwGJ;kExHP1duFZ~C6~Z%u$k;8Ig0y!(1|dW+OBbN$N;Ad&xbErc<%3M=~`uDcVF zP*5;PAgCS0mu4itRD1ZrD}+U&qtJ!a)%C+~@m?Z?NG3h=xR)pmlq%$=q;yg05Tqx1 zWIa|y_Z?ngs@xf^p(2^bVe+kL%IV>rH`bs6wuEq~2(=2#8bSIoTpECY4Y-+=1tSM+{P-3M!>l{)Eg$t*4L&Cz= zwnj3;f8^%hy%2_Moh=3?Nv@Aqcn>stU+~R-_Pe-gq2!nRs3+Ng>T96%>yip-2MInQ z3Iokb*DogSSa!^uZDoJ|qpE6Lx7X(SIyyeSX5Q_jl3RXn%e(Qoa%1v9<5=kEm=pWz zMAf?I;@JGEkTIW#1IDNZFQHG6V>$i3jlWw>TdE3uj(w48!J5?TonR6Tu?N#jej=o| zCgvK#I~#WISW?ruk+(w#OZSbqPB7mj`=RnL7hew>QOSB%bt2_WSVGuF@_S2iPu?5S z0}IPSDEf5f~9lqvfm{>xz?LV_xhE0?lzd)Q*O+7%Ao19-$_ zYPD{O+0J<0OFWk6#Kgpkii)6OFiw{l1!;2&M`sKy${cSSHP~pju@2uTPOvJwJv2|e|hqZDQQ64W50?hQnEl34iutxrzxH87-}W;GDCRIMNift z!N*3gGzOjeql1P+`-?pdn9xcgm;Cqc`n2w1)=EdZg~6@}4owF(O2g3qHDI6Xhr0+apODmcEIt<3k7l&o$i#t(;& z4`_gD!}L6#hg0H{larko&d^R@Fym-xLkkP(Mn^~0Yd@1Txu386{Z1yE!V|00;LPmv z;Mp($>u`WO5ZpzD5epIl0w=@R^#|Gqn!wdN!N)sR>`<}dcj?7TW?!Pjyw15_3hN&eK%@^{9R1Og_>kQB^ODPDUIyy+{I&C zOM8OID~#}i*{aaFIc+$YC|ql|x|6JHZcc@Vhj+2a&CMMXA3s(N(Yl;B2=Z4@R}cQ9 z{|gLZR8*8WH{bR3^>ai-HO-Q#-A{SSYnz*W6J%`o`TDFkWz`HiN;%RrStz~2^@b`Q zpXQeB<>v05kdyFdDQbpAMR>o=@q9_8Kk}^f(&JLkoCeG1epj#V?xb&~(gHJy1F|y6 zdzhtFV{^7QGv@pwHkP|ziU8O3c(rmc_0hz{WTe^4194=`vPxy}jWRTtjfLe$1R-y^ z&!aa`B0Mhk?08E5##R=zP4IPgcG>|YAX72#?FAm-2%AG`l;q@M8K2U>mtxo#JTZ!M z+*TDEE;~QF*)uKv>4U}JTt}>9gQ8j$QQ?;(yDVn*^%1W*+981*Yz}E3y!6<=AgkOJ-A=LMFjJb5n4|( zohh5$KZq?YL|V&;5!pNw<34xBB*Yp3*Fjis_(Zi({ZGr|y)8_qSa9E^*L7Wnx2apl z9!%x$16Rc891;_Iyq%j?Zau>Sist3K3$ftC6&a`(Mh|z_S2s819+x({p2wY*WGy?S z?PjTNfv^XVg5^?pI`dTu)a&fC=NXupzXP#@6>tb_2JK+Qy!Y(vu_^_s6F~MkbzHW! zvty3rJ3)d~hSSZV8bM62-J+T+AYoaBxx=TY59^tUrmGG=gka=C8T-d*52JNEcsHNJ zQIx>tg<+Fq5gE3l5lkN%-xShoRhOFdVZ&iyVAQTg@aD@J{qcpTQ!i~bnEyd8qh4+- z75-p_QADkjQ>z@&(b1vVa-gV2(!?fTS69~vN-vzNo7?e%#~~Q?WyQ;~cWiG^uE)iT zsFC+gtd3+(vsg6!qZTrwG;IT8Vq=*dHe@Mee+mIOzIV8Q;+vaCGa4g#Hk5Q|XFFzd zh;wC3^fVx`E#jV=-;)CFYhFta@_TfP)8)2?)bRBNl9QOEq`urVZ%wmD-*;?$@H!>w zzjoPU32rm!W*^IhXnt!TTl6DAyKCnYbRF`Ps}jMWp1FIanDOV+{^MS@;4&;EFu_iKYZs~sXkE1EeH6&EM&>RPL{$m|rb zvSK)x%&jE#moN4oUJxPk3$?yV3u%9pO;#4Iz!_D2c&l76VaS9lDJ4}lq_o>(t`6kp zv2A>Ed6jD%kb)qAn7#=>d*@a9b}8rc+vn*FTlI;^Z%sa1xsP`BRM7})CPil$6?*RL zyiR1+)uDHFiv4Oe+7$vzqm1LbEoktcxUr#+A{ZsxV8zEC+MId5!NKZDSP%R41~-c4 zTmdb^D1%_n@5z6{6Ye4UJvS6qNxQp{3g58*mw zjpAGU`g4$$DMd{>K)X%%k@2e`I)+)zXwR`qr_=67O;>X7f^lDET8;IVXUTKR9z+BnJk`v@=2^i zye|^~KN2V5|Ku@-shThMxcko4s>qtuwXC41&FgO^>3`X7e$j-6Li%bZs=_ybNq4}j z(VaUbE!p=Y7Wc=x$m~S=u4Nzxj?Zm&=PD)UdxbXwzd9pW;)>@3^VO?nmot}(p&C2Z zjMYW$)y&NA3;r(d&Gc{pjA&Ycmsz&$%xDF&92i`^OH^5AD_cuzGRpL2MEL~-#i=a^zsnXN61FgRt>ZoZ$}BxU$oDk6}q?iBfliz2%}8% z9NoS+Y`%@yO!bKHLqvP}8B`}2dVv#!?k3x=*+9VES4g(M^DYKe9E={|_@IY~Zx$kZ#??FYPWGLSF z?6%w%0CZ6VIAE6Nd`#*B?e*`#KsFHD3xUQ!A`++!m8>tYa#U|7^2X&i7w;$cTcAn^O4X4W{vV{Ohtf;Cg zE-d_1CZ1t^I9&uos^&SMAF%5*E&(>(BI_`u6OV@py ze6m7z2(8)&1?|Cctu*pGgJ=2RAB)1dOIj4de)R;&t6afG@iwqe3mXj$&|3%&pq9YwxIU}5p(yjeP{>`3|YBJ9~E`k{KVmOS^Fnz6&irB zEh5>#_?dWAx~E&!R942goo=L0?P@eQ)BD^VBLdJXTrg4YysrsIFIjCxmNFp7 zG+%EJY!^UKh3m~M)}5YwA7%w126PHRL|TrCA&F0)&;cXqPvuX7tz@v4J}D?Hl@3Lb zh`4TN$HSZ|p!e$oJOs1eP;Wu>g~jW}QQ+=Kq$`{NQU7qZ>8OE2rvc^71jn~{j!N`& z0XPr~5KC8B%2fJf$P^u?)Eo7a!yN&7wD&b+tuJQ zxkcm8L+bDD?n*U_<^Vt3I(G;9)C+)Hf+HhK%82S+4&{V|-~{i_l*kuxycgXzQ_c?N zlYH)0iQnMhuma?fBb(3$2qUNl0EImTtccCm&rhS#l^I%NOG!qSIkKC>JwoLr)_~f6 zHbruSJ* z74{pHqsvKU3oU4;&VL4b3U7$-^rcp{bs1xY*^smQ=@DSn_G^F90rnhuH|4CViVf(F zv3A@U}?e>-LGH2GUS$-4&bw}veFg}_4hl{ykY-zd_||*IBft0D;*AMMY=m# zWYqtYnIRkR_3JMq=2@ksrJ5tRSF4dgFYMH3I+`&{WA?oI9Hbji((-`+1<)z5#J4Al zk|cJ;Nk@5Du57v&#W6dGt3q}mJ^@0U1MF!D2|Wb1jZcI?VFODV8(incA4K9xy)&!^ zUC;)>G@D5MS+#vpu5SI^za$?2JniXDb{Tu%gD%ctb6=S0OYzY8;*6$=2dMO?yzis- ztl8@nw}iT4g7LGH94!FXsaF?eHx6EbMljRpR&jp`N_E|>P4^2(Zw$46K!Dw%*CqRO z6(PQ5lCmHj-ODOXh;0_5BP#_t`IF&d9p0e3$%`3%C-lfa`tQie0|5INSvXp>>m~$~ zs$q0BWk9rU<-l6bp{G}z~ zdLsut%qEySB;(~x0^t96&S9ud?7bCPmc!w`jB>3}R>O{-+RWplxe-gBx17`LFm~Lm zoIP>TkD;2JqwC2xaN>Fk*acW9GU2aC>CTV;YfPx9s6Gc{FnER885nP_^+eP17dYU| zH@M^i@n>(oAs*lxX=!P|>N3VW%}h;4%Z$1adL$GTF}!XsoMbc)TOOOej>dr!q_^qF zz%PK+$-u=mEfB@b$2Yewg(H{D^})b^giIo0t>Lh_VEk4e3%SMz zyBmgA(04+YTjM`ZtRM9^Fd5n0k6oOeuBHbOk+QI06sgL%x^mSlxEE*z`U6!hUA4es zs#qsn*s@IhXTuGyyk~hlrNB(zN}8NundW{=+LbY5(xnO4p5BPSdGfwZl@S4BkQYzj zRaAmnVEUYLHUxs>at)z_PAV?U!m?zrwwmg7p^+Qz=0&6jW1rQKJ|N2gRKNgJS`g8Q zU_}WeMojyEevOPolg|F}_pc;F!yXG9(8dM;>)nFZFoK{TDDRl)=%0*~-3>m`#G13= zG&C{Z*;Z*@UvH*?d<^}Rt_M9$>^ES@5&;bZls|Q9LbW&`YDI%bMc4g~yOIE?&p_M& zcVH99@|6;!>=Q693nCmOXnaR-K#(GP{~o5V0Xe4&Q=aeZgylLIS|i|K_y-0WR%s$5 zBO46=`he=zT>0{2w#|FeA~J~IFE0WGn)K!hDZFrTKwY~*Xi)+zHrTBIVol@eAn*C0 znT7^ln)eMg=szMwst#aDeE<1VecQif_=r%h>B_LFL=IkcIyOoNg7X*t*2v;|4J+Q^ zxlGVd5b?K1EFQ9*TeP85T1S=|0gwT4&nSiv#rWx?eBNc*p2}V z;Syy90LHwj*iWOvl-X*M4oovHfEV?=&anV`$mTDR=RbeLYTB=VzB94q$fKyFbPd+; z6DZP+%c562c+&`2ndRl>uuhjOKOM@C+!TD2($#I3`}`?>RY_4%*w7GG)Y`2%PJ1ZRN&zE}Z>R+`Ovc+=049l{ z3EnXQuO(9=@&w#Rqbf}eu-c<3X*enQfXGO@$-ng=+uY zVf;&sa8~|`#r^J3zpPE^e4H0Rj~9%M3hNgW1!@(by9YU8QL6vGBJ}{Ll|2&%Yj(cy zFSK`Noz!iMnv;s{W8gzMDOp!@a6y+COdsd7X~5N6F9mg>fU?k_{oLa6*k^xYx=pL(l zw%mLq!!oT0fS;ic<^<8Us@@_&DJqdabelcv`brwPR$(Zp1=W$7B08^k)n}t0uPcIZ zxAXug8sg?T=-b!A)0LPQavyr}YmH>@11ih%@@0&CPTW!-9ObLpuNkZKj%85rV|_!^ zTucF;1%ZXNxUFusBM1xk_E>778jAJ#_&#IXa5TXZ{$PIK8U}zsG z+U)VkxTQezH4Vs2%G49ZM3tbcZZ{yf;KHLN&0m{oBPyx0R8Lafi8teR)Bzo6F-&>& zCGR7Sq|RPJIe)Ty>etAk<61px+xF?|d|t2r)*JlLu=COWqc`00CKgq}_@zly`Fd(@ z`0`o4$MQqc^6Zn>3)nB$qdXBf9#C!R11h+|hbJkD(p1y;RkNp$m`lpYkn!;)gJ#V! z6D!QLc2Z%-`y8y(+2XS|9Qb{OUnCw5G(-eIKFiGi#-mS4L&uI);1 z1iqa88KuAuKzmJ6^n%O~LcHp1<~{%)-Q(Yr_4~hxF$cvzZ35z@(_fX-rhl9fQTE0u zESAUO58Ll3)W|COB6R5cE2oNv@n)|mocVT7s-gmY}`+E8m`wj8PwOZB(UB*gUO}0x^OW@Hjo)A*HxN-+@u} zNd((8e#hf`xG^{QoKxw%D4E(e9|8$Y1-Casp}0zdU<*ofy$`3(4b+=a8?#Hoiib^F z7g)gU{>YeXmS7-2yQ`xwLhQ*aEZEnW*sTL<1?xU*A=l zlFaW4`kwuXUi*#GmUflfx#~lqOCt=9r(>koiZpnUNFkx}dXMf636?*Cc+T8w*y)8x z(yqp1M*;_pyG^(tlgjgp><ojb>n*Z`8f};FKzrV8*_jH3VE0n?O*|xpgyIeG!D3?K@&mN3C7iW~q zk=ldr->6tXiwhW+N4(dvVhzD(?dMYPbrA3>VKVd#wKX#&K^uXiy>~Z%$fH z6OS4Z~To{FH_X6a%lQi4*s2r87=HiFa3zhr>#t! zppRiTU7nu6@AyBC8AbP}fq`NC z7;+VfZ_{eH_v~c2@mega+dI$*Iv20oj}(lzKu4+=E3HBaYGNqlvv(nRqm!zlZ2Tq|YZ;r68QETts8GFevgBfz9`6L_8d6l{ z#KvG+kpP4kWg0AiGOT{Mjqjd|ii_KyZH-XGbJnILKLo zb~<5v`t#o=9P{XXcWf}(Gsmz#uR6STdgXfC-ovGS$+M>iEu>Y=Q_fJP*)>*E^C*K* zR2S_sQ>zwW{qa)1yc@R;{D9WOIy_09r4|+x)bgb2Vx|6f0YnCqSXMdd@A}hr+r$3h z7O^FqEON5E;Hpzht~$XXliS%FA~uHP5LOSKd0kC&pjiU!Ft$3JkT(v1=@WoyIP>_3B`;#1P38Nu{UYQm?V!j&6#kc`@0$k=F!g#)3Q+-_*sCo1$y;q_fa3 ze(zb$Lj_K^%LZ50VZZH^_XpV&Xk_IoeBmP#dgzRFx4Dn!vnu1J%|0*W$P=^n zw)gf!F~hq%3O3%P2m!*&?{W?f42$IXJw~fJHh17*c>2eWA6GypAduzy_HFL=<-ork zK4he%u4f|>At52@Kp861sww}xEKC>Ig*>r~!AvaRIjyS(l2iKYy|KVY?jXB%;@G(m zyrevx`s!vqlZm5Zr6SC8LUJ@B(q-rB^7LmPWLN3370Nlz>lUln31DqEP+r_XVg=xD zAm$-f%vdi1I`5ZcQ;!@j-mdp>f&+v5sBgK1+}-atDT=1|bCqdu-PGPoRGU>CuNuQC zqOP3|m6WU`N~LJrPug`C3(k$L|MV$NzH^vCy>;9r_MepLTnJA<-R3>@7|Z;W%#%Ds z8F|=lSjNuLFlEZMci2BEs4i3E{lQw}M_chB#3=zcO`_)E&lv$>*WlqOL002=o|!yU z71#;muEkGZ67s~5%fzuwlWTmcBv6!010x5}pes-tkx)@L!Z{b-fjbN1Su;o$U|$Z9 zx;|#2t7+8cM?y|wiaf+53H-Wli7e8Xt6KyITBtH?I{ue1M?;hG>(>`TUguJmL*1Zz zV2uLD5T;D{{Sg8?Fz4~V)4W095xrh5nEHG*MR&_1QkIa_v>Af`X0P-xdqfTrpJHD!lp`Fby9HWAjc;XGGjn264GPwFsHI`GhUGkp~b+) zR$Z+FL_-aT5!>6_j@zRF@`CpvXS3V=%%pqY|%x#%NEz-hAvggDcQe3dh>?Pj6M z14Inqjz%Pi%Rr*)76S*T8|Zv+VBRnLJl+z6;QuO6*;W95D2#n9EoB0xxG3N);20g9 zog*OCN--nU)zt;twp491-+MYF7^zxN<+4AR#EJF=2)e%}EMYpN)l_jdc$^D}S1tGI z23)ymNOJ9e6fc%jE>aQ8GWb;864KI6c-T7JAe0xlmQrfT@%}-zWG(dDx4-@U#sD{X z0B{eW^!df`ee&f8CSX=EE^2gtXKF&DA6OM z?{reIMy`Kv@zcc!s-~5N8mUwh)Ei94|AuhW;K@;eoj$+?jMG19{=l z%YEU2>Sw#x+T(S-W*URR`riMD%BR_LTQXdy*NNWNYiDMx8jIWG!a95tBsoN8n!R}8 zV18iB-N_4><7iox2#Rq0E*%Zcw;xz5$J=m2`mAC z)dQ*addUyX_5OSc=0Jnrtb-iIN;TBRfrn>GL-b~VbCJn%oGRiixA5oBOl4(dK))QK z_xk~h*OlltCEM^iZoLMCsz625ecow`*`)Ukn0P>-WVl@LV0?kY;5ZG_tPQ~$4AMwnu8RkU{q~|xMR)|xA@IGEIJ^idRojoFb&1!@r)6bNX4~*q%-&**N@kJmFZv-)L9Bt} zMSG3A{tp)qKYOZNoJ_Ymg7NQ*UAJ*9x>2sXgYMl98q#H5Nx#`H$}Vk1%xSLIaje4% z;cPW2=)7GHPN3|A=9U2Vvx&mQBp_%7p%FXZ*E1!7jVGWNJ_{EYad+ndqMgct&+^QE zw7h^65KUk)0I;c+3M`Qh!?IEk=62qn?Ho=o)++&)X@=QQYN1|BDloa*ykFJ(v0F`Y z(aW2f<|v^Tg@A}mGQgfn3JR^j=V=21>E;g|uZT}YHWXznclJq9HZzp-^+ocMeUEPo zR|uXz?z~cJvi3oPl)n7wX(Jpt^q z8Kvv{)5P4?*L(q>&dgldu^{t0)LI(4hI`w(*3y1g+tOg)B>u{>{>5PbI zRR6!mlVdZVXGXm1zq0@(C5%8OF#`hsg}FqLYK7yrV)Mg|6^x>uxFy8IbbyII0Ghxx zpsTPg5Xh*g&h@rn%EH3YB~2IjQc_YENkbq9b-G`(I2+&Wb(=B8YumvnnuUW6nguL3 z4;%zsR@0Y2eWKN=cU%UEqvA?iop`ZO>`&U-)9unW}u_zD?>zwg^0uq)*c1SB7t`4}Bq+t=MS7+|x`N44LOHyj^0v2EeYAnV3_Z{mF z4j{Gw6Wd|ABt}NYARFylR^qBe&IMOLkb*CDJ2gf86B!!18mDDb#t1S*PS>#sOH2A- z5A34%Eo{%#-kvpw?Lz;r4+l*Piy%EEOMwYo6wn4*4&;BewY`0Cne^J<`#E`uTi5&d zq1xOr=_QM0ws2zOZku1R46&z9ZETU+2~bY?&W1$*kj$8{L`Fl~oYb;~d2fTy)=uof z{o1Jflvfg!DpNI2802_nuTDHV64cnw()%h00cUiY*+9Kt^}?q2bI(N^ErDvmgdK4J zRsmN3#B}wprAItHSr7BcM|rvqM#)f@FZCaKVc5KKKRevXY16WEGZQXTJe-t^3m0rK zv9V!*ZTxYNGlOATP;Nl5hKiaxJu9mtZy)Ref#r&U?}54tOfL!8=1^dZ`T-VRFfm%9 zCm3Y2wUaRl7Mi`ieeHHml0v}*Ffqg<-byJd{(zE>zUTv`x(7ToR=p>ffi3In>x+bh zwA6fiU^JHVG4`UTuMfy{W1yhayv>|tYJ2ch_OE2NX#6AI;)SZV`0A40H#_o!DvQ5! zKue1i)Z0*^=?5o%c%{`;1SrFipmBl>(_fIl0iu~pe&zKA)HZ>~i3T{qrU0y;pZI+E z0yi45u9U1McW5OX0@e38Z->f%taCTcVPSoWqP}T0_7l3dx8pEVai#OkCKPwOf!N9o! zIP*6bn z8?>-C04o+P#m=4rssgLHe0N9fb3oY#fi_rtuPxvO0R$my=gwxfG6X=FH^A|nEYiAO ze0)%|NCT#w0I-HNYjEn5m$l8$@`6sd(9QD7L$?*7sUpx7;zEydj^o!!eAoz7XZjqXRE=^IoRI!$0q$hPl3d_6lb(% zUvrQ)vNd%3?CXXgW-^k6&h-@oR}*pI$FCeZtqRX2YG!qPqy$F`X)i{3kM&fueoa!< zx*M7mCyXbYbqEW%^F_FLI{i`g7HM7_<(*-+kEvMe}&3i>Hk&qDh$i0;ti%(&VtQd!OR^bvd&9cwuRB+mgEqzBPhe>xH#u^52}!kgDo|9;)R~ zoQsJ8TmFB?2!_!61_75ul9rsyWMywb5t%fLoR-$B&z0K8aRhsB7vdIXH(-n)&ES}?D!fLHU>*} z5QqR}rUtFj4(Go@P&+v|O5h7pe2=#eKFXUH3-ioXON!3Yk%^Q+aG{f5z;~7a`f+sh z8JHr__owRG+WiTvW{Fu*UE(+5KB?H5nLI&a!{=Ee|7fV@m%)*{!~V??(Q_q6e+usj1($K zA+m*p?2#Rz5G|pMGRxk3&z4F;_Ff4YA$$8hulxRXmt&iVb$fKOQnZpX<8b z@AvcdT%T-9&4P5YNQJf^=j1?Yg>Nqyt={F3lbTxIF3hH_I5;_C>B;oe7ioj$?(2*K z3L9syxC(SSEpiH~_=ZM@ef@FcsYQKqL12c7UKja>Cm2qSoaiXwf!-tsxi60{M~Lcv zemQu)rL$ma;KEn>k>@YNzwoWC7-{9Z=l62EP*Wc$^4cR8{Hw|A;_ur(jQ26-{k83M zy1-m@2#i@RZS3#OuF}P#q_H*s!OVR=T_jt-$e;fz8F1|Q)mx=qDQjQvem$Y?kveMj z?0cB$n9R%2oM!jVu=wzN*?iqEWmj~sH0>18#q;`&N7pF!T@N6=>F)95$$?h~ z+TPaB{_ZFJ8u3!puI}sY=&8ks+4Z2Sx>hCJvL{6Hb2+EVqMmN>@0CCF#r)#anHefc z&B5O%7sF1lb(!062~93Uh&}VyRlldc=fu7BuB)a(Gp@?|k^Z$3+UGP)YnMw$loV|} z+SJ1rJ9P^e=>;N$3gR{*6qn=WCV!5~WWJ4J?sTLr&nTzW zogI6GKZl+}wkm(Ja?>5yiD!p&o^wFd8s~k5?RyOM@oKa@s!qFAPYCd6bh|%tTlpeQ zFYTH4hw!1u)hpe_{%QK`-t=m$acn0C9P9?;?E~ngA9TGw?{bV)fz_mJWC!Dw@hq>! zQQ9o7*IsF=?EH2JE--Fyknx-&`M{5FU@Caky8oAs{auCa!_Tx)-I3#1Wtq7pz}nM< z4}&Y0l$pGkeLzSuy>#Ag%*+nG#$t{3nW-i|c2ioXWv5svy%Nuc>c0BDMTd56gRQ-E z%d>@()pCDIQ#O=*V7tH7&za+fB%5>E%es@69s5~C7(Q0$tqU*m4@a*`5A=C>t*4I7 z2c19dcKBJY!+m;7@0#M+5UCR@+jci3x(2pXa;Y2DcF{85Cu0-tHRpX$q~j?x$1po( zefnS#h!-*67kY z`(?MAgZPEnDFI!dHR>eZlbgMBPP4xXp3boB#0Bf_aeBAs68ngG(cD1}H&OvVQX`(? zEjdQoac45T-Zu3sTEEMPxB2Z^e4cS7XL;l7IMdu*-N@7LcQzi}p}J467T=yYMMDuJ z8utBbpo($oZAG`O*6CXs-0n^HRKC%48!9NB^fnR*+v@n8sn9jRDuR@3WWfLG^>58Q zg(F695<>MCb9~4~mk-*@EnTSymVU5XUvO_yTH1%}g3Y3Sk&!G&_h@Tt*I2$>FV+uW zz34Ff{#Rt0vA(xauW|tY%bT%RIc$7EMoN|xV!=@1+^vSdiXyf)-Wt4y*-(Z;dz z5NS_s;SP3h2Hzz7wvCOAq?R$U#HMkgyF)vn&=J`E0Hj^OSD`Pn63sPhuHAP;qRltN ze+!)wr>m=aTlB3x;yn>Y1aJze zx#3MOUuPnutf~1hI+_hqZ$w0dSfYcS9n4bxA3mJJ>?db57+vyxVBjr0S;Ws_a5aXV z%+T0ady);V?Dsx2u-;Dgf3JFM(N*AwEDK;Vtnvfz>C6`<))5IKCLZ|;-qhWn^1W)+ z*84W2LiGChTyq-E)`xjqP`taN`ic_y%Zt;QzeA_k+WJGM7ZwsQP^hS>%|N6qOcqG> z^YgRF_BM%^f;+*+#zqn@RUyXlwFa|%5fKqc^n#3j^1^2T(Iu3WlpM%^eF^5-zGKH= zew(fVoOa&6zFVhZ&mp``8tw-U95~F!H}r|k!y?4!Hb?c^>LA&$LF_`oaNp6{+QQWW z&uk~Hg7ahD6$@Pqp^8!}xIWv5&gCaPgoT*CZ_ngUh~9*BK_Um#psBvMjR@Le%^cz6&%6d0QLHnT`Q*Yo>? zgI)M{9WmlLd~U0%nwplQy?jDAPxr0drXDIZRe-R#bt}32!4j-Nsq&>T>Jxtl@6NR2 zNYXLG&-4pZeg3+cl~!91iHU_-^^^ogM0|x+>E2iEuthSqcfG2DMU~4IJ=}WNtf*62 ztQPl6xNccneg7d}y~I&syfRn=aL1_QL&sJ}5V076&YPMZ0cil1=P3f5 zcuB;iC+>YHzs{EZA|fwfqX3cZFa7#DteniJoC6TkiBV3bq^8cZ-;=Ie9tHq8GvB61 z5I!@=K}F5{GF3DHue6tnUmS z0Ih`OAI}wGszLF_T{s4w1qSMyvP^ar`nygh_mb*4kgQ{2PjnYQhvT)GF%Bbz5Rp^Z z!+(ur|B)lrwyV$tM4YGhlfa&F1B;D#bcymJ<9gN_yE|YpaQgzqr^=rH_+dx_4vdda z<#{#Q*vKj>D*jea&cn_?!p_c)Fp_rE6to8B(|2AF8Q%8xcE;Io)ijbe@z+0{I;f}` zt_%f-OeN)$jl%dt+Fs&d?zg&uM1``7%7?&%ZIx*@xPlR6?Pn)N=dxrnZ6od5r&R&&Nm7bzd-r}upb6LL zZZXeEA)!32fZ>r5?Trd>HGZ2cESc0)N-)rZh{^wiU7-BqxR{8@s|FJk%U$zZJtZN* zH=xCN{P>@s8%PM(AgsxLzP=RDhw13(@El}JjOw<8k!!%=1_9Ryn*wkrdJft#>Vfr+ zgS)z=f|{0=HiT|5U#x`t?JJ5xk`79|bGfZHowm$#GGSxwCT@QFj{CgzqBw5a7^fxB zfvwH}JHkoojZ{U$#_@T~ni0(ke!|fO+z;-2Tw9!E({?Y;UmFsrjJ~T{FI0VXb#=9A zT=$@!$9n#9ZHkJC5aVRYIRB*`nWray{W9&VDCc|ue%S2Sp>q4toro=A=vLoxlW@kZ zEKcc3d=PWQy`sS86cZCe@cvkCCr)-2TAqDfpCo|}{C*1LSI_FM~y=cxKyJGI8JPp*k`q&*zLz`;X60zumpP@lgDg!_LDm zjZ=PUme03p!&-zBm(fp#NYOOLUqUO&j)8#zi~@t4pxmlPkkjLE0NjtnvT)I!{^Sbs;FF1R&Gr3 zY_6-TJNDBnJ)O_d(J{nw5HY{KXWUQ0sFU#O6$#D;9KH+;3?ZM+V2R?;-b~I<{H2A3 z0#5T;RFneD_@DgQDkrNip4_?eV0pyM$96V9uA{SyQ`U?8#p7Eihi7}do12>%U;l;; zXcimGjK9ZPY_C9S+xW#xmxxD(IAY;7s1`CESWqt55p>0jO*6ciZCL9HvfTJNJ3-< zVP%>|Jf9`DK^8{5OCh|0=@Inumm!COf#TlV4U`g zioS$z&2QSh@br}a@&2j7S5rDyu9@){7aV4Mnpp5mhUrA6o#izE@h1Pu#-R?7TN{~E z^-sQUy)++6yWO18^1+*w8a-CmiK88Ils?+hLGp6_pjpq;^WH{UDV1p*y>C-|D9c=W>zTa*+2%`azyJ6{V@ZE7R4JUTL5<4Q zU;Xn*Im%mI*}1u~NDI!*&6(-Moe+K(`l%zGN=e_XbM9#4jpz!)1?z|r?uMcnwP!5& z{XecA^fsBXZ1bC=;q}S7{1zT#_miiei{qaw`j{Gj!-*=4tTzG%;DrGX+d1m<&bW={R zoJlC;$Tj9&sg#*XGOp1!F@HLmen?iHPvvZ>8E27$x8~y1Hpef8ij)n)&TqqJSwzCd z-ZkvnQ&1{VUFVpaw!$Z&Cmr6YaMI&M`Fi;G1|u1BX!Id9YU<5=wqtzblx}?26&xj z$H>IQ6ePzf7e3Y&us~Dx`{8Ydwk#yIiIcAHy)j9`_~{9^>RBSiIkty5rbTo zgKiV<8q;gR$CJm#{+#Nd>2)V%t*BqyT>PZJP&(Z=w^H64s4}v<{G%Ld1D${0fS;Q1 zJrd@7IJSqZJyydlbi9jbQ0;@<=Jev?h4c*9H%MJ5y10}WxjfGL%0z)tz|S##Ej>)w zl94-j%*U(SaAAa8%7x^{9-;cnblTS=rAqp5Yh;nrUNesDYxslKeOq; zPt4vVUutCheO1-{trQt~+zw(aaGw7O(SJBZpm}uTh3L{GGesWsc4{(*%1!P^>1{d5n*PSkf zDq!D?w-2L_`9+cZ_WB#78jg`W>YUL^-%UY5K}~)(R`nhXpaZx7$FC+_)<=$j=o|s6 zY8B1=2cGv^*obx+JA&IoWPXvHgzguwpZ+P_G`V-eUjCJ;&c?CB?Q5%N)M*4$W+KsuX8}7A1(85Or6aOiDI<>_KGa9z0^4R5 zMBq!Lw~*0?l3NdwKfADSr2nngTHoe68^S8`1rxs*jM`Pkim${4rN5%5QBhqdvvQYQ z`N&*!8m|-n0 z_q(Y+K7}Xe}Ud@ZPLy?cw=kMLHA+ z=DQYp3oMy%Zgu0@g_M`!#8eCa*wJz4Kr>-k=FhxXD|qp zs}e3{UhRS>xShvQ-LbOptL6~{PkWx})%2#)($d+VvHrGrJHq7^+Cz7#)&1i#O(ah` z0Tlk8pEm+r1K2_Y@;&ZLs;c19zXD$=IXNm4tdBv|og^gi<+Q zOa5YYFezP1UA>LHy~ULPa&mIa)l!!)QwIkVKuIN)`zmp;hEz5+HPuQuksjob4S!$E zY}e50$oN@6sIOJQV8e}vi}AjXkL}X5{w4Owq9PGYDXC#I+lHCZQUKO++p(RN=8eA>UMI8 zBC^jiS00c%{oZ@GDP-`~$y@`s)ZKhqA7I=jm9)1PN>$Iq3K;~Jf0>X%k@nix7nrvi zkD;Sz{qpRH`Q<&kcgx(oc?b)KC@_FbNM7bD>6s@waY>M3w48MM*U+Wd$sJ{P`UX}c zLjC+`0B71eJBg7gyf01ez6>IerIRJ`?|K&&7V@n7dUt+Bm;xQD!ehsZ{syCXIQz18 zo5oH`hEF&pk5X1+BUmVyLl0X1MSZbK6{Q#x}!ok=Fl(`3qD3Hwxu>!tG*UUCv5+EuPFn9vD za0m(p!0PmR)Kf)YQFNiGK&h zPV7H;aI0YGo!tC6jXIC)}-P4Zi@3%YizPoeevYS2KL>+SY zMK-TjNEV_!HbgT~yhtO!nqfXgIJF|hoj?**S8mJ;T+;9Sn1{>_ve0iXu(_RsxQ}3@ z5f~6W`O*(Q#;S0mQUI}~*anj#oU4&dCB?-`Dk|P`@n(7EvWpWPXE9C_e!bS#5UgZ$ z(pnaKz*m3@0fCVH`|lP&zv`sKD&0biwq>i(G}hC|t(Sf8hR z(|`8?(U0&0a6P&-m6~mba#k8+SMJx1KMZyFN7~u- zCLv);Cq5&9p^-s4FYU`CIc~p^OxI(9%E=!R{Aq-_ z!^66=m<}(DUP=fSnzKsKSeB>IKbv5_#g8>EJzm6oa8G%y=UUY%wnI!5?B{s4C)T-T zoN-S-v7Pa{)e+$~^ZeHRa1N$PQ?hGu%d(j_-}{kXa=!D~(@>F_javfCl2q)SK|9RE zuTi|*VI@*z@!D>{Uv1sJq%-2c=oph$mbRMb93xk-Sz~E?O`!s1LxDZ1dJ4HsbY0Wa32ZTAwDBt3vQySvuS3C5$J6_B`OJTu1SY#=`)^o2PTO601=;bDD&AO`5M+^}@Cg7!+iEi#)TAA26aJ!N*SnJ{G(uiDF zhd4|AyV@EXaI9c?(gV)xW{zMHPV|ig<){7-$xlH(hCndLHg;s&8rf<)bL+4 zG&DGb$fc$zni(5^QrB}cN^VF~;U-*Ov%|H6(0wgkZlBN&Aop_^`B6E`C#4l$%H?dc zlKMEpxvxyBnCqRFYt-zA){7TyzozHgVu*LZ@N*9=1Hy z%*wxKW)1+J5G6MF?a!m4bj_}A3lAWfKCyDBO(v=9n&fGKeHi1jLM)}3`1V8Z2yty~NBVpUatu(Il!2RM#36A|UVZ;c6T@@A9l_i3uu%R@TI$47Z&&bHYT}^DIQPX+%?%jhYPdKol5;HLZQ}ll**H=-)_;a!F|&1+o}zN!%Gukc!mi)~$iZ0u?0J zmuH5E;~hEp*cLOqndDn{2z%UL-60Vow>%L-Xzq5kSM`*lvm(t2&JhMp#~9n-+#^++ zsv>_-%B+g1Tg+u=2(RXiYXDpwZsaA)DiIPnWsV`l6P^PN0mwLODh@kyzT1hnkj^z2R2@poX>zOglm!@9^v}a zVpv7q^KWXK;JHzsh*d1bvAubM<+9{sAwJE|}~;rMz+aZ-SWsrz+`G7!G_ zKYF6MPM_|zjT}Lcu!g9cYA_+4QJ_-MdH`O>oN6})~ozW>)NDK8Ox>;h)ebTxC)BPkVM7#4yI{8LjclG5bCRDvi+#uSvz!(P6+HM#AHiK0<)F=yvDdNM+#!KM!|GmD*$a1htL%vDXVc=t{k z=G1z0MG&Bus8vi=eO}oLd`1}D5T7RY!4kd9e88*TJG1gsJ9Zp7e7Jvl;C;v{;k*YE zmQ{!*tuxW!@6@_@7%ZdFxDl@m4EF0E&YqH(>U524PgGaJynF$EJ}mH* z`6oT8m_8p9HJVCFe?fnQqs1_X<>y`Q8r!JYB6yrPj2&b;1cEtM!f#$ov)@lCv7QS*+g4De`3LL&fwTy>cg;cJ54^p;|R{U zb0^=CBLmPEAy?I83$dp?9Dj8T7{xzuL9xzwTN}=OMP`b!S1&h7xR%|xz3*SbMZN(KkWF@{LA%>!riQanpn%3x4$dbyxAKYY7n_7 zy0ourqUdQ%dWlkKdZ0EV&s9o&BPo~6lDd08?$b+CZf_hn87+BruQTd}``!cQs=!g% zl8#$BcgE!}-@bVBaoIz60jDjm&T6ui6iM(zE3R8jA-yXUnt&Oy{=h5mx#W;^fk zzE4w9D@zjlqvZ&6bVSzUN32^-xbY-~l5s%7@S2=l#;&&A>3T*+oC!?SpY;t43|JYj z$O!FJODQ#0+*0l$7a;s$-RZRChgYFL2RHZI#EUPOEjs+FkKUN(UF=&XnXF?CQVqKG zy5EU5o^}6lSMX0cYxg&vLEU;Cfkm(DR`>8}odeOzB-|WwIS|2%O9P?aAcO&;t6DIoQKh@MchJcIT{tmJrh&-3{_uCZUBhNQ)v;Fyv zo$%meE`ZOO5G2s@MmRmBI+c;YV&&I;;?{i4*LpKkoN@gG3LF0&lN|qh%rm$Dh1Whv zh>qAClwenc7Rfs}4X{rrzct(-!*e%p$o1-42e>HhTI?zHRU0!v5Pk#b= zdS;kHV$;R>Jv@d6241nTgEVcC(0g&V^9e^oQvlC$4545uDk?~J`=LNjPfu%k(@WH(!5;AD&70x%Z|XraJwk69SS~jX8OzT+ z;$C{66XT-p0r2#EOh8R8v1wppqB~^-${=VPGlWBU3*!?KW}2@mwurf5H%6mMOjZ^> z1SD*f=oq>I)&#h7dt*rB)R02^Vb$(|f%-YFk>A%BKP|6jRh8xF+s0PlR{VuPu4l}! z!ubmV%Ww35fj??X(*8UAv1_4VQuje8uV#)n&I}R=Ur0a@jVmOW#|4eP1lgwdyQT24K^8ic)<%6{LHZrn-{(ebd9&m5M&`pS@!EZh%mC_vx3mP@v zjz;Y2Z9nzANZn)L?WBjrTw76zO@?mf1zexe(T8fO>B2hb+yx$tL$reg?BR6P9z7C5 znkH1MmHBbVkmx1K!oSMAtVE}vrd(d+{{?hh<)T;p7tAqs{J+B-HR@ubqOY4qNo*V) zGj^w`*VNYLH*>2dtKhE*BA0<`ymROXLMElV(?!Sw5<5}v%u|+vJj#S2i614v4ER~hW#lGvH`-rbcZa5A4i`a2<>y1 zP84{RyBhhGQitTt$e9ewxwakKx3dcihkzwQr;@1qnh5&-TDBCJ)${&GctApoog`l5 zG~dDbf;}YUzGpte%Ks+loi(!L=H`ZrI@u`zAG9Va!yWdd!Gr?~6Jq8q5EOUZ-1Z$a zd=THF8Hzbc5V78kmA1+)K;940jaj+Azyuxqj=C+ZVy`nK?j?j!`;W@esQ=`{Qx+5vG2<6{6~L5C29 zeJ&p-TW;2dRl!h`oRlPJT^}2J8+6k?uu?-Irnkd&bg5ES6qt_ip6M+qqB5c zq31&yVf3vWd7n0SwhnsKMz21uxqWL>>K|u+f`6ZvVAAcI75KkHZ(C0;*EL;J>Aai4 z3=Ar|Q{D&34(kNZnI?XaDY3sFJT}>pTU$|hh_aDF>-_l;$;<+A$s8E&gc;-VY0e3F z(@V<+XgxeW=KS5Vu11SN`hnWSQ3uB1tM(kS=6efHW}WXnXCcc?VyxqFaD+edj@*6v z7zXk12)d<<0j-=L+YTL9I#;gtGAFTF0_v<8b$vOQA{942YvQRQFX zs<*Ichd!kfzg5qn7$Mf#(>&M4EpB;spkhVOy3nNI05Xd0S+9prB(;U8{~7ZdC!M3C z+1mQLQtJ4mPQzb5F}h20TZVi{gvIxPZ(yCyBaX)K0YC5t&_$G7e1?+hmvO`->~f%lObdXynPW7vhz1d^r`*a}Uj& zYf?^aDO(;6ho6a#@UCO#Zxyw~;6!*y+KX--gps6TC%+sgQG1CPis@`kYKJGm27KPE z_w$cTX{K5c`1!%(%;)o=y;)k0u#g!bQ*{TLJB%;WsKgi;8mgjTyJK_7bsnk-^bgGn zqnHNI=Ies}*sb>^7H6=ft3CGe+Dh&v<(cQVgO1J^rx~Bve>mgu@W03WjN&oH^rn9t z@yE~|yD<}5slmt%^g;BrW5_C4c4!^r_ZVer37mM(8L^s>BvlVc_MVWxi2(zZIi!a{ zwSrP1{4A*7d1?NmeJ5R1=_%u3V$u zvfC&U0l$dCCu6i!`c;(ula}~Ym-hcY|JzEGxuM)0#-|m7M7L>ni!*js<5iWQdNpksE&n+f^&0SF!OEw(B$MjUnpCpDCmYC|k_by+$va;qYs1G4~c>{t_H!>p_nXW1+-NVENPYGHIQ5MtAQNx?6 zL7L$t-7rNA?{F90O;Zez)3+Y~55&Zq-d@)G(r@AJqmhI{1ewyb;I_TJ{k9~v&!rf4 z0d=(!_43Ua;5~e@_oycWj~e~1UEX*&G3gU6{X~QXGNMh=_eB>_wULFFH4*{m7$$8Hs)F4QjRKR-^4^Nt@MIUd&N_~w_#0veE)tc zKKRks*Ejys&3~mP^s)ZtwOFy1a)TVj@xgj%QcEgc{F$=Ni?w?TMs~{}^8UObk)MA5 zN=*CK~1!P65`93Q{`qjdh{sK;D!?}5F7ziW<-GZ zeQKQ`@4R^=UyUIypUkMmuwGspG05bfKxH_N zA*efQ;spbPgJ%J)kfvo$P9DAuH6c8nT1`QL7E9~G>nrqd1mO_6C@YIH>EX<5I6^sv z7giiJYUCYHmGMUN>O8fGPs?(+VPJ4|YPYGbZWQyu{6=~Q8=Iv0id3fwXul98S{#3d zH(@0HHa@>?+cu4-56QP}vq2LP(`iR+9}|6*(P?SCSkjKgw8>H6CWRQ)sdrrp(CU&I z14T)677@j5n;WhWIAMc71RdiB^dyJV!W#W&!0b& z^usxn;uyC0Pp+ZWR%Upfzo=4mh|2X#_NNwAVU^Z|m>5rN@Gca|GO>XMaWT~h^(tDLsnsu`p>L_ZlSnlw>vx;mpV2_Ic@^j)_SYQQkl0h=^& zF!&E?F2?Isx>K{+g?X2DlOFCi);2JV4G5qoLVxH(Sz31z6}^ym3X*{I>sP>^7&>ZR z-!uOYJ{?2RkVD=lZn3ENjPIX4+PG1@Y@st^Tzu_{R$SZk&mmd*$=YMHpbEyz>U%SH zLO*D+x+G|2TsO>7_TJ)Kd`LByb{-X&&7V(3ua(tpzkpH9(TPVX*@9$wf@<7gO1eVPlx7l+e{Yl8E;HBiZKmc!;p%ewo)Hf~9;CZy_f+P|m$Qlb>r%3N2kd8nw8J6$ z7gYiB0SN;Ye3^b{9KP(m4d#C$6@f43$y;V54T!J%R|DPPYc4!D*+#j{@y+LQ&6-_^ zZ~yR1=4l|+fA_<(0mPU8{?-5WFaG_n|K%_KfBg{U_J4m9|Lec>KmE|(@BDvwdH-KO e^gw%N^A&>uY2nowD}3HJ$;I;u=Q5 zT3uH~h^~fXRwUVVcfZ|LS9jMFPehJ{Br`qz{a;mgPxoXJ0zwwQ&-wlSQ&q33x~r?J z->diPRrmbTMc2oynmsROUg^TQj4{h!fwhRxuM=Rg;O|nPP42yn5%7xw?7&dqXKI|v zbw>HF2s_TlVF#8jnl*p!!1>Ec=YYhJZ()6wuUNfsZp`u}3rpwpZQEzw{MkzuE?E}S zhX(_f%`cf1lQ1ZO|3X9tt66YI z1{RTq zxdZ2vE}J`hMd^~oF$-5L12K3!V~`{ccBG`IAd>1xPa5n%WN=biYEmK%#cOX^zM^#A z>X?Od=dGYaE?G5j(ULiHW9F=0JZn+uY?Z`^pWd_urDz*QFK-xifcmrjMU7~86*tB9 zxtV6Gp>%ff<;+%WXWr38#l^Va{-RLwIp9GXd^ixPuKa`7nKA#r#*lAT2qYe$VV(6zXog%wAr^vluG>)6vX|>^L+t&d&V3<1BFz%-btAE{>W7k~gk5;^@*IaXnQc&Jc&T#>B=k z6U7pXHlT_vj!NL7;~LPPh9Y(*$6ZAYH57`7qw`P&G)|{$aWoX|5p*;(?n=d9DRq&& zm5L&;T8-7wxq1PiSY1A4ieJPpMW{C!9TxEtV;6q|oC5c=ne*E~X0*8n z*a^GwvYuFMw^pv%qUW74QLFOBtk3tHLg^ zG9!LE|9`jtEhkv}VuuN~;!H@Lmcd-NEE0E@j#z;s8epry(OL*&z4jIQ5#w+~18i|P zVhdrc*FH`=qTeO8l^o13DQy)!AQo6DMSw1tVa9n0#Tm?J=FJgpt3)5N;xelm@;>G9 z3YDhz3a7=XH1)bFnNuobl7fHK*W-a>{B&H)`Rm^+i(^;-Eqj8*lV+?(@v_KAF$yZ# zl@&vjAbB%eCIz+dr)=4#2BKI4o1J$ z)D21hivTbQ;y+C{B%{OG>4u3HoNkz!o|2H729+>5k$#AzCk#$c8GNpF!%j!kPSox$ zI`tB|!}L>WmtZCb-LMLZOciG12M<+MK@m9h)}cdHhpOJL#2Dc8Lk9)@(A#f4^9N*c zI<$|fNaov*EGkCH2-YC&t1{$=GkcYPzcK%rw}R?d8j9m^ZyAzycIwc#D=k%)Lr|H}?(C}UjcENwwEpL}Z`_F1%eQU3-F7<|16oDo+keiS{M?-CBc=pEZ!)X3twDYsi4<82A_vz<{Kev1?fA&6|h%!F^jAxws{L_E_ z{ofQPIvQ{o7w}g@5)G{U5S8_OT)<)f2YM3uf2V`%1l0e)ocyODi3|9={^MPrXf<#F zhpnvclf#EW`IOp^mS-PsR=LDj%6am0-^ssix)f(ME11ZuoN`6jm75iho+mUvUq_|Z zL_*7LUGG&MGZDj?O_AS^GSN^lY`|{i850qg8LgZMCS9R?V@-fy?EL8p_V ziA&CZ@rdu+-%rIQiz{fUz6m|P_1Gt!>k=Ro`^=$C@%vejK1rC}c6NHET;x^1T!j!ZW z`vbXHc$-jc$VM8KN9(o2nU#vuQYRfOOssrDdEiN$b~LSWQW^?Qv6Y4MRZ(a*re#R1 z^6lPZ+2gCgDk9p2H9)Z}iT`JOdn$HwB932y#=dM=i$ro)#U4GE8oS4k#yYH2VX5#3 z>Z7?8{#U8F>guLU%%M6SZWksLPQ&{G^{rw*~^?X7RD7|nKMusR}hQypnd#UVLZDRwU?)9JyVYD zMeRis!s5_ggf^_4o@QAR?M(aCSEt^+5v3a`j&*O2>(ptxLQ1sI$VhKQyE`hM29tUy z-RqJSK?feL$%hhC2~B1+H$BQdfYh3($~%tI{wMS14#gXAD=g zdM#BJ9rT2>77wIz(JvGagyN+AdL@XMrVJ9l>{Sehm5sn=pxm4v3m=hK_;wgb-ruLISwvp}?Z9)u>p(T|A@D8W zW2|?3petj2o@cBt`myiTz%Iu6T?EWytbZNa+Xm+ErHPUS zUbzfjSRp4$@t~ibvN-sHQxl8;?a_V5+oBCWYN)Cd1GyfTs#X(!lv_Vf&y3Fzs z%Pz;-SUG0WkL)Zjrf{+vWXPjZQ({P;I-hB}0C5rG{4G_IumIF*&pw@s|3WEAaaty0gH`*7QArwn1HAbk z0iFh45oNV}hnq3S7+@B#6u|11<38X~;6-3B@E71?;22|x7N8Sw6)+fZ17m<$z*68Q z;6C6{;6-3B@E71?;22{`7N8UGXU3B60d@i}0B-{C0RI7wGM2IdZS4Sb0|o*xhNX@I zW&n$T^}r^&mYG#}*^l-JQz(}^z1pO-~| z?&dp7ce*1x=NQoFKAP`!8H^+-6Ljy4_x2J+lxfLL61UTQoB6$`L(t`25!4*xeWQ5U zMORT7Q*(^>isGV7^UXQkr1&D-*x+aDqRP-K9p{UFPF7G7Vig}qkg9odt z{y+z+#>^Udmg@S0j8#>04@8xAs;c^R#`Xu(a8Xh)O=4`TiH76tXUt=wojO!~h_ScL zG!!`q(m~!9TvWA<`TszzriBF?E+@O1^q14j&Fcs4K6!HYKvWTIOq-qKVq?C4t9$pi zk6wurh&-B+<1Rj=xERY*wgl5ZRN(s+rI53qseC>B*DwaC_k-y01G6`#|4V_(ZRf_v z*{b9+R-il>e4HM~4|b0^^nG`oE@t-i2^A+#?upm0tflk&1dQ=kV`FqK`h{X+@QBlX z*~ucN&EM(i%*LEQAM@gTtaIjLu1`NLKj$jOa=-?2+`t%M7O)h!3Ahh<6nGKX3;YH6 z7&yk5(*kq?t^x)FZeR>B3s?%=1l$Ka%9!gK;4WY{@EK!na1Hl60Os=Uqu8^(0l<*Y z{Rc)1MnK*m;P=2Efqwx<02yuX0CWQe0@=VQx{~uT18Me{cF8PxZYbzJcrlDH|8m zea3M@@v@6LGn6rPpK)wZoXXHBh*O1dQ#$UYOdAgR_|QfRjh(WK)WJjpN<+}y4(X+J zzH}El?%C-tmoff=2W$h!G$bZH20?4deQwkL2sR~@pMvJ2sWkFoX+MQ=;yVRhL#NadrB)!%2N! zHQko^WHlPeydMOLo$@z)P>*B{KUEmBE3)6mTc#cY$`)Dn#nr?s@zscNgfSUf0aO5q zibFY(->Ry%RYM~X$y%r>Z#@H=rr7wZs`t@V?1O$GR2@sT|81g>vLPs_RDI}~L-YKk=4bP%WXK`r9>xU55J6qmINbvZeVovM0k*V|~oJ~eHxmZ7{*!|+B2(9txy zEcIgIA+A5gt_{33qLV6~I;osX3-QW_{?C0=|LzJKj-qKV^5BznCwCY~wR@D`4`?&s z4aJEwYvp_=m9BK1U6mb1YONAY)M(}J25Rj-<+Xlo`c){$4MaKDM|byGK1Re*p&L2h zIC=EG6y>O15F39ME7aYhNE_x2e^23WzTiu&sPgjQ@V>7o-*?f=*y)ZpjMlHf&gw@U zsQdOegLR)Ttp`L%J%pD|&<+`G{*P-gymTS~`&3$uwb7~gFBEHwQS%TCZzJM*lkwaR z?}N9vYzLkKUN+|sKgrk#lo zj0C0w3xRdOU34wFxYWev`3~*UPb!VE56*Rew|S0Ts`NLZX%#7W4j)LHk5OiIu`)Vx zHI8h6d3M*_Y(J1^tbb`WKQi?Jjt;e}lhmKqKRV$G)PPu2rF0SKf>|{+MLf53aYl1X zc=JS0NQU*17{mkp*7ClldPbC4XQ3Bx*wTj$1^^o6u+plb#X4#hqM@mUu&&?biuh`LJO%rbO-&c)Q7YSM}5#< zkcbx(oM&gCvG<_6=x0zL#y&1c8g1-Ll&1M;d^x7t9I%D&w3b_TV#ZpIRfC=7Vdegv zn8H!2erNfPZTD|0w;)bwOSyjw%__H-Yb9z?V!QNExlZtH-LVtpeLHt-RS(jbQoEyk z=fih%_akv0-_G)Fblh$FVQ?l+*#WmCQ3hv1rHApa66Ero<+gHp=Oa8F#_G1iuW9Gb z?Tp$gt}edZq$>IRHovNX;7VmBO!3Q98F~@vJar$`X}Swnl)k4X9aA+MQRLL$jYX_=9 zM*d5m)P3@DKI#aWh((r#)B_7~OpQ`|Sa~QWJmz8La|6+LROv`P(MkEvK&}0y^1zj0 z-5*qbX(0OSt3IY4`FIstrXSU3-*l3wCpQ_XwHuW^{o3?@&9LzV?`t@$sV#?%2dER& zuFzcrhpl~^4$$v4gHnR`RTTQDr@RGvK`2)V&;6(2+m|x*OFvVsBaNP#*5ZhCF8YPi zI2)PHDgmp1Mokb`ftXj#Q}>MoBmhe6M))< z#giFWTAKmG&Fgxv=3|KYF^;U@$Og20UL2T98|$}Iv?F609w{Y~ zdJu`@tAWrG!a;9cErHHxZV7K5Z!7O(^tFYRm)Tq*v?9bDA2da!sn;b1?E5II+xn^e z|MBDWE$_?%Xnw4P9*4&I6fd(*^U*5SDp3*PB47IYd{` zuK?_T73hpPM2i+>QZF2>s`VCSW@_*MHfU8d>(OoWQ)$;kQX-&f*jadB1xAm;e(qOf zK4pjP{3Acyg=qSYjn?Ex2JMTYq<>Hp8>=h*idY?q5G82a1EN$yk9v6&`wXxY^Nbqj zB_dEboC^Ce1_C-lV1Wf~toBz?3$VDNN72SAEOQVQZLF-W-mk{_N(dSTGS*cN@#PJ) zQKHgBM#IRl3=N|wt*D47FHBLi@vZ7A-bTdv;m|OO(jh1+mVR2WT4JN_rH<6J79^>i zB8g6XT3Mzi(a4D7%2kH6Z=~`Uv{{r!V;{jHhL%JtZQm&IhP2P6{2SLIN~5*+D|Z`{ zpD5XS5(i0EzQ&$>wR9Ns-KK2EwTa3|zN?JXlV_@fq|z8`CH_ID;=fS*gDf`cZ#MA{ z{ERxt>>l{E0$~u4Va}iZ9b>bho6NZgxDr5_IXS>+;A&toumQLm*a18byaD_P_&4w! z;Ad>^MZlH7CSVU^^RTip4@%m+p}=Hd0kDd(1-*fBj9rU%UV90Ec3tZLa)Gfx39t;f z8Mq&K4EP&cRn#>T2cy$@Y`QZ%HWi|gQr5g)Co9uPt zb+4*Mo(|-3rxfI=$BLo(Qdbs3(>Xop*2HwKYvYk)N3)DL!x>Ww*t*u>a~qoP7rp)r z=Q4TZXr1xHL*u<~Q_WwshJFaXvDyv3utPB<%|n%sUw&C(>c~WQmWjB2xs=wGH{^Fa zPq)@M)+t^Vxr5GiUT&@N*`YX{i=LcrLC@@keM{-d)*fm78P}e8t}jJCa?YP@&S1`v z8<^@CxeCR9jQUba48yOr<~uZzZ%SXHytC4mQZ5{Qsr6Q&7D1ilKk7D$L(N>&SCBM) zX%YA0F|R^}vwAEFm18jn%11d?IChlV5%49ct>u<-?<3M9J9!=^`Y0#i3s{utqxGOj z9<`L$Z%0Z!gvctl1s1cgfK>j7an(s%W7?*#G2v)CXsH8D!TJFmKWNnn4HJt_-!8b0!BzIt9HKcdT`g(OlHaakhjrF}_a zwTEYsH=YbaVCjnc_-XEXsN8soDah-u7D}g~60S?EFp1qyaKFRa7T*ko+tIQmCiuP$ z{>?Xa2S(v8iFp~k_C_~gHAd5}uoI`t_sXmNBNBJ%Ww4xclk$E9E?d;W642YqVqChG zJatq_zVGOX*E0=OpfNv9UC@xFukzSf?Fpr#KdmRdX&^%7Qr+Ol2T?nw?@`TV?xcZQ zo6nu&UQ_nyM641%Ny|wm2OCIr_8Z@?Kk{6Ey+LQH%B9fb&|0ibee|Gr3X>0|qtv+e ztRi{+A1TAE%3Xg1U&osZV86_o_2&GgP`j4C4ZIJ01=KUP40e!Zmjig-m!$*4fGI#J zuo}1n*a|!i>|ty9mZBd?OWNv*o|?Ft&RnffdXIx zfOf6E0r)xa0Pri|6~F`h9rzrmLA%3%&Oj`X3={wp=vqu7^M{Drme#dH6dTdfdgrQ~ z@!S-rV+<&JB`(k+WU1EjAQmO5Y(f7XS6Kcvk zi?V6u;Vb~U{H74j&z%N)TL38Aq;@9Cr_%H>jHQzLs0T?+sE;k3C5N5)s17kI9L-#8 zQ=M@3bmQ1-UtZS6#c=*6JFmHpJ-a;PzA)_H;pZ2EqNTn5<0{wmej;2te^SEn#L)U9 zrA3@~3&r)P>MY78wc@ZcKD!hzYg5Q@{#F}SMqWU1asL0C!zzqvY)j{38du{ga+@LN zge&o(d9GyP>5dc36@QE>baD*Cua)MRmc=+1=6E6JnKa)#D-}BV|Dy`M4l5Y^XHcQn zVgH4Zwr(Az%qnyk3oFM`8b|}P9tsms4X{-{Xd6+7ZR;Yy*1mNS5P8_b z1{ca&YGljwTdJ-t?V01$Zo%5oGp4xrAE*A1jZrxKuN$Arrx!YYl`eW?;(u&XBGblM+@0C8(RyM&KdfDPT8n z5O@#x5~ySBrZzwqpbwA+3-z#&&z?|0+qm@fscUi8M}=E z9f24i0dN7uz%{`2fCStNlmov7DuF)(9|7Ov8W_+Kh(YAcMJQ_iAdzX8E{XfO>IS^k=sdy@fV1nKX2NUie9chJ=h4m%)x5XVnU+<1qaA8M ztfo@x1-f85KQ`@#T5i4~ewqB5>Hgc9;k|a)>2#j%H@z1x@culCm)Y@2SUS6cl#a7g zT2DVtjOU=ZcJ>QmFW0#mQ>WV#W-mvN|3Bbk!F>h6U9Y|Y7W*7i;G4vH3b!6G@@D(8o-32qC`X5N1rFM@Glq9CyFAA zv4p0FZB=@3C|VX@9AAML2ijPHHsbq4{#WtEA&R1jyY}qH$BNKiO6;lFswU9HJr%Dq zHH9Yb-h;&tHAziGQA66d8(%0w6Zuim#0oSKUnLSDn#SqDp=elqMSL7$98iz&X(4J& z9C|WNi=$<|;^>P+lz{}kXrw04%wDl^OiiJgJ!7$Eq9-k}4d_TUiB-s6vAwC4{98q6 zT^w48ZxM+Qt>g6IP_!*RuGy_>P;#CXZ~n$aL?VC5Y4wIQJ}(q~be);7`;T@50Sl1} z>IX6d1zW&+o?Od}BD*g+lYLP>@`n&535z$mBj2yNJbsoTq-2>ZzUz_tc{SWd#1x_$_w+*-y z03Wy;!{_ciU>q(k|*QEj}9p`7H+4SRU=hDv(ncPLk`59?8{rK9sw6kAEOFXgkdMhaqME$^J z>~p0ap6PL7nbz{)E8>?~W`DA=Z1}&%yIU%=v~t1M|6^1y6Jr>Dtu^oZ8O{Y0a>$i3 zY-~9@^)m6oQ7>C>bKZkDqr2#5P%j_E`v>?>r9Jp0rHq^N;E`#k*Stdm!WvOH)`S8t z0g>xJI6{7yaQCxeCC5jvbEjw<*6=mnq9_uydZoYggA#b(#|K)m<9xkItzH*6QoS0b z_lWpTR-e?1i6&@oo%egGakad0P?xYYWqd6nv_-s~u)4ac>TN0k{Uw6la)`4bT8r1Y z@7jge8|tqwr1!Q{9$H`dHobYB65$N*D?G$s&Vo3-|M1W*t<>vpyd4Nd*FpCNrJW9LWSlYjli<@)8EsUDa} zW45*U5uJ;Eq4dD^B8&bXJ}TlyJ#gE_j6KmCK!cxfn)A1Pz}U8L054_Onyz^lMpz`MW~z$wNa zj{q(MdIPCIAutJ;58Mdc4m=3_8h90W3wRg!0yu^1hyX65Yx#e#2ii^qz1`Y@Ckivn zRz{GA9&7?-)H1{S*(rX0_A%jVo7o>_ZbKQhjOImX9n_O^@AZ17^VtideA5nx{7zPi z9h(s@5e8`0Wr9{6=vRXSBJy-+#6TTIt%Db((0$;?{;wUUwV#vIpRdf8#e1c>G5z1k z<1ORQP9?LFPMN{1q*LmFx00;E7siNgm3FAtYwiW!HD$KU)5|8iWM2Bz^tILsFSPUj z81+&|3}zv%HV^EKbFBn0|G~sn{Is1y?T|dHWlzV2qnEbcCdenLtLR75Na|K}=aYko zfS*cxGKUgo4^FI8(H_Y1a^a#0&9K}rHbmN4L-~%a()MyoIg}Xk%cK`$LbIXhg8>)K z9oWA}RM>gp&$*Syv4Zx<&Yj!f|3_c)_0l#=1{DYgqWB}Y-?nn-U5M{wVpC;AIbJ&t zT@hqG3Uv-I)il0P6Px3_OjV5sLo=H5X((iso|Nx!I*g8>>s* z`mg#=UP#6zXxbbuLmrEkXz5d)Yq~`wc$9Z_8dfN;!kZ|yB1m>t{>PZysI1kKxSXl} ze@5zQT+&qE$$|O_apJkMuLzeYPKcvkulP%FnOXz9YZd=I+!-y6Ym72zo2KNMDw+-& zgcpLM8A%Nhzo#dQ(5PrWy^66Hy8yj_1atl~`HVd?9+(5H0B!{~15W@i0|yv;_Hh78 z@ZQoK2TMv;dtfmE-> zTLLxZQPgV{B}v^X*q-YGc+H;+P3Cd&5=F#yJ%aLRegs-{c~1k-?9;jl_7wFL)YG9| zZgZiicLmLPd$22L&Z`HPo#SIK~+o70hE!97Ax( z^Q$8?twzZK?9=fl^#?09=6g$-4QJnB0v z>4ld>JlhZ_$J!hnRD@_m%a+BU^7UDDkoS*Z&h#}cO)Eg=-=+S%pG0N^@2m3{C)G+* zc#QEgRX7IIAZV=zoX$nRP!Bk@LH)h*wul%Nj#s{7Y#-iJvhNjOuQ`7gXs<%ac=fly zhm7q8v)G*kT*DYX)6Di@)#~*Pz%#%<82de5ANhNz|G%HgSS4J2D{UYg$gEP_5ni#pYKLAwFX+lsZ9bR^Mg$oYHyI=xQ=mqgPnbhH}B z_lpoG`Heh%+7-zEQI+ka3}gW`r%X`ZKz_}gs!>M==*=u+*-%dFtBy1w8^Ah}BBBQ! z&XFQAz^pvjC3d=Tce;!H@8$=6Y{2HC>uI*5Pj@5!yZOdvyB*fuTzpux?)MQ|WpPFN z@hm1);tjZoj3*?J$Nc;%Ob~uel`tLsa&5KJT zzovMZZ85|3{AgK&QI=4gu7@N>2h}Qler+y4v4r6M9l$h^tjlOkVsnFvZBZjh+p5M8 zns`CFn?SVI#D#YLAB91N-s5B8-fvJ7dN~pXrwvRPJaBOGz=X8Kq=e*|iKz*z5*=wX zm(O0dWW}KC7tcpA)>`@;tyU32>2sLcYrp+m$H8@I*8(f-{yof6e=~45@USSU~B~c`UHzXr}CMl%@k(2@=j)ny^LAX|H>?57Bb7M*~~KkMP^yjhgnvB!7O*UnPuB# zW_ji&X8GN3m}L*%ue$eBX8GHCX8HR}X8GrTnB{}{%<@q&vmAM!S&o0sEGN1%%gMhp zOHDgwsf9ksm_J#HlP*insg#o|9a)ak|Jxk$RhP4lOuoE_Bg`T9@6)qmPr1)Phn?58 zI(#u%JD%wHgl|V?jKk*e_D>i)ZTdyiz0<}f^hcVGk204(vU5wvExw(PTn?JA&zK1X z9SeLD#`Muk$gF(xC7b1PMwFgY3oa>;r}i}D?zr!g`{W&JZvG|t=G^V~*zS?H{PLc5 z_sH9uD)aCr>n865ReybSQ`=4Q!=lubJZqjeKQAxe5Xw`G=Y8l->z#EEf1xOUe(Ih( zBkq(R(kfc=juo!hx@9rdUbuPd4TX7@Jag488?75pJ#<*XQt@AQZVcZjZ=t$h^qicV zn}64lx>H|m$jx2z#i_cZ_YTR;ooqbm=G&~d$y*L9cE$JSC-1t=cALCeue$V`y^lTi z=4bO}f4mRjkrhEz@4v-*i@f=BRQ38#?7Ndv_lvrd-0m%Pj~zex_=#KApL`Ty%{I4t zlJT_n-ekQ=zF)1ocO#|l6?G@NTw6{(cCzNN6E~wS!kTR^*F-tl7e};ZK{--VL!`I7qsWqL>yt|Lq z)X3ZC&fO~4)ExU|zSC(s>78q=YwGU$R8ijEF0F}JBi~6C<(?Cr7H56_ifzC8)s_b= z4>UaStL-cEL6S{%H?Fd-^4`4f(7mhLu97#3iW73IIeuqO8~U^6$P2`Y2T@=KP>w}{;F*_UL?x;T!)xwSoeXDMW!Ug(z4;`7+G0Qh{Xm9-i@pkLGeC@i6)_K=1@6!!b%K(4j*p6d; zg#)yQ5FOL?QhJOp?ZzMD-t-vv?yo+^Enx&rl}U2QUrkYu)7$a=3T2DKk@eEi6K@tc z9C}8a<7n1@-b2o#?+tZUR+w_Wc>KQo$a!s>!?Ef^o-_T+MGglzN37$Ui65j;=7PVV z+M|>?_p3P$3+F4n9N#3qv%o>wx%(C6Z`sJ+^5t~!8{#^>9N$W>Ie6~gy?I%`u14;W zXVo4<)mrWN%JedKUqDQvK)n<+|3)@R3DuQ-HXOMuFAN|pFAXP2sm}AoLtH|_38d`i<{)s zrNo6#!>M_hW;r#LXqr=t+@?6S$Zeiei!x`*sYR``;?$z**>Gx6^Q<_vsCsssTGTx| zPA%%56{i+e&xTWrnrFwUMctNjYEiyPZQ=D4lHpQtaw|P!YB~HhwONm1@ z$*E7r0*KEvT_(V(OC3#c>QYBjoSJf);?$+ha_Um>;-)z@X0N22Rc7WX3u5>HcwxH$A_r<1YF6Uo@IiGvcwE{;FJ}$bY>H zgQ4+^no9Ve_ec5t&m%v0HqCQ)QSL4pN~XDVqddb*x&?W5zeVYw9k;o;vwAGM-=?$+ zly7dzENaMh*^ciR%57xc_~z%zdX;eOh732{l%u z6(|*$^#6qTPj}O00^E}|#XVV*+>>&e;-0Ko?#Y_vo|M}p_vBTZ$mo%d9!QK%?wsr!9X&d^Lo($Rr*=;D6-O7Rc1WeBd(O$Vit^slNjH6~)J#Is zH!*r*PKO-Io#eDR8{D5L-wcPE>@D#ruR85c-=yeC&JIp3-@8~*9zZ$on@W8lLPPds zm(AsULQ$qtc#EQ}M(CRyJ=xX4MYX56ZEoK|<)qv0_FkyW1%yP;lr z+r37yC=FxX$CW>s4^M47jsmV(vT~%r^}X^ZbK~gJae{Z8&ZXO@I-RE!kNMgr=Gbz4 zpDO=Chk5fAWj7@Q9XBD{mhF2>Ihk$G_D)rlZIldj`uHqcmiKW*nMC1DigF`D!|fWM zY0LCprzrPR_R)$ zoisMxo_=zbqP)9k?0VeXaY*vP6`t-dLbv#4rKj_9#i?Pb^22<5)GkAu57+Qi|0pUn zGc}bLDoP1Ysk?R`hS!VZ5%m>CbIJlm`6fFhg%{CBet5EXyQ18gY~fG9sHDzGzERPm zlERa`e^CynCs~r{7L7{moJi!vutaaRPq``4nn+~RvlR544U6v_?;939EWSfL6%BeQ zhYaXEfF9Wa9R^TtLBG!Zd7=+u6toa(Z+&vJ7H2r>;sGe$7fr zn%X_W$ZFEM+PnHkNp{IUrE5f2Us`lp*A88y9DY{Zr?`w&I-JC}N&|dr01oBv!THwE zl9D9iTLYp8sC+A)_}1_-S&qc)i6teYh;PM5$E$p6F!8MsC1EA5cHy@tbc6_gAr)$yy&+dHJYo5o= zjiXD$2_APkOQf+*=bN5K%-2>(jOsX_G9#0X43q78>#J2*stAO~`43B3Og_Wpt>1cfqQ6?=QmW5HFvMkE?7D>`{3a^zm| zZX8`2PH?@`S$y?Sr*plu(0pwv#G_V9D~M&eBxwO9109!4JZh1&j#yTqBwbC(K&K}W ztGY&#IO`fONn?m*1@2Z7v8s`f@f4Oy8*+$c1@3wxv8>D+q}4gZvI@(jdBn14kbq@5 z7g-kh@>Q1QAeL1uNy|p&j#(|O%O#dYV+$zkJ z5S3%a6Mq_3x_ZNnv)#n8@OTqts3fgUS2>nG?!mF9Nz!&3v3e563OwC0 z#IXW=;7a0H0fs?r=2T4FpyX_qD-j$^<1$@{T@7;CU493#t1i)9La-~Shmym|!o~c| z+Fj1=fx|V#ua-9S!HkP5h_>$Dv658Sy`5GlTuk(=Jx;7%Gh=9GnrrepJTG8sTxGQO zpz&}G-IU%vY#J?G%oVM%{?$?hW`3F)3Bm%n6ZtN33gj!Sx$HQT>l z!Mm{PZwpYug@P85Q$P0PcZ%|IPM9EAb53liIk0)vb!&fBqxhE)TP8)$niDQ`*$8L- zUgZu{aE1wj)hQ3l19$e$X;A*CDa4T?FWgzTN_hwRs$ROC)AvWEPAg`0h6@!q%ynw$ zSH4-p6y+62ORg2SbCI^^Cgw;=vegwX^x-h~sb`eu-NRAT9VSR^-QLmPEAN4wbB)>R z4j0O?J-2qAd~|f~2u0bI8zyKGxpn!Ie*TG~tObAPDzr5>TLj&a@5Z)H@3ilfKN$K^+$Kwocd1fS zjN9ccG~Cp7Io^8|rG!Lo7$4NNJbty+%BxgnV6W$(!k4vh96}L ztnp1TM4|CVnF8y8aH07}nF4D+l?_J;=BozQJ}P&Q5-eN|tg)(~h!R3T4Xiy>X=Cf& zM)I%k9wx*}8<-`pBU^!*wssE}wgFpLD%Lejq}p`#7eds-bf6NfU7aSYfhx{u+75A+ zO7riAeltpD#gaH9D#TfCNl6BYGf@bwr1?L@*^H})XC)3!Eu2|Wl1Ji9ki9u2B_k8U z6a29x&IAn?OezGV*Nl>g68}&VXDVTANo0vXk;IuGpvy2H>H~3>;2b?==B$~MM$oK$pW(c@ABO?UFnIYifjEoVu_lAIrGcrm*oEZWx&d59gab^g( zI3v9e;>-|maYp6}h%7?@ab`%Vd0d>4ehG1A2)H;S^%UaF5O8ruS}jDDA%HkDq|`hv z&Ojz{mi?5+b1#WAN<*9#EZ+2F#r`**+c=uUnIJ-(k+uzSb|X|+|Ggx zXGDTHdk{td5@(d;;*88B5NCIKHn|Hup8H8&5DDUJ_-meLNSslUi!-vBK%7n6Uokv) zD9VyJBN~ab;-xQoJlB#qBMKL1WI`cv_Kas`UfwVmHb{685#nqkS&;TD)+k(@(Rm=w z)_9(UI5V~d;_P;h)#KZ}fQvJ=J=!&RN5664Y7%G0D}^{4Icf52^!LsoB+k^!)wTB+l<=X=tpszAkJoa-WWmREZ=ZbADO?NI|R&dAII zab^g(I5V&%l}Uj+nV6W$(zrMy!wiW7zzJ2p2!<#OK@ewPp99o@i!(9^L7W)^ zF3yPSLXH^%F3yN|Lyj2&F3yPiLyj2&F3yBpX;b3AraQzJrAVBOClivI=HiS@Mi6Hx zM$(H?5N8=B7P2U*1d}*}F-eFsYqYJq+&_k7S+5+IlZ@fkXc(3fT&@8SWRwjlMmnLj zhb5;bc~HN;0}`DsmxH93AbaCsYU&%_*WZhzn4m$5ky;5Umg90Hl~9;8F}Xk))U*fD|*N)I2W5$m9SiW(c?x zBZ~#3m?7X&45Nmmm?3}^Go;i!F2z9RQf!$d%_AvBX-F~mggHysl&xP;Qb1Bn5W$AWXgdQD_FM%hbAdT1W2**>laQNJ9^x#^^(NR z`+^K9MpiFKvFoJCk~E*B7!e@Fa$R7j-Vqz5CB#;V2q{JZq}W*L`dmY4NUd`Qagta>gAAJ^ z*(7<*B#pvl7@Y=&yy-Zp#Z8twy)6)8vn4AkjCVtIQ=6k*g12zJG@4|X@j4;HhKv|F zreqz=dxopkI@fm{43dyx#!iF`8@fhXWave4?;yj9r1kkE!`y~j3K@ol`{A1Pj>|9_ z7LZ|+q;`^j6v;3mK!y#Iq$!#qkIOI`S&(78;7F2TM1TxK!D*UFkIOL7GaO}GK`Yebj#YBxf4bXFD}7Ay;`&TaT!KWGGtf=ifgt$$gs6!LE_65l+Ps?7;*|E zSh^(5CJ9DKNHEv<`72;Q!n37W0l7kEU>hnE;S!9@eh^)TfJ-nk`$2*k0xrP}EJ7H~_k#D@3r4!{8F6J}CQZ3h1oTc1uQD`Cg}olNGzjsrWHRO*fiolFM7j*dtU8KTE;u0UI=f zUX(DSgauqytXckSUWB9r*ufJfN>Q3+Dae_{;)Kz#aVgEErIx$2z@l=WtGzH%MQOGw&8_7)cWZH@Nb%g6L)~qJ zJuXT!%c<@ywcNc0mYfp`JSx0ZOLA3vU6f{!Q$1bkxu;7WnJ)bg60awZmM9Te^FB8_ zytv=FV@6@&>|f*4Oztn- zlSl8mV`gUsdRdb4I;7&2w5!v1iin2j7uc@~bj9xg$G;rsbtcWb{ zeTs6uW?NIohE;cxY2Z#WnGu=ZKPvJt&8DUfG^_3`Q^%cU783I&>)!!{+?=NR#rP*> zL}>Ok-GSx^cc97m_^W56>q>8Z3%6*JW>!<(Wc;(zBgDwJr5`hT)1-ey_vvfS+sWLf zdd&D|rbdY8%5Lzgv82{q_w3)lYmkpt-$M(~s{c%b@Slkg>9Bx@jWtDmST$doHsaaE zLu+=XX(N&ylRBBbX(C0kV`3vF5X>Y@mbQ-ZjhKqbw$^b#CzDaFW4}%&hSjl8CzBbi zV{9iAXYAO++P(Iw!udCDSh-+SZ>R)(kw#~(0jC>VcS~2f|MgNBmP@+Yh50N>v#Dtg zIJS`HGj9)r>ViX)Mq?9BICU}H35WCT*piZA#J3IV5csyi8;A4lJ|!i?HT#&VQh{%4 zZaJK9_b(|KL3|sN3{4pW-`4$eIN!!RRI`e4zHQL{INvrHz&PJFsF<8@8}wGrw+*%~ z&bJL_E%0qait}xQS&Q>+gFTD$ZPMxSI8gw;ZAcN{_C$F6do{}yo=s#UrMv79-{wU$ z+Y}yhGDU!I>%Ke0w>_SX)9us!(=40acF^WtIk4o+*xP_=i4hip6$f9X@shNLH^Oiw}m08Axm{2I>H@@GJd=3 zj_YPjTl@%a(P+(nq`C?DClcSLkq^FY^d?Gwf$r0;Nt#_r^%(MxBfd?~75KL9RRq30 z{mx(S*|)#q(HpWgOHz1B!xZj61inp{CGc&{!-(^3dRR4IBJgcWa=wkJq3%oszD-Ha zw-M33iNLoh$@#XK378B_U=k*i66f1yreZQ8alUP^9&x^HU|5`Q!>XW}jX2*na7Mwm zGsjH7X4+V1Eb(pPsycHGI301m9XF{Y49f__x5-WfzD z=FoJUJ2b(TFn!3V5qZR{$)W+4DGVE`V^b}6Y=VJe9XZpKEg3`1noKKT*22P~`Z(3Y zQc{|mM_q8WW={dHCPNE&wb|3jef^Z-SRS8U2E&17+0jp|dp*?)uP5TwW6Pu!n*Bt- zkYi@=C*svuEacwurk*=y_JSf_4I9@O;?>mq>P-Nz7T!<|+#8B`HEzmy&0eD3P4H^r z71hAKqKH>RWgV>KFsBR=uR^xR=z(Oqye(ZPyX6 zropK?MEUcHR||uQ?m2aYdroECuxi%W5yQtX#2w1l>?Epxl)sR8H4S?3YNH!f`VC|; zTve#qPgGwi{}AHU^hntQ?o?pa!)7gAv!QIwqDdK=$poxgI8K38lU)U@T63-9tePHE z&4~)Env$GVlO09#q5`X?BxluRNYUJ=z^W<9S+)7`H<^Mtt2Wq9IIA|Z6O*-svucBx zgtKY`tKzKMU>M=7+Q1VztBx6%mQ9|P@4aH`3a5;agRA-6P~3Wp|Kf8HP9F>Gw{@(z|W^yV?ymJvIXxok|**yO_*n3#izYSkSM`> zkmO0l*u>{ALY`Vgv)P!oA;DlBv^z9!_qBbGW&H8-x18?geWCoeZW*|cXtJYxZqlWBieW3u`LHL^OHwryD(Z|72t zY7>_&cx#u+8ycIQRBte&H7ZPSqDEFH)h=bQLxrcvMf@2J&EHHOU{Oi(C|=g&02Y-< z)F!xQ2>uHBVzNj@#mmF^QA2ZRlXWU;fXdBHHmaz8asfYr$x;>7N0kRAdsS4dDpO2W zt0+w>X^Q{>I~6urVCLA}X4)^NO1)rnRYYTgoJK86g%j98ee0M}&>C!44Z?P%s$Qx= zODD<$_$3N+mf4O4K}(ukFj0=@HH4dh!KMX4ONyY0A`ezO5V{1`$pgkMb@Q2-Bq#CG zCMPfm+Eh+8`G7&t@(!?>lv@Z|OdBv{3<@v=Z8onTLN;h*~ja z(F|yzHo`&F8qI3<9Cbp|ghdUaHl(G^?v#fLE=zn}6w%CVrk!&TwLu%|w2CB4%*Hp< zo;rxy+ss?-=y4~$PNLRijx+7HL#BBT9?i|D8i`tyan7_251Ho8dGZKRjYO?5*M;7n z2T^M_ zr0heY>IO-5x1^aQ_vEEbK57uMahz&$QG<}}&8a4{1cYoqK2!{*3kX>;jWBtqL7N@K z>zka@AY>Cb)nvVdkQKKyG}Yvr1|jR*TRMYNIh*+2cR3T!`Hd}~T^=^`g6+VlhHm@Snyrq=}4_&F|1*h9@O}knfQPpuOMti`T z>ox9mZA>;jOOU0iao4m3wlP_))yTeU+8GNG8@yvyeV#P~Z>W8;5V1zHFGQ^142_Ju z>YiDH*%ty<@S#QqUI^GAlP?6U5G|qko5_6+0(Kzh0VeM`2v|@dU_)`u5d4)3ScCBw z+AJ4Bw$L2fWC(_U72Mq9H3tD3%iCpIzk+}j^1$RX2LUT&ifPdd0#-;RzRDJ`3e)ae z^KM*K-UVBRAzIC*Vu;o*)Fw@|4on_U=}&g_bI6q!;=ivl`Gljdg9ms~o>Lh~FK~VO z1xMGAw3Afaaq}mmL_seK?l@vOBG*5TcMOthCEv|cbLx?^^2>Zj7tspG-0xuh6|l6X}I8Z^~7D+h4}Ad=v;6Y3WA4A2--=aH91@;v#H^Nye5YWYkIh#S`)*C zP8M>wP)3u(<$}Bk7#d=@uw?$;?DULudigiKX)x4(4F7;Zm!6c{U46CcWz$89zeu%9 zfk@DMQ!fw1^DocW=u`48&!aeQ`P(Pc9c{2MSnp_u7p+c#lR@2wiofRFEkp1zfcm+f zW4WTkMDH6ryM>!-f{P5-@leiufW7d1j2IV5AVpe+X&&8J~SQ6v@W%v00aA2|9mge-|~uUs~E_EalL6?A=q0$Hrr}yQ3>!Da?A3k#d>f$d)0qy(eMgR9?uatj(ZgS^V99ED;LG}dT!$?`RM_IEo$<$- zQcT*#>TLtv>V`l<(j9w z3wJ2v@=(|As2_6R5M86iaRQH*@vOCY!KQm;aq%5;v8DLip>8*w`99_9?Z;<7qKu(4 zhdCOSA8k|_&zRlOfOmg7-&ewvnypSJb=IxQ0z6|!p7Q7O-WtAbT-vCE`$gsBfiu(d zWpmWxrex1sx^$&SsG#Qkyo?(_O@UnvkrIHe!K;_%W_hU=j* zW6PA+$(i=dqqL(W`{=&R%);8=W@e)8G^WPFTS#6b!e>{b^!>RdW z(rjt+h-GPZF+MG64V%Ag_{V}Yi#||`Qrd|%(8v^R?1mWhBf-XLZNL%9r3amQctSg| zbQzhTRV*CXPOMNy4%A2?9y59<$vwMwr2a6{6I{ce;LMpTq^q$BR;!=htzEYo*k`(R z8-M+jbu+qIyNMjUZ1Rd?ikevif9*IIbWOj;JRs;wO@fY6x}c+kCg{{S7jz;n1RcGP zzU6|Bzu~^cf{vFydqGFl&q~mh(i41kf{s@`OF;)s?*|Dw)c*m34z+)Ppc6wv2)f2` zA?S#%3pz^Zg09pN5Okr&tPphkxGbIo9j#;caJe(wGbZ(dpc6TXBZ}>V z`*T4jvQkOV!KT`u1f3vdkf5U_4-#~OltY3JPhb)WIze)ipc5}4&`7x?=xApJ2|7_K zj|3gLn3JFrBzji@cXKB}R~lN-(d!rZHVO#3wXf`bY6cf{G=!QHbo6$IPigfndzI(5 z`H#Oj28ckG*avuAW3 z>NYRvhP&OvMvPd#e}rYkw?puDDx7(hXM*(ll$$&w>CDXvI=pi58Bds}W`om7owdX> zjRf7s19`l+PABMaH>S>-b@PE)mRU8Uv$N^;Oz|usLAUK?aerDM=xA$%ykI{GI@)`a zUGZ>cX8xO-An3GV20=G}KM6V-Z0RdKx6FC0aufue$j>D~S1^kN9gR;2y44s}Q@NlM zC0r!vXsZGVIzb9C=(9=CkjuN_{V+KJNYRn2j$B)adBtb|1+&vN!1`f{2 z>k-|fckjX3&YYCKT+oTEI1+TUXN3fvAoV3dM@tVR=mcpX2|Ds+CqXAj z2_)#mn+i12U=nn+BZUN=DCHnQCtiP`k?4gAeCG)XIu=^c(K{OWIxGa;v{f6HjpTxk zhEQ{Yj$Q%rDeXwfo+`~6yJUSK7%6UElY%al1RX7$y4EhlXHnMAgP=qC(+N6yFReVG zj07Dmo{o|xk)T_+3WAQ#*1VvjH*d%j%Sh1C0_r4bv^(EDTgr2z?&$;_y-`=5R7Qf1 zmP2PqBj=PA&yt4bp>FenF5T@e7&2r^*$~T+Z}Z%4I`cGX#LRUguaTfjvgQR{s?)g~ z+i7dAb~>rECQ747(3MDT-dm>=bhsNMii&2I67j%@P3c6s{;7l*G zU_9~fs^XhX_{M5y9>2oTFr9x$73wnouee7RLry9N&MKo@C!rJjs+5s>q?|$O)iWDG8L}-~Hr= zfeo-8Cd4gY(z~~P_kTxk!&47rDs&QshJA8i`iNU=?;*3JI2ZrcCmn~(fi)l8o|9Ab z>M_NCS57$3L2I(Qln$3#V(TQ-U4h%@ueN#m0}l$(zZcC9g6UW(~{(y zA&*J2H~ow{$b_oy0|^f5ke2|xJ)x~Is|E*k$QuZU<$-O5jWsx^L*D6ljLN&X^|h@q z!s-F>D3vW5O|kIif7p#plc)5&TEu^uX@1Mz>``x5Y~sgft@7?R$-+Ffjt!{vIwQ505bd1q6}Dfi)f#Tq+>MQB~8wNb*0I)h&n1>sYR>(8F((0qOwLi zQ&foEX_E%JTTIhH_b@415IWGkW9=RsqgMQ1Kf2Sb1a!CAhk@=9(gBnw(ntk#w;GUv z?qQPwlqS)v40Lyk0?53IHfEr^TNFTMG7Zi^cef~j%mK7M1Kr)C05a2P>I1sFMFC`{ z(^Lp_cZ&kZ%%G_e=8Ls;g5J_Vb+Y)7$Bm1R2foUfbL`GjUc*XnoUDB&^=`Lw!2f8aw zrbX0I@k%XPjqbp6sT7q7-kG98>`p^G(A{E>2fBwzHKEKz_s$-XPC}$uab85*sngcV zUAkx&!E$Qz-t;Dtz5tEft*G2M6F$kE?ETPbCNmkuWW zcG^mns6xxO&rqsv18-O>DLHgvg*U8;l(*pp%Fonk9VO8O?iY8{D2XIc=x!G!aRUm& zstkuIRI`t2$|kG<)NUNrbXr*Jr`4M^wmUcI#)^S3hVB!1)oD|xz$VkvFS7ZH`#SMM zBN(e3rYvqum7{}aj28AQ?&C$FyVZ(Yno;P!9M%TH-CjjD2()xJR%wIoZmA+84O+UJ zsYri;!ZzEew002eohr3`1}Y`0P^s;+PN~}f zDm9F0icBd|rG~Lf@kWG7b+b&7ObYjlyFH4m11NMiMv)o-h3?jFO=&pnPnsD@Q#WC) zPIilwrq#llob2W(a(c9;Qsv{Y?o$@oX24D2_@NOj1P=Q_FkTxSH){d6v!h3MQvvtI zqtJZ~!cF%mbT<-k|33=dEd-<^L7}^OfGh(jbhizV?f`{tHVkO(B3c2QRsvEvXc{Au z6~I|ev18NrSp_K3Y)zHgHmiVYN0r((tAPD{Va)&*2e({2E@%VP2CG0oSq)TEs??~A z05-dUJ~LFQ?XwC{CV1&osqM20C{cwMJ zaKE@40hC_S{OO#A0MZkorMrnyQyPv%zqa<*)J@o>zTFI!-~Jx9-ad^qZ=N+EBCa~Ef^^>|8bkv3O?f>-Ys5#G`;A9#bV*{S;@mk$~F_> zV%PN4?`z3aQTqw{YwEvyn>b&d$t$W~*)slgiV$nJi{1aj?V51Wll3=%ydtW9=%2+o*Lm5hN7YTa9E z*Q55R6LZCd;^?8(DMOZgvPbkk@JMFz*y-qFX8&R8`X^xDZbM$-)*U=S1Db4VBm>4? z{OqHLuuJ!y4Y>S*=fA3c=Q<%)eNcONw*1-Sv0=A)ODHD9$r=x@J;vkUqGyfA;PZx< zWxCyU!h!Vb?ldM<`qp(yDEE4}e(8{m4$0_{j1HZl>5k}hMvk~tx^#b6qDpMSk2Fv2 zi#2rdmRzcQxGz>9bGdZhz8E@cN?}~#Wzub1rV4smj^heq^BczQsjc0Se~I+b=EFzG z8)}|Gmv`V!Y<}}9g3G%*r;T5dcZu}B25=nuDc&; zZ`O~j{^dI#+)jNButAlg4Y^%%n>W4?_d@d>+$I6HZFbFOfsvtm zMc$FQQu9tkgQf+cVnl%*&1(m5s2RnEzkb2{Li4XRe{Ziib*Q$g>MiW%$N~Yw(`Liv z4Y_#d6@2ys{{QS2Jo+|1{lW`RlZ66~*1z^`uCu5sag{ST;%<~o3tj@o!y4d$!>HBT|rr(G2qV9?M1{+jQKbg1LAl9;d^SC!CHK0W~BDpE==FR7E;!bC+%Vb8+A z_|aDg9`-7%pOV0%f?fsYQH{!bFHrT$qWm8DHIrqPyCxQwKcCkluO7R$&;ri@Rrz^? z9zA+u`_Q~;HNX1Xk$dtk)(e5rCm%jAcF2oQ<(7ngl{>b23Ov6|;I9YpqYKumT9BJN z^UK_lU}0|Vn=A2AauemXTG+x>J2>>|#~*)kB6n&H0s57nfd)#)^m@QE`ob=*#@w7! zv$^5RsCBLc@Gn%2JH`%Oxy|?RYvX-mm-?pEU`JQ*l{LPLd|L6lfs_AlL3ZAQM^1lq zPxj;*&^87CvL^eY?0Tp{^q<{JKg#NrRgaBW$TN>Jdu7(cu?u;bJu?Htha~cFOwTca z!F`f=$hiQ@%DHG0`6W0IrBLcjcWnG^J~oB2)FPCnrcmNg6UtIUDCLn9%F+~@P?o0H zgt9c8P?kz@izJk)RwSV;O^HS*Q7eK_mZpRi%F>juLRo4EWvL;G)+1dgOHH9HHHEU& zB9v{EsOC~7lxHiyUt0+vO`-HygwkUQB@Q*A^cX@ZkEBp~>_X|W3#BKVPwY8 zPu}10tCgD#$6~@sVi(AAXfg3o0v*ObhV4A7FCl<&$ZF&ip2KUICdF@UdG-DWn<> zBGUq11ZODjJa#`Q)0^3F%l1D%J`D#f%j}|2<(<-~@)n0e4Ih-zzUmoF*T?0RYp;Ez zVl)o<;g75?Szm!a%-~d5d?aPy%BJD4-d1~xe7@XW_k%I0;!f^|#+DIZ7e%M27!`tx zj}YQ&Z$RyUXJ}5&)JjqW1t0i5{_~41IbCv2%g!|lg#a21C<~24{bQbCxw$t~N>-qK zcox8iTCn6Nm066a(`fJ(!xkG1uEnJv^Nh&PFRPq*+eX7IeN0b&Uhf}H)U0q!qi;t8I+Ncwd+@8@Y$FVqb5#! z74HR8hMLlX^qBO((#kd0JPaD27&YN|HFR6$jd(+(SISTGrZtpp|1+3;;PXi_L9}__ zNJM&-T+$t22bA>m+`TC^*5I)-1dv-d_7)|_8XS(=Z2bn+2l;j60 zE1l#AcpE6m4^)yT^8KO8Q|^ z_b%Zn?f5(OdsyD@?YQq%dyT{=SyK4v96eWy2D}r%Igp{z@Ekw7?r`02hwMMSH&bXf zt~l{g+JpbBeLGi_9;$hBvJk&KQhVrHaQ42u?eO|B2W0aj8nNopSM!ITe0y@n>lYMt1OpPRVl=*SGx+T-=LR(^u2LP$9ec z&68+TUAa!&^3LZ+8WxCKdGZg2Y(pO=2c5MGZ8>PahUyO&kEod>#7EchG_k_LwQ6mz znZ{zp=MNninmjdgSl#t0Yma44I+iXLhGcWx_)80Teo4;rCFoYI?UlJKPJEvB(C4*p z<%sLvuX$^#5Dy)G{fWP6%^M%1D#NEsV8ggvND@RTC15LyK!!-k(7C0oRCC!xhmj46 zP8H~Yn?<67BCE@=?{dF{`R98_A@~vN5ZwEE}_`%Ca%5sw^9` zs+L7`Pz;m$en?wf-lbj75Kw0V_5Fk46%H7amYQBXIRni6!9mp2vLvBZTmzARbnGMRarK& zQ_d1syy> zNOV9PfkBXxp%XIM`PqOD{TdWC8_WsZ; zL{%gO_HRk{b$>E-kJdcv-EGr?F7Khb3cZJMMec-hvJ$zo&){RtnE{paYwq0Xk6j&j=mZ!I`21 zr2kCN0nN`09nisPng(-vQWZ{{mn(6d$xdZd3&qzXA zE^HB{&Pj`pQ>m`;+^w9*)o@H=L;lp+Q}baxs$shS*y*!pjJjGkCdDK+jhfLrF-|R= zyC!naXcA3I*k$b&abxdQv@cn(@+OOPAbLVx%A5bJLs+l}nM9<}JAS zqMEer;Jb^nE9cVOLrafu>!Z2g?jsS3Z+PVzz8-q^G2P77Rp#f=gauEs*!B2hy0HrzvAGY0maN#^rXhdg$5X1Ay1sJW6MJ{A$%A`~Oy@rM ze96$od#|I{3(LFa1`tW3R&0LLvdSrN2Cb%vYkB34pI)8`2#F{nYSDT3*%_N|#ia~bwiGwpls4@+kMeBT`oV_IxFWmC#_Oj^@@yE8!@N_LgB zwDen^f9d(<>2P;a>7q>#y=56lTP!Tm0N2vK{rKAr5<~-J$?8`_d{07izaCfXqmmL~1B(qNZYUMpejEsxNU!$;?Sv zpe}8o=F6B9H>Y_9^j?kBi&u=8F>Bn_D3ofjYy1i1cBeV7`{)@1`wf~ikXX%hFWMbp z?ThJNEsMuaPfs2@GZATN{p%_tZmEvR5mNhlb42Z_lg|k;<&9H+pO0s?V0vakpM;wJ z1Ez_*s^!VEs|Lg1)SMCO8)_a3>c1+Sm3IWULgc&m67$ zU;|NrNuU5CNyj*TrFUi1s=8g&IW$vcUElc5#@nC!v5|hgX2asGp^ZpOLk!dps!6s- zpukT;OQ_XovGV=>fJ6Zvj}HAB*}=naegY0tJQzen%AGmhaV}n+`U8^^imXrgJO*d2R8}n^sXNGYu3#B#l=< zfjdKUhyvX6eOKt{gNuLLd=F88>HHzPL!tVg69t$A3Lw%>7<}(5Al8IdV+g7r2n|*QnF~VY9Irne2MVxUjfl~eN^QQ$8} zhyu)57AhwSyx5SNA**YaF`xiSB_k$KU}@+UqJU(?yf66hk|)5BsWD>aeSG2$jM-MA zfW>GA6hKPyVM4wCsXB`&K#N)0z$Fsq=6BpYUY?$I2bhtWd(Eg*^rlEfjN#Y>Dz$pJ{ zsx_LXd`oV~;bMTth5nhy4lex({!67l3{76e=K1DYqFfd{%M4P z#eDxQtyhYbNs=1@1`1Zq0SweYxN|4?$J|(6HjAjhWbVS7iwh@}kEc>*8YqBBk|_ZN zO8mt{0j%osOZ>}cjhW-0P847|zi__azl!_DxDq4^AkxkkT)c!R!2YKC=Me=KE+-1e z6JA?3dCWEC6YM9HV*(Ty>MtP*a2zK1$72MlXZZ6J)l#uc2N#st$3@NqU|@E6K4E~v zJlsEyGcetsgYN+#J=s5mFfiSpuhME7B)bw|AhT=%VE|K_k+otjVPN6SgaKxZ@{c79 z%wLt2A*%xh)V52ON^&LW_mTe5gaJvWR8l^pnA<8fa;40rWfO~M`sWY^EY>l=fKIIh z{a$*@K)^r^O=D^4Q*JK5c`|Hc)iRkgZ`sNvlZXmd%NbBW=T&ktDM?->&5VY%fX=Jr zN_wW*)PMpYTRNM0m8zhJB(GBZ<;hvTj4O!()NEH`aoo(O-WsOjd!}al(t8sHl-lE` zOS(%Er2*MViC5?JAqp_vqjzlYV0JQ5Ko+NEC0&u09ZwWs8YqA$JPIWBa6y6i9;wMm z$u206->XkAI||@6cF*)-eTJDZ5KQV7^?KmH$@X-Suz3ziyHI>?uI@?XOmlBKnpoV4 zq(Lar@Gf#Bxl#BcZiThkfw)5(xen_OX$p|*u=xaiGS^}0A*BN9I_x{-X+W;SsuL`b zxei+nc_l8wX9?_XN2I^Cx*=K8s)5b*NZD>oS0rbebT!ypq`5F*UH8P#v4lHq^+&3v z<;LQlc68g;C0OD!_Us)HS{d}|RWULE&=7;^y2Qm5;Z z!;{IHWb+2~7Q-uEz4JHX#Z6elI=z`3&P~=NN8%;TB}(j{Cw4tER0yY!lf&i7njD3% z!5pWPR90207_tq^V$JQT+HiYPZcxs2K$VZeo?U()^rqdokN_6YHa94m*TQFTE@7P3 z--|rE8fM-Cth=qwQDl_~m!=4z5A9t^|1a?6;>W(iVjZ7AGkm9%IjHqCr5Wq~DF^X; zoc>hc)U)|lS<<{U(5&QoG}C;n3=0rBeB5umA34lAWFSFJhcPFZDRUhr9I_#yuKTU; zFxMzGVR5vom3A53?aH3gbdc$JRV!^Vx*wK36-?q)B*7vx&alY%63RUlA^G1hj+n~O zbd+(j+&R-~G_2k;4u(5NQd3$E_9~@UpbVi2%x{M9zL#^tm6oymBB>T~9o%*?qb_bi zYDXF`)O4`fksA!T4jMahj!9K$^Ra>IYb~uB*!*mq9CgmLVn%aOh5A>E@sP$~U9x4u_sFNf%Y9e0*B{`iJHN zWH8)0RiV}AN7_KbW^gXuRiWt#6zLlw6d`w2XgUf-`br3;*`f+<&O=art)+QuSX3b` z9)=l&%y#a#9aJHBw#*NHki-r(9VB+dS>!s{>xdo5b-(c)e054mSiFkttaSF2rh!a1 zBRe6TJ*5<~Qx*Ci@jd9<+L|&n{X!y9GLwizJ{cO$A`U7gN0L-0a!hQ@N@Pbtu7gsE zTuR7wa4C_y1-T9qC4~-7_9XJfpsrd!8RW_&p+T;LFG+!s)2Sp3b+U$DR>u-28Im)t zD0o_`LyNbGlN8CBCP|X3I&2$c(8;?x~N0t z)Y2-UvgY(+P$@apq1DMn+5*C6a4ucdq47Py$TZ6oA$N6Xdd5T|TBbBx)S=B=CJfs$ zZw-q&v{5RPLdpHcgF3X4CXp=(H63h8q)9@qgD9E&NXT{YBWbt3ZZ@jiUQ(zh)i(<*DSWL^rNCwJ%qGwRp@ubY-V~WfD_Q-_*H0gNsxZG>zS*9{ z7WD^T7+P}VuDot}DnC}#-uq?sM>7Tb+YgeZy5>dND@c@s;Q}qHZp_Pj?pdRSSDc>o zd4U*S#3o)*>;KrNzo3MpJ=l@Fx%Kz&D4P1u<+F0T5hXb_^D}RpW*6 z0#k3*Fz;|E^jz-wTBBHTeAPQOI|_IjQSkXvVZPmD3(`{r2Az0t@VK`g%ITKVT*%%r zs_psgr40D}V{G!o%gM+N=x<2n zd0_twk&!h>oKNvc4 zWSq3@f*L8tLS3OatE!K_ouBjOeXNNd@YF7CxTxy2@K@+n0n7^z8&#WVuKk{fH3%#e zzf|?n=|YUJHL5j8!z_A?vyf0#A2s?KT2I?Gy_evmdcHC1$vg`EqVrkd#~1)U3;rkLqTd7bl`CaE;rPt5I{ z+cXhr+4}_WgEUQ$sm6YZLyPhK<;LQoUj2A2efk!S9Tz*UaqJLKb9_fb{SIm4Yk>bq zX?vvUUYoQ%*t7|2224&UvSOw)gqZLBXdt@+UlJvntZ5*uAkh>f~U9Pm2&;|hu^4vg=gT! zYgOVvxqNUvp5vO*s;`xms-~nqw!cNC%?Hz1sq9F9RI1tjxDc5^yc#t-!iJ@dhYNYK zmpAjo+oiSP1UAl_bMrE}KGKxBo z(NZO&9!*9)%nbf#|5q~F#t|`O6k`{KjH+6ZWfb)y$*5T+jEveUwIZWtoz`X4tkb%T zqE0k2Dyv11QPhEqdQ!-Tqcfd2kGWUXWXo|LUnc64cb)tr){JP6diZI7sYw^#7xfF@ zOA%N%t8ASa=ldeKb|*?%H7q$!tT_79lXXW{qvMg)oBsOgMj=uk`0V(z8N?mgIPCV; zFK*i@xR4zg+9V23jS!pn7Y_Wxqgq?M;0eo%V>Av95aPAP;>1iL#vU~qhuzl-w=(_I z)j+O>$`u1cA9L5x@nnGNVO)8HO|8!6;^agyDn&zuV{3W3a)o#R4+4W1;=1d3+OT_B zTeMC6<)^iW@6>*CYocMz4=*N{$Ojy3yZU5?_}_8@@g6|`WCh+>EPg9+V&7~&S>QPc z)m9W3)lT5s4D!nr;?~-=MZP8SVF$J1wQjTzJ>ZKKX;nXmP=RI~v_RT(kihwFe82X{ zdZ9e+U@>S+&%|)xp+Yi;H+*>aj8G1gz~|f5LlIk)j!P~%HBGtJu|-D6(xUN|E!Wp$ zN^127Bs7Q>_0MdSu5{f*V}iAI!-sdN{?)_MF+41x{bY*a-ZXUaBC=Z0G%{u znoTh%_;y9w$+h}VI&L}NkyXiJD__4T8%|fzI3Pn_A_q%;$O414W^lF~tk1M(E1vk| z6fyMp7UeOQC%$9Og!+yJPI}|pfvUZ;v?1i<7Mm^m@W6{NY*9{f{M-l56@I>3mg3bW zCJhr@R4;m4rcO;c{%5Rp$?s>Ysn069u+QobY_rPgSCh$#w#h_A%Vgqa)dm$6@$jt8 z;SX(d$P46iE~bv%*{1G7*Qvv+HM3jcn5*v_b43A+75eP3Z~N@%_lc^<-_Ge^J==8r zuwB!E@2?osksy13gY3-*>yK~E;r*w|FeR!C3zl1}%Y0+@Vuir*xPwnIV97BRfc==$ zZ*u53kL{RIiXL4s!{o~`F(U$HPI%0SNSI@&{g#*!nJvd?+!8Y)GUY_V4A9hsCql4k zZ$OTY8E6=R0>ReOff;DsZp^TYL;J+Ui5VQnC@_Q7!eIu>+l(3g`$3-Dgc(=eZ)p)3 zGoG~6ZUbiEk@QicW!oSl=4#%TYOLA@8El1#Xd`5_t`Az%7EZ{}XFzEn{MR7Eo=?ay zbHYJ}Wm3aKhGj0pK!!Pmyue71VVk)Kh@nqaG>BpU>8&D0+h@ax7}Te&AqFqP4KYkk z6td`;YQbdGv9jx!$9BZ0XSy{xa6*PY1y0DY=Myr_oN$m~nbq)+ zVVTS@kYUbYG?0M>qGjqLV1_BNHh8etwmY7lQy-VY2xj@mg zAR-?NA~LXmhg>qRl*fYTMc+>3IF?<=jsEz;sM_0)W^yzCg=+i$1%li5RUgfdK9Z%o z;>(U48L~IIVHCZoJ)-Q5n?e!GZJ>zd_D`+D)Z{GPnN@XY&nWkT8BD))@1k~kLU=_V zizmco3EqT07Eg$N$=+m{*4`G1RBx(GYwxC$u}4|9*E}I)dwN<#?F%9Jo8vph2YV&K zA9Bfm_^(iNYIxLrowI83IFPey@i>sPYVkNYi)Zy)`75+p)oH_T{Wv-t5a+ zwY=Fsi)Zy)`73PtS1M@u6Q=sopp#pq9QSpLa$kbAtwUZS)b9DUXwWnDi0dkvw=-&uq`4tJlURUA8UGUB=NcB!`?qw!Yn-j30tNTM$Rb8N}>Mtnhoz|Sl zth}P4%}?-ao9D2DM7;w%{Gl85zudd~Uw4XcY>F)EP&zSMl)6k?l=_v}`q(i=#{4^_ z;2WDFi*A!sV$sktZPCyjQ>5M8?l)?Z^i9GGgbL{l5#~Sk^lW(;`hqp_aguwE*FKfX z1LZ~4MKq$+htK*no!ptjwKl3@eX;D=#G?1_V?Fi$AFt|Uz2cOiZ#-^R+q+qapO>Pgtl2jP<_ zFz(SeD^8rAatdF9sbE!aDERjRR6SLZ5(?cfTJ#!R^gc?f9#!3DZaI`J-Ky||_TGnA zKE{>e?aY*8thqzG)?%EA4p?4seEFAS3lF091EXO8l8#of;>+a2H;WOqtX!Ou^Xrqm z9u8;Cy;ZeOrwVZzpN63q8okTv#=ZH@zU{1vL9IP7OZ#Qf%vH-e7m0?<9d%!><1mbQ z`_Sz|B)`QyrktjWME#Sm<uk0|&Y6n*wxOwiL{6D@{ru_=^t2aGI zRHwc>^mjkY{1jd3r<^OqliMJba1VQ$ycP70;EWeyqIW z_yb?4`)VE9)a}6qk9_m5cXx~!=k}}~G58Ha1xfd;$o~x$g!<8_AXJM+1*vLLs32J} zIu)d0Uir5*oURYB;hRTYG?)>V)y)b)s61*x)D zRgk@@O$F(vbWuSlYVC%SMeZsHMI9s7QfZ$pBL=rns34D=q=^3w6@;46s325}Mg^&A zQK%qUF*+5bsz#-PJkhHl?MkCiL9%AFDhQRMS3#(Z8>$`uTU9~mt5p?*ves3QDs)#t zs;pHNWN&IyLHa3OR1k_vzRz=`Cq=yNC6+TYM+94@#>2tnhQxJS9&{Pf5Jw)-HZM+F6|L^v_7@4w4rcPIq-{ zs>`xRzw~hy8dzNK^bbc$DnagfRX)b`WrrF=ZwpfeI-)g{>rLYMJ_uw5_?yf zfSGIAD(x6c;C47m+FLcJRAg27FkM((Mm=59xy2aQNYf=fT%wvTdbt?gMmJq?qK-~V zZI~_H;U)UnlHMrM%~q*(wn{Ct)pnm4&XcoMb4qDeDQ64b5K+&Te6MT_YoytdFPEd5 zExuqjx{YqOaI4C<%x#!1{athP(ky_>vVZ6)7AFZ(VQ-4tL79>mdBT3yn&7L z2p?`XUxM|$FEcTx$h5q>WhPplMeki>JIQNraYYvM^1t|_)B=%W8v>m8nUE95h;+y9EBiYvp6 z4Hl*r^W?vuSs|=3qBN;A+}PlWxz({zW#8`gPS{nFC&_bVipS&Gfp9wl|E3}o$|H}b zFXDK09P^gP6LSlWV-U{En>A;_wTl?{2~1J^4B3f59$5*&-Ayb z-i7|=98Vw5g`sA@8FlkFR-DOf=(E^I4$X!0n)|cw{mt{tsN1~8`_5!G^jX9y^wEy< zd&Rg74^yQh})yelrpp{$!2O!PK|LlomXM% zIOa30>$q=Gy>1;^;EaH^z1pvjM+XrnxJbk)k6q}&)U*qTJMQ{38#hdi2EI;EmPpO1 zF|>e*r^MEfmT#6T?EU7_9p|?_)0CfI;jx5}<(T$!g&Tj;+pN=BzM&REPgz56g zgU4!*=PGqWbOw&)a#MWn~qE)zsS_Zi+|mZxpKl literal 0 HcmV?d00001 diff --git a/plugins/samplesource/rtlsdr/readme.md b/plugins/samplesource/rtlsdr/readme.md index 692144583..a1e96ef14 100644 --- a/plugins/samplesource/rtlsdr/readme.md +++ b/plugins/samplesource/rtlsdr/readme.md @@ -49,7 +49,7 @@ These buttons control the local DSP auto correction options: - **DC**: auto remove DC component - **IQ**: auto make I/Q balance -

4: Baseband center frequency position relative the the BladeRF Rx center frequency

+

4: Baseband center frequency position relative the center frequency

Possible values are: diff --git a/plugins/samplesource/testsource/readme.md b/plugins/samplesource/testsource/readme.md new file mode 100644 index 000000000..014b1415f --- /dev/null +++ b/plugins/samplesource/testsource/readme.md @@ -0,0 +1,102 @@ +

Test source input plugin

+ +

Introduction

+ +This input sample source plugin is an internal continuous wave generator that can be used to carry out test of software internals. + +

Build

+ +The plugin is present in the core of the software and thus is always present in the list of sources. + +

Interface

+ +![Test source input plugin GUI](../../../doc/img/TestSourceInput_plugin.png) + +

1: Common stream parameters

+ +![SDR Daemon source input stream GUI](../../../doc/img/SDRdaemonSource_plugin_01.png) + +

1.1: Frequency

+ +This is the center frequency of reception in kHz. + +

1.2: Start/Stop

+ +Device start / stop button. + + - Blue triangle icon: device is ready and can be started + - Green square icon: device is running and can be stopped + - Magenta (or pink) square icon: an error occured. In the case the device was accidentally disconnected you may click on the icon, plug back in and start again. + +

1.3: Record

+ +Record baseband I/Q stream toggle button + +

1.4: Stream sample rate

+ +Baseband I/Q sample rate in kS/s. This is the device to host sample rate (3) divided by the decimation factor (4). + +

2: Auto correction options

+ +These buttons control the local DSP auto correction options: + + - **DC**: auto remove DC component + - **IQ**: auto make I/Q balance + +

3: Carrier shift from center frequency

+ +Use this control to set the offset of the carrier from the center frequency of reception. + +

4: Decimation factor

+ +The I/Q stream from the generator is doensampled by a power of two before being sent to the passband. Possible values are increasing powers of two: 1 (no decimation), 2, 4, 8, 16, 32. This exercises the decimation chain. + +

5: Baseband center frequency position relative the center frequency

+ +Possible values are: + + - **Cen**: the decimation operation takes place around the BladeRF Rx center frequency + - **Inf**: the decimation operation takes place around the center of the lower half of the BladeRF Rx passband. + - **Sup**: the decimation operation takes place around the center of the upper half of the BladeRF Rx passband. + +This exercises the decimation chain. + +

6: Sample rate

+ +This controls the generator sample rate in samples per second. + +

7: Sample size

+ +This is the sample size in number of bits. It corresponds to the actual sample size used by the devices supported: + + - **8**: RTL-SDR, HackRF + - **12**: Airspy, BladeRF, LimeSDR, PlutoSDR, SDRplay + - **16**: Airspy HF+, FCD Pro, FCD Pro+ + +

8: Amplitude coarse control

+ +This slider controls the number of amplitude bits by steps of 100 bits. + +

9: Number of amplitude bits

+ +This is the signal peak amplitude in number of bits + +

10: Amplitude fine control

+ +This slider controls the number of amplitude bits by steps of 1 bit. + +

11: Signal power

+ +This is the signal power in dB relative to the maximum power (full bit range). + +

12: DC bias

+ +Use this slider to give a DC component in percentage of maximum amplitude. + +

13: I bias

+ +Use this slider to give an in-phase (I) bias in percentage of maximum amplitude. + +

14: Q bias

+ +Use this slider to give an quadrature-phase (Q) bias in percentage of maximum amplitude. \ No newline at end of file