Fix Serial Module naming

pull/125/head
Sacha Weatherstone 2022-03-09 18:06:09 +11:00
rodzic f6ba3722be
commit 11eb134392
2 zmienionych plików z 8 dodań i 8 usunięć

Wyświetl plik

@ -97,7 +97,7 @@ enum PortNum {
* Connect to the RX/TX pins of a device with 38400 8N1. Packets received from the Meshtastic * Connect to the RX/TX pins of a device with 38400 8N1. Packets received from the Meshtastic
* network is forwarded to the RX pin while sending a packet to TX will go out to the Mesh network. * network is forwarded to the RX pin while sending a packet to TX will go out to the Mesh network.
* Maximum packet size of 240 bytes. * Maximum packet size of 240 bytes.
* Module is disabled by default can be turned on by setting SERIALMODULE_ENABLED = 1 in SerialPlugh.cpp. * Module is disabled by default can be turned on by setting SERIAL_MODULE_ENABLED = 1 in SerialPlugh.cpp.
*/ */
SERIAL_APP = 64; SERIAL_APP = 64;

Wyświetl plik

@ -681,37 +681,37 @@ message RadioConfig {
* Preferences for the SerialModule * Preferences for the SerialModule
* FIXME - Move this out of UserPreferences and into a section for module configuration. * FIXME - Move this out of UserPreferences and into a section for module configuration.
*/ */
bool serialmodule_enabled = 120; bool serial_module_enabled = 120;
/* /*
* TODO: REPLACE * TODO: REPLACE
*/ */
bool serialmodule_echo = 121; bool serial_module_echo = 121;
/* /*
* TODO: REPLACE * TODO: REPLACE
*/ */
uint32 serialmodule_rxd = 122; uint32 serial_module_rxd = 122;
/* /*
* TODO: REPLACE * TODO: REPLACE
*/ */
uint32 serialmodule_txd = 123; uint32 serial_module_txd = 123;
/* /*
* TODO: REPLACE * TODO: REPLACE
*/ */
uint32 serialmodule_baud = 176; uint32 serial_module_baud = 176;
/* /*
* TODO: REPLACE * TODO: REPLACE
*/ */
uint32 serialmodule_timeout = 124; uint32 serial_module_timeout = 124;
/* /*
* TODO: REPLACE * TODO: REPLACE
*/ */
uint32 serialmodule_mode = 125; uint32 serial_module_mode = 125;
/* /*
* Preferences for the ExternalNotificationModule * Preferences for the ExternalNotificationModule