Merge branch 'ci/increase_job_count' into 'master'

ci: increase target test job num

See merge request espressif/esp-idf!12294
pull/7261/head
He Yin Ling 2021-02-05 17:05:08 +08:00
commit e165c950bc
1 zmienionych plików z 2 dodań i 2 usunięć

Wyświetl plik

@ -365,7 +365,7 @@ component_ut_test_001:
UT_001:
extends: .unit_test_32_template
parallel: 47
parallel: 48
tags:
- ESP32_IDF
- UT_T1_1
@ -512,7 +512,7 @@ UT_034:
UT_035:
extends: .unit_test_s2_template
parallel: 49
parallel: 50
tags:
- ESP32S2_IDF
- UT_T1_1