From 72cf67cba396ca3d93965bff8254340b5f3ae5e2 Mon Sep 17 00:00:00 2001 From: "Michael (XIAO Xufeng)" Date: Tue, 24 Dec 2019 16:44:05 +0800 Subject: [PATCH] sdio_slave_hal: fix the TAG non-static issue (MINOR CHANGE) --- components/soc/src/hal/sdio_slave_hal.c | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/components/soc/src/hal/sdio_slave_hal.c b/components/soc/src/hal/sdio_slave_hal.c index 5b4464846b..f54a7f08fb 100644 --- a/components/soc/src/hal/sdio_slave_hal.c +++ b/components/soc/src/hal/sdio_slave_hal.c @@ -29,7 +29,7 @@ return ret_val;\ } }while (0) -const char TAG[] = "SDIO_HAL"; +static const char TAG[] = "SDIO_HAL"; static esp_err_t init_send_queue(sdio_slave_context_t *hal);