From cb9b774f9e36225c70e41f0d5da72d9b52d0f985 Mon Sep 17 00:00:00 2001 From: craigerl Date: Wed, 18 May 2022 08:11:22 -0700 Subject: [PATCH] add web pages --- var/www/html/axcall.php | 111 +++++ var/www/html/dot/dragon.png | Bin 0 -> 20878 bytes var/www/html/dot/index.php | 242 +++++++++++ var/www/html/fld/index.html.orig | 31 ++ var/www/html/fld/index.php | 35 ++ var/www/html/ft8/index.php | 35 ++ var/www/html/header.php | 24 ++ var/www/html/help.php | 77 ++++ var/www/html/ic705.png | Bin 0 -> 203235 bytes var/www/html/index.php | 679 +++++++++++++++++++++++++++++++ var/www/html/index.service.php | 257 ++++++++++++ var/www/html/js8/index.php | 35 ++ var/www/html/km6lyw.png | Bin 0 -> 18908 bytes var/www/html/log.php | 29 ++ var/www/html/radio.jpg | Bin 0 -> 11216 bytes var/www/html/radio.png | Bin 0 -> 18908 bytes var/www/html/riglist.txt | 257 ++++++++++++ var/www/html/setup.php | 171 ++++++++ var/www/html/shell.php | 28 ++ var/www/html/styles/simple.css | 290 +++++++++++++ var/www/html/syslog.php | 29 ++ var/www/html/tv/index.html.orig | 29 ++ var/www/html/tv/index.php | 34 ++ var/www/html/wifi.php | 81 ++++ 24 files changed, 2474 insertions(+) create mode 100755 var/www/html/axcall.php create mode 100644 var/www/html/dot/dragon.png create mode 100755 var/www/html/dot/index.php create mode 100644 var/www/html/fld/index.html.orig create mode 100644 var/www/html/fld/index.php create mode 100644 var/www/html/ft8/index.php create mode 100644 var/www/html/header.php create mode 100755 var/www/html/help.php create mode 100644 var/www/html/ic705.png create mode 100755 var/www/html/index.php create mode 100755 var/www/html/index.service.php create mode 100644 var/www/html/js8/index.php create mode 100644 var/www/html/km6lyw.png create mode 100755 var/www/html/log.php create mode 100644 var/www/html/radio.jpg create mode 100644 var/www/html/radio.png create mode 100644 var/www/html/riglist.txt create mode 100755 var/www/html/setup.php create mode 100755 var/www/html/shell.php create mode 100644 var/www/html/styles/simple.css create mode 100755 var/www/html/syslog.php create mode 100644 var/www/html/tv/index.html.orig create mode 100644 var/www/html/tv/index.php create mode 100755 var/www/html/wifi.php diff --git a/var/www/html/axcall.php b/var/www/html/axcall.php new file mode 100755 index 0000000..8bfc39d --- /dev/null +++ b/var/www/html/axcall.php @@ -0,0 +1,111 @@ + + + + +

AX.25 Utilities

+ +
+

+ Enter callsign to connect (via optional digipeater) +

+ axcall -r radio via +
+

+

+ +
+ +


+ Connect to your node +
+
+ telnet localhost 4444 +
+
+ +
+ + Keyboard-to-Keyboard over AX.25 +
+
+ linpac +
+
+ +
+ +

+
+ + + /dev/null 2> /dev/null &" ); + echo "Connecting..."; + time.sleep(3); + $IP = $_SERVER['SERVER_ADDR']; + echo ""; +} + +if (isset($_POST["linpac"])) { +# exec("export HOME=/home/pi/; sudo /usr/local/bin/ttyd -p 7687 -t fontSize=20 -o -s SIGTERM linpac > /dev/null 2> /dev/null &" ); +# exec("export HOME=/home/pi/; sudo su -c \"/usr/local/bin/ttyd -p 7687 -t fontSize=20 -o -s SIGTERM linpac > /dev/null 2> /dev/null &\" pi " ); + exec("export HOME=/home/pi/; sudo su -c \"/usr/local/bin/ttyd -p 7687 -t fontSize=20 -o -s SIGTERM /home/pi/linpac.sh > /dev/null 2> /dev/null &\" pi " ); + echo "Starting linpac..."; + time.sleep(3); + $IP = $_SERVER['SERVER_ADDR']; + echo ""; +} + + +if (isset($_POST["connect"])) { + $submit = $_POST["connect"]; + if ( $submit == 'Connect' ) { + + $target = $_POST["target"]; + $via = $_POST["via"]; + + echo "target: $target"; + echo "via: $via"; + + if(!isset($via) || trim($via) == '') + { + #exec("/usr/local/bin/ttyd -p 7686 -t fontSize=20 -o -s SIGTERM axcall -r radio $target > /dev/null 2> /dev/null &" ); + exec("/usr/local/bin/ttyd -p 7686 -t fontSize=20 -o -s SIGTERM /home/pi/axcall.sh -r radio $target > /dev/null 2> /dev/null &" ); + } + else + { + #exec("/usr/local/bin/ttyd -p 7686 -t fontSize=20 -o -s SIGTERM axcall -r radio $target via $via > /dev/null 2> /dev/null &" ); + exec("/usr/local/bin/ttyd -p 7686 -t fontSize=20 -o -s SIGTERM /home/pi/axcall.sh -r radio $target via $via > /dev/null 2> /dev/null &" ); + } + echo "Connecting..."; + time.sleep(3); + $IP = $_SERVER['SERVER_ADDR']; + echo ""; + exit(); + } +} + +?> + +
+ + + + + diff --git a/var/www/html/dot/dragon.png b/var/www/html/dot/dragon.png new file mode 100644 index 0000000000000000000000000000000000000000..6e19d1f37ae9c15205500bc6e7d079f522d803fd GIT binary patch literal 20878 zcmV(|K+(U6P)Px#1ZP1_K>z@;j|==^1pok1I7vi7RCr$Py$QG-N0lZRnR&OjKkc$5S(|Oik}Yp| z-)+1xX0fqlpxB{osE@^lw!uKPaMe^z*H=~0%yfTU^vpEP#|K5Bue-X6f;QMLEHf-N zOXC%TZF!Sz?b}=Ky?Jw)b7ISg$jIfs`=lp{cR!_GW@Kb6=RfB}#5u7LGW(mQz$^u3 zDKJZcqksa=QBcMsu84o}7uPKye*2OQYa46NTK`hiY*kzBu-5JbwRXEzZ3j`c-I}aT zw*0=9A9B2zuAhNPOH^uR;!)XyRN=J2wHuSKQ}0;ymFCC{JGa%wSX*II7&L5n8d_^3x2Wq zOGp&E7z80CjAIAx<1mVyh{SFLu|l^J`9bKl!=Ta%V#kl*Zx}i4PFS6cLNDHPaQNrf zyzQU=ZHXf0MwtaUgFKry-7vozH37_nSGZ*BRUR2%>$-l$0j!W{h~677UrLr7KS+X3 z1WyVn5l{7*=fPqm@c?j{yF+hT+W4OfX^c(wJ-*`1-<%2WFoP-oW4DA;1%e_$VI0%>5T0w+|of~BtFCEawjFVwTHri-|(I}m0;&Y)M0hL*yYQoW{%PK1}| zVgt`q(@DELUEoYtI^D6>5gfY^TuV!u{wCcnQx%<(Z4>nAm0(fSS&$Xb%92>dQ@X*4 zn$D3{*(Qr2ncBZDn|t>XG7B=NSrj>Oj5aBFrhnBdi>|`vA=NYkO4ZthLnrLaiQYH8 z7YQi6yzX(;zL*7>lcPe$D=tujc7VjN7?4KfqbMd}2p1SVf*>M3TphT#s&)cS{=uQ=~)|GZ_#MXP+ULnDwV$zd3Q z{TXbE05Am_E&(_)!-=a#NTcr2xG1r@S;|6M#f+K6wYSTj8qX3e{gx#dHjOdi!QH_7cchQ$&*~CQwxKTTz~cPWX19k3b1f8P}PaRDE%l3CVh6m zF%goOT9wm4rR|W}Z8c*OJsq@>xBTkCU3=#}9E5HY_$v+rZ!(B0ogjec(DOU+x6`S2 zmMq@cI&S_Rzvb7$tuHTm^6DG^+e0d29Md3g+Vsx()y}i$5O?x&j~uuJJV>X3lVQ+x zz_P{LKL>O(0(vhh16LH^3eKVEmp>lZk2Ylxs1Ndq;Fs0hjG95L;zgJ%wY*tbU7>NoQ9 z3|qGbOj`X5UQ7Pkl`y29YAKFMkoV5r4xM6;S2hbeo!zAn3Y};WT@&cEw?UCT0ks7L z|V;%wp$0@WS*n~$0W#vtc%JT_H8U6?Z_7COlfabMJtSv*7A1AOPm(^csbiy zVoN6@ZC;*Z66EzyKaIoNoGz0p530!O#6n3+4~7%PoV1-h4JleW>lAvYvY1IRl1M*w zN^dJ=gj+hj=ntVyHY=I?oG%0k#zJB(iRn_y6I21`_u1`fv2+SXF;#TB(MeAgIwmDq zR9ukGNNmMo=FrE+SzKz6$*g_UO_U5u$o2bK<;Rd=T~3I)?r*<%vq%_hpG z^jQcYgDBV<+8X5&-q6*gt+${<|!T?=D!%jOtp*wgI8 zB6SSyv9sy!<9=OpUO&TenSU1k&~YT%r(MG#fCiB3uU<-4o-jmh((2DNhzJv=h?8la zR7{wn2T*JU%LcE=42pT6DRjr)(Vwq9_n`~2dm1^^16yyS+Np1Fo#!tFW5Q*1Z~M8o zzHMZ5!GcBvgu!{lQ4DjEz?%aWIGAS)<2Ba_JC#b*0o!oc?o^s_Lq`&Q;k~9dNY8u zz>L@Ng9@7S+JRs9!DtY|{lvksYHi=nE#HWvT`QpKU@SmWC3H&QukbR_>91TEJCNaZ zS1u(dEE}fwYBq{;C__+blZu&%W@^6T|4ai=3!+1=xCF4svHXoqG(4Rlu(Do{fvhf<-l$ z)X0cOsiCkdOelv+aU!w$Ce;&lfib~63^Y=pA_D_1sZ<=03WwCeq~dvS z!E?RJK(E!{J%rWkE`6?4TvDU&97u=7r}yxUi{H|4mkbZPFcH5>1_vs%tQwti+T29$ zikZLzv*wPyBgG(Z`rNf=MgHsWcF2y)op@}m>$LkECxiksmFEKanE8y5ci+5_ELvP6 z5nVyRLFOQ+g-ZbLSE=Z!Y|u6O(moN66)u zoB)ikerqYeMb+!M*;-j-C{)*JkW;O6=3wtliPRoW0 zYZ8(ejnakD;z`7~>QfOkd!1ahiYSahTt$k7G(#9_5bg)2nwq-^QB|^wu3J(3!~hIP zb6*1hZ#bmCk6-=p7p?)g1_vDaz5$A>4==dygShx^bi^UcmN;bnT8CV4jzg}w(j{-Y z#wC|s;*hh?bilg>-!+&|+S>iq5KQKHNvjx=M_!x|Sz$Uiq_M(~&);?G*NOk+dtG2j zPjRACDW;tk)(%yGTmMK%GVQD|6{Je1DnY6{jmA;cx?m6=$liAFcJmr zh5gm1-!gArP#Ya_0YpOT06x-;wi3Jmv_$Y9w)HDc7$H|(wt_Yl7E!Sqvv*Ix6k5B|sdErMVT`t#4Fs5ebsl0>4o4f0(6R|y|>px5sP0d_9+HrtkpkZ7iM6P2r~WE-qW zTIO5ZGq@&W7ngx(zo{8Rc=}Xo`MpBhjP{vFRmvtSE|WVCje8@pRcll#k=YWOvq*u}|n@o{s0KJ}_`z$bMYbT4^u$;d zRdmzzm!#8R-~=#7Pz!U?6HMEz&AKF7!72%mUuj7$ zs*5RYYWy_sYI4e{ZSc7+c#@k;P}5?r5CPLLn9~sFOf6A}#S|lmA{9z?fz+$%nD=JU zEFeP{ZOT8LqH0A_;Mj;?q!9$vGMQ?T2x-pgjsk@eU`ReKT+(MK2e_?B zY4NeevVdG|X4O!mt-?NPxQ>&B@?5m&8v`tnuN`YWEvuTgI2KZ6)`dIu)E&AdW2cxv zQBwkNzpJ%LrojPBh|D< z-;$NHVF`WlseN7Mt3N+{`maAd0Dt?x`qkU2 zaeRx%E^w(C2Jn+lT8IXYn69p%9wv67(F0wVcy;iCO=H-FP7%fyDnL3U>DuWk+-~xe zaWFA(EtoK;0={t9xnCjvqn~iWJ|}&!5Q~~Lg;|r|-}<%%Wa$ZD-c~k7VGO{MRgL-> zR_v(Y->Ib(jsyiNJzbWcZYnj?foGc;m8XfZJE7ZYw(5J>+%Pu=w_Q-L`#}s=%{HuD z05@rfmk%ydaIE^UeN$y(?9~h7IH)0Z%pih5Y2a!D3ed5K}7CpG`f*-z7C7}i6^S(^{NA8#s$P`({ zS4!{gpr@SiBA(4&Ez_~3l~jQNtyf7CKnZku-4ePxaYqTpoa}uB?oCNZK{aLjCKp|E zQZ88pPJVVLd5eXjIg_o>NRizV1`d%vkNng3c9L)Y!*;NZyVQwNtspTXaCufp9gOba z%8UmF`*sWtFL-|0(z(y}4K3V!<2(NT`?(D}jjDQ-V*;)oIg1yli z9;%SP{F@iZfBD8vG6c&6T(`QvuYdG~p^=5p1%dxeqcQZ%+{G)O{Qd7A*|u!i1qU{7 zw(sPZs(}F619eSxJy+4Hy3Pfe6ImrdmYQauB+@6p;p$6a9X>fgC!N^@$Yw$&Y)H8( zK{iHGWKralW~4MAS~*jBbP?akzaQl1+yA5XKfm$q1Dj7?d&cj__P+Z3j#27w!ISl{alOgY*>RF&5~ZgKp#G)aE~Pqv_*(fOl`DO3I5ARcl(&PK_0yDd zTIDK^2-TD;iY8}(s+Cm86@?u#f8pBuez@i7uldTMa%Q7s>-K|CcTK^v1K!!^6g#1t7nWM!@wBbS8}bh+h&B`(Lp z8aY|yAcU1|J^oT4$8ohQRgj=lEX8WKBvo#kv}7`n36}|gp$YONTB2y@SGAlp83|MY zQ&_pb04<)j91=}g1oeE<{$$tf$|%5+v!G%4h3(R82g3rPQfj+INdT;LG_^$rKtif4 zYFpJbn(vy4xshv9FNRw-L)ht8MqtW|w?&e>U_o;?*xa(2(Ajvy#w_;ABy>%_VL<1w zhysWZ$Pzq}6-5~?6-&5O09^xK9?YzmJt~AORC&1Z+O##NRH332G#QtIXaHz2r`jYs zRZ1lwQ_8qhr?pg?S*__OsHT!o0k^QeuC;o{IJ@H|h6RO+qd*gBVA+(K{9dDcTFfQT zR?Wx*v(reG!;tDMwJF+1wRfD9K-VI4Unq90g&bP*S z#dYZOIq;Xjv{V99Smo6Z5nzHky$0bLg7G$9aF3S@_wE4fZvpRJnD6X~s}Clv;|1x7 zt6GDJ>GA@L58lHBcAWfK#JBb(g11k=3Fex$jjHGu2qy{0{j>5zI+pDKlDX3hIjxXPQ3*>%4w$K z0r$8{0l~$5=n4k_SxghA-*6ByFEJ#M%!xN(i4!(oYEFif&?YP-mD-0b z=2M&0YL>DbE0_4T`z0}qlN$97x$h^Z{hK%6{EffuMY>ZAOhNV^`Xa7C7@r{I;23~< z5SCx;jLGi3godG;je1q++8#x-s-_=I8J~F$0uUrB1D+8W=P8SOe8%NB_>Eu=tel+l zjf>Yf14yZaXJjVIvG_fea<*nkFc2dUPL5~x-x|!1oB>DZoMT(#}>!Gljf^XjrmSYZYW)5!9bYZ|bo z2i$Iv2Yxqj$%HtC6_zW2nr1hmbj=uu=j{hB&DnHPzfXHFDFNSA!Fvl6Z(pOSLH{$}>053V;Q(l?9xF z{9};hjngd2dV#us0$;5A&_fT=mSo|=g>JLCwbE|Sbw+*P*;gI+S}h;uDu>Ph9Gf!U zY*(Ua+yNh~>jx8bO6&wIySn=7jg|iXIY54l3(FS$$p%>1Je=s& z+xFqiohSn43oCxJ-2fMFP^~oAoN(N;pB){Bd|(-iSlvLkQ`9L;S2N5Rt&z99X%$F1 zf~?oMjO|)5oe5%QyPTooO%2kh1?2lbKKv9FnAq$P=V%$Rz|HB%$Q2=Yo;*HpDGMq^GC{4YmpX>E(PUbL&_G;;{jIppAY z-=7@6e#?LAg_zUOck3nlL-TEaA2|9uUVwcD@ZmGZeW{b}}Tct{#7wMP;ISLygEKLQ7v(Yu*6obNJ2(T@N7?aQmUleNqJ4i6o1QyZv z`a32;hPGVj*RJSOrtMnX`-T&3%U%3**q1u9X)V-(~PHO~+`4MZ6yP3@HS zRsq*uW&>_dRV~=`pnPnDT)Hh*2+ILV$eXWftt&EQzX^MHvXSPN7yitpT6?X&>cUS{ z#L~w$$VIiczE4zfakHy;w$i=toC1hR2o?<>M*&kRfBK_87?8^eby{lmUUi=O>}73J zQOB$|wEtj0E+P{ZDAcZ8! zIc~#*wr;%8xl)I-C2$)jyc+zfgChjvQ4bhg$uAp3warD_c|UmR`oY_V_w4fMoNCNN zU=!dBk&DHQ|O7DF?7{eiGJg;x#cz z$o~D{beVL>q6LEhE;}>?9UOEYF2E7@;zAGD0Juca4vxD3jere&`O-RBbMjoCEGJ`z zRg7BJz$o*ZC&tNv0|B^9fmtAZ{J|m{I;kH4t=8&tx4?mcN>r~8O^h{1-n-$VfB7H1 zQ0ABfdDEtgS9#4B{wWA2M#jdRXvePB9CVTH-WSxH6S323M=p5L@UMp!F$4j3O+bf5 ze?QxU3$~M#j*Ve-aDc}Ow{=44N69~KQG;xJ`zh3YX)XuSBpiT8?eO~cHhJ5-o+3MU zhrl%8c>_>u^~S!wzM<`d1B2UZ_1gBav3=X-Em->E!bMA8TCr^5i*Gn>+s-%Ldf%>I z04{D=dQE)>zyHi<-Z(P;+JVZeuZ@xCUmEKJ8#QeDIRT4IT72nu@0h|qZQC5fQ{Vd2?lto|_a8o!#fHZum77s1G zBcoqC3$h8a0j~NwEzp)BlM1+bf2c&YAl+Gz1%VKd5*@4TC`I<^nUm^Skf$nLTuOCE zgvv4c5NfK~OviV#AWxNwnn>r5k*Au)bbU7qvY=!MRV|606Zc3MGMUUV3v$oma%7K@ zv3j#0_Y87z+iP#Pr#0i;#AZQO$kUC+W}oHtn2UPbvmhTn3K%(+0a^^Dbr|yjrzhUI z=1Qx>Q_WdPw$Ql@(DE~@@dN#oT+q485**KXn8Va|R_c)#WlwtEXYYWIhh=i<8GwU1^C8dseGfy%z-`&G6y+!8Zgyf0kBAor`{(Z zrrpRT{g_?nS?+^bkU5>2O(*QY+V$jM5Pm=iKQKfb9>NX~!R@W`ba~oL62ob{#~rsl zby#LOn0kvfb2_BAa&w`Joxl3b?ZeH+_5m*(8>)FbMyl?ffxtO^qT;taW)Z7* zs&NpsIgXJXJH2NuHqPNTRo$#Z;Ip%gY8 zH|U430mliwTE!>tyk!NM2dB3X1I(&Yi3fhSb;190(KX+` zyDXSl@s1jh@BQrRues6laCkQ(F5TG=XrgV~L>nL6?$IS1Z(L0lE$j!?YO2+2K=We6Ui~W#MmcAIlgd>N-WA6M~cxR)nNtP7XN z|KdHrLinp(G2BD^!s*~;7~rS@8GJZQl^OWi;KY@R*luQmP=+!hj@eaCZ37{BE^$iG zZ_6slvhlhpv>x}U0Xc%kRu;WTQ04nP0%pG}zviJVK+_$sJHU?`kkx95-iZh+!n~Ly zWtpLDyu2LqI%(>6RE3n~j@&99Js{g!r%ZHtqpDTCEWM^9URfYbP<5( zfSUAz8DYe;=qPnJH7Bd}o({nCfwYSt+t<4jR(c*IWBNyrB*otU8`z zJW<@K4HHtC9#tTl+F5(Xhn^c}of-1FX2tD1#+ZU8P@3VgUl*0-r~w%^EvL2z^Rn#> zAjY{_s<4PC?0s1hPbFT)H_9;EXr0OxIV09l19H95U!{khfNfcvGr-g;~B+O z*kcQ?V!@sYKxI{M7isgcxEPYl9N-j)p3vnVmo3S3A7+BSHE5So%ej;KR#rFHDe(E+t0k=uN?oEcjIPi!u~AIbz*jDJO&81G6MvUTUaCS zym>XLHelZ+YRTforU<0?pPmN8P8{zYpnQ;f1D`d&*-U~!BMma+DBrvFfc)s;lfHQ6 z4gd9C6Xcmw*A94LXd!j`bMnjyKo6S%TQ8hw6M?fKNL>*HG`Yl)@#y|%DxM{Sar8n);sVZrHUi0mt1afMUD_UJyGHVe_GK}_Z z@_=_8-y<8=Y`60GjDajkW+_$*Xlb*|C$yea?|@G&J-uz#p(x8J7FC~79TALed=S`A zo|$=&x%lR(6Meb6fXJVTu{Jto@E(m=0H}*w-%sPU0!6dEC#r& zR5LoKhfQS|L@4HrxS2&L!7#bSFRt6nfy`;40c4;hhQT=f6)jn|UPtmtab;PS`SI)w zQ#S1cV1432_uuCmV2Wy?Gun)S94Av9wGz?pnA*e65##CZNf&E`VkRk;`7Qys=={tS zGSHkckiniM9pWZ&3wzj<56Tj&I3DAp;&^EQOQ_mhz9{*dzwr)Y1!fICWTB*v}x1( zM#IUc77+(#uhSo%l^D6O%RiJ64#;+z^cH^?ok|BX^s8rqn=k~wrgHK zERZ;h34_pfJvve&*Iu~_}3>%8A;WS``{95R|r@%8K63$)YTB!FB9iK zq%~Fv-lV#i)?V{Bi)Y>0oJa6X1(wlLvb4%|;%sS8u`G}wI5e!;P7BDec#HRy6ps?D zW=K{zumhiI<#CmRIO_qvvd#8T!|2S9G87XHtl2x41h+7T`i&LK63mp#15*Udl4kx~ zaGuZM>RnrV%1WWj;3Xs>H?9PTORGVcoROCumckEu1zgRxAg#izln5oJW>1SGXR4<{ z!r3dH*?TS_dF8Uqp<*d6EGMC*lFFTRQl_aWjx%i_i+NTyDU|0X71Y`aP$96alI6fH z*#?$F$Es9|NnSRF+I1@w?c7AC1>_ieQt+ciQ3#~cDz9Bl0h9}@Ug2zTdm{|(wDt5W zC+*#5(VeQs^rr=6=+o)e$P7uKfXH`7FH{4zn7}N0aXd!EvhfU(8)fYt5L++%Fo16q zbXq`G)0C3MZ#2B&fm*MUkxf^6wxs4Rz@kgQQw)1JK_kVSryHgJ z*5(&ClT#r~$#04?&(#*CKFr#SXynoX4?W-WCC8xVTdBIxtFKy2I&F37t+F9Y=@k!Vhf0^8wqJP(LLLW8n|540oI4)-oyr7krUdM$ zev{Ad5uB%uw5(J~uydJGOXw0EUJQ1Zq{rr5K_*yDV2l;Z=a7-%K0f&&zw9~* zR9lx)UQLp5Zn{JE>}%Y9{3+l4&n8<~LB8ix7k)Ho{^DlWtB-?s0rt9rP1oZ9j*hQ4 z0le6CI(<&;*Bm$)__B+aZ}&U#+2aRxtaoA89Z`G)xmHD_1s!l>l$fkNd5A1qG9WiQ zV}hTj-m>0hO9s~eg;(Z(dChqbe5#xrbQf#W=WlvrF#g}~S66&cjlr2(Y7t&UzeDJO z+8a(g4qU5ZCUQ=_VkyyI{^tK}EjevHXs~GknJ26WNS-8T=qQhEr$oIts7fiH(u>{; z?+#V~V#XbZIserQCjl52P7Dat?F!XiwzZdKRWzC-DRaDRUrbOA#e!Ix{zo#IoicMo zL21bnO>3^=B6Zd0vm|E)8R(<$Rbk{}Vv|=)0fMxaq=rv+Ak{Rx$#2Srk78O|W26AE z&?-Oayryc3g)%;~f-DeDjc?67b68C7-7$r6kR8-wW|$t5luSiPtAz$KNZfP{JXQWm zL3*ouW|z`tYc^+6YUIF5D_2QU$!IA7?>2$M9G~J=pr%tr>Q(R31iJ^{3bMK`+ENdF zAS;0FlE}SDYj2$0Wl>8<*Jza?#Uim>yr;|zT`ZFUuM*D0OQy~k)hw2}ybhB(CN53C zBR-;4^YK#am`#mAr;Iz4!QSz5U@u{ExQC z-nH4RCDPoz>dh{9HXSlLUf?^#k&-FFW+w`2XYSyx;Zy`#E~PWHfzkHMen6slN;os7 z58{-BVfmEgrzU|Vz*iEcvEdmuaJR1cF0@_ewaE-awuNx2H$Ao5sjY-%Je&g#EsTXT zrw`9mO0u-0@ggcK)Vc>wz8tv2e$QZ?Kv>C4YoXb zb#d z8{Y84Uz`osS^Rp#x_{Yn=3h-U&!X?FN5U8lv3wMY%-Zf1<&=JJr+L2Ww!Zz$9T)s< zd;DjgLa&nPppZs*$lrru*@xb90$Fl=gDy-Jnb1|B$(OD&e#Io3NlEizW-8D z2TUtW!hLBF_1^U1BFdr2$0kIk6bmLODR8uyskmi6E>LuKN%m@V$8-UbMkLVz!}sdF zMPpAFam&MiaZ`I!h1Yc|=MoRS5U>g(U zw#&)~WvgE{o+; zoN-f};bDkT1ejdtN6V78Yr@nDEA!_!p<@@$jEKb zrFM(SW{it;HnK=-mR>KS$Wij%Mv^RPmH-vh&1`$^(X%yU?nFN8G+GXl3Urps zu&ABZ-ory`lNiCJM=-!CDN@_$!uFU|ERPAMqw4mKmjg>9_)i5w(-sOS(@_v>8mY_= zSx!?**xD{DbW0;>zAT?@kp1|Kbe%5s__%E^_I=)8(qATc$B`FUpg@FIxqromi`m(>BG z&U7eZtuBz$I$Z`&b&6J3%rF0>?rmuaErEGt)nt|FoSJVzhp;K}*8=kV& zF}nY%YG8nun%qz}x9N&!N}VcY;+^=5I}Mm&Ssk+?Y`fAHkV%Hc3l9rZ2%n)=(Ce%@ zD6;f+FH}W@&Fyo0N$(JSn<9~ks1ZSXoT}O`Sr@w(D)#KhGaC|yd1T?Lg`=<=SIP1n zvC&j1wn}t~l9!U$F5C1jI$kU)1xf`6pZVM_4c!tIN_8Mi;1z~3;~sgI>+A~KRJM$r zhw2pG6)}m(H*whT|fUn7s4tOUU{)Lv-s1_EVBfEriROeP|XV zd9Kw$^4sT^{L7h_-T&r8iRGqEw+x1@y*GKzo`sDX9dN?FFClaXV#jk@eK5(Ng*jme z(~nONI@{ii`=4OH1ouEeVv7x71dg;8@E@MFam$UTkTXtOOxidlx5PACa~-ls%eFol zlf%Jz zlKSOMH&sBc0?1nrJ;?Wb`h;~(u=CdrY1Q$xb-~zJCp>|@%BL*|V&kSb{rweq2iqaR zF}H%hv3@v(7%x#*?3`YH>1uM)>UkgxxNZT7DxgT}ntfm=i^I}O(@lc>5`cVRS&(mA zcw5xj`&B&EN1aSh?}cR3Y-4ikO~;edP8lu@a>8ZlimKHW@oI+&x@?nEbg=~r+X z`>?1ag^m|WZrjB1#C`eScEcL7a>X3Fd>4;XU~%v-9IZh2_=0;S5i0GfwzGhiU~d64 zL0S_i%r^i@e(t3?w{E!b+ut!Ixp@33+8j|?u8TzQRA(D<9?{0wGualyE_<|wnyM9~ zJ`%0S0%Mth3?2#sd&W0i*JpE@ma@}ZzHUvFY9k0QrQ2>iU7s;L$CZq zKAEptV+CBbT&W(oMBn76yl12Yr+`ukOHen7_8ixwr|gXKf?=CX+huW4Fd>Wr%PyOt zC=W=_*F@_I2zT37{I&3CjzNSC472xFZn&m(4n zWH4v}TeH`NqMJ@7t4HlP_Vq-?>RMq&3Y=&vc;T!)H!IaxLc N=kVo=m3@~XRo4b zl{~Iw3IVZXo+&6Mvsi#GlJ;)unz4cc4{lO4jUYDdQ6~&2=3RG_p(K_nttul~iDh@8 zb)9d?56TFah?9zI1oRAamfG%u3koCzdX1PE7gtkF;GhY&QKWbYDOEYY3{fp2tp?%! zVG(0qO%oLPY)Z7Dn)ARc4Rn?^=n?3`$@Nq{s}zYHh61rL>w-YW=)4SCq+oYH{++g;SNfu`(%78RI;F6k;HVNx+U$Vpq{hZIb}t8DvEUk zbmvD-dPlqU;@?BJikayYCxyB{1{wn1{^rHx>@!ATFASUQ8hbOkc}~wTZMtK;m4sJe zkD6y+IpMxDFS+mfZWJ#t9!+HP=36KuzyA7IW$)f5gblfagKcm##Fcup`S292@@Jb~GFaYO|=p1P*usS8=YDa^)^TGuKN^J`S=yW{t z>)$QD{?e$+@R>Yk=z#t1j(SB^m9( zukScO9@_E(?UM*DRu5;vqDk%+w4{Noy2*JUEP$Qt!tZ&7m}3EUJECbNfZ)s{INO`X z$NZ~RI1(D(w_72(;?k95{``Kq^(0S`W!eL1N&=alC&n|P4h-bSo>_R^Mb~`e2VH@T z>Ka5sGNGorASG1SD9I|wI>+kJso=?q7+kMakQ2Ps3dr`IW8BljnNE0lC59fp6IfIV^FHe~bMP+J-aMlXTUX+)&*K z_DOv0TT6WUO-48sK>B_PAm4HO$s60P7yf~^$uc1>Eu6_QUjeeNu4Pp?Vf6~BPa5c1 z6+uD+(b2QRfU*-vN+w5B@6(vyh_~sq*qgLI65&bhly9@ZoQC#RaHrW0t3rb9Rh}eU zd)KiGr(201zZ1P)F_cn?m^+FxdeE6eCaqhorx=0Qbl{97ys(o)FTA&0EM5pIG|IVP zmMQlj2~U#dQKFtCcG8-05T}+T^7-@dfYkjbLo#lBEhuXa7`o?5)LDffq5-e z+qH&KR$9XRrM$O(m!e|wfqYd^GYL*s1+gx?Dyb+++No@ecZFbL;#~kuqvir_7q2{W z*g@uVmM9V6KZ>hBniGeX1@7q)Kq^w{$26HUsG9=32yDzNkIKA^nFQc3tl4CNX#rvS zfMzMF|1;txg|XI!2U_?1%#!5D3ACou1X%clWQKY}n-UR&2P|Tl%?Ej$En5@~Y>VhB zjAxZv1#IoTK~e3|cu0U&Uz7pO&VreiL|&IX?PI>PN-|7pNlhaWnic^TK4pPzrog2{ zGvQ0^8~Tt{dd@RN;#Nj#i@@eGlLAu_RZXU{n81Qt9GpTe{uz;k#Gn( zVN^h+^Iw9PaBLb^MNBd(p9b7sTEPoNvng`;Me~PeOM|+ABrWEt@+t|*cZU^dQ>zX zai%2m_*h2KnO0|ns8UT=5EQh@DFC&`v9jTj$a)##49F%JK!UHqY>6a$>rB$>= zUq-bjp9?e|fRY@D5>j&{9isA6*U@rCFZ8m&1>P}wu!{T!pDTEXN=PqUcu=bb^l!Bq zyVYuB-JUYH#on}OliO}TyJCFLPuA4xOHK(72M%zC zf_QBxJZw1Am<&66)*aaU$Vbq-gNlJ}!pbE>+*3mfm?-NFmt23<5;8PcW${d4xotfu zYL8$_1XUK`X-WZ!}tdqtT8Yc;JoE=FJoc*d23xM~o8(_xFY_V2XzSbfkN-K@5lmQDVrS6+t0O^41+n3>;#r3C{#OCDn$w@{F{!^MJO! zv#1OvxuxlUSR&3G$c7|l5nIr)NOG+znsNc7W9ogLita*v%f$7Y8CTIN_skf`l#VL0 z7C{s3v0Uy}N^&hNwq1y=E>TIGl;o;yK=M-Bzb(=$C3?oXT&y-T269%%D_hm+Na>Q9 zM$sy{rKDP65~DCOO&g#l(~9PRGv;B@%Nd!>2*^>C%pp@HS`+Ln=~EkRu8mVPR}i+o zQ`%$pK2hs3`P5B9!ITzK+jk2CL>8Z?O@s3 zTt%`H%(GOkijodyw}8^TvLyX50BXx(MnLX(7yhDe@Qj=KM=$zIuexB1;|}a1P9sDI z2)(!yL?}+$q;cw{)UbTVs+X2rwqAysBKXCoWaIp5wo4D)sR#Z^K#fFf;nb;sjs*CM zIueYN+AFY*UpNw~uoqdi8Xop7F$CZ<+$CVexaXdm7X;(ats_qVS#h-c+%VX6Hi_CN zL{Ynr#Jc_cCz6E=@r-6%j>1itirHATC9y4)5Y#IC4@MV2h^>HNEFkWwX5isC_12?j zMGJei-Bv9OpO}Xqc)ssfACDua)$V|eKJo%M!`Sb1DorRQcpbp8fHwQUgLh6mK3V_m z^*4Rv?`A@nj|h;>io@=BwbsD{rv&ZiH`MwTo^kz^-iqPDdgS90sG!n>r7N&2p4$Qk z2&jEn;S{;;4h?rBzvDJz(wOK>KKYJ`iQipCVvo-#WqJWOPQ&FrbY3;zC85<0$oX$r zOwK%gA!Q`ZifuS0@Ld%c@73L-Pp>`a{!@W3Y_0H2V40cc5ef2iQ0R_7x!|*{v7h}P zD4ejeg-bVI7bP4b{4XwOyXvwfOuFI;mujS^0h@H$Ui>S&m_lwIiT;w@dcFL_aKPP?gbD{;=tY zZ-P@iaLj@%ByFl=Rw~yL&jeV(07nzyj;6A9rKMa)-%K>6vIT}3mb#SY88#Rb9UW4A z%=WJDzVp&gv<^J{71$6&c8*0SS^bLy!0jNexO54*;^HOHy9VGSRTA>3bGC5y+U{4>xqhc}C>#eCHPLsi$^2bLaMXjm9p|+h6y>xb3>trq`$p2JimFqhr%CL_20d z{>&Yhesc2Q!~Y(46%eB!Ip>N7BqJl-bss^#oP(SUjrF0E2*-(ed-gY8|IwDt7c1VL zD(pf4{q)HO>^lNi?72>}&vV+934cYc9gfyr*N44K!W!&e0&D5RMjVGQu_=P@0+;}W z9s5ol2Jbc31*@17dKee>M)6yn#m~Ux&L<{XD;; z$jf(|pkPywF9DF@qLR#iOyYH*F$Aq+6BCo-fLkHF@?gtI@vS9)Hrj-5WP<&Yks{1+w#% zuUuD~OP;IO8zZo@&JHh#LKipwu5=Do#wIqnuS{N4^Sq{4iQE0KO-i(Q@b@pj=aY{f z>_vS|=eKu(B)344>1HpA)Y?=ws_QEy$jss;flelP%W&L3#BX=VuYUOi>=XoNmnu{g zrczs7R1gy;SZ%;E6AVt=viMG>3i+UrHlQ>$PjV+8bQr-qG}jN9*M&~FbGzF6X|R`;6~7g zaM(sP{NhAw=}&`b;9)4#!+za={`T8{W!*`AI>F3J%LF+~ifNk+rc9J%+9q?eW|D5Q z#g&}S55(_u0`kJvmtohVj$-qM-GOQ~zKIMHhmjXIra{At>w1jgpb5cdgl<5C4if4p zVYYL6fu_KBB8>h8DkH;+aNM8<830#UV467F^+!KnwduN>zV>H@AaB}qS>@H|pZcla z+I2k`7U-`7HQF#^y#ZFq~k;E zi6-1(+yF!0TRdNCx>TT;{?=EH(4{sG>8l6iUmpRG-`plBl5p~8};pzuwGNfigIBvzO;CT!VOo)#wa|gGbwfwl3Kelf5 z6Pwqqc=XBdee3l5|M45we(r1k>3u880@Z6gbJ%1XM%nU^(}3wqWsGbB0TJoZ1o)R< zxK=E}O=<8izhLJR!r=<=na5#qlQh(HVsxmWV@1hNG!J=$ zx%_c5Zx2wSLDSIlk9O`RB`a31ASbL` zPFAc~Oje&bXY{Po#;-be!`8nz`_!jD+ADb7d~d6~2-E&*5tBW<&Ae=r7id_j7sbN# z8D~jv%K=~!pYF)cHaSJ|S1K-LJ!6_u4$3Kz@4c5qo>OJrbd?JH9ydM2Gm=nqv|&Gj zYOM|@sx(NWQHQI>|H6H}PTLQ5=mzd;SAlz2=;qqbN$3W+sl=VC*}^*KOk$;`n(XbZ z>0V1OTF^CBCLUJ@l|8BdtEyeb#e!xS)Uza6t~#=EkrGIKv*J?K2*0P+L8b6DFMxdu z597}eTLD_?FA@^tTDU<6nn$onFGr&scr0=fH%Zr&e=Ad{7}$#~iEIa%&1%tpHc6mu zgBr`miQ+wvh{l4$2(i(sX5?%Vj6P>csX>A zf-H^urph)nILqI{4Ow8DOmT?O&gyuXQk+ynkt-Wl7fP?nEg4I3GMFMoNeyUS%C)#6 zOMur8U}d`HSIR+QN~=0vIPKt9>ovo8a39K<|Qr}b^Z4${5N@%77 zsIZyV@si<&))AHLbX>A>$)56ihQQ#PwaFGCmBA{qoEj;$!zg0(5@*KUE~;YwRolCC zCySMxg{O&O12shh9#FcMZRTVJRb>Ts4-*H;(&P49<;E(>Dx+%kGbof@Axr;-N6xaN zPPYg$!X=cY0Q#nX=^GG}y2&Mk;y{z(BXIdBdyB-R63A$P0&YIB_3sz9qGYlwPA` z8mI{x+L%>*Vr2mKG7YrR%eG3d!beITMYO~BR^r|Ez7y_iO}u=qIGR9AOy_oU`VsEm zv3Nn9eBh6k!q^xH$?2z>_GtmcM{o<9(34kQB@aLMy#I|xy*1Bq!V0+Lz|rB?_f4#A z1Yy1Exjwk-@aTXLTy)@`gTI~|H2iRIXBahp)Cxx)oOk@3two*}%=)vRz4^rU_*3V@ zB-$&3_A8f>sJRR_wW%W4t4@H#L2*2vt*M$WO%gGufoXCSfvIrC#3{|CGEA|H%Q@eC zLNHo7fxBV9m4u9xp1R3ZK0!E4N4{&(ng{;I1ilo=vQoTqm=DfhUbL`IJ_I1+j7SQy zl3Hq8aB75i$m_4}BD;3%0}GmHDNxmbq1}Zoj}$gfH3jevCa{x`R9chmMUS;RqYtfAYk>B96wn+|7dr&LF}5OFO~t%c9`*^GO`cCvgYNjD%cy>0;B-PGP`iVZ{Bc_hSY-jb9-+Noblj+B7tdVsaaf892aQNI zIIb7X#zK*4a4W(i!u_C2keeXM2#PAbD%dzN9YOveN-_l*Vd2$H6@ZB}YK9$P^xnN| z5B0EQ{baMLv_-125>f~&7Qocn_xf0K*-u*0z=Lrxuw~nR=h=Jj-IBE{uuSHjd#+m$ zH7C!9y_PO+jz4|%#pjKzzUchpVBaJ>UBwCEbbIhr!~raI@p0#(4(wzUhc4`39`v&_Y`X zb^XD23rR+PGZcfOTId-M@Vs-!F0yC$-sBtzP5tQYRSn#B9!`qR_@VP2^gW~-kT9+R zaVniwXWnmjkFEaFE${p8*NdcEyuam^TfFnnU%GgBxZM{VtT!iOr#&_{|A^8 z55ir$7DT&tEsSruWivgFrfj-uyu0rD$eQsTfA0ty-;u8drLZ z2Iq>?UxeolU%O5S#}`$8u;Q%8uA2%Nhw7Vq?)lJ$=I*aOhHRXyxnd+!t0nHy{dwxT z1?25-UB@@G%hHkwjk7?`(3!Os9Um6dSW(a{vey0QAIos|vIdTeq2VDiG&Dr|`}?8O zhRzLk)s=gEMrXU|x!N>0Rei|i{3LSh7vOZ8?YkEg75z|QSuS0LPWXYfRWeeN6;dLz zFb)(xbmO1^H6k4p5^Mpw@>dp67D(p|t@FemXpa%l2%|9LsCevAvi1qVQ-3rG%Yc=I zCrk!yDfV`bxSu&>w-r!eD6v$8L zB?CQ5Y}>d`8|Mh~aAG|6HMO>b;Z{Jq8RvYyC_df5`M+Pdf zx7{0b4|vb+ywTe+eo}23jAQvJYmbx4=Y#bLPvRUs;kjDY!B8%68`2u8| zhN~xCep_La3DmK*@y;-uNG4Di00}lWP7eO+@y54d`{4ix-Ve6$KA4ye1os=TG^Gm9 z0A2)h$wRP{hgDd{(&v&+AIv|i1F@=LcYviTcqA{5$mn6p^vqAq?#lH_LO|Ge1U83} zD<*&*rf}hq5Y3ukDxg5`hilLNm>iry;|DWk;@P2?A#YEzokwolzd>|Sq`WNVu|FuZuxJ#24}X^NwY6MH5Dv0ANx#|f6$ zKy0A=WRvo+Y}qq@-|p0=4zddRogX@XZrne5WozuEo9fk9uX*1)7moHdDgl@v z15k{!YnGhhI8pG; z>Rf6mDGVXQ6H!z~6-mOjc=D~mV4{zLr3J?ffc)T>wR%w|>EQ>Sl|`qVII$w=G$tp5 ze&{LyUI!|zrrYmdz5f38yrJFE#IAv;wW=@Px^-2g&gQu1j#D;nd*!$PplVZ9dy3_n zNb9+Cs^r7(UCB2Fku#<5GPCLDqztZ`YI`Ji%jlkiajg%T!Hveb`d zkmN<{lCBO9Q`ycQPdI3xyK0ri83S3E4K$*P`WO{Xrd8<_)1^A0aEhln`sr}SEGbYq zEoC_6k~{`b(omUEkX%VfGF&F8Is@3iPrPm_17z#k83UO_L6sfbYinB)#!lL3o9%*4 z60m}2Yr`dYhInb9sv>G(0AAcFsb5p7wZg0Iw5}XemF7CQ(BA za94b=Q;H*Z)xYfcUD)vhy{Xh*g2p9w953^BrGGt*ld}~>={L?NK6>S8j?;NdYy9_b z4}v|XM^S(tPCl$+$Lr$w`2Fu%K}Lp?adM`SLmT>FIWoq$^p$2lVTI<#28}S(u)@I6 z$H~bvrMH%ssDolzg-CR&JRLJ)I2wLnSQs+d!RDvOLsQzOV0mzqRe=PW#mxqRzq9^pIhw z?C?;9yzgBr$*2mlz=(3aS`AJ>ju%}|&wPfIVEniV28lv!YJ8N#IoQG}0HNSZR2b1A z9f~AP4-OoFAv>()0b@QMoB{oRH>$W194YKf!eo0qQrL$H`4f0N0US5ngsTs4yw(`c4IOKoX&(_ zpNu9dt^MtKYyJ8it@BpA5_Kj=qJ!I<@YIc)!HId~NwQVsZ@cY={zi4rm0|0(TiWft zZyM@v&j0Xxjt3k00G(OEsLjBm+>c-(*}mStJ7$9{93cw22g@} z8wlQR`L)TQS#6C+jb_yJTH~E6oJUccymZ~u{>r7VhW^+fSo#)5yB6$>F28&Wp0`@E z^(?IcyNY3*PMCU!nJEwy);>=_83Zs2(plZyec(=OW*?%OvfT+-}|4=&slefPUJg!kTiZ^ + + +

Brought to you by...

+ +
+

+

    +
    + 
    +
    +Fu Hang 9V1FH_AI7GW
    +Bryan Schultz
    +Jake KF0ARE
    +Jason Robinson
    +Dan Wiley
    +Christopher Colquhoun (KH6QM)
    +Michael Lalk
    +Steve Matzura
    +William Higgins
    +Ciemon
    +Jim Holt-KM6MO
    +Brad Weekley
    +Douglas Pervine
    +Ian Tickle
    +Don Rolph
    +Carlton Siple
    +Buddy Brown
    +William
    +Kevin King
    +Kevin King
    +Barry Furnival
    +Mark Lewis
    +Robert Norris
    +Kevin Duerst
    +Drew Miller
    +Harold J. St.Clair
    +Ken Hendrickson
    +Luis Santiago
    +claude demmer
    +Jeffrey Hochberg
    +Tony
    +NW2W (Mark Karaman)
    +Ryan Collins
    +Robb A Bates
    +Glen Strecker
    +Eddie
    +Aaron Bond
    +Bruce Bonbright
    +Bradley Brown
    +William Morrison
    +Jeff Marden
    +Tim Schroepfer
    +mark lewis
    +Scott Plough
    +Brian Webster
    +John Tarbox
    +Gordon Cotton
    +David G7HJX
    +W0LED
    +Rick Gilmore
    +Matthew Gregg
    +Q1Q
    +Fallen YoDa
    +john cannon
    +Malcolm Richardson
    +David Spence
    +Ghostman
    +W4FDT - Amateur Radio
    +Joshua Calbick
    +BRG
    +The Kilowatt Challenge
    +ROCKY G WILSON
    +Marc
    +Robert Solimeno
    +Ilya Glotov
    +Mihai
    +kb3ayy
    +David Huffman
    +Jerry Woods
    +Ken Gifford
    +Randy Haynes
    +Aaron C
    +Peter
    +Tom
    +Tom Steiner
    +August Treubig
    +WP4RUM
    +Rich Clemens
    +Mike
    +Mark Bumstead
    +Ben Hartley
    +SbFox
    +Paul Lawrence
    +Theodore (Ted ) K.
    +Budd WB7FHC
    +BRADLEY
    +Miguel KP4MI
    +Paddy Roche
    +Ian Justice
    +Howard
    +James
    +ziggyzog
    +Ravi Miranda
    +Chris Grierson
    +Johan Nilsson
    +Sean Pyne
    +Sam Hartley
    +Rufus Mullins
    +Ronald Pfeiffer
    +Thomas Brown
    +Fred Hillhouse
    +Robert Archer
    +Andrew
    +Stephen Stocker
    +Henry Gabrys
    +Troy Ball
    +Domingo SantosUrbaez
    +Fred W1FRD
    +Don Ankeny
    +Douglas H Reed
    +JD Weeks
    +W Heilman
    +David Casteel
    +Jerry Stom
    +Dusty Rhodes
    +James R Huntley
    +Martin Larsen
    +Ronald Meier
    +Jim KN6GHS
    +Wayne N9MWN
    +Jon Armstrong
    +Steve Perron
    +Scott Cavazos
    +Len Buchda
    +Shane Ruth
    +Tom C
    +Geovani Carvalho
    +Joshua Morris
    +Dana Marcy
    +Aaron D. Pennington
    +Cristian Radulescu
    +Allan D Platky
    +Jamie Smith
    +Calex Gonzalez
    +Hans
    +Alex Stewart
    +PD1PME
    +Martin van der Voet
    +Luis Silva
    +The Great Adventure
    +Scott Price
    +Steve KA1CNF
    +Peter Gleason
    +Andrew Pepper
    +Larry Greenwald
    +James Eaton
    +DeAngelo Fernandez
    +Mark Privitt
    +James Brown
    +Van Wie Productions
    +Gordon Shephard
    +Keith Vinall
    +Peter Fauth
    +Carlos Leira
    +Daniel Adkin M0HOW
    +Matthew Wright
    +Steven LeBlanc
    +Policarpo Dias
    +DO6DOC
    +Marco Casper
    +Andre
    +Paul Fokke
    +Thomas Fraißl
    +slimygreen
    +Mauricio Farias
    +Brad Gehrt
    +Tom Gwilym
    +Bill Johnson
    +Dave2492
    +soapsuddy
    +Scott Evans
    +Jason Pullara
    +BB Odenthal
    +Jeff Archambeault
    +Ryan Michaelson
    +James
    +Rob Tew
    +Frans Lowiessen
    +Heico
    +Leonard Isham
    +Søren Poulsen
    +Jason Kingsbury
    +Max Barbeau
    +John Lamb
    +John
    +Jim Brock
    +Clayton Seaton
    +Sybrand
    +Shad Vargo
    +Peaches Weaches
    +Simon Jack
    +Ronald Bakker
    +Jeremy Bowlin
    +Tom
    +Jon Adams
    +Michael Traxler
    +Ken Meyer
    +etconf
    +Krzysztof Strug
    +Mark Cotner
    +DO9 CLS
    +Jeremy Chase
    +Robert Furlong
    +Wolfgang Busch
    +Krystian Michalak
    +Scott Gillins
    +John Waters
    +James Kooistra
    +A Kiddoo
    +Don Coker
    +Alfred Alten
    +Martin Richards
    +scott wilmot
    +Charles B Jessee
    +
    +
    +
+ +Thank you for playing! + + +

+ + +
+ + + + + + diff --git a/var/www/html/fld/index.html.orig b/var/www/html/fld/index.html.orig new file mode 100644 index 0000000..e8cdf79 --- /dev/null +++ b/var/www/html/fld/index.html.orig @@ -0,0 +1,31 @@ + + + + DigiPi FLDigi redirect + + +

Please wait, FLDigi starting up... +
+ Click here to redirect now +

+ + + + + + + + + diff --git a/var/www/html/fld/index.php b/var/www/html/fld/index.php new file mode 100644 index 0000000..08910f3 --- /dev/null +++ b/var/www/html/fld/index.php @@ -0,0 +1,35 @@ + + + + + + DigiPi FLDigi redirect + + +

Please wait, FLDigi starting up... +
+ Click here to redirect now +

+ + + + + diff --git a/var/www/html/ft8/index.php b/var/www/html/ft8/index.php new file mode 100644 index 0000000..922bb2a --- /dev/null +++ b/var/www/html/ft8/index.php @@ -0,0 +1,35 @@ + + + + + + DigiPi WSJTX FT8 redirect + + +

Please wait, FT8 starting up... +
+ Click here to redirect now +

+ + + + + diff --git a/var/www/html/header.php b/var/www/html/header.php new file mode 100644 index 0000000..2391b8e --- /dev/null +++ b/var/www/html/header.php @@ -0,0 +1,24 @@ + + + + + +DigiPi + + + + + + + + + +
+ DigiPi + + + + +
+
+ diff --git a/var/www/html/help.php b/var/www/html/help.php new file mode 100755 index 0000000..b04a6db --- /dev/null +++ b/var/www/html/help.php @@ -0,0 +1,77 @@ + + +Full documentation: http://craiger.org/digipi +
+
+ + +

APRSDroid or WOAD, KISS TNC

+ + + + + +
 TNC & APRS igate
 TNC & APRS igate (HF)
 APRS Digipeater
 Linux Node AX.25
 Winlink Email Server
 Pat Winlink Email Client
 ARDOP Modem
 Rig Control Daemon
 WSJTX FT8
 Slow Scan TV
 FLDigi
+ +
+ +

APRS Digipeater & APRSDroid

+ + + + + +
 TNC & APRS igate
 TNC & APRS igate (HF)
 APRS Digipeater
 Linux Node AX.25
 Winlink Email Server
 Pat Winlink Email Client
 ARDOP Modem
 Rig Control Daemon
 WSJTX FT8
 Slow Scan TV
 FLDigi
+ +
+ + +

Send email over VHF

+ + + + + +
 TNC & APRS igate
 TNC & APRS igate (HF)
 APRS Digipeater
 Linux Node AX.25
 Winlink Email Server
 Pat Winlink Email Client
 ARDOP Modem
 Rig Control Daemon
 WSJTX FT8
 Slow Scan TV
 FLDigi
+ +
+ +

Send email over HF

+ + + + + + +
 TNC & APRS igate
 TNC & APRS igate (HF)
 APRS Digipeater
 Linux Node AX.25
 Winlink Email Server
 Pat Winlink Email Client
 ARDOP Modem
 Rig Control Daemon
 WSJTX FT8
 Slow Scan TV
 FLDigi
+
+ +

Winlink VHF gateway

+ + + + + +
 TNC & APRS igate
 TNC & APRS igate (HF)
 APRS Digipeater
 Linux Node AX.25
 Winlink Email Server
 Pat Winlink Email Client
 ARDOP Modem
 Rig Control Daemon
 WSJTX FT8
 Slow Scan TV
 FLDigi
+ +advertised on 145.730
+
+ +

+
+

Notes...

+
    +
  • HF APRS freq is 10.147.60 USB +
+

+ + + +
+
+Back + + + + + diff --git a/var/www/html/ic705.png b/var/www/html/ic705.png new file mode 100644 index 0000000000000000000000000000000000000000..7173843e31aa6c245a5a43235bc134a953c4ab5f GIT binary patch literal 203235 zcmd?Q^;=Va{6D-gU`Xm)QIr@4NJ>h|1|ni%pmd9bN=erOuu(8bNkLKRl9AgGr5mNj z*i^bkj189Gzf3A*4A0(@ThpZEE$ z`*7gjK^M=N-HM!CX%VQGo&CjVNp3o6cq9V&Idt~F|K9BV^Xg&b)BhqO&c31q2s}6b zAuKRyeeb~J*~@v+m*1BLa@JbLx^g`7Oo{WeQPT`!h|BW337s0B0l5a=GG6z6Z>Qru6-kYGPen1B-cw`ejo%+~i`Ali6Va&O#p1Th z23N6UxyJe2n5jlIcPnp}%vz}#IGcxJe#v5WB>vxPIKqqT!q7<(mgbnx{L7je&L$V$>Mo{IB7>E1$^0=I%CbkQB?lOLg%KHoB32|mM z(9gi=|L-Q!!W0RcmI&sJg*d33kpFn)(a?<_hn}2IlDSK?PKF^|s~`MrSJWqk-o%c% z#`wqa?cQYWCcHO)g3|UK%tyRDtTT5FA}G3pOh4rU5BOF5>xpnb!cKwW6G28Ynh@;Y z60lo}c)K|CkV^#Vv{~}$pphagm$`vFa`f@u4osO8ATkLmPG{lMuHsT0D@PKwuZ+240I z{_^rod5g7oN8ot|4+=!3^{%A2T*jj~=US)K8C0@c^!Cy$Ty;EAML;`X%HRfp_vsY6 zoV7#}<=Ww-~Hj4$M5`uq9e9inq}aGM{_n(QWEbq2-uLk6SI0MV~0KtH`a3 z-1yU#?h-z6&Lr=($U(IQ)Xw_vIr46Q#69H%ji07`w=2-H%=I>j97L8fpZgyCZeL*V zG>WxaB)sreT-K=8C2p%yo1#;A{$+G=3}X#1r+w!s#M{&{6C1iVYYcQ-v0b%t!oVA?t-uSRVP(BN%0xA+RI1G=i=d_b)46@zqpY2pt zJNOp@nryo`y^p)yV-;?ca^mKa9BEzP_V3VaT@&?dPk=Hgtm`aPAx ziUJ-&wSeD1-}GE}TuW{3&mZ8=@%x!Of0IcvW_y^F1c|c<-tK@o70*LOshR%YZ_^)IBf&We8D zmnK#!_IUydcF){00Y%UjhSqdSbNuUMANRw}JkZ~Hz1f^q8F#KX*!22d`(!@%gCHDa zokg}>ab_}>dFj(F(a5PLpNc$n=gM_xO!zA5?|UeId-LYCGrQl8OPH`%APf?Z))GP9 z+95?uhs~vB1-hd9x^9k8ajaak3OqNO=*Hbl52H0omfW)LdiI^~#5+}aeSQ5}@4h4R zMTGg}Mc&o`f@e)|>*d?~zpI+}@@-~DMGJj$kh#5VE^_FUs8t@^BotW4M&uQRbmu>J zmVSQeNB72drzpRFcF0w#aXnsS3a9TJ;j-|GauM`Cd zf=esV%4$Di!K(BbLC}k1n&B(X}h@(1Egl@`T*|F-E^=ebwBgmfZsfRGP({o8N zos~z;Kf2}Nv?D3MXJX77zFailpRIK~=0|aAW(A%p z-0Jvc=vW<;F1@!gwEg2zPJr)3wb#|q^$2AbG;<`czXU{B;&K`IyokJf_ca^&J<9G+ z8pBt+8_#)>Z33D%+RIh#%9}$Mhd-9smD+D~vUj(5;YrDS|q;z4!C;!A&K-=4gdYm8#=WU6@DykFV?CXN0`jO+1l zY@PauGv=hmFprW83ZeZ>gLsqT}&;*P$VS`|1ig@RzFnOG-!^lgMXj;9+Ja&1$DHK)F4Zt3E zjnrhkNU9k_lA6MsKAAVsBe4D>^!`16HJXwAy$;xbiQ3(w9AZTF1TKuY?W7;r5b*bL z$<+#%7GUZ0q~|rRRQHs-Hb-vkOH~KHj`Jn}+RnxEI1`>i zFbs7Az-y~XVD1%ty+7t!bAPN{TJjw3?ch)Be2tyCg(-5Ul1{#z&9O+O&Njd^|(5 z2#SXymF+hn7c<3K;z}X6q*Myz5PObnrl~ ziNt5^ppLn|tiW5dn?`GYH)ngIP~j?{-O9Uv3)s0vc~MzZJpPwcV2h0<6&M%5V|jB$s37b0Yquxjbcff-@@5n{0gF|32L{TA8*~Qt{X|X7#kbe$?NW z`q?F*Sg1k_<60K`vQ5YEg_~*J3~?EQ^`dU+eYrmF0+oF64S{4Fb&>7QVJ85@i)rE&haWWKMRYT{dm@8ybFA~ALmBt>zCsdouG1&V z{dKN06guA^i~QuNJX?!=S=|=96Oy|m4q1zmTy!Es+ash#PpXhX{YqtEsgv7VlG3A9 zq$$aRPVN|L7S|zg4!y;3I*1WCxG9UUA52Rv<9!6kAO6=alqt%)^Q+hfaVBi(Cy}|* z9L3&wkefJ0i;A>LjsJuVB%{vfJ@Fp{7}QyTor}Ud2{s-p1;f^<-vpUSKba&d%FZga z-P%T|IC2Bjubxj1SyLh*J`l}|uW!2kCw0_Y&BgtS-2$E+T??3DaTTBSsemAibr`RVF#m8a!hQsdWJ-=VYbPTyC2DXpI-D0bvROZ4`K z08*p%r>nBqUZ$S^WIc6v=r?@7?*5vAr(zVfvr`~x&`e|g%+3oUs28e7_H*6$nTa_f z8fpwXj(}y@@vlhwi>RoX&HmuNg&m@><`PB)U=;s}@~R5ZE^8N@Fjrkkrn`WrG^B8G zcrBC&zwTV%H=T!kn059mF+z2GOV1f#q|Y8=iXw~f+l${GiSUY^Q``a?X44R)=;bo> zEsISF{prZRuz>pCkI5z4yG|tfT)9irnzevOvdY86asaV5Bur@60|nM5RWb!P6%-VX za@6f;PozLdTXSaVW13;;DXc*jK z?sUBg-YZBD`;hXr`{$RNJ#p`%bhY;S$nnz-r!O#{_GUl$(wl2o5< zBRT&O9u!^4{DVSo&U9(t9X=5O2PH{J=@IfIoHL*!t-WLZpk5z{F!_UQpR??pPP3Y? zndAi44n~fDeM@V`_k~GkdEvz%yzld~*BzeLne5~_%qIla@}%x;o7J>P(48ZiEX~&S z9|z_KcGOXKh#V;pLSRs0M<)cQ3{q6$^V4B~b6r`aJu<*y+Iz)M41FNw`yo&$wqAcI$Ylp+#CA4D@n1O5iMW6da zo52qt!4TB<0|-x6UGge5(E-x?GcXL)%WTu`HvOlj02TF@zDmTGTq2b8y*nLqKJ|Iv zvY|=-z@~{x#8ORc6THobwo7_Huy(eEyr0&%J>tKbBx5+dUezI2LI;SjZPaY4T`(+? z64$$2frqaGtLIB`_DOQoe9lFU=Jm7R+<45fNJQtY0rd~$Fs~=D*!9*yfCJ7yJYW{j z|3%>Xjk=5fnD-*O^;~`uj@_K1%q$o~1W^cY0Lp+XPnf2aaCkY*T`&1vpwn zx|+jg+mFOU`0(A?baLSU^C;4%;wQ&@i6>KnAGwywxcWp~_TKKy+iQBZ3i;go6)m=Q z9gmj~JFODJ|G325`Ad+KZ{J<)Ru+p#?XfMAIdlu(;3l??mg+kU2g_0f?EbgC+ds=`boZm2w0;S=L)YS)tbV~cXKfR| zNePuhGCqnuiu)cV@Sf}(6wHE3?m>-kMMCNdS2Cmtr69eN7Y5Vw4|8HsG+)dbB*=o| zrv_J=Co*D9VKx&|vf#TX_hGyP@+@DT39RLed29}0&PdI=?fc8W z7j47?oB=F>d+pxOjhf38_(wH0b^+JJU@-#EBGr@GbNYn{;6}v>Px<3_{)jy1v)LHo z+m3Yx$*<%JKmR@}uM1)>1H0lQZTO#d7{MDLc`Pz8#|O=KCYDIyM1SHnKwFLgNY$90 zYg$!gm1T60fbnvN?6**(h`ow`&*yh=!8^ahhu|_{YB*T4k{E}VVCx*07qid8PcgpK zZL|-U*^6pX}ws#ouW+OcT_ZM_*L_EbmIN z^DO|zYPRv1qzGuN?ihtZy{Ky|*Ei3x!EmYX7VswuZQ6@pNUIix!SB6@&*N*}?-HKJ z3EQWoAb+HXr=sUNR9C^|j=lCJ_+24F0}z8lYYDJUpGyfJf9?tk9F{%)Bspk8_D2pdp_;q+XitFragr zZ+wuyomphEhmDlVP7fL09!rdQ(;@PUs7?T?aRM@`BTB`crD zY3ZB#F?l4K#O_ZU-I&d;ldNfAo@vM-P}v|^hpO9;bQXVozR}k59kcg^Ok7-6)YSOb zxD>5xI+itl7|$D2k5@U-;y3j$r`shux18+MoKGYkR7(*^S6&~$_wMNl@flF|nHO9W zr};QLqOOqdVZLDuNwLdwaugZwK2cRckU_{+7c^CfoE(_;FrP}clZ&-W(4tWyu87iB z8wIVnv!{dx=3y!FTOHKEE98Mdo>9?OKH0>PKpYdV{%atY&-zmV>9kaGf@v)|3(CAW zEu?)9GU%(dNAjasuEbQ~?n)uYd$3f_N`-k<^Bx&G`%3nJI$4?EG%db`HaZa-=qAq8L^}ayTx!pMW(E?uzf{ZX|J2O( zq@zoz3(4DC(#+D95A)1gIhK~qL0nl!v^_o|0X`y~Bx@Yrm8gL;*a4Ky1J*xhWgE{~ z4NO<=si^fQ)=kZ-+TPJ{tX1+PbCJq0-kg34f0F)sE^@JVaJ0|q>=OwY;hZz5W1+P5 z*MqsFCKtmw7@Gp^zqEIY*HOg#RAg&4`9u!A`OMX)KRasv-TiXqv1)z4afPb^CI8yr-*!{*{ppY{|ROYae`+2Y_NDcC#t2;8m+sA(4 z`?I%q{ycov7!c3*rkdBK=^Z<_(*^#iX$&2l@5?#4Wi!{8c{05(t0Hf{+iRblQdQ%@$)>Q9Nr*7*kG%+Pt93k19s|Yi4>iAa zKuPL=8+ctZ?)d=_1ev!1VVi9n#=AAo#lqRbeY1uAUS#ikut&$-DRL8f$K2zS4<4|; zaRO;Upr)5>-{ecct^0BxAf8qg5Sq5)IDj8|YXrql_>%jiL6#s$Xv=Gp+*ayr^EqkW zuOfkqcQ<4TuC-=he80glb!b%kWEl=`!OGGgvpGme5gISGmlZN~;gy@=7IX)>?vqKo zo&p-Su(`2w3(Xr~W^>cwWB4H-<``2H{dr&=%4BnIuViQ;j_3vr7J7EPFsa%oetAC- zw^-ybfMBr4?QmPcypwV<%{@rQ6mhMeNcH_Vyplu=tO#MPV$)-R8Ho5~qA3aX;1w%9 zltZ&O21l+TRv-3bo~rDBe+|C-y@CJs$9T^X-qPYDYP0=nky9X-4rr~M3BT3JuhnQC zs$sKRHRp?ohQ>fkC&+}-7j7y`fc|vw?vDV+^5>Ile3Ji}I?nX93033pC9OLD)R$Rx z3k?0Gs_UT9ZUBqDb~jUle-wPwMo*s==#T1t>Z9t3yLIZFt_>Jf-F@KX-*(r}Ajmk# z=#a|ayn=jw11lB%Ygy!MaCU1)FmA#d3Gq}43l1R?4n@4n-^R{ zkdMiN;-&A9svANg``@(^#1`0nzGK=R&u2sCn|&HcNR_0M$g57QFC942q; zn@BqvH}m{Qo`zfFijK`s#7zqxY~Su*+TD@q!zTA=Ser$>Ye!Ry@So*>)K1}_89Kkn z9=3BshD~19XwkKpKmO0%S!=}Y0y5t%@zNVqjQiAEepc)Ho}I$PV=kaC@16~&`~%6H zM3JWe;gil9REt=dJncWW=7NS+zVw2uVQk7AzkUFmTCq8h9&xP9=}B^LpV*)WaN7bS zLLiYzKDg6!vC}O+*cDO*1xLlm7zABF&wrLNiJt0uZ*Cb%+Bbn^yjmfK40cVEpm#dx zCe{ASrzWbSp7=H2D=Bqo!8wEN8$%n^9ICH?!*P60Qhs9DAl3dnj66Fw?WDxIrv77p z_}#>ApLLH){uw~HlrDMyTI+q}P6-52p#!_%INW^?Tltp$0|Fr;w^G0qops-p>46jV zx|cNFbOi{!GT+x6#e+ZXUP~<}D>_Gbvf)COI&WF|i*^|$N(E=$q0e*n7%Exh`8$kLcknAWz`fvY4okczj$=7Pi7O0VplGEQinI3}hzBg?<-i54 zh_&E(--CPyM2eeRPZ?Tr69g(9J{oQP+PV$V#Tc=4?D;0W-|1;;%R|3Uq*JSsl?Cb~ z+-L31>Cgigk!Bcr+$bEfg4;>MYdV^EqHt~gQx}*2EVY9}dhXUAf2y9}B|({Paf$$Z#&jpeX+qfcak~8QL|QpU$}0sAoy4kD_5)hfX@t5(q#@oIIZIb=z^)C~)T2lxQ@Y4j(4hwWWL<1tM};+mAS z{)Y61y{W6NY8*Oyle)d=gj->AIMCQH*mwc9gJa$-=Pyy;4WG&JM;m(&G9=au`7`qQ zYyBpMm7y}pj^A&9Y`99^`k29wZvN${MnBB{lUE=1 zXB+~e}sgL!GaVs4g#G4mR$tIWK;m;?6x;zI$LM{*|)RVpdJwG zmz|sXzeI8dkf^Dj@=%Vhk-r~p8t}0Oo+|6qOJ5frzp4ki6bEBNcld1KB6P@9?EZR- z_AG4*qy_eIt!C7{9W#rEs&3lM_%N(!T>5kNhTAMvf8+k1o!gHdU-2-=OC}>C(0Rb$ zRb-5Z>1;?U!&VAY|CD_e@T=`c4cW7J%BEQDZ%UEgjtrN5W-u0BqbVf8eFapNZrL)`yIH@K(`{w%aJSEc*&FrTO64 zPwCV5WlK?v>>oX{o^ zs~S}jNbA7;H!hNJuaWg?KOi|b=dF1t!9i&+UH^B4fgrvmbkRD(1&l7>Z4h6lJvru% z3eh21s9xAFnxyln)s8RTg~&cwaS*?*qhfvTF&m3F>2XVRrO#-#Z zk3;(w=K*yULJ^baJT@>htx)=pY$q6t!PYf6ALoVW-o*J!6#dTJX^o=Cw2w~opWY}F z#@+sXh_)fZlA^F)qE~c6FfgsOfvIEMJ)jw#FkYFW(DDtrNO85nvE+-tCk8!vWk9M9 zTZ+JwD?Qq%DXFO@?>kVhv|Ei1k~i5~19?La)|f!kVU++dr`qd?Wb45|URfZrq)25? zR53qA@WQ$$=)e8@T?Rn!AVazrE+(sjuS5`@U*Tz~67-=97n9)|JC7$q=Gh?}8|iFA z8FxO+;m$5Zg=U0rh(?gX6Nx_1NUE0N5BU;4Be@W$S@#dIab$E21SA0PkwEg)HvGb%M(|b zRel(14a#YbVQ}ga==LJI2xJeI)A zqfr#%*hUFj77;r*wx$XiDxe|{%BgR{efO<17}7NU-zK~{z7si5+E0$E&%hPD&1ul)2u~1*{{X%^h7&A5!Z;1uc zNx4}1gwLnxpf)jNn9Th$Pb)|Z`l}HTak~r@&Hy&E`VP>)s6KERcL4J0?c4psA;OFQ zg3s)Qntj7Q6Q?DNkj&5vl6%Iqr3k#3D|>bZiWsO(SLRE7^3J;C<%2z=tHf@|g!{1Q z43Gts@8y3n3?dzy>~jlPner)qs%1atJ>7ml+?>3~UWm!b_L^tOR8mRO;J|A_kIjW% zn7c33A>`MfzhuuuUhn+qb5&f`9qI{nS10uorjF6URw)=DsB^%vNK~T{V>Q`z{=tb3 z(@d{Fd-f*zjDa)-pQ?W&6Nnfhp8#@CyEK1lLH=oi-RG5PqT&0LpsO=H2pfajowO=F zo96&|5oPIF3y<7g`NbY>Ejq2}?U;P<>7kdeS&NwLWQm`shd2ukv~}`Yna)^38P-4` z$&&oxZpuREcUdgKF{dyDY-Pain7*SpvQn%Pw!Nm$SM9n!$|F^{+U=`E zr5=VKF;6ZM-4RXzH)d8PV5G!u@tS7c#J(pg4)yC~+&=Vj$Pz+-UdJo>({XS-SeKGK z${b7CivK2*3_L1+C%nL(H50_KN_aY^r%0;xHi1!Iehx+8N;-D3n0r)wnPM8=$IL7kvOwAIxF53&qIpR65hr~ z_IIn=aY9#_VbwllSLhMUsc$`|W`_fe2o`b;nJMb0``Al@S5csB@IF2r{l`g?pzCBv zq^gv(u>>T>Kq(;E0&5A9eY_^(y<79*j9O*bD0rIxJ2)DMlEbg0hhVq9)}HB~NCV#{ z>`hCeP950K_t(J3^uNJ*BTcBOgSYqsAG36RXGNr*)k`XWt86}GN`hxCPLn&z3tc?> z_l)uVnUV_kKfWO>$fXm#9W<9Q${-vMHY+g5aKKwk+TBVg^Ffg9_Y^%7kLX(vpvWx_ zOa3s82R)3st|fG^ccazF{>76hi^cHPGi+aQQg|$E1$h$}*v`NTl!4QFsH|PiY<|Ja zC;Dztz#M$P_`Ax1Lt%2dkL>up6OMhnBi39vyTtN;n1F%x@nrckc>F>5Q=cuH4)TOQ zTZ+siD$YY_6(URXO|GqM_H#HCyZh%8O906k741y2Oh<%3?Dxta1l90mQ1e!mgq-ba zWb8Xk@5|h=(=lDeh52!fIq}u#}?+87|cvM-h zY@!;uTwP{dXGLm=VdR@zCe_|N@5Wf1&sAksAh`PkrL|U2sDPqd|{Re!0c-8OwP`a@favfu- z8BDT2k$;kfgq}eR2NGNZ zcYZ>Hpsj-h+JN<53B(kf!)5&Bid=!5*e zt!O3ngCgz^KxPVrVvubLFJKK+G6i+dygMoNk-SXOuoEF{wD_VT@h*Xt-&{4HBR)%= z>$$ZqDTbhEI_^K3_gdN)YDG6ox3n;1qe!UzPCl*3G`+hNSn1!uu@O@}`_=wKl7&cpkqU`$PU6jElG!BW+-ymXN)GGZ$>yC740lmY<9_ngx*X|D{qt z_2fwW#3#i{M)I2cII(lFWM^3EoDXY)$2U`!m={+K*8LXl$(&>9zlE<%^Kn6_5XeqB zsD$r=8pcL6^Z|dgoJkDh&6-Kfh4cWIoX~}zHyrB%w&x$eltx}}@qS6QQ&x*@xVzh@#*fMKN>pqMV=aK`xI>hHh^JRJ-9rR&UPe$ zQAkCaG7!WFwa=RNA2lM`los!tDkOOTW)-FB)jG@%Mw*h8LBf<(EyN4=f)tDq`OPb33}H+yFsfe02)& z)_OuqaTlucc|fto$SODXM#)ig!PCnVH8Fq7U5xdYhCkkH0~~EVzp-+wHlUQM=lu1a zMH`mPbu~BQ2YekEmke1@cdRSD_2ts6MC10tsjH0a>Z}5Bf@zN;3?gv_sulX zgQ90fUBpJz5_BPkeo5fzVPZFOtts4S3+|3mvQ}6dui77gn(5R^-5rDV_@MQ3nzje8}#yNJ$8w*2TH(W>V&fDLUSw`G{*?PoU4zwsKt1W=x?G@$L zWbo%oi*R)a^EJu>rIuB?BY3bZW$qB~i_#&IhU$GHlCrhTZ@)-!H_Oh)pXr?bWZ|)D zCeOIJ6#M9CCk;u)um07Ejoe1BuR4HEY8QqW9w5~0HOV0K>sYfDwdl}0p z(pg7f!R?_Hfx76%3XoKZ_`Au3_&ua? zL)H;hY^|yB<5?<87&9yCaP+f0o^}EKapa72KA-P?F~HR=D*)#n`#q1s)H;4UuPrrsPMaR(|MH zEWH=x&qT=mns8@b4%_SYekBQz<}F5*!( z{6R}Icg|^ZO6OV+nnNGkoVl6Y)mqpwGX~?>qFBEJy{L2k!M~OytD{DYCW`Q1@3)M1yH#9rpIzFU1^{gowfmZCnjI$IL zH$e^gNyWGc>#Wd(ee5-6Ym-LXqW4K}H{Y5t4PR5b=Ai3Eh~J5|_<+JEWDWYvkYeM{$JE_}kfF+%C88yMMmnKKYN`vINl)Z_W>4 zIz}4HdWWwIu#15MT? zjbMbAC*IL+BQ>FHyXbPjnX}8x^Hf?EEkBQB9{jUKUwm*UAqD7Yd4fw0-$* z_&T^HhYxFE?s(z@S=vx(PRDE~SJF<%?)JBtZ{`-b1^1ST=J6fo`G?Y_SH6or+q3nb zT^_PE-a?!Pw0CX9*+64D=#j5xP1~@?9EjQV_R~yJR=@+>IKh`oU7M*rGnC(uQ}Jjj zjx+niFZ^%QNfi{?b)q5WQ>hF7d_euIc+~G%{n?L)T+<`S?5Y)Fw5eX17_?p1pwVrb z{W~j|n1k(^-8$IaEnwH}$uLpBhTs%5Z*2B#CPt9cV8v&6OUs*W43u&po`#%grd?{d z_v@I~sH&IoBfnADE0e5b70TM%Rbxr1h;loOO=X95rB@SVF2{JRE6JrKj3*|{jk(jo zJYMYrMm~w(?N=9OI;98%-k>+xWNKydXNBVXqz_z?ydXKlSU?j$b^~68@PhGye4D#M z!pqpt$Koni=)}fZ8J*_cN%fdkpY`&{S1Lj=w1|k~PPgg;L=zhMnBge`9h>^yHqtka z)b)h-Uo<1#R*l~lj^*LWzO#_i7-DSaL%-r2S zFEgcm9cztpelG~=hwf;0&>z2)l3OFo-4(bWuX>;TP(%_4Ql62ZB2<1INc_rl$}``) zk*ISm)PVIk@}ZmMeJ5dMJwOgFq>ZXk;&=J4NND`4u-rfjn4iE%SXH@~^fwEqddbAt ztwsv}w0F$r0PgCeW=d3cGRZPANusEDm%s`GnK)9MEHDA&?YPEchIt6wu{|mRl3?7x zC~|MIBJ!B9*`OB%I@R!(HjIo}q)#(>tR?iy2pPFH(SrSBe+@_#lARE~m}#n+c}`_7 z*Khfw!OxF3eHI7HTWW2GCqLZqJh{C*ntJ3{$)&gLVqTL!6M5lVefqE+4i)WofyDWj z>+xd1EsR$FTf9A7C&qS2y;m!nMG386H&xfv_5oav0Bf&w|?`~eGGzt zKyzos*``6yp=M`}CNzDwZ6Z&Yof6Uj>r5 zPuV-au(KANH5iX(88v^B+H?FGUTpxrAuF(Tx;nh?wnIzUqtc0n8>9Z#AY%0hcsfm1 zm~NcbWka-6q4)<~LH5u~?)+U-^=g|=tqx!~l5pnOp$zXB`??L1OZT88O|R`c_OqY$ zgj6P^b{~&kzIru$4c^p@OxI?Sh_d5>!@Q;kTQ=2E%Zd}tVvli#_cC4aX#_)fjE$UL zAW=Q5Zf$gH`nXfXN?5f+`k4Qe%JMe*)k~*{PNtPp80VA#qecsq_9PJR6BmhkiJ_nb zcMdY#5Ay45XGszmRHyS8Wnoq*3v6F`;V_RKb!zQSd++7h zVF#U@D>moFb@Fs~k2M7S;fKx@9vFvOm=ZQS3&YZCn$Zwb{MRzFqtv>t4xVbq0M`|a z)_dR8q-*YyaFH@3$3#|<~%SlQSzFg2UAa5574JI_uxHw5p z9om@}(Z>!1M|*o=G8s$nOK~w8Co#J2VwJ}sOtDaTcr36pp;JwvODgJF*f)aPM=q_t z`})Cq`-pYLRToS5EPa2)GRN8!Z>y|JS)=M7Z?rL!8V25-UWNfF_4dZ6-00$&g`q-V zd2@y*YBr4{sC2WDH1!Y2`K!ZUJU<1$6fm8afGqeEYt^qgtsj@NQoA>lVf>XWHlsBV zvL6)MOIxLlyEIw03j(eL zf=c?Ked#Q)F-X~ROX`lg>GythhgQlg_Ls#?mjVwyEJiJ(SAPDGeL`NGlq@l`LmEVP zoy+L&ozV81F_>uZ%sUfAP1qcSNOb=Cl+^X}@P4o5+?Sh6P8HoT2;MXH&OH8=B@BJF z98W*B%T*<(8($+U;hF1pn=_Xyy0^%z_h;xo6T6inx^SHCQxeMSa<@#61_iM^Ch*(& zkPP*v6t6WxO1Ww6gYc*CVQ^lE@tdXv6^DY-E$@BZoKd;CiIfuwS^Fk%3<*&nJV zlU8P0?_%ZvM(JP#UagMLP3`%vx-#{(O+AqO3~#LF{k(%Mm*#)Np@C|w!I*#$ga@U= zvUba#Wu83>+;johy z@BR=SwPODLOyDIx75&Az<%MdM7hS`+$f1n_)W&&98%G{a!$`I2We}2_*AJw~0-Q%I_hT{2w zr<2u9zP(eB@0ZQ*SQ@V~Z@30kh-(mdu8#kBapLA&UrO!)dIsDM%FNM@*;EJ)B_C}FZc}WSTZ@?`oF41Cr$SZsrA{|o^h#X0 zi7~F`q{xs^=jp30=fh$3j`THSWoQ`bcb{F)`?3z3JqAinjJ32r&HG+|WAs~5lD%Kh z_z>Ai}W?IEMme9M#lHD(sDPO zL5;7=mg_{VD~B;2fHN6hF4GpghD0~#ne1IT;5$FZ%gs9|)r>N;_;x@dMQ9ujMh|^w z^AzhrdwcjQGeA1Lw(lHQ+NfW9EEnbR&UbquVH9*(OaLwrBag^Y#0pfh?31xI#P8krw`RiAe!+uEFR?5}sDC;h{o;NzC*ygjIiec+eXp`|&-{aRS)2(d2krh-S7inP;#-ns=Xta9ZS?W)Vz)#*B$ zaF1?s(8rbO2dOJl&FATUE+>iDfZ%<+v@MB2M_g0pkeFy$J5Ca${n)P$6I;m;+0?}H z0_5+DPO}+weC(*4=r@rfKEcaerrAR-sx{OM#X(mK{eRo&$r|WfYzmnbj}Wn;Ho(}ts;8lrar7$`NW*j`th}d zR`ig4gInfc?VY0g8;QA`sRav9uNb*^S2nckl z^1+9zWsL!{-gIxPcdB)#ZN5!jX>I(29V6w!`Q4>@E*CBtk;t|A1&lU+*FoD+0iF^eL9X056u_U5nChT_kREL{J$Fw^(Na)vb0q4brp4xM_oVrFtw9|n zhwY=TvCG!qMLcX0_o7CU%dt=?)06ogPjTn!MX#v-J+D_fb^+XSt6Hb#go5G4;gL5A zsya5+A=)Pl6)sv2-&DZMU%OO%;KGBejR%SpFZ`-Kr+i8LV)*R~+B)WMva?PcPObhB zY!-J%@%!bsZ*D7(dP*vMx_}CPcdNhRm_X{~55XQ^ox1V3h)4lB7pP`XH(Bf?ChI-`ZP=9N*;b4VbS6biB zFhhm-X=>HXt_FqYW5y-K%urg9!B4Y!36{qi*uU?ge+pJ!3hr!pK~mj&;2E1-g|v(k zKC&!RlB^k1umL+|Kv72LAsw@b-)Q_!bEt;Df9`sQB1%>{#Xk@Xhzp8u=L52OAg#Q~gXS@f8XK ze=93&0e{Tx+My7zjrEoSNVGriB@y?2v6_nd(F0o1>b?8LWBk7C26|Qm$a4S9gl~<2 z%-rs$0tWr}e(;{HH)X(pI|$gpz&^hSkH5~Ce-P}0?gjsIP)YECKjK!4(iC$e>r`5FFi*l`z_a0JjBH*DmB;$Z0QF-1gz+I(QQ zb#!IpLQ_bFymel925SaWfSbXI0fp`4tJ9mDYHNTu%RJ1~U59Nv`Q($XnF^*z1|@RJ z8K__#9EYCe_#&;Uk>yJI8gFAg9|9eQ4H@drA3#SVLwf_%@tssHEt8VsLMg0VAlX@I zl9`(>i3;3V`Ps_G^Q0gxMH=PjN-qWLk=3foz=C|~qX6C^KTn!ht}Kmma;05zx-?2p zm)hAGQZFY}>Z?M~Fd#){wk{QmK+#MJngzN~Ox#l3tK5nT6Ss zS&*&kDoNG6GO3oY=Tz8KimNn{s>MyDQT1lhx{hj*O`1u&*6pNcx84f=gJsBo5i(}v zIGJ?eM43AIBDwUEsdCxe*|K=y6|!>aN?CJdxH_G09tO+}22(?8eElme>>U}k??iXch*)YM}wX zuK?M14b**b_dolleFJ{8idY9XAKas*xCKGKB9IN5v4a6=|6OMF^O^O(%10jb?+3r* zmmubu0#_K{A(LQMo!WJE9Ixg~>3}%nJmz)49l*xi4uAvhFm*^B+gS$=U~(r24nW5$ z4fgfy-a~=By94uq1N!Oj4lTca))0xgDy09^ckIC%Zn&Wtz2^T{O#k(}|H``M=3Cx$ zxp*yGsW#I29FseM4zRNw@b+u^8lWTi!FeD_@!~U}P8GGg?z+nj5SZd&3N~mk*w77) z60Nu0cAGP&z|b)%!st-~0DAV(3nus9f4>`87|<#F!hmB15G<$BOfp=26pWJMQt8{L zpVY6{KL}RPtf3DRwViPS(2lmo|cjzSqkKpl9Q!DMz&m7 zx3OGNuccgB(m+<`RFjqYwd69DIVFu`dga`PjmxB8wL-a|N|{V3 zZXnH*OQbBfmgH8hDd~BIlAD_=g;_aLl%6A1GICX6$P9nZ%9g@(-IlKBky57XYe=Qc zs!}PdvQ$x}p>j^1RLUukqWmH$tz1<~RY@pQ)?cltRBDu#N?rXAP3qT|*3DWj9LK4uXb|iz!5pT|DYV%x!uXZ?b~F(0?t*lZ z6Y}iyFFGKn7!R#!={b*L0f6&-JpAy(t~wvvSVn&M!yh^Y53s-c?z(^?mjR+CKPmKBiCv3lUimp&$1UEd6Wl2H(11*TX+=mx>tq4O43TE`XK>XlZ}G zSTP_A`r|nx=br(P=MQEM*ageNTE{r^`}>jLW~w&-zbOyCMZv`PpZfuQ(O7vfazALc z50=sO8F|nz?|-)AnquR}Gz1&)_->78CVW$d{6;s?qWXim^S&?L{Or*p%quDZ`*qFc zLGgdLBBkQ1eC0maM~g?WHrU`yeI4s+)v6`cs_8hWqzpg@tbGt?&S$_I5H}zQ?xJj8@uQEPHz{&G66@3DIogx49yEKZ zsA2BI_ZJ>awz+CwfIgMgcqnP@$Dr}%n{T*OvKccjaf3JeFpzVzG1pU4mEYj^Vds{g zXr?CygXVgpii%2fT_0us4HUrZIUujEni&CdHT8d0ty(H&Rg0ubVZLN!CQD9ol4K_& zNS;b@T!M7SERb2%o5}`d%g3uUlUs^f%b6;zH)G3o$g{3mLq@j!{Y9?jzg_07NBWdYbQn{o+Dre_QVOoKdq!dVTVvbZ!OqJ}U zM9EA_kOBqu%Bdw%JFlVCu2fe_@+(VmVXhPvRFcBHDhlYuQe03b#rdUf8IZ4(lOvUK zmF1%>DAO-g&@U_~lFF4UyT&@qsrJXiPhERn1PTSAaAA?*{&C#~4-DsNjvPKpE}A%5 z=FXlc^XFbB3ocve82_fL6mT|eEeHKQJNL-0ZQJD-fUnGc|6a`f#}s(4lS4;N%Izu z=5GqX`iMnfQ-t-KOTePzEvRqvrn5j^UcoPtN@w}?#<=|;MIXG)%3^+Zj%`W<3a-6p zzFWZB8k910a1@jr|X^I{112rW~3zf^Ccy06W*7YZ`3*i}>~Z zE$Gj3{SEAWF!Ot>`=w=#!I=dhT4A8CGOZiP``z(aZ`K6PuOi>ODFk-T2HIw7_s=gF z)8IEV3YzVMUHAObyvFivUsHin_%4 zE(br-pLOAQsAkTkODk`>e^QRTREZ2@TAkW;9B>2JuydcG1Kup#XIMFN6Bv4{7Oh+$ zoZ%iBUR^sYOIKX!-mQz`UTbOFrj;8ftqUEv!}aFb;eP4!3#dP(#H5uzKmW6}-}T4S zUw!4(dn~Hm{upyU<3k6~JLsSA^U(bQGRE31=bZK21a1&Fgmq}{e2<55>eP$%#lBo0 zz#+1F^(tlPx9Gn8^n$fiO@EONyf-MjBp1DtGW4T5h~Mn?88Kp*vi-#h?q?!_3Z3h?mT`I4Md@l1{l*WKuy5W#+ZyXr)GScl8ePNYnmuqI!E-nO9FPO30DR3aiP& z=K4@%WJvp@I2o0mD)aTByC5@9h8NV4hH+J-G%;U#cI_n77fq1i(?-j%3zZ3s87IT2 zY22l)wCbb^N6Q*Qai7ZB)%CY)Nm)v5$xE%IiblF*D}&Eh@Gj8vDaci@&MTHG1;tXi zpit+Pq)MfHsZzN>3M&;#QRNbyv#m7lN0dg))DFO)STgAe6CVbilh{qFgd#w#=D(xy+rnKo%@qD$7?8#I->I zVV~^WzE8Goq2k|R*|S?2|G~o!8~|G^GYtG#VPMiX-+Z$JU{l_hKlIQ;&N71;9zX~D z*@p%?PdxENIn#gdz4zQ4OAY~K00`^eefM3b3;^f=HGmJGqgdW?#~n@y;P)x_%WttA z#gpI1N`&$N=wm_Rd^vZHjYZ+$frGMn<0dCt73emsQzpB1otv-GbJ)1yD!06Dje=by zZU7)O<-vCiSa6@;TfjiwtO+Q(rZ51?7Txdr`As>n0y=gKzd6xXFLltspW~SY!rTf5 z>b_;dukY`t_1W?5e7Q#aJ_;lZo_l9XfW;OMS&OqExA2d_Fv2{OnE*MqsgS)Sc1etqK z5eP2(;2u;4{C$G?{Cs~Bm&HvPOULZ)2gkwE35tWAgMXOa&7a<`Z5s#VM7a~~Znfq) z7jTUKVM-s@QJAuR`C|s?&6+eV4~pv; zDevlc&@q;7sc39BQ0L;aA6gAH;Q@Ve)A`)DZy!|vVAtIor~}l!d-v31wbciBgbuiK z^mwftNN?M=MF)fv%ASt7{T3}+;6Q%x;DHYC`}e01!~pjhAm=-LZlgD(q@=3!>pwu6 zG;OXdy^%f$&GbQN>P+u7Yt@vJ(jsN(3SuRdBsV`((lU}HDLGD(QsX5rH$$2$;14RP zE~8R&WlCJC?5x>TZs;*YW)#T zsjCledR&oY#g$2NQh|h$lO--bUJ~`U5))EYG9)FLV7^RAO-+}yv@}UqB_chYd8TBf z=cr^WXlFUl2Cxy9?K8j*h*wbszy#1QDJqrX!jf{(FHpvxotYy^3CV7bX$#GiEvy_VG0mxL^W#`=;qs#j0i>35#V2ThQ0h4Cn zw#ap#r2_JREr5!Z21X6T281!o1Nbm%z}D6QDu4nC!;gOSBggJvdg&#n5MT|U@eY7T zEC;|1;Iq%iAAju3|A0OU1d0MK0KNmrzxn2yPB8$i*yr`vUYDnycv5b@@g}+V?tA36 zTW*zod-lo=*In-l`|RDl$5rcN`QZKoa`lOmVP?E#vr{erDnJZS<~o@9-WuFkgukC| z=iDMX=Qw7zXAbyT+Mls|K66|HU1QhAu>BxJ1AJrFxGMZWzo45Tn9gTrf8XTKy@Q1_ z-~!qJdbH^OiUJ1x-T{7bBa2C}E`XK^fbw8wf56`Y|E%barP>+mw<124zGj{201!WT z%z9N=u0H#lS=|RnJC1KrFt7}=Y@ccSX7gy>=zK;VG~@dUfql;p!VA{bHw!^fkq8z! zI-f+dD)_nm(bD^jar^O2LFOMc?VqoltsMttz*QerHcgH>grj}i4hqOhkXp2MB!qY= z6C&F&u_Lw(hTgbgW0wVGK+c?qcY@*oa-!VZwh1$HunWsDzl(x_8^D4`nWJ>-`|}+g zx4PVY_uZkt{_Su7BY>Xr%u`PvR1p87g#x$*1_0>%(Ym$kI6$ZNEubAP4AV|Jcj{E0 zcIHQ&^Jn?f)&tkl(JUB)_2l(+@7`4(5`rAK@q_e5xxlgX0R#Fw;D&+s=+RwYl98$j z5sWh0DFJ}>gbCvv8)tt2ojHKcHkOAD9qg2e;*v52^nub;0lY4N-nh8~^=7J-)v8@f zN~@MgS+x=crpl6|fS!<t3x) z(i20HksOk?iHWkLsG&U4dW^i>dX(JOw3AH9%aGd13DT}=skF%{lWE=i$-4FPq~Gd3 zGUmA<()!-2()Z@Nvf!EiGWcXIx!}GIvhd|;vgF~V(sFobNlh=51ZDPdDftpoppMty zh>uT_xH!`3(j_@LTM`meB@{}Kke*j4E+nCN=6p_)1O@+;q|~q^hv#W2>5`tB;g(aA z)5-xKa4*O!aDZ+My-H;Q1&efEN1ZSIZ7_l z0TkB??i&VZz==VdK^;H^-~k@$(_?;zJp&p5I@_;3eXZPl)6EX_U8lUKpHVP>PTqd| zZO6=s-Uqm0@wCT<-NX24a065S-uJ$zZ2ye28UXJ2@o^OZ#QZKUq6hDPKpy_qx8zMd z{$t;MRIb1FI{B^w{eAb`tMk|7+YdjY=W$x@z@_y3^K!Q;2gHM54Y=>V`y9(R>x22g z&3_*)XwE>?H-DSSo$sR@0cf@#*9@>VA2`QmKfv5_-woW$V+&LPvHk5F9GkZkL955V z_1u&_{~Y-Z6fFRhL6Gm0ePLDsKX>0xtMnuOgUx@UNiY>K7?^0vk!1j&IQseieh&b1 z0a%M)f^RL?ndi{C3Fco`9;NS>=k*)lU@;gwc3f1Z9E@=HyU?-C_VxSS2Ybx>pm`4H zd)5Zu)bA?}2I#(Gan>I{tUR9Kd{R*?fDiVj82EGFT@ozw8?A{?u=z}|D9$+*@A~x* zSB8rem_hpY8{qQN`}FD~-McFAckbrOz>=@ty;~2LpAPVc^V93PEGT^G0679u7QqWJSSLVOrhiX(RcE7eaE|DdjH~!FTQa=Pf|9rSv8V> z!k~$6C(6%f=tPOT3OXv5fX{YkhVOV5%mH@TxdA&0fB`%jl~t3gS)-=3)(gXhpd^S;LfqP7wuymyF|BiAH{pi+WZQREDk(NDEawsuUMVFI6P?Uk&v? zG;7>cutKy{#$I2UWYua_m7$kOaaoCESIUzt1Y%9~QnxK7MLSk|ZT1N_s}RWMpMYVoItiI+Y|(8GLeDrsODNuh+1V z&hsQGS@%-~C_6h{6@q*zD=d>Lc}0?yuI#<2OmfmPq$np>YUp>06Vs$dQnr*8)Rml^ zs*+C%U{12+>36fMRF(rB0sz`OxXN_ls zIte^W{rdNlaTknpgDEVE!FAcvrLt~~4&un_bPqK6xN_wxH>cDv zE(HEFfKIw%c>NAnxo|s`2ffz1ZoYYo?Ag6XulaH5L(`0I-Cdl;K0RNHKQINNq7Hw) zM9cXPmM8WL`~*Av1uG1Gsr2t={hckFW&Kqf$^#@>kK)F_4eMH`*I@R{Su$c+7&ym` z87mVfOq9u!E-IHP7fqInCtobnrYg`+y+kgVHbXAGVx%=vBidGL_4V%q>@J-?B|N64rVBb|%@V27Ul4&NU$YP36l<|Cbqkx`?^ zI$?SEh*2^O1z`9v*PDQ4H&+=xBD&wcqPT!yv7TTMpl{jml@6cb2dx*T)c8S@e)l&& z_>Y1!h|5)t!R{M9deD89yj(}13+1HnoK;eX=Y$lNL&q?8Fo)QP$Z^fvHbfeHofjC}Ts(va4=GxqtixGQD0M$=6F)MM3i7 z%nUi*tc%>*cz_&h)J^W{IaJn_)sW80mfPl+NTb5~GO}YI*|Kwqj6R?b>cg$2*_kZq zc)GTXd!UnaJ5?zC@2Vo>b^RrGUnq^owp2h*mqcaJ$!Tell%6b^ahWPvdR{q_8lNEv zp%i86%G_1?h*L%$7oVsS2JmnM}Ym-O^}$;~a6 z{M;Inl~G-RzNY@4x{{e!TS^Nur8GBL3UacfM3tt3;u0yYTt(`X)RM}2jJ$$8$*Ght zX{uD97!+jZNR@p2{FS6~rAktzN)^ekq)I?Zi3^ND>gr3$%hjw&GpBvy?_-8(<)&M7-3`jb zACfcI-{4H>*Ia!{ZoK|Fogb20Z#^St6pZhC=n;ABspsYC=e{G)KmVdC0#C{J-gsSJ zeBoKS_rAO3iKm~GXP$k=xdmX01nRx>&O0t`50?Qh0s{N6Cg8UR=mBO}Jn;ggccK7b znSg0x(l)a_)`K6Y!tvHyZ^`@bzwdw?|35ARlmi2PGtHwo@H_klL5J6!zE+MNKBCIb z?au0O=k0gMfqnZO(DQ$HDu4szfIG1O8wjRThE8i?iVqnhc5V~hfA`K^&i&9q$A$}) zt)4h?R1WOle@-zS1M48W57q_vDyHst;q#B{R{;#N_;X4a@7n1UlWG{`E%#V@}4 zX1)*B)ei>rMXSsgO@alEq6G{3ibOD=(7@jm07xY!b1<$!y#`+3To`-6AcDfl^a9vh zDIU zWl6Fs44LuDm_y3am6fL@0_e$Me|l1yGrcD&;3g#{y9#%y$tlXTQyl9yX6}Qz1Met+ zo)8}f^!WI&2|q=ZiPVhDFsQ4tkd>1om(H9a_uY4oEMK-*8aAvaISRaqsi_iD1t=~# zOKQ|_AtT34lcK6kBr&b3B;_`gw6ZQz+IFHe9kNuav>Y$l)!IvDdb;GMBuZXpw&WHR zN~+GY(lexlK)>87l9Qj~j-6krvXoXXbnG3#FRG%;Ma3>^zp{dQZhoGNcr7a{bAf}I z85vTj`{Vm3Mxd;us&gw4BY^9`grFFjGccz#q;+MY3lnvq8HgudI7wzqztn;M%H=B@ zgN40fuHUNz|M4RSWX~@A(A(siYtP8hW2Y4ijw&GDC#SEzL2ke4E_vv_$K=t6pOt5y zdQBPkGmdowwlHY?@{d0Hs58IAq;U`6(+9xWPDDTccILPW0Ca#HUoF2V*%{c9Ka-w+u+ZC{>dm#?5lo`i)LWT()GnTt0t+dv0dWoa357U3~F0 z1#WWqFLK=^O~#L(5biEHVN&^L8cv~fPM$PHl?8xZ8UDr7^;w+h*gwmxL)bp$qKjQt z%7pRy{c#sM#TBIjF(r1?h|#XDIbuyp1}oqXQs5b+^T8+v3i5#a@DXQ0{7d2icC>1P z!QKPsYocRp+-emBtMU1k3)|0*ZQK3*v3mH$hgiq=!4NEZkWTQ8EFS{q&gQJwa~KCu zgA3)jMql-g?Oi?hjvd;UVRtEZt0Ku(Gq>%hofJ$gEY3ngmon6bRmKmF*Vj|#qN zK##xq=9_0IfPM~>^#Pregh4Y0#GQFv=a}NrXfRK7j?_};LR-&s{7m?v@F!Rfs^yA0 zpV81^I(QCrV9o{MH=U_NFC+||=vdONNKHUNu%a;*F&XT?>jzfA<~f(n`n{^vtGQ*; z;W%H;+nL;(w{Th+g=I<=3wl=1ai%HrmoWMzXU zaz)MBGNX1~8D6WAjHzBvwp6bwSC-Y1u_@UyIy+zH=2ntz)oaVVqUtiBPE#o?Yp7sX zAdOlymAPxC$?UD;<+5E}gU z=oINOZoahcJ6%#MwU_w(j#AonmW)_^o2laZznPt`fS;ZznfiayRAEU^O>^aXGxXl%=H*b z;PY}6ycPIMN=o&3Y0kf2SwFK+ety0b6&5)uP`1xC%GLeza`Tk+t8$>q8*u{!1@f|6 zls`c<1kMm>)3JRg)zrI7?;gEmEX+m+#)%hF*k_i^nSGgDe%Tc|ps$kEE7!}WwF)R3 zcFFMr*UG8GH_4&>N9E?5Z*r0P1p8qg2P|OG`2R7jvkj9xfKHGerg$RvVbuiZ5g2Ix za0E90$tRyUrVpU=UCe#3$b}0Q%8d%-KX~W+^26`{K)(0p_vEAk`K+0h5$&`AuL~i?F~+@z2-XS;xRdK{AyLKPPq2Y zd-v{logh&HH*DCb%GYYw7JA{rD_pn8S+i)|GqW750cvCY$mo${oB+~*H2{vVpMgEX zGRo+sm&|mZITi|ni94>RRM5S0q_Xv)Ly2h$_l-X*XMNulnbw00Jm_DKmVa*<0JeXy zx?V5{(8Oo#J|nl!eBXi_Ew#CA+p~R0upl>X)TF$wJ8T=F-U?=Sz!~go&v!bsbAfT~ z+qDe`%0;Zy;2;FCw7A4!inSZ(`)q@(at^9I{`A#XU#MUs-ofp0rfpubPr4wUTmWWJ-n% zNz9g!c~xa})mkz`fnb3uK?|C-kp2ytOIAh|NlnO>0%g0^s^?2djXbGYyIAViswYkL zp=i{&R9bW@lI}wq%D~Y*rJ8;}K3>^*VvZyz$R%VYNxFhrUPiX0>-l6TP^BnvJ3qJs z>;xxqac3nyKH(e?H>Pgj4tpm$J~cJfEr&vJ<@*8h?zjnI;T~jXsbY~BFX_n%Qmbl_ zTrq#9JaqqE^4K%aO7GF*B`&|ZRP8%K8jo8dH3wcUtw*ns#FBxMSUf;FUA#+H-Sz{y z;V1u)n|}V83|ewRQYzP$)c8!vidUtDxw2@Y^y5{TO3~{dmlQ7vaq$ZHDUzm2Rf_(f zB>kL-#7EALSOIG8N6wf3f@LB_*8$||>FG`p0nGW#JUctvfj`d_MSidb!Ndsg%g@a( zPw|7{1MZggXMupMV_QK^B`K?1O=_0bk_NRKNOfiX4eHgCRxMk)NPkRrT|4PB-mbF@ z=+R$>_8KgshK_XX0Y54c*aTr&G`e402G9oF0dkl$CSc}(H2?$HS>8M5^$$Myz?tlS z{No?XN5B5HJoWe!&P8yY0?oE9Tji)S$^*(I0iKQPuaXUG*U78jd09UG^+&R2*KWr) z|N7^@xEKLUh2MMQP5J4Mf8s!kV82&%-yi?zJ@*-a-bT8c2k5?!8uL7$H+||f$6hei zwP^Y zhYuf>L#kZ4`2oFW`wq(PoqO~eZgI??S`fI0a1&iN?{dff0d2qRd#@;c&o3oxselIB zR;|xc6^)5ol|75Qf{}9{A&AT^tr}2o*`k$G)JbK7uoC#q^9nYAbtvs!sDRo&4C*j- zBe`G~=63)bP=^%aTW34p;d#bWp8L_{I5#N z+ENs(lav8wDxg=%R2G<$C9PX_my4z?kPD~Gl_?j`)c-bJ`VSf_P1_HVx(&Na+p_x7 zsi=vxD`+5%a>}H2Ua@q@Es$1ug;FE8Oxd&odMIBqLKzB*i4JTN;*>#$awH{AnW_R- za!QBqn7`C{@8KGfq+zyfR_1%9e9;vK6?J71-h>If+IxNlswr znBK!|J<)-jZ(>J`l>_1_3Vr~dfxN$8vImqI8AQh`NTw!9jglg1*Sw(|-m_U=ef|l# zUK!+wX|p7~Zd)nnKV2%1ST2Rb)=J|s+a<1en50)5CS509CF^c{R$lze7jn&y{vth> zT_;(!+9)_@OIBQgB*&40m*#5dhd7rceD-mUk!R|4N)GAyD3B)u>h9V_&Np5qA^xla z!vAyWeF^1?i3v(c*vgRV*garx!e?sw=VWC&hM%8Hu6~X)*=I$f`bpUfX9HAnX@3Pd zm8D8{Nu9A zwoRLz5S+GC8T7MHJ|%xw0DnkX_vLfv$!#~^B7gbQpXJ%7pK;*(yWf7|SUPNb*^;FW zygvQg-{rfnf7iJk) z$!px4Kum=ynwY1@I+l*P-~9Z3a~!|ruBGt#o%sCirU+P( z9&2T5wE(PI;IKeMd~iAFW9Jxt@g6%i<2%P>?6+>4uySkcWvSKn*{y5S-bKGNuUk7D z<&K3I|2gEcPcLf3qZo8>px(Jt2M6YekzHKRFmtdAPzNW?itW0T)~ZD;#?Qa^z3-KM z#`k`60@kA? zavT7ibD#(TgD46Ezl|n_-vpm*^|Go`L#03m-ITln38g3lrdk}P-i%5zYRW>{boxQL zY~PJ?`Mw)u(Se&}{_dM)-rjrV(oJ{D?BzGg;#GIZ^qI$G)TFCq{N$B-4HnCwp;M&W z;K|ao=LOQB?NF)Su#@Cftt0tW>q|y{ElJ6$A@M0isz4MIX?816j*nL0)DzKCr6^*&x(PcfjVc%rplBH$H>F?-YQQ&a*yoZv|6@q(t%^g9_c=Pfm9hZUGfGm zkecH*O1nvWBtE~7q*m!AEeFn(RVVI|SO5IEta|8YQvc$8QmIil1@s(A59KLS&ke@| z=>G}n`5FkH&=d5YBubJ>dB9&JRnIID?tc-vA9vQp;BPZGgZ)?kns7{x zox(LF7D2B&u?Uvp7f$;F_{rtZliaKVDafp%Lgl|4DN^9h&Y+=BuB7U7lbEVXS9&E? zRPrS~f$D!nu7V#{0do;x9mvVaai(3KQ>+HW2C&SWs#y5@02iKJ6kRLY#B**n#}O=I zDAQQWmM*$N)~sA58`i9qE&6@}>d4-myPVv6=UwvTqmRjM1>a5UH#lJ8oqqpkKX-m~ z*g1i5F!o0ueneh<`4#!oAO0v0J@_pbL$F6d`S77bvRm0bX>Pmpm;gC!AK9-gmrR7w z%CL?cKH^N++dTlbdiueL^*Dfq!5H~PCe)L2+s9U+@6UW2rk41##QrXdgOTd_xaw{ zCr*_EI^fP{JEn=hkL_pYVM3rM6&@+eOn-(=8>tpZu;f~2_FuGUu>#NnnLGDvyIyOW zQ(i-#f;t5GjSmOuT`*PwR%L>2pEPlji}oj|?~-ZLWrh;FnU~IV83LEixYSu~!U2C5 z%VdH9FA8S}Oi+S2X7reFRDL9H-w&j-v^M6J8Q|A-2U9G4x}#Ug)Nk!=VzpBG_U`NA z3P^Wr)S!{GJY#i+SwmtR2Pd&o^BL=}ne4$$FpW4ceJ|gC z>#et{f8)96YuBzFsDJn~7(>fQ=sq=V@jK`0fJLgqi!Zz=pQ!eZCXbJf?cwnL)(%ij z^YZHoo8KD{wkUWma@Q_lvjti_!m-eHVC*Or6r^wgdO9$0!x+>Uh#3H_0-c#xIJV`C zny;Ph41SnXc`&T@FTl?6EqcGSs9372c2!nHFjl?;^tiNiXWGq4%a-iCsxsn|D`nok zyQS}vBhqux0qMW^m<(ESjf`G2)RZX;)dhbNq)P2 zQn|}m=`(kSv>m=g67oArR!KJ*G+hF z{rH5CE1(m?U62@ZAf6rp^rTRPp_9sI?gRim5cCJ2C&ZuquYUZ1xf<-hnK*WjA`=RW zI|hn@TgD=g=p;E2P|plYa+ai%5uu7mMglPxSW(CfP(`q~MCvqeD@8RLN~OY@`i#|* z+|+!@O3!xI09-r#E&z_@$=n|15?~)(1Tc7>cLb0}31B-)0qZypLNqqdH^rN(>38bt zbKRUERvlQn=^)f!2c1Fv`pc-{BV>*auu~X#bs$|upq~Qt(#1<$1UsONKOL(Zk?H{Y zca-e|==a=xkF(0bxQSpVAPiOtE8n$!r`v`}8qgzcjlmN@w6ePf$_BF07_*hawc7N4 zDjI?{0QW;hzI8tx%}VMVmi%TvjPGEBnbyG-W8&sBx0)%IH3dmD zH-MG72GHBKZtL&>AO^I-A~FHS=}$02J^A zT>VD$ez`v@IAhul7ZJ^f3xLq+&3q@;l!0S0STW!+C^Hb60%4Kwe$8M5^sZgHO0n+C zgMdQ7z=z3`WzLriU$vxEs+W{WwZdX4%2ja5sic6O;XpD|0llC~T?O>@GIiq}(sTYv z8Myd*8MgQ)8MfdS88ZJ4={4&{8L;9y>3Zn_={@U+3|Mrv^tt?q^qRL{dd=A@gI8Q5 zJ(gW9-IiS=y_Q`oLss4(7p&GnW9>1SwE1e8d-z_Nwd-D)v*m7Cu=NgEzUOXPedt@V zZ0`ed#kRX-+18t7%;aTKSX9-4bzWY+G^k%s>eti3yK1qM%8|0K1^M|pz*mtROvPC_ z4k&^FalYx@mdk-Z5vCgFeDgsjo2LMh;(X|d(yvDs*|2Jfw5VTO>XsDA<_+s)#rpM< zTeYU-l+}@LLoby4k|vUnR3b@Pb)|97Niuu)?Q-h159Q2{{w{--o|KG|1`3#Ik`|w* z3QCRwVTL3oDY&}AIEl{Wo`i)Uq{@b_1JLoIJ3vqH0sY+QeYT_g_)3Wn=qNqs1Ooa2 zfl(wr1hDJ13MJ{WDbSOyz@DZ`MVfxrYohZMJ!YyZAW6xpP^8Aog|jb~hhKkA$W$xTwXL7~eY;G6()EKkf)m{^B4Par6Y70ev)HWvZwOc4Oc0UN$!b^2`|+y^KE zxDK*(f7}PSlq&1H>gEOdeN_l}?oreke3)C?NY|K2-$^WS{rmQF?>($)?(8{k@Wv7c zfLl3Rg5+T2C;|XI(cc945lPR0O3D`i2{>3f7(Qy|uy)q7Y^{C$7QY52e)Tz@Z3oNF z2A2)AefDo4ZlLbc+z8N9K!>4|5=d|&ZafqyEC~Sm$p{N4818(a?z8A~!5JXE#tF;5 z96x&Xm*m)ylM3L+&XF)^1K=mzc7I>ihxZ9@w|xM9mi_fC+h0Dhyub;kz@Y#lJPRm!D0|_k|8SZk!I6Ofg3B&12Y=W*;6Gvfh3*-H zunc%xzCK{ibHu&ClyAjl825RH0BkGrV!&=poM+J7S>S>B^Ih6=_g*f*l?rnZOY5{o z8X7^`MWCEx>`EZ@KYZ}YE3Y*8#sPit;>A7m z0(=J0abgyAj)p~H4N6?HzFJvTHwYm75m*0~9T$ov0<+(_Xy5*$1yhGLCxVR_<06C0@ zi(IE}o$@p`XKq(OuU=Rp#R`OJIaMS+B})=?AkRt9k-W-vWaRV>a`D>Rr1#8|GI-u~ zGH}L888YJ<88!cQ88r6>={kRl3}3iUcHHoSjJrZv{EV$KdFw4Q?#k2Bb;cGMyWx82 zx9X&{nY~LoDaiMlwOcw(UMrpFY?OY>j>>?g*U9k3x2l40T1G55E@M`lma(hO$fPy5 z$>m$_k(Jx;kbVP3Dj=ll|85xxI2L@F$;r#k(smTsV(vm4dn=1)vg_2pLy)+$unJhf@v`p0hQ>V`iNzAUStXGejkgcpd zQ-LreY%PdOR?tm!CU=yJMDw4=#g_-go#R7~k2^;(fxRc#=Y;UwfFD3*U1E~%gYuGi zb~zDWe0(?;|6EX~2u}de6Vi0QWM>IUPSoq9-%Y{ofdZ78Ekmcwmph*Sf!y=*PvnJn zKbG6S^^7!Z+TK<919ZtlCt{re3(&@5L;xIB<8=UrZNa(#f8uB`&oaPLk`;Eo*(*tFb?7l|1L^=bAkTiRXAZD3_gP{z z)4N|9)(Xn_tlR?d>^oLN-|Ei0GP1N7-WfK%6L83H0u0;}tP5lzTyyGF1h7vzQ2#m% z-8aAcfbL60fNnr-%l`NMeQf>JCr+0i&sQ4kI3@=Chy!@%-#_kL0Vi=8z~1$Iag(_8 zJq2u*4;?(@Tr4aD;=~dl069t=b3TXT2u^rzh?C{<20rMUr3WhzX^yyUsc!)IFJHFI z#f$J9S&3-UFu7m202tfB1In&~0an1w0^ksqS;xLuip>WPCV)-6W1Ty7QT*xXYE)nu zCPmH6?SM0cc0m7r(xZD<7v;uz@}r3KzhtxSfZ$E`2P z?2XsTJ+J>t&b;;unR)P58MErJ?7jWFa`5iAWzNC7q~)}Y(sA~28MyE+={NU`^uPS1 z3{+M>X!RKxxb%7%digO~w)-9#b-`3gP0N!uZ9BOjmO+CCO5Z*`^}*{YJ-c<3UOl_H zp1m#-b=-KBa89Nl(}3jztA{<<0KGNQf!QTtl8y@lsRffIClf@LrR1OVeh zE!Z)ExjTuyStvMndQ;%T6Y!kJP$IaGzt|t|(4e!anv; zIiSbsImKfZPuBlN?19dcQx&LFa4jUdn1mpp$2&krF!iUD13Jn`gzf`+004jhNklkU!Pbe=+F&fg=xMvj5Avg{&;`_XB06W)!7!zDdF#IZoh3*~U z80Ka{5k^tq{V~PZxnor6ahU-M{-gy0@Ceop+-)pV36Sj!RP9MEZQMlKv}h?kBE6#- z)EE$X_fWh6L`L!3cKyQLV zV9Un#ZEh^z7&^OmmH2{5I`gt&qx_0%Ke7xZz%Vdlb-ff zfNp_td=4*vS$q(VCbm5Y`~We4eCWVYCl#@FW8=23{~ZH#-z;yYea!ZU4<2?vZ48_s zN8B*Xk)sh{H*mKM0AuU6o%I$xXy9(*2Nhaeg6%^c04wioT@tykJR<~LgCS;K2P3R; z3u76003n2pTY8)Y%ei3eh@Ty14w%E#JGATI;<>;BtW;)t$6D-y;S|s*EMrZ}tms;o zNSkqsH#1yh8EY5>NXOV;u3o*m)i)04%a$$cq<`3%+^uNL%o&$De+kQI?beaTG?kv+ zdW1{BcIsSSP{zu%nwcH2Wxbg`tf>KI^Q;g)7tzuMdPNGwSg&M`oLam#)2Kz`()!pH+$K z&{hZ67Wxo%kT$JbsCKH?sZ~qqq62J)PGK`tuq-0i#+7OuHL^U46|l#GkqS^Nh@~VY zx}5O1h)+H_C0&&XKs_9AXTEjnx+kRMOHN)@-9KLk{ya%Z1Q=lV39cQj1JC441?)me z&Zs2`*-a%bqh=%pF3~9pAw6y!QSJ%Jk`YRilu&XQgp(9}QxhGKrzIx2sCNJzmw<}_ zP(Vu2^WGSF*z{+2|@P04Db;F*bVdncxMgJb%~xhwywXE7@z1G z(matN4OLkk8%*bQzh*Md?RKfsM;1<(fI2@1p&fyD#KR%HQDXCDSD z=cCv6wWZhV0gD&!DRC>jvll9ex9`winzd}9&u!OoOB;i+b*!@BSF6iywJcomDX*SC ztd0N8|M5H2nRy;DR&OSK0Ks22OMva;zDw&_W#{`2?02bxq!4ocoD1n{WDWr677%wn zKnJ{illxh}^|?UqOEfSKGHa7C2wxp_9|L+H;C)~>le=${KMUYTBL4Sd4%m+zI#Lek z=L6~>s57_y`M%HIZJW(aIq-jnZO)W`?3e>|fSqLoSICKzC)~ZG4nIK6`vTSgKsVHt|ZpdC?XmncVmvtPgdsuXll*4W&!jRxdt zQ)5?wzmGC`{PmS8Rdy^5b7CXas_>O}@7BG%QXO@5U7B3Cu2QwERF6Gc2d_F(iAdt& zQmI<4x&nGjHwe`%t17ih%cQOjY^8a*3Ni#cWrhJgBUiEus!N~o3uN4qBhr29cIi2F zr*xULSvpVIBAqVXE*<7=mwHn#lm1IK%R}#eAVcPCkru-j$iaKxlB0LNA#3*BE)Tx^ zQyDscy%cpAChHF0D%UB<4;Z^rPCfjFY`o=ZX*TXE=`;5_={@h1beX$f+F!m`IxaaZ z9Tyyy-U|-Ogwe$ zHRb~8+@+f;GQ$-Z!fkG?);)tNN*lHAAAI0JeL0rPFum?vw+saZBI&z#?IIV98|wz& ztgLJW&2-7iR`!{c>WaSL$48+Gg)nC;7$;=vgIk~=o26htN4O-%tX;LaRQ$kc4gizl zGnC=yE0E?Y2qx<9rMSBBamvi&^*6|^&rq;Ti4U{wMA)_>$>0t}Or@VzHQtPq&u6P;N-Nrj-e zR~I;=j-!aTU;_R+b|%ng_goTIVva^Q|^JK>x5J*MyP9}b{9R?g1P%F%N` zHVD)P>^{)@fbRo*@cX_5P4@=w)Lb~GV1D$-k+3qWAdb18cY{*MC}Sw8qeqR_=X9_P zq$=sqa4tM})sL3ck;r$1A(h{!_X1pxWGHdz#*qyeCgtj z;kt=-4lj3%1jez=1<7HdRy^WZxVe;kex%QA_ir4~XU&?`R)6?2%w@0x%oQ*QOCjS0 z;>EHkLesRZRjR#k#>}k*tMx)>f0PBj8!iwqMvvRe^(Akq7q?@_&eEbqD}B+MDR>hJ zPbpda@dVY8^47y?Q``h{fr&aMov3z=I(qRtm)F#_2t-WzT{?GC22vy!Trf_VP<<(^ zQX<7g)fD7wN%N-qTMZS^tCmWQk}6WCYGo;_tn4cz(E$m^&&?^4tSWV-!{}Mcpm#}& zi5sNj)VxI5E028dS2BL_R=H^9CVBlA|B!p0_&}a`AB!)={EP6benTj#$9=fjG1_uGUZCDgtU}?dfj>|^J!A6hFp8%sJ#8w_hjw54RXQw zNvh4NmVf-TOt^58>mN^tx;k}gJ0*%iokAp}ilAlQb;q5uXu%?9exeE*W>^L@UKW~m zQ2c~OH)xtLK!j%kPys-jH*Y4r`}UM7g_Rx1)TmKguWM_`$*d%`s@Kzd)m)ifuFJd6 z$;p!Jyv%SUJ3ya8lzqxM(f7^_oSqf|=x_=iIrW&5je(nWfOBElQtm7O&W?o@Cm8$} z%uPR6!f9>f^ZWVfwmqB;kmL&AaBhjJyoBP5^_Ua@N^=En;!?6CwYZty=Tma%;ZNlF zlYf%KI^TQ8TT)QBm&7M!I|~BlK`O$*LV4d<#@7c(!>(Z<{AU7q02JOu01-gPrNVjg zPVpJm&1VAT*cabC#{;Zkm(+6y#4vAj@98K9vt=J^D~lC?TJY3&XPINL4Hp2Q2I~hT zxgPu$#d}~g069TGm@1hwh!HJJP#)PT#NRmgUA_8lf2?J&eEj!(mn;*jiA`!O@2?$) zft9fZg$D%)GGO4&93_BjfMUS)WMB3%OCY4ef}o7GS&$XLk2PcNyt%SaugkKPD_nm9 zfW23r-p*=)B>*=E@663N-Q(y&e-jtr6-LwP+bSeH}!8&&F z&Fp~{p}4rv4T5I@y{eRz*3=u^G5lMXX>OY5mSq_rvvtuERu9jEVh76XyoaCZ6Q6;23s|k`qfHh?~X0< z?MJ?)*T1`zlvb4uS8bNVhmJai@%ZD9D&x3V3JUVvfX2Yi0R8x*kGY@^Y8X>kf%FYJ z4-x#vUs-yrQfv~~L|Sa5}G+^|)zYjat6*%G;8 z!7^0{1~}91#EBQm=&>WEQssQd%3;A&hHI$zj(ltbU4S#rb%9GP7XxYl#g=Uj@L5`( z6~{4uv7cvd(d5xe;JPxm$%$bTb7F$ayXUuv*RW$JT0x*ldEEqJ0}}F_b%G{232_Sk zNu`oswZB}v_(55=|09{X;X|3b;RBhy{B6mrGEcu>-Tf9yEle5HI>Bv#0}K+tfn9UW z`90nxK$sv%-b(%2jgKBc2jCxm_+h6c5L`ss5!;BVfV~1% z030lc60+>a^MS>HU@*RmLO`8(jzut@Q+o88ShYw7E;F|S#w=sL$81TYuytxAn3g%^ zo?%ti;mTESR6zFw@~loa@d8-scz*C}?`+j>qV;SKd;Qk=h4Z!A^A~VBM zpUtn$vXx}T91c+PT$=cRZqKj}=!Pc_&|&9YyF>+a>tn*R76kOPw2V)B_wG0Jf5PP6 zT7mmBULbrEXtsbhCNRtSwn}WS{hYo`rV;zS&s|%~NI6#9&+6#14=)~|-ltDrSDgrE z-l$O%$H)P4KpduCyLMe2tjhGIuHnGAe*K2hTtS}(_J9xdwlRfL!3!oIF6fK@M5K(AIPWmU2zIXg=d^q8Ue3`xl-mc-mT z(tOl>=`eezWc67nHOB6cnq&7#;jryeWAYKHJ9(e<9KS}|47^uDSDZId;e6(qZ&+>7fk0 z?f9#t>F9ORWXw8gq06ntub1|dHp=M5N5g=gk}nM#G?UKl+e(WDb)_gbUH0tQEFb;) z19|XU56Hy|uxr+>m95*hD4;NY2P+_zloo>MPMtdC%;|tKzIebJg#geoR~SHzUmAstfHag{?ll2y zfIFaW=6H_7{`@X1l(E2FmxM$I)c6k>7#R!!VFPz6?XjNRV^?6Kw9M^K8WWMu4A7*% zq7cB`iK6FNFf0Jwz#l-jdK9=Lcs5ZuiK79;*-rKb&kN5ZfX{jh3}ipDT8!nh-Te1} zI|QRsXB~(;b(BU;8asb~Nok35UtpcWDuLTz+SF;T#=Vm(u5iz+-`m~%^rU`~3TEt_ zGOqyowb!0eW`C31c;;3oH{E!flQTEm;^cxt`R^tP&do8wF);t@6@ql5YYdt zPoMr{zi~icxpHNzp+kp$#>3A*!T?~}t_8PQb9uJ0o|mITq_Ug+Ig3g+-?`-masl{0 z`-iJuwRQ}>ckjNAr32td_3AYp8)q=b6c5mM=+McT;cHf}G=VavklTy@jf=p5{zTw-it9(Z$T)t7JEZHcp{rDq!{*B+rtM7dxOSfGw zP5WFfErzU?RwFk{%h6k<)!41lZv0khJ@zVTJ8r!Un|nZpjk&}z^ak~sNXIsZOJr7tLcy6pi97-X|1?~X=hJl)an`aIs6Y#f+cLwz5Yb9&>`Qd7NVI_e<-7gs% zmXz{Z`3VG#QQ0n%HkTNmtj8f@zp^CcHI%r#rjnT7Qc4;Qlxp?*OIk)F-Japf=%O4| zv)%!EeAqQXJ_Ka(zVLqt0OLOcyqLT(fdl;96Ur>pDUJ8Y+z1>C^Dpb^r4EBcIWR!C zI@hpxi!cYsxsE6etU~~BzK22pu(OThVTQ-VY}XwSHb%oB$h*X#$RL@UljGh+@`~Ap zshwP8m=)z@8K~*pMmti#A4b1u!9scU|}SMF<%nAFc@;L*+Q<@j&3 z@*1F{1o#%;FrasJ)*J`w0YI-?o-uGsQ?tl+^SS$Z>MkILrtsZ*I6pcj^@4)R4#=A})eGK|T*3|x z#2Yqj>`d~-#ia_yMLLj_x%QVd${_kU#Q}O@xw#KbpPNASxpt~Z0O*t{q%CV@-LF!m zB4>JU(4djjt80K>tbkrB)vD0HJ5w^!QzSVQCwa=$vvP~1Ro{^^YSCutFlD7QAG1`N z3|}mD2QQHNqnAkCu`8wOkabc#@JcD@xmZ$LPLs5jQ>C!$9I4V}h7|U=M2dS)mpa4e zN=g5VrP25WGW7DTGIPTz89H~D)ao-&YV=zo^+#-y#^d%$1C@GXcB^cYS|ip;osny$ z`>ee(c;s{ilme+&yRo!v+)V0Kt18*4iE{MdUS(xZN_s|`r0RJeJh)FzU42Z34jrgK zaIaqDt`3kH%y65)wkW*_JLe(p+_8&m8%irtz%f#;&{nDpAUnU3icNyRoEnF;pdJT}B+xE(g>2st{?*US&ph)W0X&}p%uaX}64B$Hl z(CgN%<8qZT|DtdZ`~~yjw`b3u>lhC)6euA)TUKa=!IlVQo+%U!K!$-8mP9ZV;Kjh~ zH=6l6fDTI!*TfHlc(7_-$Th=BbY^#Y#K#kzPlda)AmOV5)~mP$sJ zD<@Us`ss}h(hAAJ7@uF(s?PI*~aFYhh|M`+s$@MAuu!yCWP^MU1n@rAS{lo0MQ zN*#(GiVI30+boR_@CIZ_Sz|rt4AW+8VoZa%!`M*(vUT6A>@4@(Gfr9Io{RMz88vd0 zw8z5Ox{U)2fZa8bikR{(XwSw!tc}F|U}a=ngRJOaE&~S)Rt12_Yig5IgM6Ys!vyio zlsR)0{4ZamO6_u4wrr(bxoWL!ylRVV*|g2oW#7AdzpD}ldk#m@Ul(cm!ki9oXH-#w z{omr`%ndim_1E91_gC5d>Fb@jKOCHge;D~-5d+AT2{?{Ci)x`dueG^2l9Y;nQp5d>0OJ^ z*0Q9q)PXq>)qoRqbQu_U;Vc-UgZ>_XPVhuSy;)RIE6A^+$1HXx{CZT1bAVnVb*ihP zp!?=#r$}B#vSjMOTB-w6q283Dnzf}(|6$T*@OWw2=K|?E{1WMR;XLU-b)gKNbEOQv ze6Mtvv|4)3*djeH*(42zT`o;VEtZxSu2j}OTk?8dB$WnCm!e^_C8ysM$?7ml^4pD; z?6wz5l^%1Xu+LIe2vjK;v{{OWY**PLCCd7Xm9gR& zwMxpQvYy`(Wm*i*MDD{Nw{6?13}Ckm8rWZ6dF4BFk6+)VbJf@L-MwqS0{WHukmrZ{t?TvWc|bWp2n1u`h9$z3F1l!nV>z^v z1Za3}tf>ltd4Lzdgu)4+qYRMV#NZ9HV}SO3>b^<7BFWZ)y>n;M#d&%>Q zg<^vB_ARH}tRsH&90Pf)fM*@$*q3(`b1<PTo3^#4vPB=&CEVhpMjrlBW0#YQMHa{s;em6w6#x#0Sv_+k1-v4EX(J}4+yFL;J{4;-u3 zaqFFT-jSdG{O8W!jfKPV{CNjZ05Fpye4l5Bd(3msJ?5TM$&9LHRzPq#wI?XAFIHR{&fKT98f>%0s65o?+<7*Hy^qW z@>q9n)c=9<$GriNtpvH5(#@Q1DbWV<#?C7Obhi6|&OPsb9zg#Rut$^t1N4lHtUnJN zIB3o{4(Pjg?{1_l=U4ck{J;?dc8hAad_MD&TPeXtvUewjfsyilLr*>Tz42Q4|VS7=PVo6WUaDH^!USig>;`lB{UKx5< zofj+EQ(lnDaOvrp4p2}QunN!<*D;8yWl~$EnlsO3Np6+`n-1`0I>6UZkf#e+vJUX+ z%IN|UzjqzNeseFjPEk>jQNq#4p{@+|3o;SA}1!A$8sZl?6QaIOrz zc(Kkemp&5~$vsSA0Tp^|1uaJ_i z^Q5f%Ea^LayE5S!`hQEMYEeCD)}VzH=2e#Bf{iECU|DY{^TAvNBdVd-8s*615>ir9&tbK`KibdrW*tnisJKwFax$b+ zdN)fQ`r~6a2y*25QYdK13=;Q zqiMRnr!!j)8#clXZm=+{3;ZrX3us%UGS&nXOOC;SPaq$rY0TI`fBN})!z1|iFS~4> z4vc%<;BM_6dDgGGYJ+Q7!C*{KTs+{M7*b%5cR^xFE-f$BrMD#|hilQ3-=3VL*Gj=Z zBaSL~XCvkwaRw14Xz?<_1Y=|cyCWiCiIUpU4@d^tB z@g2DK$kDgn@_;+7W%)mU{p(*{Q6K&%|MBCGKbE)Ndds;sxNcclnXarg?*~!(t{RxW z<9+m*>_-Q#NZ=LB5b33#EwY+%j6x+8EWwRsVyqou84^PPFd%PW-==Webu7=rT6Z(vpH-*Oyye~J>!`$Mx8XYyaWUX|j_vUTfr z=f>K#i}byN4*aR%Zszv0(fOyGa)%2CK~b{Eev9g7JFy*zm4!WZ^{H^mnP;YVMPd$z zbIMIY2%GPZms^c&8|yiaA0UU%+T z1=w{B1jQMUTjrPh4AylD*La6qW@qspXa7aVvCF=3K-Y^|PhW=j@HGS8<;7fd*-GkJ zw5YLlD;i@ON_n03h;P9!G-DNdEHbb)=JfiFoT--wmvl6GO`D&*POZA-;9XWy)d4tu z^TLSPy-KA*$w?EbSe&Piaq(#%_E?+n|C*K9yVGU@i zS{vV~Met0uYEr$VR5JBtPRq=3B}a>M@}#;BHdG@Er6eos(s^96s}jcG>{76FKzHk@ zrj}PpGOCnFUTJlyT(yo=tOz6`u*i42{( zR)$@&RR&GlF8wdwF8!u#m!6Y0O5Z70N$*KlD)29ri3_$%-+mJ$J*`BlmDHDZEjmbP zB?b4wstTHwb)e6d%2i0mt0S3d)E8HVN2j>VbY-e#;iet>|F~~-JDM|Vw)3GgkXEl+ z!=K1i-N^_T%g)Nz=ca=U9X8s#dx|v%(6$=vxB)P!!>TdK0|q=pfDTyz_}yXT0MT!M z`&(gp_0?D1=Rf`FPcB8ziqnv7@Rz^*#bp7M={Xiw375bnf|_(F6cei94WKNwN-xjE zYmwE~ow8RC1$ouixOT zV!T^qU!Xt%x_~WUjsWZi@+gGNiPf-Wm)3XPweFgm0)TL@OabuC`Suy5z@p%N|2cD> z3ry~$52E~GdF2^0llp%LphsiqF73@vTkG7ZoUEP$=n+sq3+Ooj`d<~$7k%S^t{1+R zzO-+k`2ynjnyfCa6}mTu?wiq#ftxu#$jp5ZH(!IxOIJXLb;HbgFadP@;-sVj>VP?n z9q9y)&XJRM*S%*zy%(bzgi%JNmy&ljrp+Ola&$x!ew$giXWSRDrx z2Iv&Ys8y}HR4=V2$@#?+pIKSrbO5fLTSbpoO_E4sQ}!B4N^ylml2npiSF?}iYpsM5+*OkKBt)*&{Zc@E@AC-Pmv-Ln} z(0Q0N?mA3b^&TNzhh8Y12aZu-ZmM9JujkrG2J{{%ZJVn$-=eLwZPQ7bG;Jew>NQh< zY%XL~LrEUe=4zcVv4%E5pEo~~-d9T1FET3qS?9mOg&^~((1K*d0?yh9mP zz0A|9V~QY1wz$)$$@Tn0eaY0TP(qI6NfCNHl* z2cfYp?t=3IOswk?CUF=X0bVe47$*#rR3q4_{TF@%->3mnFlEiw@w*pYG*Jh;2`(rN zHw8dOfZ&cD;U*!|r_bOeb?%L1_x}*g?s{(((Bng@G*Bis6z?P0A8~)a38sD=41DJ+*^YdQ)IZNW(6?Cz^p7B%Sy(YGT>Wf zOhGe60*iqC7F7fdym{9EZHr-HU7nsV0~?*DEqC9P0p|W7U6Kn*Bt8p3Pn9aUg;KS+swAbQ zE12uxo4~-RtVjp#L?6)K3`rv0$@S8Xq&6v##V6_@n3&_Lqs7M;>M@ISpe)w8 zf;%QyS2-<1S$}#&s5X`s`5t9WGo_$Xk));T{;CuZe3hM%tI9_WW#>h%Q(VIaO{8hF z)>5-}BdJ%fsVkV%s!2;}+Y*;aE4}ul6?Q4Nv~W-HC&8E~;fcw;Nu#DtsJv$ZVg3Lg z4FC-w;=CT}<;7ccPEGKHNZvMqWK>d1PDyjs-2ilie(hFY+>`|aJ0i)QX*)i#9Q^Ee z@?BTCN^Tv`Q}swCGFUVwc-%fH2gXQU7dEfodqsd=y?QkrtS)oF0We^KWkh=3i%!WINBDv3XMfIEFQR;@u_6-F2-h zEp-v;q^6OEW=&~`?k9$Wd(OCI^)dh)=3EbA1>Sq_Jy#_U0RPEPe&PTHrjCi-3g*1_ z>TB{>W#}{p;hE(f=DnCPZMuw7K*!vUsU7K{|DmCtBj-n|tT8(NM>vYQr4y=;HE-I& zS-qUJZs))ipvBy74BCJdKsUhWv)iX@*nAJ`@71G^TQ-xsncWcs_O4yJm4mqfH@|_{ zFsKU@~+9(k62(`uMAZ-xd&#^!7^rD(s*~M zRY8mk!LtO^0{ke%*7c4!51x68q&IWCWBk`$U!LLyfCKQ%Vd@0N;W8v34&davqX0$& z^RJR%+S+*m-Ah|T4CkzWiQ$_M=rHuGten3MA3kE)HxB6faF^=MdCVGin7Q2tW{c)z z?)%mGY$kX7=jKDV2zOtsj3XK)tQ^z2%_$NCSi{hnV`7J$1MDz#KpmFOx?D<;CPXT_ zW#>9TNBf7N^TKgsy6S^kt*A_f4;m(|m4Ra3t6nYaTjZs0*r1V==HW@=Ecfg5-jgh&On zLA<;!y2sdjIU5;H`c}C2dR$zhOHE6N^eks!jE{gtQewDcn+qg!ARF)Uv59uKifqOP z!{+92@fPA8V8On*-xuH1Y}Mn8xw1dUWu1YN??woMIw@;huL%>z>mV3z%?N7%P+=!z zZjh>nNwTo8SW>VK(RW_|YeJ&_&!muR)`Ef;=UT2NI}1(7)uktAoierIbFlx+c>_=c z`QiI#V8lv*#etHgfHlerK#ZjTvolr$22p^HYk;K!R>L66v3bWBJW*<_W1dyiGZVda zawA%uX95MueD_!bxMrpZ_zd0(_HZoTH3KqZ>1Kw9XCvoEFC}x#0kVj7@w>rJysfk-gQybeXK`3Ox|+E0dlIo!2pRBAjpqA z{$Kz4*Yfd4AIndF{1a#L&-XgdO}}`mj2tr5v2sl9eS7wD&1kUXVUlN@K>@LVt8kuk zOJ{M!We7nbfERL7T1q{Q>Uw_lq>1iFCJ%z!uX*#cg4M7sO+H+eva_tbH|$;6KJ$Lc z;QROO@8*4B{<^*wtRFLdkDf061nJqcSA_BR)$zE8D?EjSP5P<;&?QX4y-U~bPFU^= z@Ut8V0J9)40NsGy$eFQI%YX`&=e0}>E7Ob8W$mzua{&BNzInfR_N`G5<%g|3FYFuu zCkPHRJ95Wux69pk-X&MAT;&3w{nWF62hi=iUj^u0BSnbpn)ohd`~L>$NPT^;VCdP| zxqllua`f_V9ME5Q;e|qF3iku(){4&9wXt+#=vIBnN-+kTvRD%c(_s8kvE?RseSiRR zz#A}Oju{bWvp%7 zi)e)=!tGca>VOKM*OKa`H65VmKEopW^$aX^9{R7)xkMK2kBI2 zN)3e@n8c^*fUe93@S)QDrKhB1W!uU z?v(Aa64g%mgth~IJc)T;CqmU%H`s-%_offBw5zsX- zPDx93b=4CS!=+ZkdEaMymve4mKXRf2a)KDc;GR?t;>Nly;@uPh1M;%~op4UDS@1sv zEe{Ei>s7UCsg#ryI}<(6IHrD{cPmCho-tV%xLH!-a~x=M9TK?TDd9#h?z+%1&Vjiq zMS7n?dcWxLZYgP2vKHkRrb2Ka`QfYDF-rr; z43e0dQ4)M#y(u_WMi&srWJ+)terf(A$_1uYtBa1G8byNjeySbk5BQpo(n`PDfQaIZ z9}$x^KnHkRsaM`h22sCkEWl_CpE=*beMV{-*Bzkdz2!eyjdshMr|KO_4Py)}o_zs$ zt_3a!6c+2mWvR0$M6h|+4_!f@A~%iow;%$%8;lc|Or0j9hmSZH(DnaTi&(Z$QuzNU z9wt;>L}2Au9RYU->dNM=-#v=8{U7ECiW_s==ByE=W$yhfE-G?k8oy`$Vq=!pW5X5F z>Jl!|ZE3~USHn__5$k$ssl^1S_P{EjAPm8QvJ$txHdL}{Fs--4d z8*83tv(y^-GYhYgIwOF7cT6i<#`8=$R}?IQC=mdjUIX-5$Bzzsr?)z8k6=Fe*EP9E z19Y=6oi8XZoQEEkAfUVd)j164D5hDND9HJLA2Vj$ifNj*sf}KOvl4?4TXG=OQIdvc`%+HmoMV0k)m~F;Ix=+EL0j|m$e->YD zQUX=U(jpB)Qk`!)Apv%pDd}nWal^+vn_C@s4$Jlf!xCK@ReU(VI_|9L+*p`_p?|D% zYNN-8OSC4sV6UWzLXmI|Xr0rmJSow|9K^?;1zdjXY~hx(x!)Wwe9ZVSiO(|4L~NjL zOw=z0>ofWw=m&Gr6TN0Hc1|2G995pC47xBJfv*2KH90-Z)UiD1eRu6y%ddG@+%=^U zOtM~+jP!CdJICdDBwY_5FrWkj=QC!0%<4R=_<30epz{ve_Zdhq)x&lGIeh+Dp73vS zJiypY>sG?n0NvsRP$Xcm_%sQC0x)6w{1)kMKl|CwTv{8?z3`KfvTkET)qINE}Y?fbh-(r;kQ#PoAJAd9h*C>eQD_F3mOrGK@_hG4VJ<&-= zYu4;PV(9i9+C2oL%KwXjde^RBsuX8d3NyKP?tHcwjRW+IEXh%Ze$k}K-#noI_{Tra zSMBHqD~|~1F@SKgeonc4cIJgh?RHn=JyNB|+R5=E^pEsB?}HYo+g(ux>owb{tMi}Z z!^SMd+EoQlrh~`Eyc%G)A`6@A`F)N7kqNg-0p5- zI4I8m-OT)Sld|A2H2f0%PDVy%`QQ&IottVDa@EBE0%OAf76D^P$?=k$67PCu$GhI% zk(QlAKI=S1LBN^KIflNx$@&r}D?q0zP$!cDrR*;yKHYVIONh(TmpfA>BP?+l&Ziz9 zmnwB?HdSIN4y8|C6D(_Kz$Qypx0!5JVht%g%_vdYE$r+!5>`;Wfw+5FGG`PKJH z`{FZszx1l_tF>rupEdi%Tg<2K1FO%t{k&}hS_AoD{dT`JtbNbc`PK#>@KaJ!&Pglt ztpj##?7#CY*#3S+x}XKXuCEowF<}75^k^kjVaJ%%VNm$bF&Pv6%RVq=z?b(8wucE5 zMgyP&`mk!)Jb+H17|Z^DvHx!WnfC(!B>`rzbbIcBFN}v$D_S$Ri_&htNJejg) z=4PwHW$A0>QSOn#G61?YzA$!az;54V@PoYrq*jQAbsWD-$Ij*7WlW(c(gFo`fPBrB ztDR|`JD^|H&?ZE?wIP*8AZ|l2BO?a zTLZlMIMZ=Y*Pghnw8Sy=a1DosXY0-rTpMY@X^IKkoo`(M3XtNuB7iMcLVuY#`(TxD zE{585-B`xB#Q2C6jZ&hFdnSy1t`neZ@0(cuj&g4Sb^y;T9E<^sXDD)v3Dp7rh{c5K zY>eNv!;X~BCg6{24l!dar!+6)IiHE{?;T0mV?V%~eJ%2z<(?76z$^vKePNw}y;*fx zhAa|Kg5}7iigxN)9(axUzPG*~U=Y`gYuMcLh5sjjZWbo4K{+!o2lQ@V%0u@7-DMHi|oZ;i2o3OFboioV8G`^HwJ28 z#DBxQ3Inq$WIj8$0o_2tK+!Lc>Q_MXQ?yJ;uwX0$INvO6Oxr-&S2k?!o6ebYA56ip zh;9p_Gl1vb8N;;gb}qhxV#f}e_)UCp_LUv~8it~jnGL4>neczZ#cINz`7eMq=gJ_@ zV1{3jfs6YA*b_-iq%q0>`@vL*>}NovyBdLkn9|wT2Xy})aUOs)%$%Y#1dze@8JH-( z0vIq*5j4hgV*lMKG70hSI-6hIB82^JYXBneKPGoGrCacv1;g=~V_;4O#1R6`06@kN zz=Ogrq_P1L{1(4q(ew`Nb-bZ?%_Y;OyFB*wYuCGCE2~yq=?b%8`UcDaa>fT3J61tH zvkj(BP-r;twyK^_U1xH~mrh4Q0KIbu254F`cUCsoNXL|#Zd@}9!ICQ$s}eCsCQX>= zEE1&Z0c3zM8*{I?m)sxjxq%+z zIpe(xLhjnF9N-P?dq+}H%gy{|9f-IEj1XG6aPWk4M!Dr#=Q##%EJllctOvTe!Dz|* zuYx%sZl-s8hLPZZ^Gm<_L2-T_dPRT^UMW`nZSbI>-#nm;NTvecVIDHyOk*H!V!n0L zxLkWx*Kf9~6CVDK9ooD8v3+{?l0gFpD4=7~AzG7E4uU*-=mXGR|8yA8EdUOn2Fwk# zxgaLS&;f8OCi$sJf%n%l6FA&VZ6dRv``$2SOLbukV zK6~~-&DH~ATweoPW4i_-2ExAU!1iSyE3OjE#kTc!jt1gB>-QtTeHX*|BH@GUgT-np z+SfPp``zOFqA)>UzcDJa6ad292LpK4S)&q^Oibn!V1cb$-nf6CSmv2FrId6b0+L|< zFm%{BRpI~{2G$o|c)^VUKC?H)3x;h2As}sR6hMb*qr}sk#e(Im+m#RguyO!{V=-u= z08G1hs?3~msqlh zd+xg1l_MoWo0O{q`}RA(FO~z$#>6BL8-e8miy=V9cnZUWm2(fdcPw-L>*~4Gty9lQ zJz@+RG;;mpaXmC@*w|IRq?~mlRT!GOK)Yt;EFYk=m?wUNV>0$JmYF!kU7shbrB5&* z>4;p@Wa3tEDaI2g6z2rsXMMW9$L!1Z%(rjW8JB97h7@(t|8lW5SgFUPR%)@}*^4|h!9c<`b}Tt$q%=nc*$a!U7<=cMD6G&NO*%C!IRBcUmnk!GQjKoeUh% zPhW@u(!XCH_o4v2fG{r=V0}IyhrI*l#>|tsN0tUnZ};s(%gt<4-CEO+WJyT0iWrq5?%-@XOGFR$wVrde5h0QCX=tAM;~uz-WIuTscV2WU<<2;;S#uHIp$_sw=5LE8PGQ~ zIzk667%%H!=dg740bDsAeXjv`j?ds*R$A)bInJ+ISv3XyQLZ#9B~bzP3&xIjfojHV z&Bez1&bx2!HpY#H^&2`s2jKX9DoirCch#}5cQ5?L-K3Y25!m~@Idf&<;PORF|0e)FRZnai8ovckaFW*d+>dg%zzVww ztTRCG+NF~Na|87r-MdP^zP%lg4}W z6cfCkr|$dE0d&9`KNN!_ER*g?ybQbmycoRPnA}Vm;9NLPZceWI4uc>-0YlkN zbS{UPU@--@&B%qaXdqr8Y6>1AGKQ0r+1vp$z=$SHE&hgF)T*Z5tzJ9l%VLF*E5BXvH~@ zlL|ZGS>l`lARovKr~#$(0b&rm%}T&InXnzuVsJzW;hE++w%+T}7;_M)gP{FYV17P! z?t^)d+55TwRy@Zd+5P-)o=0>4m;z-DTg6(>BQx;hbH#_w01pedmZ%JntcQVz{oX5G zO>Qc~!N@7+36M{@XtHDG0625lJ7!!4S4_FM@>n-el>!FlFk=q~$PVm3NaoMI%mMng zE!$XPI9=JFVTc(i?$}*sj&_Ib;23#K0(C0lO-hqm3 z-fLc)4`ZF_lSFG-N}O0*35NcfsPhG z;lMkRtzd2y2au6^COmtb7coqX!H5Ap@1>=?S?>@mOaMC8CO`Pv`Ox)#FoqbQw`%$C z13Lf7z?}cX|AlOR*@u2Epj(Qb6-={b7Z~2r0Ns()zs{I`>EizhKu^|(VIw{~20!N) z*NX_CyQuI;ikhV+upLc_Kfwj>-ZgA;?}ql$nLNr4`migg!{!xS`uFQA!-fu#5yOYM zAQQAAUL;;XfE=*)gWn9y@t>Qp55;5<03R^6pb_UYuUW_Wl>l?Vx_-R|ZjeLqApenv z32hcY$MgnE=er2s<#@(&tZq01C=VWfNi&h**F>8})~sGD8&+Q>t(&)ziziN%fqe%` zhc+E#?1(XvmznFpoFX(-4Fl8@T|{}DYrBcWx#;LfftL7l0o@g+Nl13$a=b|gOR&JM z_(%|5NMGLiIxsv7)pXK^o_g0znC#zu_g!apWuPG_ zihNzn`IzaA-81-bP3-vQ%VrsnAfOAj&;FSE|M8E1IKkx4aS)D4G&V1T zdA=-2hU*UCaK2_);ITD8vgmfpz2-Q85oKVB)@CC3%Ob_?9!Cr4tH_k@y8(Rg_D%J{ zT=!tAUqx))Shz1{J+O1N&%wYy6d2zE;#(+qUx}mOeozjTd(FLLUj}xHfN+f{48nWC zwS~R$i~s`mU+wo?a~Zw9e%hKJ9A~xL0o=g@2RZXKKo7gVY~FlV5M<}}9j+-0%oaeW z3@koy03G&=FB%!CGGh1$7lZ}#A^Lhi{{imU15}yDKigk{Y^W;Oy>vXPRkOCs5FvOB z-}$m7%VqVdHO`;TScAgPxL}2=%sOXQLX-}o-0>k}a>p_Npobf>gvkkG8}0=B=qMHp z;Qt?U{{d#_S)KdCDl?j{(e&PX)ATNBMrG7{mn_-plI0@z-izG3v5mVi*nk^0*v7qK zgE1{3g)|_v1W3XONzO@fNbYa_p1s%T)gY4qIe&lB^u}M)*1*^8N08v`+~=6z~0z)t=$Lp zW;Yw^V@%w0>RfLJNO$Ty7wykGoW4)99!9H+*`jD__uATQd>;HWj zy5GMJ=uw6qhO-cUk1+IE5r*!7KHkn3Vd%^lF>L$at5&W#$+m&b~R> z7+oHtRqd|3?SLK|Vld~3vd++~aALft56_iC7Zw9vEiGm+Y|oiHfCJRgVmRMm;m4}b!I4zRlj1fKzr^Eohdz|UEZYh42ngu-Cv z~ZQrfsi&p5I)6dm4S6rh_Yd0#x_BRm$ngHerVFS>~nLChA z33})WL7-)7P?$^nwJk96NR@KvJrCjf7)Suve7W_lTcN)T-sjH;wX85@s)xaY(HV?ybu zd@)o5p%B;QX0jSHtq}=pgF$_O;h=M?>p?x+vEmD9p z4nIU;@xmoRO%0oXjbWW*BZ%O|x?#%4Q+3!A`o0M#1L#BwhztPIv}+Ml?78*j;TO#xS{^i-}#fL+JLqrx~J>>JJqf8!+5oe^i~0nqJpf7{J-=kAnF%%MjC zJ;?jUrC-OzcH#m%Q9utl^>~K>=O+&6|FU}J+S5J?K%ZhK?gCo7d{6_6#tY+kglD+92=~I!9ne|O4(Np3y?>gJG$Be(561~G z;PrAt(i_Yv*4(ah&OFa<;wIgE!!5f17iQrYiO8_n z`3H-`NKVvo=Mi8e^aqQFZR0^lS*3$04iDe}Xp@J>uwYaOIijzPQ-I?DnDZX;{G3+@ zMXFkV{_~#)Cjjt|{_|P@hH3B~oB`K(_o{o23&T(Jgkmo|d^h|!D6r0w(2AHg4yMtZ zbrjU2taxHOUvz@oM0Ou#vr&g23hq$`9~Hsz8iA<8;9xy*z)JLcyjYFR|Ax=UNCOlc zGxt75o(L1iOveM)hILM*Jkc3gJb*yBlM$=Pr&5*ekq9qD^pLwVGOrNE*i%pigTDI8 ztMu@L4+Ui}^2>lYedOn!eNNb5=RA@(Kjoy811qLl9A>OEKzOeSDB_;(M;(8Vi=GYxJU+>=G5{uN820*8eo=5?y0muj;cXH^Y z3g^$8JKoaeVD44j06n12BOAXDz>ZTKQOR`qRPIxb58Fhpn4(mGm3A${>-XJzUtrzH z)B?&}JAmIeXY&r?gz%}QiH7!ZgeN)%zTq@@G{7AI5BK9}P*+2qAK@Y#Y2;douyD=j z(^34ab!U!O$i(N<&%!NO^E>bff`3%&GZ_ou#xdY$-XHykQ-GYO>$U@805^=2-%;Gh z#{O_@e9n)4^rO(uho}nog-fGGK|9*N?v9NMw0s!Lo>;-}MRpSfaVGZ1`|qNyfX>LH zk%Oq?5G_b_ppEM9yP%8%I^kF^I)tI&>~PLtW(?BccNkR+IOz%23fF@BhS8&>E}~Hl zKHC-Fyfw?&4i)D$)zx95+Sk7NL8$(w+MD^}grL2D8750{8=wh*1LV91AVnpNkUQ7X zoiV_hyehr&&K$8vJo5Uwb!)Y0<7NYx^o^i(!G$1*D3mg$&bWy+fIz4>@*sR zZjbk?#~3Wic0-y+r_i#cAp$|Je5Wz!gC`x-nP;7;OE0@rH{E(Xf9M*!}fr3LtF!{>``a=IiEAy!N_YfAzIc^hfdE6QB8P zh`vx9$lw&5IUKe#C~`dMq;M~Jg+D})^AMd6;+v;=c`qS%Y6LiU9vOD*IVy*4=kE6b zbT0^WVVU0q=+U;dXknZKJ6Pz_#&N>>&u5--_DLTFpii=!=1f8(+)!Rs$ce|R!kYpR zJ(uApICxcdLyydYeb3XZ!BNc~nyWd(vFF1BBkJ!RR&Up!ow#mQl^d9671+V%jEC1f zlq=Z4XQ8#cs7coIH#;B-Tnl$010#KOo; zrgbGu3qU?42ty=>=aVNNYjo+P2t%Jdo+IaTNt3XEJ*52)|L_k1(7cchAmnG}TI21r zs5nOcKAJ;^IRmywslmnfpM8fpcs`s38Wn@#9Pyce1)l>@E2Jkx(k{W1p$~ z=-VlH({XoTaFFZ&o%LCeGHL@`~9Ck_viwTyoOODgzGI} z6D4RlF8ahdCpdD1C@CN$ihx5ySP*uFfx+>csI(Ua*@?{Ei`FJGa~Ia}VmIHn|4zO? zIzQc=Qtm?xHP;!3ikvGT4`6dFur5+dq*k+<=z#}Aftcr(-GI9I6b6&u0f+#+7r^m1Terl*zA!aH!0eOvFZ0NC zBh=15vT==2JVUU`d(0sKMD?&S*bi@c1=M{6Gr;fdYXx})O0?t4$&R(H;cUc=`>X)y z3&-Y#R$RauK!=3`+Rnz^S#fapFg$I&&d!|(O&m2H9c~p0jb||!WkCIded_7!R#%S! zQFlk!I=b4!V|QQAI*-u;zIWd-2jJ~@!uH;m-W~s$=l=RTZ@sNoUw$RbwSVR1mxE)$Mhy$!2kYni zaX=WzLORF^2j>TX=9*Ir!20(#X_v0$IC-zP>HRK%?h>%>ka~qaX`>GV^r)~*bU2Gg zk3zfm;86H`>M>yGb`Sp3nWvw1VA4nN&pfHq0CU(lI|*2`;{YIw8jr|BM$v+R;UPQl z;rX^LFfcb@ADSN?hx$gs*4<%X-rB3i+BOyBmTGz$)$G|v0lJ6BSa?*uyTFW(O=Zz} zp4@>w3h4ZfMGTu962{p%(y7M=^AE3_=66IK z@T6n?=l}6*K*nqSI*)`>3HNzLIt&g+fP5;&gU%)$Y@9&?=)4cGrg}U&#mmFiEJQ-L zuxl8gzaCYm^C2RHbJ<5i!h8nz8ToSleeZkU8{bFo`v-VDg#sA!57zAfh0%wNvyXf~ zp9QnPNdTzG$-~eI^ZRjd>^ONo=i9F}&-qLYBm3zo03HeXbFRX0kDvW4953xh0E{1R z^!(uzx<}7F!uR>KxM=8{s#Ln_wjg9;N;l%_#hUHAWWTnDeY(|x547*!v?f5kW>u= z+=OBY$zt#v&;fTZLIV6??XYuz9d-u0L$4hN5M$0cCfp7yC&!L)cb2!n{(kjJ3hLH{ zN_SW{fX;?(@wQmEDGul^ZiRd*z1H++lh3F4E>`h8D|G6RL#No+_t``3qjO@69jAmE zA$DVk^_*j7M$2GC=&bQx` zLyx8gyzTPC0e$vSfbPzhM-|4$rw$MQ8lazi@YKB@38348KZTv*L=obwMaXHvnWva9Cy73%&jG@OW+8gQ`0*JFYptGUNO!X$9%zkd#v@wKx>5FV^ zXdG8tnh5CG**U=|ddMASgJJe5RupQu3KNTg8;{9J=zRC|bdMWq3^PC*t3XZ;lf@>* z1!iK*D?JvHpFAbb%BPNNe;<3B^lL3t9&#nD4RHCtfBxrx3As=_ZmP)vu^<2V$K$LP zU}8bxq;ZTC$N;Qf>=q64dhQm0;n?|G7%mP=RMIrsPtMKFxnZ$IHPNFou-sb!tTS}d z7(^P#0rC%EVew8nh9*6Z6rpy)@PIQ6p4R~{fZUw~?q!%W*8zhGC}1E6OA-O#ee44U zHCia>$4;>h=W!zAKUO;*%pWI%&x+>C`EHCE&IQ*3$BTj}Mo0p%FenTvMx1l!`EMMY zsMPMUWO^s&;iKw!9u>k#!YBje+ymSb7*^OC&I|=}><@JmKm6ejL#+c$9fytm=J(WD zM87XE^tf7EyWRT~eHEKi01!X<*(Y@Ub=L*JW~~h%3c`p!wd7;UXbD#0Dt{Sl_S z&;XI}JfKZc9@mvzDr*gglizy?oBL>)0WUfCrS>-q7c7cRC!4n*q|^XuboX5%+J&rG z>p59@A@m-r#ihb!$wb|zu!nnLwzU!qgKGv45apW^j0<=V^!4M zF{x`ie(q%e9Z-sHUW+si_zPlfS)+3qmNF**`>Z*Dh@H3LL3=)|Iis_~??&uB;eB&? z-<+e=JDrS0wbFfdw*#OTsnL(_z3Q$6%6X-OVEM8mv(Z^Q%!x;K_of?TgHq^Nps?}K zsi($z_cw0|&e#%LYhFy|SmB_|v2tzwdSWj;PtH1JKlmN9)#=Cgzx8zQuK{}3F#w&A z`hQUr2cRR%8)fKi#{hJ%az7TJdyDtDz>NJ3e^0b3GuDUx%R{G}zUw0a^lPuZ_9S+) zt*ym&5{5oIJkkbLUS4X<0@0biFk`!~uP4mg2E@91I@C2_H$?wTb@g|ueV|LteeJ60 zX;x`xt%}>LRoY&o;s*1A%ZhFB6W%Ja1wO*dwt*W9n{9IezV4&R?ip@}! zt20oqtE^RhRjh*26{mt~l7Sk=tGT6F4NdlXOQTxbnpIekr+(YlO&d4F20_G?t1w0g zNyLOMV!8M*;VnC7Tv&#XJAen+(D#W>Ha9%2U*xCBq0?_o@f9~CIUg7Wh8Z@`NI<-0 zm=1r-x#jaxQ&YnDP*;jOVwit@n-UkIITo-v_+b3$HwUCC6eDlWxxnzku2{(I0~NM} zqMQ7eP zAuRl8j@Wa{d=JM0Q~u>Ie;KNWdEbEp2f}OoBRubeNGA52v)}<&KM(FW`0u@9ABO1B z4cI(PpCU3?D|vc%l5ld|(E&VhOuUc~=I-i!9zpTYzi)gt5ee=oz%v>?pE&g{>MTU7 z2q_7FB51*BXEh2msT97hC)+&R$)fF36`S|FL4niiaABuRsW zvH>^i0te+vb9(vQpwqB;;gQgBf8Tgza8xnO8LI1e2hHrr^hUshHAZDV#+~bGenWH`3Ja=&SX>49#hV$got-g6*z0ci<4VvkW zwRq7>-aa#I9bKL3wQEYTUw3bhy1)T@?ByEt^@itc{qfl!?nHRkRA?QJ*9$ny4d>eS zk=JnK0CXG)UiahkW92hFhu$6Ezo`0KbgJ4hr?5qw85itv717YO6$fm;Ph$~j?pvmd z4j(mf&Y5~FtrD9{Us_roNS)nF|9H#Ix18{i0Q%v>hYzr$Q9x&#IkVMvus8rZ2Q+66 zo(UlyE>eePwzsHxrh#lzwJK`N1Fp2iVULCN70RnGQ)YFca%+oK+EAf}79V%QGg_>^$w_D)L4KRB%BEUEJAtYe4~I4&|)=ZfuCt zJzYFLI+e&D-+`mUdy4Xl14&3rPdlpH!CMl&VARQj4^Lsk8TZ)}4*XtGJ@MT6%yIVF zBLN=9=eY~AjO(Y5#wVslD)qe%z|#fZo)-pe&5KQE<2;0EW5!ZP?R+z0mDiY;4ufUH zs?Sf4jT#*uPtQj8H#)2(DhKPj6GVte6XAGr%+V3BJVpV%r~3$?PXzW4-8#F*i@kq) zKp#2^&^`4WySJ%38#c$h!t75b*YtncaUA!N0J?$Hz8IjlhMfk?M@Q$_Nt+n}y{f7r zfH+_dQzrz)*3)YzvA11a-R;49Yiw>+9pez&W~#okU5&=z+XlMTX5KTN9Ai|;VY}vf zY>~B&=f&O2ZLDt$EE?}OsK3=21CMV_{H`&sY(x)XTU*#@*=lTzF?1Y}YWBIOYn-9` z3}%XV0Cw67Ty(;V;$XI36bGOK(1hFRea0XH=(P3VW%FK)3^^!(1@Pv=5(Xyc?DM5D z{1`l#bS(T#F_fI9KFrVS%Iu5Uc@=oz?O?{*LfC zEjRoF7#+}~{nsAW$Dsnu0dS%p{5>H-2XqSZq62iIfDX`8@Z;YD%W-gX)ir+RntCk) zVd!X0LG(Is-*H@?yJzw9IouBbJ?D#jJLj2DH+e&^M5fq?P`CHzzNoG0|%rb;S5y?vH5E9q%Pw1aQGlVWk*VA~vL9IG5f(J`Z)Z`J(~Q z0YxtyiUPU=6o2PE=NNU~=L%yOee%6tDGj&~*2XZ$+RE&27cLI%W%P>^3Wrq!@~m|v zIGIS6la&+fOix$8?RTs4atZ^WBW=o7kY6yag}`^QKOq!68e`}!W)}<5K+nz#Hmawa z5c^;N_Ws_1(4xyZ;gQ!V&SQ)#1O`$-^o4sI&~~zLteT7Q4wK1K##2__1;YEe|GmBk zC%GZ6e(7`HJq+wX%T3aM_boofIfR7p#Q>%-sX=4(2YgzW#<8OFN)(NcXoD!n~|Hx#rD1J!o6vnK>K~e`f#G=?9+|H>^Ty0uixn3TRwKvl8o;n@S0IYY4%jt4>mw=Yf*h&jXK)fv|-)a@LrcV{4hYroAwHDS6E}A zaYItzL0c9e4U-})L~#k=g2C~zl>j;K!^rVom^nozfVoevI?|?e^pFpq6+V$=AFD9V zSvd=w@AYa?&&9g&jxsjF+x#P(%C+NIDO7QW&H{=$4^d-J^uYlgu#S#CjfRQ2`2je> z;ef6WhVjsKl(7P4gw^_i{h5Km0v~MX)VzfAf2bdzVN6g=-!; z;l7y^*IoBY_=yU16Tv%{!Qr+(N3CHBJ$Nu^LyJ+epB{^kW z>!Gr|GOP{unYG|uFns6O!UY;zYzBD2+>qn-*$~`!(QX-k?&CB)*Xs@d&pD@r+{vv1 zLI4bGk|H$NBmnQ)@;n0QJ`4s1PS*?txa>D=ZJq7i@m#tAd0u`HlL5#%R)CVI8z2ZX z4vf}73$jvHQ6A163Ti$qrG|n)vyC1lY^J^-g^3 zk8PiEFtPysxPE$aQfyWPdH!i3jSzbtwuB=BL+n4mgnj0F`OGjfwW8wa$!_NOaE?e# zxPS`h(yR5OOr3MSh>CuOsEot_ZccpcX|&MK!5JqA(D!h(I~JZ6;JpruABdKA!O185N88488ctaEePesS%!*RKCa0Noha z9xet4cr>7QhMU0w-4`4uKIpoSnuj(#H?*zIHUJ+QoU8u65o5+9=0Pvitic7g&9&#; zF!MuIXrJBG04gK;9LN#+r&89Z_q2r}DzRx!v8heXA=HPL?aDr3Vu)!f4zO=rziwRR$mc6Npt}xy=tG~8HO|s7W`u!V8=M;s*2aS5JYeuK#$M45 zU~@iTVdtNJegJ%!ENmTPCgT>D{GZdl$1jp)KXI*jq_{TAE??j9ACeEBc z7MLGPna)+9z3p!G45 zY&e#Smo5t1(&bCSY=I$T(|ng}b9+A6^P;RB2XJhQhcR7tn(x7B+hoo&VkQ6)faI); zoUhBUx*RElpt`bpyd{>1ptENjd4`VUQYVv@t=ya#pyOz?(}d*w(n+@*-oGMGdtEc5MCTqy%YFBz0R2 zfN@yNcGWX;-5iQ)vzMsb4NAhbFkAHx_uO;O z>W>7_uejoh-JDo%L@!Wa!;7I1j2jam^PE8x(1-g+0+`R8yU@JZ#kK$z+I#FK@1CRK zKD(epW12Haz6LK0UFO($Iv=CxBN%-QV@G6Yz3W9|JRH=IfP7Um)#Tq#haz5quDAa*B+MHv-uA;(XzEB_b*!+D!D zK{&j~3rWqaz$6|8PDiHjIvmjhrTbF3+e#46LaH}CJc-DFt#48Ver2NcnI;c zerXY+z5oY@g)-e$z?hRDIh&EuPq6Lu{GzvqB)DdzgN6cF6>g*2Z9Z+-eDYqSq zmMqfpmCFL4Gd0Y$y1gjQjl662^ZG!%h{`|5MOa*1gxo&rWQ2!(Br9Mu9Pj_dh_lAs zamV>5jYo?tx)yZM5EiGcm4Y?KfHGl?M;I~pG1mj-Z9?d=YSscNqz@FcQE%HI)GkKP!ytL=IsBy-6JbL z5~>Z6~%@%~V15+08`HB+{|8vklGHP(Kxw zu^dV7KvyWN!^_5_=3@AGMOW&CNS17tPHJ1M|@B#HwQlJ_j8)q?01EyYZaaT*C8M z`Ov87iuZL-EOLqpl0<=u8;3Bzi>FYbjBx>g$>ZYf0@Q#g0Ol3#Tt~hO@bf-&7>9#S z^cXmH`cwfYFN%v!Jaa||OD0^-cd#Gy4f7o?mg48ufykLN&tWhgatF{cfRiSSS@nnj zPK%F+g`x9%zS~3Y$kf8nCq?w^{qMOJ?l@4X?z#Gjfa!qF@nW>~~VQ4m6*(f#q`20&oTu6_p?O!_YdyG;5Gx&-bt`fIFFQV;+Pk3^D42~ilxcX2I= zj9^4@PHXI0mF}I7irki45HVJvwb|A~T=3 zO$2oQ?!N=*N9(S9IG`VyL`qR#e;m-$u?>G`*M7-I0_et`c5$*euwwx_8#jg@C>tlg zu8w|iyP3!h&FWU;>{e9|HmG^Fow(s%b=ghSjJ7&00_`oTZK)0_N91h0Z31A9R-kj? z3H3zVC-8j8PjJG*4ci(6=9!EOtZxW^Khs`gbSuhE$TW6$AxBxP_3PG-YfZbv-!TE* z82YgQJ!&YUg(}g)vuK_%s-ot9bF7@Fj}47F1|BMnMgyW-RB_B(tN?7ED(0k`t&R%Oic*k<@(y# z*ic5U0_Ie~16thUoHwo~;0k~M8liw|@uI-c`}$);s(ezI?-SRYlmLzm4igR#=bAQ0 z+5l;Jq*H=|PzoPig4HEfVf<((0Q^LIo#oopF4O$cxf(G02ubmI=&)v<`^Z#(?vo|~Eo`QTq`lrCN}!w|IRM7e7fs`#X+8@Z zL3rQCO0%xq9A=&?XTCjz>&a|d*U#vIVoWB1h0?Rt)VR1AFwi|4}totuK2*oz!E2u?z! zU9^r)yD$r8t7~zO+E$roxZXVG)pjE+>QL|4pgM+n)HAD3^&Jh$ug+6hWvR-FOM@T< zc^f?ElA@we3_>m)fOg>Z8;$D0p+UU%{&6of6h_45`?%?bhXyro?uZsI8Vkb|=t-@t zC=Cpq&xn5RhcR>?T*8e>2;D(xV&ReByq@o7ixwC~!|0y#j)K2`4ue#pKs_-e>)++z z?LZ!FS!3}KdZyUzSUGFYXS)ar00aZ$J7DnignK9+w#M&ajexyZs(Inq70o@ zyeLDDKC(|pT29Q>Pns|R%>mu3+@pXVjl^(&_{6$n0lHW6|9=VS(N;C~8-U_mp(TKm zMg#{2#5ux10w{nH=a!H%=Lbf|F=AW^FOx3e-k=Z+VHWb}v}^(BUO?ty^3Y~A&^O+; zhCz%fqj~t<({~QoUiI!Fc2nz0Hg0YplJuF|cv~m%1f3kCJ)~6ONMGUkClD{yN7WopTOo`PvUmy`!K2SAS&P<|Mo|E7xe--n^QR>B-c zgC7IXCo=RXpu^B@ef{jY=boGUQ8Dzbb`Za6YHAMZZ|IYcjEshAP2^0e=A>$K7!Bs( z*A~VdH6}_z zbCByBHm`5aI76q(or~+awGRXIyx#!m(Y)kD!|9C8!{HM}LGWZfKZGy`s&I*kfO1NB zWZt<;zq*1Pk4VGvyJ)q#w-h-u_VyPTHH#P!1|ZN+CWK8+8%FNKO33?hvpQoYe@6}; zppT9_jSfL^X3p=)wFBe;5x@ykCcMsKhgH)<4hXu_0B8Ur(Q5OFteZ#P=Zbd#E#Xyn zUL5rK89+vah400=z~SKYsFwGlI6|8f8G7{ZJ%`TsV7QOf5*TIZ4(Jm@j$Sn%1#~`- z>k|cZLbAUJ(EaZL-QNe$CxW^=n;2OfFF=Nf2u7ddV?Vif2>((b$9bdffsj1=1@nSI zaxam_;9k1^`Wu4EBl+mz!C3(`Fu2k1cqo1w>JJP(h|3Hf$&I^_bitD-pgUQJ=GvVR z10F#J6h>^uA79U(2I#itn0HPAS(e?8>1nCEAdcxT6sHz01OTS zX(CvsuT7jJfSe6S*0s~epBtdR_ijvA{hhbp(OYl66$Yfd!vGcgJ;49|SKil`-ucq_ z02LfFHa?$_Ki@^9fM^-%7V0O6vXCk``^>X+;{F3+APysINn`lLGypiTezUWL;BkU@ ztgEdJLOEXL-x=@e4!})OU!*6z^B5yaJ?!pcH9TUw3AN*-1*J8+M@T_XbAt`=w9JPA zI^P?ebN)XC=+Sn%SfBiF2k5LVyO(}y&fJ`j1kmjSuCvASFHKD?fept1eXbpNmjP&P z*g8AAL!N*Q$t9^M_VDH_F zdbDcK8l8E;S=zI6uiZ!+wS4J{Fm|=Gy(=uhAi+8#CjdS}TNtR2k__`r4g*YLgG>^} zTekAd=-^R?VF|QQ5FRn6K4J@xqPUXcLakf7CR}V7x=)8XCZOl#{~DlUu)R3Q)ykrP zbgY@#ybr_RhM$YhA_8y=3JQaMJI8{aJ6mh(Of$N5<3IbrmLo%3V&4yVduTqYp-#Ta zMNzzWo_l6l74&>ItO5WA;K-!|M1<=B7*{j{qybP21IC_>yrEak zQ?SAUbU^2GTu+_QBcVhL3SdlFlKml1$wKnnwhO+{6HleFhw`JK#&J>~0C)qIFiMVv zV{p{Xt5E?uJ)hekHVip_n$%Kd9BK*94$t zi%Mcfb;o=AdV~H%R23~+2vZ=K`i_q6NrdUsE(pPu9JHNw40dJ21hV+FH@VG}vQsbXDGmw|Qzt)@6t z9;Ww4XZ1Mkuza7C7M-XTjRNqT_wskaNr(?oL7$!Nd1L?Ru`{>+f&1L zVNav;(cRug3l+V4$;0kA5QN(KTyzDv#&i$?=s3r} zD?|TnL+=0641L+&h!!KAdPpxB(nz^k}J3g^V7r%d&4!yiz3$7ed!{&PRZ?yy7Fk20yO&Zxe zSNl&ps9ihu>g0ombk-T?>fEz0(1qt;qDwEnLRVdJjV`<7N}Yb_EW43T(vIVIYvuAa z<~R(h)4so^wmujjz&6Z|HlxLYyM#u3i-`u7{Gi$R7si z7`_l9jsW_kNC8~bpnE7e$~HaJ4yZb-L22umO57(2*W~2T;xh42FNq zZpXkL3mCl*oqrhE=ym^1fKCXXdxEqC4hF{zL;5g42Rx&IPQLqh1@vRpX?SGBr?9zT zjNb=D4!F-f4;mfY%6l<({`n=PC9xURJ^i5tj7M)RazOW@HvrFBI?}Hb!|GmaaTC}FxdP+kFV0yZ- z^OUghdZ^$w2A>rdBH}#YOnOB+eb>mGqJD;83ZU(p>hs6ug)Qi*#{nIX4|M|u=4?oX zMjaMU7kc%+xAKLyr+A71AoG#2L_kPsu_kf0&|n}(Pb7|75$+wLZmbtrKfvyzQUUM) z`X79C+!^rg{YYrv!~0(~#?RPW!u$aKTW`D>Hp2aY{|jGuK7{;z2+RHV-lvb+hd)!N;z^5z;1jhVN-biOJVS83pyJ{|na-8%5|9Xj~a-CF+am{Rva! zAyV|$r%XOdkDHtKz@EK2`}8w4x2scy$e|jbXCx#AQHW*BH);LG6ID>yW}iU;&vYeB zPE+EPM5UOgH+9O?P~Cspp)>6K?ADCTTw@$C`Dsd;X3Q&vfiekZtg;MHmTILfsNy_x zCUxpUo*TMIVCfR!u2u&I&Y}vX|4?KLoKK;~ZHPeo3z!t&RzV!$ChhO}o{`r^xqJR6}|Ehnd zGX6jRL;U|0GtGbXD-b)T6!#zhp|`*Ep7M?DB}`7xp#!JrfBp0y^q;@_PyO4!{#%F` z{OO;6$C&;%&B(l`*Is+o*!7$Go4@^u>_hc0zx*d%bpH8DoR*-~>sRZS|Mb7~EBl@= zeeJ7SuxzoC(o>aWhC4CYSn9NxgOh6K;>|ci|GU5axmGV*c@!Y}bHc8!!49BD2i8QZ z=3#U$EJIWST%j?^oUY^lb?r^ZEbre`o5DK)V4YygC=H~Hq&!=Bk! zz;`s>hYp|*g`rim!!~c;+@QJ6`?fATVUd&FIZJ00cv5;st*Ud>M%k zFNw|39@a4IbYLJvGJ3ju#&h#tt?o{ME5UKza7HP{TW7$(5#Tp4J$T|lop+ZYm)+fzr{+u!X7oLAXFB|Z`_UdZ^r~&f#zxoPb^SwCN_uYH1?)unWy5ag8!oHn+(#bl-?D<}^iR;&` z4^{4nj*Z0Up%Wbg(7j*%zhdaes%(z}`%z#X+Sb~Ctq&cd#Lup$5S=>^By497hx7`A z%Vy0w(&gpFoIzh<_MA|`PjNLlbaU2!^5Tmxc7G&*{?J1Yjo8WjUUg%qdWRR87rIoV zvleSs*BrH0Hmkn4T79h@cG7KOjSi~5uURGCHR@hsH|w%RDp_aGKhdXEzg(f_AJ%E; zXWi<0tyRf;64bW6Rg1STR{uN$h6Te~xpak=&03_Bjbx0`&}{)fDck(7> z>7>o%kU1w8oOX^z?Yk@NJw;QDDH(`OO`NLwmH~AQu2p(wiy4)CV-uN5pO&k1>Iz_M z2L2_*<+cD0nsalO8Kpb}-c$qD3^P*MW}Ncudot{1?bel79M*;Bou{&bJS73>26odi z#N??BXj1L5#6W0+ou_$r?vg3YOPFd#<?KDM~iSV9F!|zo`cH35e>X29OWOm0-tZ z?@O{{O9vQI5|ovfrLx)zB~4G&bYrXiW_-sMEYgP68+78H{kr1P%XHU0AJZd`e?l)j z`#F8^=3DynKl&s6_-}r!fB5Hr){C#buKM~WrA$pybwQav`{1YafBx}*2T%`eJ<9TN z3Sj>+%C8@N_j_7k#&q)3$?6>J(l@{LfxZ7f^pC&#H+}s(f27+#ezz{T zyTgDIse$pq@(|*o5}q}OBS5qPg9_-d*4SKY9HR@c`+RG*D46rv0JtlI@v~1Gb8YWn zAp2r+s^sa>Oef5bC=9RBE*A#Fpe0{c8MGt_v3pUR&p7wF=YHK>J~b^qjyE?e+Z^0v zTLXz9%9mj02=+)T9tIj?k0RRGqJ<&k-fectJ8vlD8k@HuuuE54bD7j}ZS>K3;gR2A zi(HY7_e9_C8}B9jJlBWSM24(*dIG@nVJG9GLpzT!Q|w%{Lx_DNKkZ{_kK3{}%zHom z&}q8lqKkEdfj*Pd2(y3c(NF4$&wN(TeePMk^3uxz&;f1$9FS)N@W1}S*TTkgcM1S^ z-ut>a3txEd^MT1f{nS%IV}W~z2m*Qi&)Y`Ii--a1hA5mnblejh59*J!;_|w;t^Id$ z=*J4XAG3We(%$DvectxxX(11%kIy`hYt#qFE?(;qowybvRCZ1I0KGs$zaFAKM1RZ) z{>ck3ywLHH0J^b^0o%Dht7!tz7i!js1Nv;WRmA|kr+KD%ljb$g=~qKvi^{rd)xFpb zYQ+K-Z>Uz={T*8R)5U84L9<4FZl3gO9h!bHO-p)ip)LH(agb)4JTJ7^F{EVR5Ok#sfNFEH)*DBC`xLKtv~!4a{L6i6PWOzxjC=UZ^%RKGVX`jj16I zpOlzn-`y6u=CZ$dZjD0F&VT0pfjsffv;Nme9@Fgi@Musx;vdzfmtHPLS zb#z-~{}tqqh5i5iSAoUUzHQcMUaQX9Z~h#(Pihjk<5g2Nddh~oYIq;z~h4&O&u)tS6^MocWm{B82-(GCIc z%-}OHQWU_!%v});Cx-S%3@+UY)JD+m#yDHj6KK+7fB{375XHda#PH~&H(8Str%{F8 z^!RWN)~74Oxxpq{Kp|R?0X1NA0FQ#XYo=3ggY+zb?z!^VnC05=cx1+Tv1VjujrU8( zMi<9YQe3wjlp&3^rx~cvFhEbV_mPI%wR2YhPoz@Ato5-4>Ko`+V^dQ+Utb&Sh__*3 zOT3EPraCJ=&CEO4B_=pJp72Bdg95j z@%(OM-q&4otuDLdQk{AF8Npe=abS23sRJA}Y%-k|M7Yp=C_B!K?rn{Rf{o;~N=wFc-tLkl!} zWQhU#LJfD#Qd@Pi8cM3w+0?2*@?o?4)zsIfimp0!EgVw+nt3YOT%*Q2Teb8DOVs~^ z9*zCYT=l$Q2Y2FhHEe6t(AL>%U)Zg|b++Ia&ew3~kZ!s57QOSvmvqlvAJ?6Bvpn?R zgSzSF8$$ke#qt%QAY=KGWjb#2aX~&60LNgGt91qdBL~dMnfmX-@Bv#m+}waQ;}*HO z9nf9#`PTux$k=bL0cDCA+|&S~nN+z?jR6-R9=62THpN(c>ZEA~>c*reCx(Fvlh`n% zuq!j#7;M^Q67BWrNhwNAN>fsLmJXbLww^Z+_|q>vug|>ntZu&V77dK{C_6t>1%)}P zGuGSI(iC$r%s7|jW@*oH=3%c}r8ENqLg^_6(g_CGvCaU(?@1cAn{AJQetpNF?Q@!@ zB&KW1v~(pVrQ0#3g!g4l&(Pwrd0M|_g&8s&4g+EX)g(LS?6e%EBxP#4or~35jtebf z3uX_S;hU;N1MtbIv9tmXPlEkjvVm!_eP+GwON%)bv&~30nd4AypiyW*l3~tC658a( z{Db2|Z2(|{BaldHV~X-K)0AVMH=Su-2I`2HR4^=IuwQ-jFfU!EjkYgmTzrvkxc}q2 z(Y9R%Ecy8bq4*@p_I*nUdeNeBSni;xO=??&L^!^bS<#& zv|X{^)GlC*seM4JfPD`A%(h{G0brn^__4ceFHAj%p%{o~oAJ#@{xmP&oZe{x ztoQBR7xs$+QrD92>Fo&}A!xr-0OqZ-u3G6*)IJ0X302zTg0!kV@^efpqiq~$X}xpM zX9L^;c^^dL=gX(5`M6Y1Q;h#?e;3tXcc#zg5d=Wq0e|9{R5k~F_RTl1PjI0x-oxJ` z3Wkn8`|EjfJ}b1gMXJ~dhr4#Y7v}_>o15fJsLlFkqs>XhBvRaH``qMRA3Fk{Q{l$)^t%mKFnyV-W`Jy}%^y=J5{G&Lz(lcyS} zCl~`x$p}SwdB&EH+qO|fB?U@KnWCKh6eXr7C^aKl1=(4#K@e#vTC#SzK6clwI$_fq zWu+Pr5S2(vFb82;sK8HS7=$?!{TbO>otdu_W)$c^L5cR7G2LwYwA8kiv1eP z%`pcfGtro$fl_ATRArf?RAgIi@-$W2d8spprz|;D8Pk%(cT56kC=i@7O;aZ&8XKRk zJiDHGg@xwOm{B$dWkzzWdOLBN{oWjjMgyNyPCTH8?z>k{Kk-?;@%pR!1~b0@=#TZ+ z-~Vg<{V)DOKez3v=blri8HUMb>|xIUI&2(J#YuEA9l#u*=XX3OEDhLw_q*Q>440Nb zA6nzXSpW|Tt8fVTTYC6$NL`SFA~Y{R!!Xfm>nQ6G!0`ehpQ057cn{6H z)Tf7%`5SL}b5MuTEm^eG7|+P~2C#<-W^pj5&z$f&AP%7OoJW{B8{u|3Wu{D?I({y^ zAdvF_uv6jAy2lQ{%n_OaoDb~Z9}GJH?gLr?p%RK@GiL-l;(!?R*5f_ZUY`TVV^=cc zte*;d);%G8oCd1xL+`th5ER+i2iH-rtf(CC<;G#+dp&m^t-L;pxzC$7K1gNahJ)ZL zY##293b{nbr}~67|C#5oc0k+H2CmTOPJnNKy8j)Y8@_Yiktl-~L5}B}$1BK>Jo^2E zGtAoad*ycp^kaef|5t!cZI|6YKYaDoSL;3!KsV34(QceC*EF;mpi>C4NF%crXs~NY zZ8dh#6*cO#n{~h#`tZoG+6OyT*Ju@G{P0=oA^M*A#zUv5sR zEwln#fa$jQ(p6Ycq0AY11}O19aYmbxM`lYT9}1wS8la~cNTnF)B!&F*l*zFwcG~L_ zlMqy4?B{f)BpaJdK&@<=jz4ffr(g34H7&hJRm(3|$+^o$P zKA;t+d`xq9ovo1*PSb&F?$#l50_JZ&S%Yhi*V_H3>-4K`)cQRqs-m?+S*7KgyL_3> zvU4+N3owB&yBUu%d%fO{9fLK^_9@rEYJ;7pN&}bVuz!FwRltcM-%g?5)T9IrkIm6_ zHyqaHRm+u~k{r~w64Eo3Fug!ile3j>pI?%YqyxhvI=iD+yEC)3%M9h^Gh20bSG#s} zwyQHYM>EW*W+bF3by})Y6JvS&JTq=p=HLw3bz4L+Us{$nmer~&CDVYP2~y@Xq@;z8 zfaIykN;gnXvd@W4n3|$=WBPdp{N(bJ?K&jcF{IHlIC+veBhw7{b5&YiqPhmVZ##@( z*y3Ge24c_7om#kPkt%AdH8nNaoU;UTx?+0l9#-Z)0t7Io0N}e)!qW=^Tc15VCxqLnW=9PU(U^&VPPm>sj z2f!2{_t_3a(y$LF9yl=+rvd1JS%jx&;~RN(U%w#cw191u?txYh*wMC1VHaC~xF{Cho@4*&zc&de9Y8N35C zIdxdJk4}w(yH8wmrtfpoL*yXN_#NbV?(gSwqiKc^afn9}xHq`=?vS_x2w*#aM(+i} z^0ZJopnFv3_W|_(7a@1AYw&xWqCV~c967FASy|Z+%mJ?cNC4f8Pp$3TJ9V~L`iIC- z1BmlA&^@S@+D0|lV(B&z92&HRH#$q*vwBt8TB~Mb>U}Fm)wsSx4X1Z#&Layo^y#@; z@%%ci_{2Ij?Q2)t`fd$voU4w7{c2y-ui1+j!?!@^o_CSy=?gFF&RgynFQA~}7{hqlp+n&Wa>1!yO*J6F5WqBeeIACxZZbk- z=syGK1jE(uu_dtr>VH0ELKr&APxJTN)g-S*aD;y9#NdsNofJl zr%g_c1NyX3fgW1Z?0qoDsR>g8sHdl=D$O3V(x++fq0@E29iLbGrn^*q-2KYmdY5Kw zzD=1MZd2jbJ5{~&HkEI>L(MxMSNXmNl)d?8^({Svlv~wSSOx*rUAtzwm_Duq7p@?7VSS^4#L4R zR8&@@1Ow5m{5-XqVXC!bPD?PLqm`|xRtHZ#QS;1+%SxCUs`95Kq-ctrqqM9dW5~%W zG=M$H7W*j%-dm?<=&XtoT~Je@(+n{8wzjCxjAt6fgo&|pl4R$kA+JEonp(87utW#S zYjt>Lzs@SG)0x%nTAf#+@~KJ6G-I5SovY*wGiGM^vg};Xu)oc+{VFy?2b*s&=b$-# zx{Bx;FaRsCeaSILBqa&KqWGNoDKT(Mh;JDwsbTcwl*9y0N;LMCJT0^+V&v)DXK`Zm zJ$DUokaXMv%8haD-MUKpgODLw=WiPfm!iHF*KN*rvS@8xd*ee4jDv^X0$AU^mhI;_dJ zsFpf|RB+b$TO1V6?|ZL48$feZj(=e2=t8_mm_9l>)i($8i3NPoNPxf3YXauSYbJb- zxhn-mg@rs7;}XBz130=4=)8}=k4nG#B)R_z&?gGbjOWyU1E4!V|F#U>H4+@qxppNb zB|k6+xZ)!L^k4kq7ZtW+uh%0iGt45xGd70aGo;39yXh*bjOBEMKD?pXgX)_-pwfC< z5PdV%zj#C)tL?x}AJf2P^HhFngPPCo(%?Cx>O8JrgX>2%XU#%&j1H)IR<}kLFS742 z&wbfSjV@TI+2+k{*s{fd=OnG)xGr44bI&!9nf7|20&*K5pw4-&j4hG0Ys7+ z{A2^5qP#+78|zFoFrQ{1J#Eso5L!>35(}{>87rrVEZNxnG;;zH?e(dXlVX5wtUlSm zgOGb-k^xyVy5;6^lQ%Wz54m_*YNf`)wbhfD%yOFayDPBoJ}`r#+n;cxcOF< zYz~y0ZBMIU@AE3&_jwiVdP2p=e^LdT?orYDTUEO5dX=uYTn%flQvJ$H)v)qn z4Q{?%BkRx6{7vU;;g$=ua_42*eBxnkJNdA-o^+))?7v9o-SB`eyzODl-LywFgJT+6 zwMiFTb&F0pc)sczyY1SxsC(Y1&bjbxE$;7DgYAEo}P%a}ApbE@ie^|~!O&w%{WidNlJ z-mVMM%5+YCy$;rOsbhL>s63vMIbD;}6P1>npei$PgQaEa&&ktqjcwXhUaxIgB|5FG zS1XIk)RUU7A!GWhYZ|rG_PwXTt|{UB#2BMbOq>#m7-_l7FyogRG~|i47{gCV9tTcu z*@{ZNx~LC;05D((Sk&~sqbpBEICG zpcSwF^--Gux`$SyA$RXxj~Yh|{IVs>wRG{aAUriTe_;@xB9G3bGyt87cF&*l-1F%O z-oVTObXYpS^A<24W$GhLIR;V&{Ep|G9|v?m4|eTJlz?xooe$U{!ZVNy>L)0~bwx9m zz4h}#w1yBmcE*RGcu}2;uejKXhwZyueU0HMwr}jGORMsELYy>!%|dL{<~{jDsc?opx9-J&&eS=_e%(T)|8L3AqvKQk-1^kGsIZaG z6&Q*GdD!C4YIH!^_~Y=b0O(=pPg*+b=dTUW%RUl7H>Ob1+uQe2T|<){0G=~p@%ifS z8Bl$VdB2s_;f4#k%6&cR8SK@}-VUwUuv**q?Nx)wI1wO2GCvlm7ClJX7FYyhU2Ct z^q!ZUshrGor5cN-HLEZ;$9~QEZjb6 zYA8VKwZ%Y-9XCX_0s9mK6aYOlDOtOAo~UbYc}%N!UZrLG4r_^l?a01M)wlf;HLpEi zZJV!D$JURjee0vD-}Q_d_B^MW9Z#xs%O_Q|@nPkxzDEV??o-~Hj~lQ*pu)Ar@>kzy z?EMoe+xCdccRixIeV|D7;J$*I%KQJP{vo1$T3QS+11 zbs(!$H`ey(qO2NSRMD|^jXFQKM&}mR>#C|w-P*Z8hdUSQ#F}o+w*4zY3!hX8-3f`Y4h7ou_mE`DpI|D|64&7qQ;n1 zHq<7)7abr3VA)_}zRw=E#;|iN0np7ZoOJMDVACFscVJsMwlJu=p*w&$5snXO7Sbrx z^{^qvL@I-NAF8-_9DhQvQ`n}tp?ZICTv`=76rFZ9zM12I^MOO<3TA-K#EsX(T=01D znmY*2PTj@@)}J|Jd>9Sj&jd9o;!@cY0cH&JZK}%w=+xVzUIX-bixz1ANe6VXvF2ST?bm`0%Qbh)GR@h%M4gLgt7T4~x(uLa z*+p!##n{%}t94tB)5_KB)zdv_o@~E?-jGJk!yCeDpUY$;^Ujwoja9o+-DwPd;oPzD z;tg&dHb5F+$2%mfPN50UeO@v*7w-eC*Q{DSUghpNFBTM@X|y0EHwVu()o$#mq4zv5 zdxmmnOb^n_+PCZ8(cb%ez8~15!>me;T{sPTE z;Yuysf0ve@`kYY&C|t|eOf-FM8!B0=15IWnjDH50d?8}>F-aOWDXWGyptyXm*5yx zZi|YyL?5F=d7|NRKp7SVqk`RfE)-w^)L;dGWK^d=I?yIMa(2>hsp~!-mQ6_s-|vi{ zhyyaSg!zdaQ0PbgoIE;(r#KtDj^jZno?d((?8C7DI2c62pBx89(YpfxbXcqBNDTo8isc!e_Y2?ZYwetwD^BiW*R5c3W2)P#*mIN>_EiN4o zu>;zk`)8kBdjN3YwE*aLOccdA7y@2^7Pe>2>eZnFn=TxjGql;M_aV|jzct{_`J(Ou zz^8zaes0o-h^;*O$R~8)$M4ncx84?_jr4(^bJp29>BNIUQ=Q^nlo3bbY!%S)bL+Fy zeexw>&+8mRV|<_rwvAc&Xfj~7(Bf?rU3>+Jk5 zhqD|L(A$pyy59rG0`&2=OMn}ppg5@e@1md{QcOlbIo~lrpUyq?{ZD@KlZ77@pyzgW zc0R`evU5nf0*ZKH4YhVd*`ja*G}}&kdzX2L9qnPPAU%d>*^PPHS?B2BX=mxsITz^c z3oqB%=Ut*xPCZKpPduc}o44zPU3+!+eGl3~xJw82o^0%Txt+ja%`xYJ6Gg9QM_0RA z3?K&My^-_HsaP-)>(#{T1IP*I;Pq12!3DzW5<^Q>wgG(RjPwwyC1*Z4^j1$+$~3~jgt4f8H+E+?Jnd?egYZnuxKGc> z2&zrjTzxp~)Be5tbmiq&sBfrGbC)g9ar<_wZ|*D=*OV(EDbd)gdCla^Qd5+gou&NR z8kO1ktsU%F|GdRouxf)=Y_UbZeTUZDdE9#N6s_NLKr43a)%;B-XzB3>wC%Kuwe{2s zwD`m;HFWa58b0}c^`CgZ+IHQenr(NgY|CBB-FUZ>R@|<{Rd*|Gs{#Jj$CP^fXO(*J z85JBP3h=ZlHaw!H6F#Ai z(w-S*Iwhx8*S3x7w8{?6O~_DtV!BGrG0LPG9(h#TN^Pq$fUlmGpwY@=ZEdR4NiEIV zW6WV+S(OfzHRz(!7F}E3qZ{jH>%4{mEiEWlkzKpgBy$eZ5(1c0&8i>Qrr`h9_ zV}z)qMP-wYA=EOlm5dG0jOI20K4$2M!1vWF+%YG6LkZ$w*maf|I%}gW8i6rzz_`(y+Di4!zh!R2h<6-``A=B z*iohyW#|}F!q!1`&VZcUIjw8t&YAA!%p3p*uxU;6LO4J?H#;v>xbxZ(E%(}^*tzR= z2X@xnq`LaLz;M}b&Iin$bxUDeXiGC->@Xl4wx5UNIaR{7?4##SITp?fp?J3fb-T# za`g_>*f>J^*fs#0ji33Q7w@q}f1cRh<-m_q;Q4)@lMZ-?wk+%w+6HJPP{21WDOB`R z)W>r|`wVjN^akhKBO^Y>)z4j&o%6_WAc{tv`NGbf?envzP&$7{K=+Wl-?tM1J*of~ z1#}1XXv?MV<2azVwTF}jA$R-C@7;Uvy?GxMpl8|5@igAv5Y?$nnn5fgo=YNh$c1p# zo}Rv5b=irnuBo<*-x!KkRxDksts8f1-_Fx@+QAET&E>c1+AD9-tvBAOkKglvuD$*y z-E;qg`pl=F(vzQlMxT7-FbeRwG@EB!SE?D=DN0G>_f%ph+Ith@qBF*b40Hf~LR?E0E>e%}GySeR zwjZzi?!H&^hlRa##hdfcg?4^`x_Nepj7k|xnAmlP}Rnr040acQ^W+E`hxuEYejQ5{@VrqYaj)#Vs-%dJyyR*gop zsfVMQYYfo#Ru9&|{r?=12*5U?jsc6y4 zl3Fb+tkUe#8qLlv)uP-oEzBv=*o*=VC8TO)%5sbL-BBzT3giH0fO2X~s`tMNTThA%K5>9`Af7lP)^q7p zy1Gi)#KNYiEUU9-cLXNNw7RO?e;34~`#y0rFTlkbhVl9L`R7NAt@xStIt(&7VfyPa zq~!Qvycj`@B1YN`HJ^>0z{v4_x&;7sKpg;b+u`6IozCW3=NQNEo;h>J!Mv-ZJA~T3 zH4Pw#kpt=gHm?zG&&tdWpbo(Mv^6#daj(i}3zDA*#Wd8b%?vxg=bZC8edwMy$M*G^ z?O0(XV&VK)ahC5l$G{`!g>&I-l-B`wA{|JsqJ@q;sw=Aj<~(O}6*g4aT#e0#tW2E7 z<`dQE_#sk4WZ;fF?$Av)-lW4Sx^v&1e1v{aoW9euTDL1Navq*fiAu9GF^J{WkKNL#v5LluyWk_X_&bdm zG#99`vqIHvW>A`HR9aQ0vg&e`SC%nlM}-9is{_>XJP=t)gFdw9eL@tzG(9Pp59| zZP$*1BF(b>o|%-Q>Wo}vAVZr|q0EvxWfWIxMq!aT9y9FR&QMKhs_jdP@(WT`T#>B; z+cL6~%`j)GrL;udW{?*ca35dMrhVlzwKKooKEFX5YP)qj48OQTXXUr)g1ly3k>8?Q zO4{{s*L+>wYEFR}^?}?RRTz+!8T-z~p)xj}mO4qPGwi(CmTFEAErZkIN_K&H+s~5- z#ZUS53i9aB$Eq-o&P0y_yR-BtNXK4J9+z>A=CU39qYeQ@dOkbe9fIGMnMW(!ou%`f zS<{4x5n*zc$L~o4z%nWPgMkD191HtFq`^O%P`y_edohtSE(|oqTG$0X%Li*QqqI*%IBdYv)ZHKVt)1t zY#bC`15^pA-*(Hbp|F?m`x~#l9$2~Sr#smDa1;irAn@aJ(*bzjXxWAN^T^Kteb~$) zfaMe7hv`52%;$_aeOjM*_~9Vyipm>`ZYaK?{C4WervzI{igF24&CG^&$H@|)?C+aDU+NusLh@Hb-xHx?w_D2QiX?Bu7P3w;5J2=st zJPsH@_nuRquGDQF+ad#nk3Vp?uD#etja1@>?)sPfWoO#9>p{kNFJK?g0A@8z4B_%~#yLL^ui5ePM+!T+P zCu$6_skYgGrcO1*HL5D8QkF5v)af~9#E?{-W^5!=brojOfLS?PA{ zIcjX~QqSO+Mi;Eo*z%3qedrwRKK(46eCF9Yxc`8*n}ObL3xD5=WjcSyHeI}bmv(R6 zppC1SY3ZVcLC&|MyI*Zx{it{N~Nwjipqb5xz5t?JxNH5KHlDLYGD20Wu?P!^b>UfR~Kg=SEe z*tPAet5vog*9>!>lBXpHF&~Z_9e}hT*_s@Lcj#}QJZVa7JS{WlBMdn@dNc~wM+5tm z*sz{R8fGGhj%%>|VPTGSQiAl&5=lGVJZwUq&V|?|MR|VsT3@NEDqIR)JSC^`dfw9Kg&8Yft z3pdm-ctD#LQo_}3#`1`cc}tr2BzvI~hT6mO7)J~(p9gRNBryhA5ghNJ<`@t8hCXh) z&g61alT$+oI4>tJF3Z{$2DdadG{qQXynv6**;oj-GTfz+wb~KF@5u(}*f>=203)6^ z*?ZA37@19P_uzQjmiKLYO;70gHc<4wZSvruZOqOO=Mlj4qTPLa_68Nq^UgUpq~VxW zcB`FxfEO+Gr=NLRUwGkpz5L26dhPYs^w!&N={=^Tz5kW*?cMj@)!ScsCv5NV`1YH6 z`>i((+h62)(XF@LrfaXiP8VKukxoDJ44r(+$=bPlm)5RZ7m5PG1=6A8j62Tez5Nacz$^G& zNQt6Ea{gcopoz0XRENKJ*4X?+|kLjX^EG z@1di?ex4Xc509b3eK1VYV>E7bv~M(*K5KZk0XiY~n6Qk!_T8IrzInz+1?b6kfjEIK2gf3p76J&klgaym-lCz4h*!dgcpH>xNsd*V*TvrTZSbSGV4Ii~r<{JW&N}~0jV)!duhgo=%R*m!4>voLhRi^;*afEGqt=XbXLpyn`+5x=+f?6d zhM|3C7-NWsw7@Pf48!$-85%)&Z!jKNV1bPU0fPkrFjlW#6^spIU>h3DGp}n_Yojgb z>Lyhim{%9tR#2_1>;k1_WSfCYQ{v=Un@4p+wHo>xm0FRe8U5MnKWNUvWn(()xzlvw zC--USlzEzYT%VE~(lxm-LHYH?YVK@ON2htJ?QI${uWfYpTy?aOb6c#%3)X5*|3Y;( z^{cV6H8A$B=1%*$O|7-{>Lhe&=efF~%nVqbva>S7?BbDt7isyLW!iY$25mZSi%!_J zTgUI*qvMX>rOjJU(CT#?wRFWQEm^VDwk2A$JXY93u@fQG#`>7i#!zFVnTVBbj!8~- zw(|3Hjp=3CHbVuu=_<<4P(?|u$_leoQIw;yyet)DW~ip5P=)qbUs9~bl2T<+tYgm5 zw4{{r+44SLJ~=rx6fB}^&&G3~OFyxyJ(@d@K0m_LWBT>v;-`f7PaY3dPZ?+XQ-V$b zvb;%&#?BL_hQEnTx0@8eJk}>~j|uj@iSaEC=#vwtj2GCsH0y8Ex;E(;z36OI5YtP3 z$|t+pE*gI6V-V8*aK$ zcinTh?tkzBJ@V)$^!R5!t!JM7oL+qCi!snQkbdvIFX^jaWmY;f(ccTt{pT-#`P~5S z@4WL?0QxVzYpfoCx9wd6{I7oP1AXlqU)TGLTK($#;k7r+L3ri0SM|~>F9!#MQLPmD z(iMSj0fIwR{X?d>SE%UUv|(eYa|(0Q?U=B;oJ-dN;QV9bX}<$gT*5oZzS{G-_MGDd z(A^0H$fJPny7a#fpu4WU7Z7r<0O%8^tsMdMff%3<{W_pK9s=kn84@`n~pS$K%U;H7@i zZdPtyJhlrjz9?L1ZgKz|K&KMk_YcDois<4hM{|OT+}!a{B4h7rC=O|E4f(iM1JH(Q zJFb!{RTovMrl?xE^st(7OES+tf%(a)25z;bY8Y%%ded}e4(Dp%&}?nEV~ZaD>1XuZ z|9M{fo<5|(3+5?rX_=Cm(o{FANpqKtYTf#kTCoEh+XWsrm*m`<8)Ml)I=72H$wkFj#n(?$_KM`wQ_W+`dhm-zi&jN1EWe$&oS^w4#Qg#r=}TjBpX<#*rS1V0{ZTmn#7hc-N2s0 zKLFi;(7-1%D^E*Tt-WNs)?-vDcQBs3dEKiZU}b!`NC18Uo3w;{Xnz zPn(uJ4(5f0#Ub(%M#LKM2c|w{>QN(6J#6kwJqq|S(04$OF>nuSdp7K9k9B;Ien@7(9^WLDd9uKkey&iJ+)-~8UfZoz-c89h# z19Xmob~D&wOmL(*06J439nhnasV=ALbH81q-L)_PdqADnT-e2(0hdvAMK_)Y3E7U= z28n5DYYor4dwW6wGGX7*d2_X7*;1|FxIriE+@bvk_UrW159z`S&)4C@SB13Y!w)}b z;QOeadFCm-_~P?=iwDpF_V*0%0q(%|4ZL{-%-{RUm-UW;y>AZq@4ok5$mM(J zpHzUS1E>*r>BTRGDQ!QGW{alDZs(nUo-Voc65V^>y~Ykcr5C>N1#R89$yjoe#teWdI^m|~2Io6y4MAvwf8YD- z?+0&`8|`m@_EUZ95C0$(g^))=vFr8MUk}C!FA%v#77#3B9)&e_GXUuH0dp)tNCKre zHo|R)Qqmt0ee9~zNQ=FLkg8pcgFM-RW((rX;!n+nlh9+kfr85-CBD68a?u( z$Mua+l$0aQpEq)$_BPEN>gBH!8F85;nBx6O6uy3xI`))x42TQ_U>t{vv} z@7JM&`*hO2UD~yMi?(i9r`5}sYIJB&Gn*RCDJoW}U9*1MuXZ!~X|&kTQ)@uY#`go} z)2Gh}AdZfGPEMX?%*c*Spo_mJJ3Hs7sb)S=En02vx$FS&Fy1(ryH0l^-<6mY7&#$! zM&2e&nHILGlc$9g&Xo8@o8weF-&0ZEv%gD7wAT_6!${t#32_G^GEilr{Oj+^(0ODH zU>E_k{QP{4nM01$DN@O>rd2CfYX83d0hG6H*%FGb5P72d9Y?y_K)0@{I;aYUUg}8I zG7O#eJF2i(uUchBvLUSTmPj#L6kL7t*Swu9d}ed=c%2JdlyNu3+q7KuG0MuldfQ(M zTRea6-{X07z`U;BUT3P8rTFgcciz#L4Y0}Mf9>mE z3y+M_^}Ib`&d*`{%KM=fz(=i;&!>9-%{Sf*MSS7&;st$dd=E7M6!sy|NF!~vd+i}eV{?+WU_2I%o??Yj96=CRSWAI{J{-yYsSG%MIP+9xy2*4pp? z>eN$D&G@JQeTp5xL)`Ejlmj{k#f^gZ%2Mv3arpGZUwf)BM+e`&WHnH`8-p z_`Lq?FATijd?VzH(3Ae!2VWZ>nt`wbPDZbJz$_?NE@I(0ptHX|mbwO9B2@x!|H+jnWt zvwO7qjx{P>Rj!QY40Vllsc%+~7S0>d#+A!8(%+{=qazv!`Nedlretb*M!qqTM)eL1 zYt{M zZ`Y_9(}iJ}DON<8P0WIfUT42y^@TZEF5AfJ|Xr zQd|y~bw%Ym5ir1^j%w!8vJi5|sCug!k8BjhkrQ8GpdPwO;?u}N_&QP%&!bOl!x8Yd zCbqCfQO-FI7#ENPdF0P3;^Wh z(W@(C(yxF3fZ$Wf93(=mKpgO0Y0W39xkPGIZ4FT@*I8%tfhY|5nAoT91%%N;LKkIm zy$0w+ ze}f)^+0(^~7yp$VbNWXG=##f@-THvb=^YEuS$y7x;KKCHws=rIo>q9%+fqf_H^h0OpxGmds@W4rW>a$O3->%&ubk}b;zE1<{v(IJ0k=tXc6=02a zHvO>Q{r>m#=%+rZPk;6^y8r(Bg9Ih>rjgRb;|oFzc0po2=yPqKmV{o`{Ji`S-eD2c zHPnad*49>TbOab`R9RN4vcdvYm6j;Kq(B)t21?0^As0F=IYC*4Gc;$#sH*yFG`&4n z4Xf?^?3ks+=d99-OIB&u-CMNcf`v+NN>yq}iaPq*HGg!Tmd;D{Nb?xhv*t(W*sSvV4&l&?TBTceWOd z&DT0}4%RMRtX1>oYSBQSTFOdPZpO2^v{KEj#_)$1YkI{@<<1;csR4UxZkdX!jMbRO z*xTKq!R}TKbv9`6XpfdJ9M+0u^R&dickZGEnq{9qIxg-~-4qnMTu3c?uOnwF9t zKrb*_(cG?5Jfn;A{;RDDPtb0cBUL0zWTX^+X1Qv$G0;eEU(H~>uMN(c@Dj?+X|{@WJC zMH#v~DqcAs&Cf^M*P^Khk5KsQK@_K;Ks^{y+rp5NI75#DI{8kbEujr6-U{VyZBdr) zjNA*PoUsQ5y7<`6kbjR?Q%3>3bpqf=pO1q%?0mxaMO&xpDTX44PRQL1dvbD8V7QPH zfSAu1Fn}B#nQeBi!E8xxD83_)?_*E_hUh+cA2;WQ{61*|j)73RSB5+IN5LL&C+)=M zbHrUA-?h+P0(GJudbEuy0DeTICE8x)@5g?J9&Q76e~h-?VN3a&s6?-is%9b|VRNDw z6jq{PKpKMR0#1zU$A@vUai`5I%K2O$0~^BY@d*G3LHE9EuIV0H0Y?}Jb-m6!`>bEn zEpQh9{{qmtew`gLeSwL99(vCI8-PA+fR6YPfKJGL{rdHPb?vp+rhQa^KIw`puDFi_ z;->Z!>7jLUTP!^H067S5Fy2dB39Te(C?ozrA1FG?^ng+T6$`QU1aO~epucFrLMP7?5*g4+i0ep}+tTQD7JZ|CjA|6mF2u`P{S5hLDW|I^lOtD7iWx46u0N z;?T>QXMm0e%JI}u3^UUfej6b;Tg>(Ju$HN!q)1g|ManA5Q%d#>O*4?9P$|V8v-7hx zIDc3xx3AXH6IQBc`JifM)vLItRMoQ$OczzCxHDS?b$Lq5NK7Q^$eR zwdmZ7RBB9idPB8}n`=~3TcW-pbJ#{=Mqn1{fZ6jjf`;~7rqr=;M$C|mg-(UF>(^=C z*a9s?{cG6@b#!*CwzghnX4IOD`RC?j2Z4#~8QI~QlQU+J4FCn}CLB#682$Ay&%}hJ z5ROhXFi(kVi%*LT3`q^GQVDV9PtUkH0&zB8GD*_vV<&%PT`vVCmB3W*lgXVUf-;!-c~-Y6iVA06h%UL+%dXuIGNF z*zM?1q@kECJf9MPI1wjha%@YO93SQbP!n1Q&`E7f&9q0f+S6iy9@qV!8bCef48%Ue z9E&(p_kEgJxgM>mpEN;^HmU_bX~JyvNpa=6=-<0ST@=VYA~8{|E+aiXP(p z1Gs;_?+4c(Wz4p=cDtwR!ZpXJqZCIf2!=kyJak(REsYH-DJl%bcn;DqaDdrIrvegy z8b1T*6z}=)CkhW;Qyu5m_0-YPfc5ja)C~mikIxS$#2#gg(b1_6ay}n@E>hRL9xCid z%n2C{P6D&#c}@#*7?!eNEQ~dEhu~O|054c{jR5w6{c*`uXZqvdZ?@Y9&v=A_)PhGE z*k6DT>;O0X^Y|{JIW8590;vP~8E2iTS+i%24`<;Ry;mJTcPH<6&7nuz^ZZ^p7DGSM zwsxe-ePSQFJ5s}OhED5bngO~I$-lbnvdcaiKYF#Lesdg##%62z+T~idVzGI|i|uA#V8FiEfOWMt zY+PfHD>OVhsQl_e<+ao(r^^iG$|ZW>&;CRgeCZu6ec(ae`s2UT&gZ_QLoa_-pZ=SF z(4j{@qoUcPDxp?k@gjBg_Zh?LQh$eeqaFQW=KWBwEnr(vg99wOevORIIiliZ25tR@ zP2m_fY}%ryp8lL}zx@uaTDe>s?c7o!jq$*Nnr(nd%Mdwo*L^OuYrvRRX12qKV-mT9 znvaOGOq?zTpa;-_O~)(6*$COgs1BWWhE6*9RC793m^Xi}R<2#ARqNJk+wmvplv57r z(4o`KfCD%!;b-KG&$jp7ci#iL`s!-H1;)^e}bG%A>_wEb#IupiF;=<@Va66#= zTG%_T3K;esM}ufY7~*5wlvr9}a=e3Ja@dTOPl?qlz}CZNfIfNBv>+cmIo`bx`+GYV zQ;w}CAFaf920c;PE?Uet5&WYfI6kb!qY_>W7-jUnIoKm)>Nw?H#WQ6?`z*j7hnltTjpKZd5JQ2SRkN&)acY{ zNkLUDR)3K&uBo1q6f1&s)j%JiO2mlje`=X1BJ^|4xq>wCod7Ae&x`Qp#`E@GSLC^S z-m`Sc5fwjg8}nXzj{-zN-U*(AtN%XyZM^E=3jxRL2jcIIj!*UcKCijv0G|=6+=+(F zn;QU~mN|gahqFWhowl|ANkAVTflJpF=QGZ{$D<#^Lt(}UU>*hZaV5F9vjw1|n*c+n zYiH%km49-<1s9}#WPtv_0}p(hg8{%j%)-t`0e!q+!%i%(hcH}zk=@8$VL}q&ceIz8 zic~bNr(6~)|1zh~2;iSWbusd&=@}uPL=Wb@_k29G$gn85;n8QOpApXwk8|VZO*(k; zNjh-iiJ@Hr;Tb~jooMgM9z4DZsmK&|Wz+}zpHL)CeU9Fm1TjD|zO|d@7vhol@ zL)~b4agnCw(r0e$A4r*!!I!)mT>(2R_9m6R4}X14*|K)*_>>Qvoe zPK6ngKJrEe_@iUCFl-xJy3oLUsd<=jViKuRqf^eh!I<@^+8R35Qr)Ef)((yI z^lP+lP;>i-G=GS3i1gP|gu=~=$2n`~?M$UKIknB3w*i7N2j_uO-@F1h3~9e?}|yMG?k%{Si~@`T8BQsV)j)3yY-xgd+@)W?A_ z411XzTF+tzd1{yrmjn>gBF7B(P+^}K_I(PibL7n@q5U5R@pyinVLnp~$bFkixP5YL zJ60jwu{7j;i&o}4xck1lvjk8$OOK`z_`M%%EnXa$vV$*={yZA$cYP8EGok{d39#edVHD~BX3ZW~1auI031FYR=Am|+ zguu`ZOy@0_A6oQIKjZZADt8BTFN*WQGrw=8yNBG5#n2}Ly0i0%4BelHV;Y(rLhfK4 zedt@ZY29n&<>1Mpo zKJ#pydB&N#=z@!M^1+j}#|-Pv6LxCH2|H}t8T5C#F*EEYJbwG}di$-nLS-b9g@m4B z`Pf*VmT+wd*-lFgI_HeLOwY&&xjFy=ZpINg7_#%wrGla+6;^brvTj&;xow&=v`+KqtW#rUhZ@S8)MG&2T3xH&wifku zl3Q<6|3IgD`^+=#rAN3g06ClI>B6G71?Qt7Z!+iW<(FU4y7e2vf_UJk#z|fSHW*cB zNzP`yC5=k-Dbps~JqhC_?>{+AZ)4VbI>I(nV+iff$sa^fbOh2n&TfAw~4cDjwPyGH=afa`xcWMMu=yMSJY4S-^IZ_ zAy!`ic%W?#LkHBN15YLbdXocsB-95z4W;k?1P8?`TnTb3O~F2syi$WOrfVg4o>5OJ@y%-AVVu3 zLOTZhrRGG?qfdb<=X!?Sr`S=(M-u^PV4bwi96wk2=JXR8%SdB-T29b>C@|nJHJij| z*4M>)@<}xi%BGG9J&!pC!i4A%=ptCd#*0%ZEKxzF#df2-JJrz$6`73j`1pYcQ}p>=tD=htHqBIKqvhS zpij4Jap1s#*PeRnspO9g&>wyD(Yr%P!wk0kG z)ENoPf{+@-u3}K zbk8UB>}Q_Sy|>@3o3FW6JGX2$KrdGDj2Y@_G9WcDF0XA?1vBPr8x4%R)M5M7)!3^3 zHe)^pUhT#lW;VB~qO>Bgh;l0N(VH(SRYR2-$-0?ZG_pji7Om58AL#{({+4KL(FP5V ztWa~?fCdKVX<%rcdirLmqpQ~*`_*lr-PLD{q1(P|R*!myJJr-xulB(<_4N0Q3-`>5 zhuC6H((JHU9n{$v7=ge9!ahDof@xe-#nRSt>utA&s#z*ppMCb(0MhiFt~2BJ*khm8 zTW`G`!rV-!qFR=5qK6M34vK%*Uw@swf3*SrH9GISb9Kd)cFwQ3TB{9|$>DF=x-D$0 zR>h=6Lp5(xV@RJ=S62o@CzcDZ>YJ7MOkpPs>ICPrk z+I>$4&H}Sf13lgLyiX_DdMEOPY%9Ijuf6hWkXl7%mDf>vyXB^vgUTNAszj`CYH@BT z9CMrIKo7fj4Rw!1c&dQ+ID2%*#f#~@?JCxr-x&72p|&xA3Bo3QJ^f)LN=ln3GPx9^ zk_IKxH6;{s#zOsGkV@?e)6=Mt;C$!X`9`?M^#DR!mz_VCMs>goso4oFdelg9ybktS|hx2_bo*of23x89;tZ9Vc`PAa@^fcMj0=1$P~4Ef&?&^iBp-XWTO{e2$_ z=mTc!*`iTupPBAOam*F~&}YoZ!j3%m$}6uVePn?C*kg~~4PfJ?jE50yM_Gs!l@(!8 zcsPR1rx>}X=0y_xo#%M)x}q1&$Ka6I-{?dAfvVX?sAsofZfd$PES*mtT2> z&OY}Xopj2{K^y}{$9@rV!hq49hDS**myi;QON$mRFmE(ZE91jnN{x*pCehr|9t2S8 z>Rar!YBLU1=KaH8(e@1+^s!rSHRt41-FNTDjFEmyBXjyyS5>A~Vf&TJUT4=zC zirYfE6X=_@ML0Bjj`{|fML$QgNn4E08xPF|1~NKgOr}XojXfj&a_`6Q(Y^QHuh(9G zJ*Y;}qKI$|s#Fg=aGy>y4;zptEy8_(=t7S%o?C9Y-G2X&8HY}Ld?3j9!qOjp_~D@8 zPj75jSBD;a@P7N-`}Dy#zNtU^%kOHR-52EWzx3XhLyzq86)OUxzv#jX^y$YxrMo_M zhn6mmshn-wcDy!iiiP*PyL&?s9N=A9$=J!d0PaOaC7}Wy;0GL0IOBe#W&)NNErOd^ zkcL$2l%z>YOg5u9ZIT&9^!BGH#XvBVT7fCC3jL%c18)QWDM=IoQnAk%-l<_Ge4>lf zkc*!@DYQw3?}ftr}IW;vUDVZ~%bf|nJB%JR zAZ%%D4S)_{@f`sAIcJ`w#S38Oa{{0b_4Ni&-@IYHE;#S}Ahd&A>m9e=u7@6YFr=N( zPe)t@t#&q^1Ni8x^BmCs?597YM;>}uciw(S_zV>Iuy+V7ty#Hxd=3R5#r|*%&hPB*f#%?xpkL2pQ&7Zg3^`qQT6m7|358oVRW%{ih?8_gN;fHNeqQ}N z^IEtL@tnRpU$ixbyuR%h`|8mL5Al;KjppG2XAivt(uCThV{^NWNG>zGe(UYG>6AmK z1{`v1abO2ah+sIFdrISX1$54*J5JpZCBy#;&;fH-mGcw?sb&TR0q9vXvi@`bf&Gua z@x~h;DWKmK0G;`@gE0_>p*ceb(7hOr*J12+aqV{&F|7=Y_~RltLx-Ka^dBdO*I`vf z#++H8R7;1cMRp>&P=JW%WC+`!HBDG+>v3Ck;NXctu!iw^NLa%3*o(Cvn20ozvJV1RC5T3lSM(lRsjMFj!SQ&N(G!JVEoRrxbg zv|#R_y|+?%g&E4tXRdUTvZl{ab5(_Us&iD5o~Um7{m4L<8M-#}ic7S5?Q)&4bBl^f za}98dR8~=}@=9aa#>i)s)@w$2ql#)80%L5gYSuuL8I30Mz>R$mHt^V{c4O%^4RtDO ztXEcPiE_*#nNd`x%BFVBU%FN!V=Glu)~M2|R#jG0soQDSYQP+ZVKw%2snUS7!WM9W zeNRqljj{?WRZvo^(sE-jjWgA1pVMZJ#oRgbHE+RUjm{f0M`@KdZrG%)n>K5;0r`eC zYr{5b_X3*uS6_3rUU}mced7%~>*SM8w&Q3Cy5C>@%9nNG{u9GBxa`tP z^vvg;)sp2aH89KWi6u*QO(&o;UH9~cI@1#s}EnJJMR3L0r&jSr+TM7 zf91`0^k?7wYn@??dX3!&KmWVG(^o%uUt~O$(n;nVKJ&Tf^!y87)S=VQFr(3{ZQGC6KD$@>o*vY_!ep(PA*4S% z9BaEQ4iorFRaIW9ysS)RrY0+QMn-s^o@U^Zo)(7qOh%&}ZFW@i?4C(NzaYVYJ)IC} zx>Ay-#iU^ks1pchCl9n+&BY^Cvv74smrtwK`2{7Q~q*xe!%8~H; zIH&{UAsjy?re+rbeAZf9T&^^*N_5d-=gqOuc}{jta5k13pa(-47nX4_b(ZeYJ)95> zJZqXB=p8$DgjT2x>(_@NOfhG2G*nRYIc24hkh8HM*d#0-HlG}CeMBb!@sVxEZ42R9 zm#ijq?Gc6gklJbrAlGW^jPN#H7XbR{rydFgWkbw_FpwqeKGcs2Tz9ZjgrrG@4Ki4S zN^P@On>TIJ&K*1Lp1(|wnuGeH0sL3re_wy}?Qe(egAcxH_v+jFGKy=qz4hjsVSD|x z*X+G7gzcH9pVq?Z`5_q5U&YKRs05uQLZ0n}(eOFgZr9L2Y$y0O%Tf ztTLySG-9zitW2Y;tf;cJ-W>GpYt5M@%>bzT6t%Xv&{i9vf2#EjAcM@V-9rF1=No5& zC@CF7FwaP#6>PiWfbOk2{UG$N`+uetI5z48WHzU#44b zyEPCu0Nr!!Q9z&B_CEpWvH9>gOW{7X`}Zh750T1QvG96C$33d$?o56h(9LcT5s5SO z|J=KG?*m`@(wC-vlz{#*yjr{$fYsHF@URJQao~86u8icNBfy`N4ag!Efcg*Y>z;e= z31L`73Yh6je>otG0cBn;p+`=rS7mY|k$)jvUD#V;1R22jJ^!YYfoMGjD2C6Ja+4^x~3A6%`vBu4qtZX`xbbW*DFws85=# zTw`FR*~wbIctkBT8^f7`tClOXzQ7h^t6FM|$yc|jxujYBCGG0X zZ%~baNqT99s%9Es+cl_f>r_c)of?{F8aOW3$lUq1$mkZZ8?v@rH3i0!3j0-E*s2nS zT2$F*Gd%uC{1|7e3mtJ`0S$*|OU(+Y< ze??W4h~}kLxM}^UJTi zTG!rivjzs|7-N4-ci;V>KJl5)>xI|f)m7Kuq>C=QRIk4JMLqxg=QK8N)Etd9dg_TM z^|XE7>^Xzlv}K*%`SLru-#=I7Gy7Fg*Q&zW26F}rRajDJtYx~2^E1p5OjeeGc?QgU(&Uh950l*z0nUV= z97iuc{q|FXhP%Bd#a@TeC&cvj!vL76v4JizR-F*;%`IDwH-mS&HgDaoGtW6!C+yy- z?YoZGfs;-Q%x3QVd0Mq*wZ;}L460l7^dg^3N+qTpKQ&edkTCVA!n#Sv80<2!Fc0SJ z6Sh2e?V9AUO4czsB}pSzA-AXUg`!Xg202n90I*asJ^Ve@*R;Aq4PqBsu1&HyD5Lc+*^JGKsc zMC6Y)EM`nFI+}CYWdPsN)fqOPBhNZ$0Dvqj1)4C;r=EFAZ@l$-ki-7+`|s&X@4Yj= zz5C@a>AkPK8y1a=wr#&I@*ubK-Ra5HOA#ViuL!b$xrU{`c+!?;(#xH(Eoz4+Vr_z*T)? zs8vDhfs_`}&w_%Ykk%sl#qa#HyaXF>Jx2*+mj|2MnP?ivG9}YQmTDD^a;F(vwc}iVc?3LG%v{TFip^mwnfd& zfw3WazzrQ*E9NbT1A38tW=yo9zOL48sF-#%#c|}(0rbM6ionpbN{RxY)0>QDdDgTk zD$GnW@EB5SSF@&<8dIyvQeJ(LG3H_wRn`a3xW2AYz1;)qXzf-{n=SU1F7+AnZLO|T zb$OXe%%Ei3R%(W6mGYtX17Jht=EOrKY-k zRaEAww7N($igT1+lw*&%%C9U^ag}Xl1-2NAR9{g!WbP{ZVuP7(8jiR+ivaHyj820&eOKd zo9x;@ss|o<*cj7sy8HG!^vQ=FG1jw4pLzV#y6}RFbjr!6>iI9cpc@U$pLzOoy7roD z^{m}LXPtGn9{KF&bmfh=>hY(Z)=RIwqARYvL|^#AGum$V(K5R?)~{Ks$BfP2bB`If z>kjM5r=HM!bNs69I@8OFhQY>7n{Bang>XK=fnhv!+NnYB8pHVN%dhCkC!W&9mtLXe ztJZ4aqGh3wZfxFM&9gBhHlCp2 zu_Zx{bEwZ4Ky!ndD=Ji(U#yC}Qq8dYaJm`4oU&S_XO}8DHD4*Ic}h&m&=dy61eP2h z@zPxof8`z5G($aO3p>OaK;+ z{rn3r2s#4gl@&qrd-K*UN}QG$^7<5*5(${t&pxq|ej=d5&RiVD_0_#<8~YFibkAou zMGU($WLO(OOUNDPob(AGgq=Vrih@ZZeyEmFUjb2IZBvs2NMl#{8K4K?Pd)Y2@EmXl zJcuMyHwki2^$HJP6|e<}OQ2ei)n6I}ocgp_LO=GasqhXKM(c z=iG;U>WM%0aX|96m6 zjZe9Yw)S~S)wT9%@naSSpiZkFk3<-Gt)rtWY(%*zKt=PxhwnHm2P3%d?lABgpy)Yu zqIOH|{>2fZqsdu3WHAcp9&+~z{@)(Zk(}-u2XsH?D4@g6hh`tm%%coFh|R{e zJIDK^z={pVPQev72gZhdz@raSd4^F~TN>Kt#^x^!Ilab4l%eY4GPcGjnqjgA=muD& zr8SyiEGsoTR&2!F?Cj(uajVRwQ;%H=h=7F*Qv=CO`ADB3zjX>ycG*o*VCjK zmANXeD^+0`Y`;?V#_Y@UW~eYX(^z_eeMhBgyBbv0S*MCN^T=n`sY z+qrL3=8WmevA<)>FU&C@u>CG73(UQt*1Yrjc5^z0HEK>>J3YRQwBEI^CE7ls=uhi!CTeNT20qxqpTUTCs zrS|UHtp^{tPk;2s-_lF3Jf|hg7wG=SAJaL;E+2j3Gy3MYzo|o~pKNU9Rb&6VwR+h~ zZQZa%`}gcOrv6l@q~|^(C)r|5?4k=V)Qiu*V8(fgvE~BZcGJyz$Uyz=*I(0PpLj$s zzxcc!HBf);wU_nqqYvx26OPv)EjLu`#;bY(rUm94_V${iG_*iN^H!;2-c}Wjo~iti zLn@koNCl(&Rkvur@@FnlUFRb8^e$3o>uj~u4XD3oiQS89)jMy!23Kq|;6F)2i*~4~ zYq>dzOV!@9NL96+Axczf*P=An9Ib3)In4St$0;j4MQKdhBL{APo@Gwd@msbB)kS85 z(-(dGw(UB2@PG~(JBJ}M!~<5&KqIP#SsMTl0AFejCV-xT7JqWmF~w?*C0-K+bT81t zE`kQCqy0P#LHpOcNF zk7Ezelk&qcAiqno9bnHSM#B5f3~?x;t#Jlowxmk#4@_Cf)zweR}Ni zPwAOwpVo_Cd_iCQ;)`}qz8WT|#RjUpZQy+b$N_kUtoZNVdoMOH1yFx0uEoyzV)N(d zuk$-pHvxXM+tE^Y0){mAb=O@N>^4pm;$ECnKp()R%@n5?z@~p6A)YEDGcfcrs_#o< zTLqQ#@m4?wZyW+1U1PvC2LMo?ht78Z@Jr%=4ny}GwfCU^zXo*nYrJJ`_V5u_9s%`% zp@DHQ|1dzO2r)Br#;*+jE@wu{M+WF-3@n1dqB#m0ieJESNI?~sKFLqgkku`w$g1S&15LU%C)J`ZPtDpBSH!{Sfee?VXP z@>haWfY=NZd#H-1XPEEhhQqVO;BbOj^jvtt`U~cbg`CEGV^vhTd*5sf(3=COmlRhR zpc{)Wt5Q~Rq0$V{Q^+q)nxd?vbfr&A*T&5!=%%|L(%7b*%5NQ1PE(&f_A0l2rUnsYy5Zi3 zRa9aIJg;0WHI3$6$t!nCC ztQA{N)S?aBRo~rfOq}Ard^J^+s=cO3T@6F(n>ojTv`4jdt)ch55Cd9WsS?!jcwShd zvfL64cNp-PlTcDzpt|}hHMbdiF~D!_YFB+rn;M%t)lfH6rTNCx&H1UyDNwZmPh(w; z@=NnnP(iM)K_%5yTDoDm9rFUU*&;40%~FpUwYI8y^!~f=>Bj4>*JT%8tasmhOV`?cv&im=$Ia2a z*_hrp-#6xCOz6;w`!r^T_RY6m)@!!ieEUt>zUu_dnLkgX3+9K4+c9J+`^6vXsyp7)@q2I6mK`@}(fUhO z+qYZ&D^6E=?*^52ZBqOEQ&iKpQ_VxW)HiRBdWJU`2&~b95d*@JF)i(%rR9A?nm5$1 zLAwUsGg~xhtgqDovm~#;oFO~M3l=IbE8EUvp|)(?5afXe&7sBVWndDIbRpp20%QOk zYlp%!FK+T7G!unsCLLqO`;m})+W3Ss@1^!!EP#%lK48u^-g4IR>(Z|6W5;WC`fa2%?)C@>@-|lIKV(~K@0MB$Ze#fJO zxpPa_V&kS7Qz5E{FfBEH?gI+sCjBXZypX$LtQ2MN61Nz{91JFYbJu~Z9XPtHC`3C4yKQcgn=9y89{MWdMRA;L58-%7f`vx@%kwz^J%)zQqz#4YNkH2j2TnE)1EU)eo7uN#Z>S=r zS`?3x_9#MYuyq9gy%X29KPD0ie&HyCCE=&~#t3YISUSQ(Y{C1fUZxDlRTF zqf)9;1N0m-0-44r(_k;ghNe%;u&a@*P22YAi(md@-TdemwQB#RT6^;4I(XI1nq@5M zq}y)S%5%?G^~zPsUofUgO>IhQ=~jA6pBhG1sBhU;6}Aj2Io|-cu}eEIx>fhT{B3>x z$N#Du9(qi9we`vZ-MyQf?29eu`V__<8wH3s%I#`0^+Rb*_x3cced^N3q|G}Jk$ zE^}mNR@bSavPk(QnJTU>R!ied71dN~V9AI^21g8dj6K@#Ys$?#ub?luTW#h*)m4?7 zcRo`s=IK`(!%xr7S8hS6@(ax&DlJiQWubB^vXoSiq>U%7(*-wQsFvYYrRJxrqR|## zOH&Bvjm|d1IygrgR&Uh#XJ4p`FStxg7Ov2qo%{9K$3LU5z5ju(yyP<7VfWEj-Z6Ia z>MMHZwO4fFt{r;&<(G8jh3A{Y)2fmFUSs?(>84w*)9ST$y@u?*S-3!pmo2e{Jfu11 z49;G#OaqJ7Yv$NiH7?w#s>Nq0Wznq$=C^C=%3GAM`g%=We6ia1-mYiA{R`dr;Cs60 znx}N$4Ps^T0LAz zJ079dtFF2#7$4VikM^xc=cOMdZ+i?tN25C0>m3zw!9E~mN>l@uJ}w9|p%2{w9)P7f zA6o$+5Os6GD8j^qjA4uHJ0W=91K_ddH*DAtqH{O`fH)w}?;KoU=(Z05PyFz?dw4j?b=!oGQBbaa@AE3h3^H672rVz0bl z%v(YMd*57QmFM0c&1d?#B6QAVN^~3`JDek?<6$RJ*<^?n5f6YLfOf!-PE2Ep+SkAS zb$#%`2jkPz+&SQVJm=)OMVn_BBr;@0uw|jZ@ zIvdY9#^ozl1VCp@ws%KGtK9iJQnucI{=2lTb()>+rdtR=r(+<}LU{zxhmHdD*miVL z+)+97;UhWpFl{Y8Mh=BzQ;PN{FJuGU5c-RSc zA%E{*_dx(daWBhn>Hz#^a7qk3X^BAGz_r|QBzUA39py0!o|xcs<{4+`ljgDGm2$)4 zt&*4L2I1z$>&ED?U~w9FZ8qNY==>0JA2I+~w`N^1E*wWp3?rrzML`Ee9A(Djb8Vky zUcXz`*A2x8KscfAdq_ z^@SHzIy_V8HZ0Ea0O_hCip4-f6 zs!%~;mddKjR9auF0&}dg?X~=}YE{-Xs>ZHMLwkcNXI3b;B~P<9&C>Db9H)w|G9~0D zsi3+vI9=(}v+cT?(@{{a?2H`Sa#fOFrs|3Y)z`)xwGLaf2p%!0XY1xoy6C)fbYRa; zEtx;6o36Q9=bw4H)-GSFO{-Vxh3B5pAN}Ds^wd+I(Y9?{gQ(52<%=}7aDFH(8(Fke zUGtW!VeVR0&)=%j1^YE)!G)T>_!6b8xJZdB&e4>)`_;4eus;6>KhxEBKC6qbeni_& zyh>N!`#HV+*T2xhv+q;l=xLh1>Pn@pJgl@;*D8POZOU7Fxn|7Ur{uOVWw#D0v%E&r z3-Z+3(W>2hjyDH*hZ&tRrKTm@an%?Y)hjzY)3zBZC$(Z*ZEdv~{dU{$xpHABK*Eg; z;D@vAA!k?;PAz~+5gDNBz2FnU{MP_IJ$<|fI;x%S;dLDKD4=@B8--Mx&gY8&Vx)b{m20Q<(FUHZXo>+95mjk z=e9X{^enP*0zDkh%}-d$7no0;;YR1i;?Z;KF3QhES!OpH{i+xV7PJ>VxED%W$VywF zYuCgiTe*Q*@Pv5?-;?jb3&r!}dntI~v-nQfI}C*$ND5g>46GRn!{j&mF{^0fXo#ys znX#cIgIpxXmRnS)tlWG}PdA1*Iaz7b(t^U*;x*g##<%}QU;o=*>B~QszWvYAmw)=d z^yR<%d%gAd|EgPF{hIE4^UM0eU;TyN`P(1rYk&6>J^lW>y64&FbmueAYWG!#wf^FZ zblQU-*Tdg_PoMeDSM~Z2{!%Y|`;T?@?H||X3l6Jo!$H-oIi!{y=WEqfsx>e`i8)uM*}G1Uw%)#5$pXx^@Kl-IXZ6{G9ax9wcD zu03eamn+*0!;JbeWfZ3?w`968c?10ldg5LmFf&93TASNdSJR}@LjK-7 zu& zYu9M~x>Z`bgf_kJjYw@Xf z=)o`isa9;hP^X@Gm#(?>^LpT!5A@2P{+$+|{-9F&PST9Umn&`YVWlp+Ug^uORqpaD zRk7?m<#w)7am$Db%bS#89zJ09x#yqJ71vy@!8ztUceZN#30p%Hp`xlZOz_)w{BhJt+V}@}x2V@CI3QcwQ{RiQ@Y~#?%-DhKA4|#)6CG)$1P4axd0Z zf?W5vE8Z)JM~-*SLgpqVU&aN&yAMSa_8CM1Fec2Y1<(;)pz)ta)Rh<>QDzIY#288i zq87zD%F4^Jg`K0RlaiF0l%b3ng~nJnYU@dlYyC-YXya+`>(uN1L>J!kM+Vm4*C$^5 zi9Y_!5B1zveyOkh_5al$|IL5syMOm9{pC;oML+(>f7N#k_`mpvf2!yI>`(N{ZR9q^ z004jhNklHB-_d!v)dTp`y(la}=)g0FWjf~n_SYY?@>b07^ev5|I?bguxi!`|D z63tv|j?lXE)VS=B#&%w%?T2nv>%bQ6JkglYBk$^x+g{Y}3m?^a_k2nH$KRsNS*Iy^ z_Boodk%8T^odvEIEEA8(J^Fo>^x2Qnb2Ec$WjxolP^~0Kh?K%Sj?CBpyMGj}0 zM}Qv?$Ed;hFizgu#m`>2|nByn_UVt+O z89NPj@mxHgg_95!%mEyTgz&n{;yUPi-rU3M4#3`v9R+c> zg+9Q2b#j;^=^G4E^7Yp>Ja>`9}umFMaW)<>s;e4F~OcZE{EajG_+SEFt&7cx#&% z&k@$4I+B7378za|IWRmk!aNL5A!J7W8?Yw?#!cekK~5$M1j9lBg%^!*a=pOBpL5}Z zR`l}a;f4U%xgdlExdFMsxB*;=2tgbe|AuuN!)RCf!-J9%vX8cy%ZrOtQjo98(qiS6 zrW&AUC@m$|03D?&`p6B4n)fKb>Sj$!e^8S$KBL5($CY04Nfp(9*4XH$)!FxymT!1N z$L;%)cAxT&PCNg7U3k?ub;kpLse2y(u0Hk3-|BPU__<#Ei@(>?fAUXy_AmZTU;N=O z^u}NRLf`t^f7N&Y>Hp{tfBuj9=Fk5{?|lE4dg)JouJ?ZMPkQ~YeyZ30@+bP*SHGig zedkB|)SF+`!m}^ZmOIUR{?U*0z#spSzVL(Z>EZX@){d(#*T>&@RbT(#|ELr1cvxAZ zJC(Cwo3adC%hw;T!j0QizUNfco_?814qT>!6E0Hyp39ZDaIeY?&`bATr1DcQQQ@u= zm9}J&vS;_2Q_!cHkpbl*Ak^BUzW#-3tTkiMG^(n`5lvsRMJ=aZp#HP2QsT_T%C_&S zUAf+XZ-*M%`jlH%q#6UfuRAGLNvNH2@ z^_7Qp*TeVesTZHqi*LQE!?#?k%dfveUwrE&J^#jw`s{O0XxG8L%F54C>WoZHr>fhW zQygUs4LMXCY}O^Lh|nsEeykT*HpZP0H|qss46tKpVaq<@%f}`Ij(`+DGoI6JL8r^V zdV5*~(2rH+?x5i;9fyMG0RRL0W<9fE8(8l!aUvDe%Md0eKMUI?R7}p8;#ksf93KD! z+hqSC2Yfa)0S@R6zRuE{<2~pO=+TfnfDSA7qBtUbytgoJpPcowIZO9kILC#}@q#}# z{)X@O9`M+`cLemK6X!h4?s;^cd(PRl&s~qhC!hJF7v;I6s;4z=jaIO^^Wu>O-sAn=(UvuLSa|Qs)vI*-op#euO>S}9$#L4ooI^HRsI92Ivgg6Mt z2QiqqOd%JPg@gx3WhUV;0309(&{>pt+JHPcZ~!0hr!9q$46GhT&rJzXYDRvtQj-e|&@%#4&n=j#x~B70SoWNzBz;4Zlm1eZ68}h(6aP>N34fsUDSx2U zgs&+r;{zpSysK%`-%@)1dzzmAu5wD>QEB}fY8-gk4FA)bv*`=kaN*n9d-vPA^7Z$0 z%j@6NEua2|9{ciIu>SzrC>H}r}3-q-Qh zKd0dXhjsi-k7~`~k122M3F%Y}Dp$3stO_Y_uu`v`O8IwyLOMSXE84RnfOtsT+2x`Mk^2bK$kh zTD(JD`_ED9<`Xn;%YH51v_s`HTMWQ!R9S?b<&y!y9nS5arL zG7Cyn`u}6@J)oni+V$}ylQ!wSw@H~ydhb0Xfh6?ao6@8RsGz7IDuTU$4SUC~h=K~z zl-{ID@4ZPc3eW$2_GHJA``z#U?!9aMy;*CoGnsnMK6}5<`@A(E42c4)DPiGAGDQfZ z4nt+zGSuHykKpns_~pdG!l0zCw;?6J7Dk_NL4nUN4J-X zDr8thh?aInc2z$ll=OkQv_JA|hM>Ckc9iC}MO986s>38yJrKlngvLwRJB zW6`B=4|ML;1y4?YO0o5KK6(ek$BdHo8H6ALxi`CX`Kj`ytaCno+;~+_oXwJ0Mwu}v zY=XqDh6J(O1`b5OK7AE9GWVOHN53k&7gC6lG0&ViQ~9sCk7TRs%#!79fs$0`z5)9U{Xns#qB{!ymvGI_Xm$fE%h^*D9C@KXO zmGjL4FH9d}Sz~rUEGjB)21j16u3V-WzAY$ftBnSA+n6WYK)=8DO0QJ%w3LzG#q5zz zpw3mzoY-oa-5L2j{m$0LPP8yoG+SCV%hqlKey43pnm#u^*9_WF(El+!w_CY#WqE9jX`ij1oQs)@R5udf!qpM*9Jx7G4UHG5 zH8mZT$>*p&670zgxKU|pp?QoNHT^a8w{Q^fbuDlDTXdu(g$Y5QY=fJapXJ8aff71U zgd3U40vQKyPJVu#FpxG%6J`~k_H8@JCQFm+5I{GJ0FsT9EP^R2G#KFm=rIE5Atony z3!oca!UfRjj}*4_9aCJW-Kz~I=R&L`pMdJG2F zBXDv%0cY0}FuEUwkKX|pj7wqX@)_I$KSlhYc_@1GTXb3S9ooM0BSI@*K}^yN^p<8~oec{xq2Mpz%;oY)7%-u#H zrlBX2TlYZmpiu}NG8jdVJ&GQ0zK5!bPonqpGtqVO6!gA-s>%Wgk#*$Y6AWXbFuBGK zsJ>$o;yaCksoNbW9XA;nLx#g&goLL#PJllW=2&6rap8!L4}!1B3wiDGQGah61eHa= zGc5|e@120}*X+R9hn|ODm>GexdEyddk(8Q%n7Bxlau;h(MnXz4{DR|!v5K%vOhuRo zLq^c2q$aDf#ti5(i!e=$vmi576h#q`NvwgLoQcE~VfL0>QR4E2l_w%JARO^wF-VFu zAvrPzS@JovFxJGBIOJss=*K1^DKHAz^49p*p(-de(M8`?4C;i(trzH=~e(uw_d`#m6GIC|^dd zJSS|nZ+A?4avEAT2yh8AcXn|TM(qO+cP~{{j;Uj;-$1~=d+c5K^7AiLTiewuS7G*7 zU#r(9KJcKjuyH?WWt)sxM=HPh=9~Cc)*EA081%$QW`epZpV|cElnJ^QxCTY~&~-DC z=Abzn5ZC5ivN;}U8Q^#7v?tx~fvz+HG0%yX1u7d1qT-&X0zxx8l@0oF8Hh!NgrGrd zAwN$=o>m6k7>G)PZR(t2n{rONiHinwn%Om=lgv;#p&VqsKLMQ}PAer90lFTTrNe5M zI-;NRqATfHN45&WVl8zJmR;qbn~3$-wbhW!jsaB!^{A*A)gsu<%|kU8G8mki2df2g zI66A30$A)k=y#H5f9#i!Q&ZTPb zaY+fm%nItI%m`7hm8jP$DyTSA{>^==1KV`9Pg_@lK37{nFSG&lzXiqpA7bc#rLYw% zlRE+3Q}&@S^lL&eTmPeg{`1d27eqxw?jZ};g=Gln+K0|XOhBh8ie`7#J7%#3eZ#cB zh9Ij=E_y)`=(Pz(o2zt`Dt#|x1O#KUd@^lrWctnMn#*%M$&SORC`P66$MaPrsDmxYbC1eGiI{ZAaOOWhhy20G?GF;o!azUOv0v|BKJ_WX!dr+&uTlZ&wOz;{@6U@jK!nuo}Ni(ihPz%P4uV$JT& zSiNHdR`34}OAhYEbBlgP)x%FCZp>rw9Pl7QMm~+;A&(()=tP9}8HW6^<1l{CS7@B^ zD7wD!45n{cg9+b#j|rcDg~z_0jZfC^z>M#gASka04k-eR9R?t=|439!d=>FSA4l-O z`w)NkBt+gZ9Da>8@GZ(fa8^8GQX&vx4nUyA2VO}6=)Fr&H@-Ez^8yf*pNNOwdKcTz zT*Gs3evP=)LPSvb#WAfeTmU-|kx@a25Z0YwDME0l8AYXa=+S#1@(W7^L?cu@1zUQW zg(1;bo|=#*%v&}zO9G2Pj~0NB7Ud(zBrH844^eVVwd5cpAp;4l6%Z1G%&=IP!^4nL zlz^f#5iAx_a!oO6m_q}RWQl?~EgEs6fS3{@g_WCO2@Xd_pg*!gJ&_phE6g+kQL_G` z64MY7EkF>Lgu>)3)a8p1q0cduAebmhMT#gYqCn7$8*f5XY$O6h17M1!**6K9S(&Oj zS8fhd*2+{W4y|YeS;oGYgaI?<>dD8Sz}V5FRrjyQ9-X3U@b~Z64}Jptj3VdWA~+H_ zbr2Ny7K4`v_GIl0kmCMi9d&{h1u7MN-G^Lv3uBZ!&F%HFr`ZZvrLEl(<*t3{G&$3J zOC_I5J@-D@HH9VLOBuoa%cC|qQ-%<{=^miTokv{|g}!$x`vd}7?YMub6p-!H?0u_+ zfGpY;&~>G_JBAKZt(Ym}2<&{0?;}vNeJba0%$=jrwsl)2A8D;*nruo_OIf-kI4Cn? z1e_VS6CazPTz!nJ)*#QvdT6jG*yyTjEY8B}Yy@~_oY2oo0{NHQyeFlpH)42S%jjrTs2+b2I|Qg>LNrL z<(|wQ$P_M#X3?lZ5h0)+6BRCu&s`X`AH1AR!o1nK(FuMbVX$OQLP*pKI2aGZ+2;aW z3DPdY)*TMR#q${4{ZGNs^APM@_rlm#-t`z$JKI-U{z+n-Tlv zZWKI!7(NX@BCOjQgpF8=`j_^g?(U5UE&mKzeOBQ1*Y~35BYV)H?;ebua2$_JJ&C8D zJBD}P{~e!vegWSuxPrNBuj0Gk&SCz6Gg!Lk5VjpWify}gVB_v>Sbu1j3}OA758|tZ z8!>bC0zCiiJUsWq_jvM~@6h3{`*7Ec7cqa=F1+;396bN-dsx196Mk8<9WQ_V4PIU_ z7aNYB!w)}iMQzKT2rsNgs|k;ya{Ln*^}-_bnDisOx;+l>K~Exb#5A;h?0vKv^E|>T z`yiyEJG_e8!K17-oMeqf_h^N}iS1#mG$Er$TYR-+D}FtH7Slie3JE23h$(A8UgthY zsIEdlS_*>8Y7yUV1QI(=gm-QSGz=S$5sy5MzN5#Ys%<-@$eK&b%|c|1FzAFR0TTh$ z=m=5jLXnaXFU&d=2{dgAQ&L9(#4G`%VqsG$B2;sP+2>jWn4+UZNsU2KF>73l5RVZ> zAS@9fK_*1Tngy)oyG(QmgdsIeKr2I-TdEmxiBZTb5FwqBf)LqDp(YXXDLJB0h(M1M zL7XJYZ$cI#d?JO}n-LQ$;FHRBnT5zPi_lHXLb5!U5tD@M*kmNeCL-OOg3`=96s3!h z5)iPYrl=nAGy@mr$zG#bHjincB_hDGP*Na!x~v7vqR4nTx}zj32OaC{VUcTnbmBxj zE#TOzdk@TbemcIL{VgWlKM~89F2|xD7h>G#dytu&t`vK+Qfum(rK!nDic*+^0z%Nb zzO4XyBNByyCYn>4%)}|pB}Fx;^T_?r{Y(XdES%BRG_`YYb6?YfK)*Tt{aOxCeo$Ue z?l9_{0-5c7b7k)nP#Gh@e0ipsjU6)vtsC1QTJB#)dZx@vhU&7$zTwFU0_x^eq*>CG zQsrUvL}h6uMh+W=B2h;3bMsY|KnB&4xX?}U`fD?>c+o=qBntFrpMHW5-+vEpz5W_r zkv;L;)6d|(@%LfKprIJh|914~)*G!G+K6&hrFtO{K-gY0A}mrdISw-O$Z%#5MKyI% zvt|XP$^Fx5RIJjLoz0GcnW|bFI~B6FI+ajXY-9Wg6*(69A{ooe%~MTjsAy3EGd6Xq z(_QIUM%cEKA7dtTCIp8Ll+?{^basL@EzWA@*QCkX*Sw}Fn6E;m3zyIx%M7T(zf!!k zWq?Owfo;JUo!ZCy+PP~NOn-3(di3h4-ly8L+QbKNK8QiO7y7^JhpqwNmeE`L&1b68 zwaH0VrP3DAH8vF$%QZ4(t)$2r*?tS4Yf#sN=UG%(tQz7p`OvT3cH6)fcK_txnl)=u z63vOf+17HWhDuF5p($@wYs)%NO$Th~5Pl8lIxvIp(VC1l!)xt~L#K3TP3_hkKOL<} zzqyVS)v0iLA!zG>{%xgDRLBCTs8+24sr~lp)mLfZ&1S+h2IdH$M}&zW4^c<|5O2|B zgW%&54WpeaJdJkn@D4(pWum;c40etO;oxx&1`h#q!%;ZcAA^hAL3sI}fs^M+0p^o% z4!R0s1mMmQa#O(nngIGaI69n#lieA(mhOP(gNqRU!RLs%eLT`Eyo}AqFXGXcKE=#07h>y) zOIWsMAC`Q-8vEDo#epry@Y&);czfMXSg>;ow(dBLd2^QGGu%4JCDF^&wqvSuP#L8kY`Xj@&$x+7zg*d;qYwL6CqtXAnuM@#P+I1 zuPKx9<&Mo*dSoBIUAG=>?zjgr@}A`GcOasnJv>Da2Xwd%=HWA79{C<3dQQObH$KLz zix=aKpBA9UJ>wBBz!oh`DmpU_(doh_V+|5J0=aq=4_Qlm?TO;T67%D;SnO#BUDkK*ti%0Sc_@_n#+JzS@ZPM z64Y{qnRIAuk4j@O_4jVM5DKT82Vm2oiB9#`GR5^1_l zwjd{4gkowkQd5$Za-7)Iy^PI}2x9fE8U%1`0X_L|CU338>l82wce>OjO`4=(xpqqKMLr-{q|deXx&>mS-L3!{YadMg!qJi3FtbwK?hi9bBb*~rEUJC zeou2#c%77QQ+3I9*j8V%&DXRoz@Y!v!5nI_R8=d-hQRM>C6b`tp(z6_AI8 z1|ve4x?hk0x=%2CU1H!a4Bg9Ugr`?D%*hiG5wisLF56)5dO*PPH`v?lg2C5YS!_VG!K zd0`_S`+PsX-}xsNuepF_tIy!Koma4K*Llo6cnDu#+>0+yZNTbN`>=K25&U}cFup&$ z4NuIUjqf*Y#QY6gao2kvWArBOb1uyh*>OV`1O zZPN~+bw%j&(Ea#gQ~tc8D3Dqx?|sg_*f-uas_yk1h~V)tUmo50ipP&6sb57_|ef(qCCiX#tWd!HDn|wqFqBv zl;r0iE{32Gj#T}KR~zGQX3Ur&;3{MK z47?;v`SqEv;k8$1s$jtC%4$SMMk^*vSwK*y>Dw$yjF~LmB8r9xcbZ<^jBWy~!q7*K zYWC?WLP8UAMX9PBsI7-8_^YA}^>wxA+`b(K_U(r|h784%kF#&ZmzY2QC#+es9^1BT z$L5V&uy*x&tXQ@Z%YI&tUzRSz(#1b3@c-uPIr!|8&sDGVx8HmVFFg0W3M8hhkpaGJ zK%-NDb+8-HL1zkZ4p}UUK7Iy6vaSZpbW_ghpgrv#(J>7Q#9|iPHn>NKH zTaq?&vUCnQP44uYlc7_1{AYl!nKUN(q}OZ{05{yNyg zwkn;~OjDyO#c>mJ1NZ9DOKo2IwyZsX>Gw;N%@B^zkRZj<{X`h~`h>v8l?>e*?v4zM zi-Rd{9HLBL3m`5OFkb_E`;~C9-w02~{cv;m9Ue{>;B5c9Fm_?#24T?r-}#Iv1M-^3 zF&K@zWNeh1y%*(=UPG&Omr$|q04hGd1piE7`<{E?8F3aNsn-yg^C#R>ufiqmETZnd zftXJ(Bk0mT_^;g!pXYX<^3|)zdgLN3&t8G$%S&indlO0H4#6&W4FbAdLH_+WVCi)U zj)B7Z9XG?(`v|;)&cM;Q84k`XVCT9L4x#Ij*y|!%Jq?%#iXu_62PqF6LG1?@QT66E zg!bAAyP&T`Q=cX0{UO`}-iEj7U4*57irDVFLOeF8UVh4(-7Fo$K(^p3V5_w=H=2 z>$w;>`58R;(Hy+9YCBrrGX)Xt`l4j~QwZ)c1|6Px4j=4VjfH2nVab_&=qrLYrcGZ_ zU?skZGE#*V=Ze6q6&9GElLzScaI;BSP^X9d-lSdZ@z{PN#Jd z+{sLtE=M0QnW|2m)A`N1NDi}M=x*yLfX`CV_l_Hn$&(&Z0fCfbEE+{NP9V3|pGZ>j zAThxrN>e-%lZ5GtauyqJf~%V=$|}n+?2e(RZc&9=0qd&jO65nTATKGivUQrkl{uN_ z@Vs2)GtZiBrR6meptfz=DlmTi_1EzIyzf;ninVLjVb#i2O=GnhYgVtp>Q!sx^_BSL z=Ve&-%QE#|T~D3)_6+)CY97-NS;w70id144H^87`-IAL9?y#pz@ACf9Vr$z^JXhwDruG+KeyJJIwSWEp4?x!`cRHQV8o5t@`hO1S8h3bZ z1aw-c808)q7<9EmhYp4RD4?%fw=TjQpRkdDN0Yk_ilZs#RzTO97B7kyqZ<(Dq6XUh zt@9vlD<|24vMnpOea;rp^>^Abc^!SJqcm-UFI4(QO|7csPC%!>tY`NgDrkj(u2XEN ztwu*hD27fz_YGj`PawQpL*Z)YCx9LTH|JEj>31U~^<#K?egSv4pWy1aNErMwcpEms z#c&ixr&Dk>oRY_9;4Wb9<$4irE~nt>aS}%Ny~2{$3AiqStLF-Y4&00I5BI_J>kgF7 zy^gT*6T+T1iZIy@@2CR;@aN&5eGT@e*RZ%wJI7Zw1=)UW0Z+SK#(X zHe&o+>+r}&>oD{4LzunzJeF_2j>TIpV99Uiv1sR6EI4=$%g$fJnu|BE;oMbxzG@{t zTk$Pc9$b&5dspF`-Agd{&>DQdcN6BU--`Da{fe))9>M&*=W*}LpJC`zuVT_?-=k>c zIE;9E2Id@IiFt>9!4C&F;2sf#8GT0~qQhWBbQy-?F%P4B^kmeHeH2wAA4cBoqO{fb zgfXE25vj5{8+*Xblny&T6M{1;Q8-{UY9D$Uh2tNCNj@J@*cpk9w;{M?cjSy1hu605 zz@)EcBc{BCC}*(B-JoL!@cEpgd>||6bjQ#M_Q_! zQ&Oe?dIo|-k!fAu9t|zqiei$gMoMzpzpUl1{pbW1-IH3EFx6?Qwje+=Ga0?k^QPID zOj4Pog_TA(`Jr_LK3OY+(inh7D;J~%1Qxtj-Q3OFImbO>%!ib{?%YbzmH{DZOg3c*Ev{TmAUu?ejUKbltTtI z(uKiT1iCY*_%Lvg@{%%{^QxPrP;ugX@-gR>^K2V5r(K@5wdOVG^L}ZCP2WGAtFBw8 z>U*h`1HR64&}MfXPxVhSbPecMtg!;R8YM;l7SJ^Y7KoBdKxdS@DaLfQckkZ$|0tmE z*s&uxH92JiflilwrN&7>H#aq=|5t#nf!Eq;C+}|oa#{DzQ0xgrW?hF} z#4&`9IFFj;K${bPBL0Q#uuuLHjzM3*J9|FjpSp<9IVX{`;u?}3Jps3NyO2KqPZT|K z1?GNd5gH4)d7Xlz;~{u^{0?`Qz3_0|i2(oK;S_LKnB_6Fd+8=JMxB9u;%0=`9z;U- zGl=dc@6Ff;M~@xwa5@fm=M!=bM}_I1hLg()xH_CbfafXrha81t)?WB`JA>f6&ck{1 zVR(%{1>^YbC|Py}VfU?vga1M}xvzv{z|ZhW`~}h7e-JSJ5J8DABQE(3ls9~Z_JfzA z*Z36}{`7i`d20ji`)muI{B{pMSbhj^&0mAp7yf{GyS8EB$^DpfemmYdwhW&g+l0jj zf5+0@*Rk-(6)ZV;2|sN)ie*@#@lfn16IVzTN#ZmK@uOx0bC$yNORB zWAHu5zk4G3z5W5ZJ^3=Ge7zVmmv6(AxxZk<8=s)G|DA{_X+V1Go^Veog0r~@SsjNV zrQ2u}k9!t9Uzvlf!4D%ezY%f5?xSnlqkh6fe71i-W(wmlCxkI@Jyh2J~37HZ>0(V3!#}l$pYVy zAk=qgi_d0%g%3XZ5MO=y1(vN{fmhys4IR36!tH|w;q_NuMF(NnZE9NL&6i)r+}U&R z@{2EH_=pjBMHpw22-5Jd7=#2zieSw~Dy;*eaF`P;s)l}0P%v7vbgN?Mavh20zp--- z;5si{2V2q9&M0h}c-dHm44uG2nPY3Jw>1%Kld<}ql)p;Qa=)wS`X#!q6@3Tc4Vw7&=?ZR#cX&0$A2+YFVhP5W>)+Mg;(u6qPD4=O`&DLsg}KQAIT> zipx-0DzZq$?vx3$uSR8+F!@3jUa^7_gLJhufps_NIw06Gv*3+4-V_l22%miN8D`6T zGewYqts9}}1}Rnr;IHN=e2z8M_5ZA`uIsDw^SYk8Zpy;!1%f^^85n@b7zM^8Fg=)Q z#LOOGYB5v&c)pa~+5}Gvi7o@I{rXg_bkQ8mx^>Ta-EB=<0Q9i!i%xvd>1+Q}ANv0U z(9{1P0o|HoZ)NHK6`(U1kboW(5G)wr!J<`HyLIoD^N#}hp+koPae)!m`v$S*?_}{Wg(-49f&(_mcOFDs{O|Dc z-vfhj6P$f^z_ZgKM80zhX*-Xhbn&0?Z@35-?{jdqI|^sV-w+ga4&iBk!rpuiF_W&N zX8oV&aSAAZ>kORY7sAf{OZXNqL;Bmoq!(XA-ujzJdH5LYO4lKN_$5@odJ~y<-hf~H zd3b~#fp6$(c=(-z!Fea#g{Aw1oEE^5^Xh#DeLlH~^w9$7<~_njkHR!bU6!Wzr!%LIgYRg|3Ku-oA8)^8UD{)L->q~ zsM`7mVxK$?`_P@Rb2t*1MJ=2hlBIyaP^-f&o4(v@d{Yltw&gd2Ka@{;(K*7JP&UHZH_-i+19TpHAV^oxkJj z?WeG4$7!tGa|*v6Jd3q^j$+k;-B@{KJHFq#3d{EI!is~(@X^W*m?}c;;qPZ-#>yp_ z^zkS7a_u&(JbNAA%ICg0dxqyAO$jiD~S_?}RpjT8{&9#Mv1*!-OU6Ofuxy{k*R%WLQz0{z-z7buz zbW^EzG@}z_3HoH(WZbL~z~&~btIpP@Oe>^Wo`9{vTz5;;%~W((G%|Y*{;yd-TdDH% z?32!;ZM#&O_?hod%K}aO^y`!9vlaoX`soguye3`pRu5f~PgljGWktWHnL8g7{B_Y8 z&Id8!Z-Abg)10oTi_56A=X4uD|1W~#(pAu4x_V#MzhUUShX0JAYe2s>ZS6lYbgRkT z!_8AMbeW^9e-zO7?AhZZ+RR#Nwgho)a_3`i3=QbbK7*#zGu!S%ykP`bE=s1EaO3Kx z1O#y1El5{=vt{!-P(oKR(*N6bGP)J;wT7ol9_qk3rm+yvnX*7uPKM4!z+e##>N@>K z2b1{ug~Qi36keX*aCTxXcMtgb1R-5Eadd(SE?z$H3JQZ?unGQw!kWTUg)!B^l+g|0 z@x2iiIb6Q;aik@_i|mwnB1Bh;*1ivE={pb>y+*!cD@rHrMa{AuC_lCx9e%wGOAle^ z&Kuw-Y~C;OFnklwARzl1jKx_}Ki@?BQ$hjNvPTdc$#GS}%yn*<_KjGxH8+M+;@;!fpUEHro6=vT3 z(?5|g_=Et&VK@a|f@|D$xTIc(SIVEF3|y9ZxCo!X%kYS}4Ck^we#Q^eOW_uh&Y?`}cE zk_G6x{09`=`;h?dnWXCf>+*Hj5oetj`x0Dhc|v*f%n(1 z$AX9z2Kd_npSPLuat~$nTi@+W~yIZVSf0 zHw$-6e;KdNUy8xcOvnA7e}RRk&fx1^`_Sjf=g{%4`|$OeU-84Pz4F`v%-MGiohQ7C z@K&-8Zhsj5{l~!bwxKYOzZ;E@J%!g+Y{nC!Fa(y?!84;61zq}M>U&?{j%m{oSJ{Z< z*4>cP<8}m;wSuX2clZ@lBc!|zxwj8N>0P4{-K{+w(-YyAlY%byjlnFLi-v)H5MNdZ zvy2oGim7Qi0&b#&CZwWOMN4EQW+2=@6cK@8h>eUzun)61JQ2a{4ZjG4hDRb)_D4=p zG1|57h}-(#hW4%7qI27J7&dSKigUAZ_vn#$=grs9*jR^@R04Q9@(YS#CNL`hxD}*X zf;TWQ01XYT(6VI<0nAtd%mfAYnn`Og*X)`=P2eW;BjY1TGYCufYv)l%UE4MFY-jW} z>$LO82yKGCho`4HGLVbkK^AE(Rcej0=b#0_D#R020GByV<|$FYJ}C_eCUbL?`9 z(tMPa7ppw&7A>k&7e8wbcSUmskkwzErGm)x$Z0CpMOmpWoVx6*X8FwBC%CefJp<@i zhlAMw^s}=G3fVXTpJ2_QTc6tYJlDW(%ltL#*KJifY&)y5V;aAkpiidHrYr<~W+mtn z->e71`T$Hb*1>$d77g|~N}qtPW1w^v6n*Ff^iEwmBUk>{HQ+TSSOGmlku#lxZtDin zLt~7#5NMOX)kTo0lu@n$rv2Fhx^1xDtwC|tSc<~G)yvn`YSmmUH(vp|mxnidUtF!L ztIPOD0e#!nt)9YY*O1lf!ZJKED9)mC9^=)-=nK&)Y1)L&8$vCd{u*j~%o!)ZvRxiE zK1OR&6JzaYF2uXAYb}n?Y4)!3)OGbCKDPSRTcD;z zwPfBwgvtpG^p6k*5CTsxH({doN{D!Rda0%!(QzTdHbtPg z2#YYtaIh1=5y9f@3@2Al7##iKWQc^9YdQiv%i-(Q0{&jD;pfu<0l{4n5H7!;GaADl zcpK$|N5V8n6rORTxI*sZV{zUn^R}l5C0PWBt zaA|cIiNmiU;Et0Bef|P+SKmbO@|!SE-Vgi6r3fB;2xU)PN7_IE;LyEr3tx}+1O7nk zzBk|*z6*}t+hOOpO_=jGB=)_C?yucM{@{yn3fK<^0dz<64Y*|d0b`sz=Y9;{jwj$} zJP!XLQ8FU0!awu|yu2=pkUa`RfH3~vM-lxFU|Mn$?w?(P|La%b{rF+TeRUi$kDNwG zo}7o@Rbl8C;hA_AVMA{qeDEJIcnfQHI{|~oK{$pTg>&K&L^qy;rSDA`GmgR0Z690> z`{3cc2X5}0;OM^zzIFRi{lyt{JF*w;cC1CzopWKI_a(e~EJjfGRq!ugj-ZUS2r$n_ zbnP6ZKfM$=zbr-mj-Sx5aV6Tny%hcLT7gH$@5d`s&ft@eFXO`>j^Vu(d-3g#qnNYn zumJKgEID!(8!ukNigV|&_|y@6e_$(?9NL1fwk^cGW6QDh^eX&t@Mlc_P^oh{GN1W7q*NX{2VQjjT% zrv3Vi>u0^4e|{_h+hbzf+JN)-Y|Jwnp=ttQ4VTE zNl1`mQfe+TvWjGnW{O~B;8V6rQ40(RMMHfXw5+RFX6f;{t*xE>obI5;d1R3;mj7jD06~{&fbYEXj*`ig(PZfa zc!Ig^i*9Syw>9l+^FH6RUX?M?lxDXa8RT-L{6i zb~|TfW?NI%j2;XSWl&uDKb?o}E)0D&fsYaH42j%v3p{;vZlc(bU% z(HBJ!=S}5ve4U$=CLC&cY#71Td-N$$v!qFhN&s&xL7y9(6|BhiIpM4#MGcj|rGfs| zW(9l?wYiop>rhwQLgmZGL`Nz>r=mhOZd<>Zg&uT)hd}>u1o#IDpc`Qj@OE)Ez)u86 zwQSPZ*cjLgkUP0>h|q9$geNOC$#X^lcXxvWjP?e&*csqzFG9xN388_(h!O_mC<4dc z(E(n5o`?$YN1)M76assM2bvJ;n}JZ@d_*R;L1<2IgqMy+VDW?SFM9@YZ9YU|=dTbl z=xeyQ{R+Wtmmq)CCZvy9f$XUp(c-Ip=(gw-N~f-dSJ%&wH+dg=33D&F{WKf{w+e7B zMP7|423>*R^kXna?GYxv9nNvv5Y*-<^2c38QrF9H2^EGPcmz(F7vNQS1rA}q2@Br> zFZ&(vcGwATxBc+-KO@ZP61FOxXTmc*PutTk-+KbvlFe;ec1cNf=#^ zz-V_AZVt!c;dB&EUPoXk*oTN2TTs4lGwP4;K*DxSZIExP!;wJ9!x#BR_$U>vxE8|4G2-dxRIiEsD*%XgT&vv>7)C zgPvc9K~t9Dffu*o$ytZ+)`H*h`O0(nVZDssgu(AUj0OAmWBG~W_~rB&{BmdymK@lC zZ;!3O7pK->&Z(XFYRxu$`^y1*vFr%$m@x}u-uzG){!jRFy)dQytFUzcI?UOy2tRCI zfu*~*;-?)u@Z+9?n0w$1rZ4{u1K*s3{QF)&X#WRbXwerQ^|v8<=tN=pk0D~{-3aR3 zAD(p`(RIoU{IKIBK3=^GlRue{w)Z`O$hvk&@7w_;efz*Ddo5g8cT`ygk{a6}p`j7p zvR^|gs^F5G4)^2?1mqMUqpATJ<#k9dtbirI3=zp$2#8KZm@xdX*kt&z=X_u!eEh-? z9uY49RfT8)!>HJJB(h{~3VrrTYM9MQs$?mF?k_-3u|~o-`O$67?F47CaWYOuY?IZo z(i=gXkI6u3dZyyfe%`v%7oTUb8J2ma>6iXq0t11KU{63NyC(~ztf1f~BO^N}Q&$X~ zUH#&%fX-o#NmPK|qPzyf2Hl|oYOAYSsNym?0szlG^PDm}_v+PMfNhmBftQw)VeF{8 z@YG{ZWA5yEc=7p{@W6xzFnRJMJT~QfCW?dodkW#Sf&GV zKIzk^FP)%I`OMn+x>vexo4R7f^5%Z%1o0(H77Jsaj~{>hQNa5f#n#_=<8?eQfc*5+ zPvM0Ztd%{r)qns`u&2d;!>@O{+q_?=pd5I-BqH7h0ybTP^UmW4`}H!M@7W5w z@So&-Hp{thgJaZY`K`@}>9q&3oesjUbQ2OgoQ9uyHGG1XA}DGFB4U>dXe~!h?k~u% zUWEGFH{i~v&tm-ZCo%b@{dnQyy?ABuc09NHS4`Ty2s4hZ$9H@8V&ncZIB@o+0QY8m zw{;Cx?_ZB)dxh;BT7zYKR$}?CRah^Ke)Y}`Sh;Hp7XG#s^LL7JaQr-8Ua=Wno_-B! z!|sE*-*^;`nTEvM??vU*8OXh7GRj6ifXqH4aL>%ouwu`7EZct>GZ${deee8$lG`UD zz57^{-Zl|o6}@Eifp>mqM7JIWbB}Qd?KldteI_8d-AFi>_JpybA0n%JqjJD~s2@HV zMSVvjqPjf{iP><=$b)}DF_MKnM-&wzJTD8TLSck0%3w}6AuU&!Z)yyZGld1G#v(Dv z1ao2x0)xEK&{!k;uu7Ds7?qoztn$$RBd9aTicFjPozd4M2Lu`p?q}woYX#l5c?*G@ zN-Xzh=?e~&J&g5VUcr7l0BWIOzs>Bv1V0Wjz07u z>`@>BJYU!~d(meXWntWd_h9t6QRqLQ7Zxu15wE}YDtdJ5fk_WMgej9A!^)pm;pxYp z#jv3x6pMZR^_jxZh454qqC~!ZyMPzYnRc@OK3j@j+AE4zq zP068-wk9z5fCLyFf(0beXf1e-zMv_~8c^0q>PGNozo7U|hZcdUBFAZRA#yyEZ-O z#lRE|f;2U1ZQ7x^otus~ly#$da~NSslMg|iH<|t%vUYA*7Lc$;f4-s2HC#|E4arJT zG}SXmgbD$*JZ@NBCzuO|0)W8Y*w`ST-U`LCuvj#vSI_Qp^D~t{J=yZF0X@V&3PHYM z@bvUhU~UjLZgg{#>#s&iLNQ$I-Qncm04FDVI5;@N!QKN-WaADl@L(esBTes4!p0q8 z5l#91Ss&w(DN`|G)EN9Ye=#ObdIX`OP*he|$@RR3hMIZ|>NfxlZ9525~v`c^H`m?;twmU3e$F4WG<+;h8xDB}3+;+w*&b$)81wDJKz9vlt<%^I>VVNnSgE zelG%P-S)!}vb`3u7 z$#~^FlHa)kmrgrjAGr(8zQWW)uEE1kl!}-G@G+kjh2WH2mw)KZmq_Hxbe6 z4;WjXMsV93a1P%CJBLkjpSO!*wjFME8{lUD3mja3fT?6VO8fqf$ifu}ik^ey7C)f! z!R4s_W-YRRoR69Xi_!VTZ*lvB-(kuNTk-JBZFu$D2+yuE5O9{TJ%O#ONe-dwf8>#opbTy`mNX|pIyEEIKEuF12gBZLGK5rqoC_pbQ${$20!u+>IOdwKLPa6+5xci zz85J&9!ByVQ;>G&6G$FD4LN1fxd4SEmh zf#D-=!>Bul3Hg|Y=R^+m?b#252MoolGhV}#$y4$0LsRhc(qB{#I@x$pNggVyMc`K! zqqMw84T1v!y{rj{OWD9g1A3Fm-4?)YvwdtErf3q=g6>8Eamp1vs4QrOp`@%-jnZ-| z5*6zG+FhxMnQdQhYq{BiznS#2vNBcwfQ*bZc|KcpTg%DGQvWmPF*TLe*>v?>US5uB z+QJ4|+Jd0LRp+|vmb1Dji*lzn0s3>o>>Jy(RwnoVEuh=_&uP7|wxs=wq3eG3I?DZj z!qA%mJv9S#X8LhOKKhvt%PDb^7!d)MJ7)O+5s6f;EAdW*TaS+_0<$#T1KgzWGL( z<{3o8;0v042=?5}{9OtFZfPgmaQGWqCYb!@Z z#0Z#2$_R&-mzS_eI~n%y@bp4fdIi!GE8*=L3|B{jENdaV!OqSL_V%sK2Nn1VOnc?)A7egrOl z;llm{5bhEMKZCEZe^Cych5fr3;Ktw|M^6DaPdGX`h@#;DduI>Wi6-b^?*wl<4*{Jh zIp19Q{T8q{6vNvsNBQx6eLBL$r#;-GyTC1>1N^dkAgFRALfcG5V%PU!se2s_UA{oy zF*{J$Z6O-R?LcY2-{2WN7eSF5WgLWC&=ENLoPx8FMRZP!!m(G*X9FB8>yR|{JPLpM z13s^xKx~JD^xCZZsNB9Z2I9?F8e+_nS=iwH5 z3GPWJ5Zw7RqVBl~L!|(!$0pc|B4OtvN`d1N*hMWx#Jz`5f8r7n&Mkufwl5I&^lIcz zyo{Jf&LMKd4Y=ipcj)!0T1k*qI5#O!ehv#R_ zNBdEe(c+H#Q95D*T26c#CF7@}YVz|KI_n4YdF@N|p86Kg=a zmURsR=-gvzs%G}BIp`FwWYSRr>fGlHpdv_9c5n~V3{1azH&N!v=E%PJ9OVmvjfy+> zd|k^r#oV>r;o$R(h9{_Z>)K72V|%O5T=qPVR+GC7_9#yhwl08ViZ{W{%ME41qz8{0 zgwmG9$f`(3R&^RuDlJGT6UC*}gvwS0NXj%Jzbp%V2KGc!Y7$zCoN3pgJ$m=+gM02B zhY@#4knW%0dKwKq2T~Vfr)RdKzwF^)S@RpWU$a7XuudMj1 z)0qY{TQJwPHmaJ;@HKQf3Nt6Ow<-u_3c!m?1$2t7%)F45&*V7*IsxA{gXq>SXxg0r z*C=g@<}OsAO%Uf}+a@h!<_!Gf|JL|`Qq@9LpTEjNDlcnRAoR8IIo**h?-oGUdFuaD zle^B5&$98MTeGmNfX=lL$7*^>mj4panTxI(wcO%E*MQFXNl#6OzpuZ@ZX>VdYVSV1 zlmAgbfAPf^9ZO3}R&b-K{BXIE42ml$C`2kX*#xtiL;}4nL+4{olrAd3=XEzFT~3d$ zGx&u!kGYv6M-EpVd1$Jk`R29PUR8@=`0!zP@x|%NY%^d$Kg^u@3V!~1iOQcOtEUf* zg%fB6AVa6=jpxAc*8VhZXr`^P>k~UBF%YhI&tB@WwftdnbHykYm>{5&p$GW~A<#b% zp6(t3l=iBb0oy?m{Bv`f8Xlyis_Qa|(!+9y>|4y?*J5{ z*wm?bUKE#?Uw#F(^{o&V9fb!bPQnMXKEl^?zQMftKcYw$tDU`r>VNFwEXskwpcuNN zvy%X|{O{}r2cw5Dd3V^k7zNBlp>Q<{__zw=byDsCH-i&A4bE_LaI*UHMal4T^O4ux z;Nl_*fvdNGy&D`2UT|^ph0)-T5YHI2ENF{{b`ud2*8@>0LlBcX2C-}zoAESK^WH{c z+Ixt%%tE~R3lWOnAu)aq!ec&xPw^+Hn6MWuo;ZNi2iBtYjgu&vaRi+|y$1802Vlrr z2K(rZa5J5Pr|A!bmYhXc$tgIxpMrzOSpn>Wa54!~&$tZl+RF&*`zH*!2Vu|7bxwO^ zE`OD4Tmie#l?WJl0vUUMNBEVW5P5Vqf?i#VsD~~h`sGV7KMFV(o`FNiZrJ*N*`9y^1i#}C2~u^L9#gK%;E9nL|QU@W+V&_UN>9Cj7X{VpT8!*zH^ zoEF7NSUd{?x$K0&Nf^J+F*sywL*$rasG4vcA(`9Z7;y@L?JpqW2~kkK+XA1hN07Ar z20TYxf?fDgxmTy;KJAB{;}-e8Uqu<(gy5Lt2ue5sr=U%6310)h+>I#ea||_ub|Jgn zcc|<01A5=N90TrLfjh?kihG{ei>aUQ#pDG$@$kCcczf*${P_K8tX_K#v$q_^8;927 z-Sa!}+3BNLboeB;9>0clqP(m+_&au9xGw*nz?Z9*;^iMc$5XT4!~4tU3XMfiW%}+jmgi;b-PL|q_1n!!YR}WZA1go}n@rb1 zst9)a(0x%`-U=g!jzU3k9x_`bA*+YTyiu_zdBTFS=TcBOF$p<$n-SkH7?%FwunY`C zWFK$%b#+EiHxGoj_eE%N0J2Im(UNI{1fenk2_;VjOp67Gi-mQTRjTr_rDX0^0ubeF z24ZEaoDU9KM{lji(ahSbtbk5tt{8XCUoB5A*ra0%D9h>Z=6Yb-n$DruSfwmNP2JX1x>|AJ-};r< zS~GNtHqVhtDhVg$zs$>(5hF$<{iA?BZQ3-00OE22mI}o$vqriT&{ODl7BI}q&QV2Y zZY}4k12Z&J*Y$>JHq%|y_#SKJx8mj=x*WB&EtGkRrW~fc^z7MPrFcB>zyy5w;rn>@ z*=Ll7P80r)9Xqgj^JZm|CqpNjr+%j)`tgd*H&+dGEA5lr(jm>PsO;1UCSr+64#(&YQ|;p|oc5AOy926snj zSU(ZMLy?|54q4fgkXk$iCGB5EM!V;c((PqbjGK#wY3nik(^IIQauVUKH^J4s0>;=K zh%C5|qyB`e|326|Zxe>T79O$3;AT0A*tX}9Hs~f?G7rJU^CXP+2jJ-_EMMMZ z7rX(%1J9y(>s3S@S%#RM^Wpa3LU;_>kIXNC+&2JY;~4~0pMfV8g7npJ7`qt(D-I*{ z>>*Sgy@I4k$Ke?Cs|xN5iue;QL8stX_B)ct{fU6PZ^Et5WrQ|fhP&TU__>{jcj)h` zY_5~j0r*FpM_~O4Bs_E)9iG35gqmY;3^|L?wwI9k+BHP1IEsL6Ct%qEc#Zr6_NI$) z^7#`EUKiy2kHg@6SjH{{M4m-J+(p>S_1gvRfK$>wB(%MV)UGGsU%Up!)ZQ@>3Dvd2tm6?I6OXiH zVQZ`IaXbDeSGCkiafOcTsG+O}?^ z7;08dHlBFuNgR;#yX&626{x@c?mL+9!2S4Y_Scy9#N#R=xxPk=dtmS{oiXUg&KUAt7xen10j19-qvZJ{)Vz{|y5|Z|_h1Qf zyQd+yJ`)8s!r*EM+!ZLuFG5v`FypcsmA|e!bd?EsR1~4KqF6EaDgtoDU!(FCpSc1! z6+~Cj43bqgg;zAd-fEiHfPQOPZ7siT`)z0dx9!KF*}QIvO}VE5pIBg9I#ye3i}@XL zO|%dc2%|4m76~hm6Xca5Ci6n;0skl1>!7&$#)jq;W*r!)v!K##ip6Bv`2TMO^c=q4 z1n9cpjRN#+E1wh* z3ea1(Za|kVozSUM2lZUbmfWB%)pLBE-%WsIo+C~8^vN?yl74muSrEu+Zll><_1$d( zbXpl~8M;-dq%_xcrk2K*cZ_lm2?|yKPKHi^~4M+V}#xWj1lgp;$oFnSLdT|D4oaD~yq2zO!To-U#o2$&Pl-Hc4_F$nwj z#)A(&fKNnFH?(Mp#~zrBCmwth=>qKTqDU0vrlVAtiI0zm$|;VFjE22(C%DQYl{qoE z%lCP}-NgsqZZcQKIQY9K!QDLto*||1jI4uCQg31543uL_)!Kl=eD>$~&&WC*wC!sP-Yq^$`3Ww~B(Z0**fG z5zzJo$`}5L%zcLuzi~5M`p<)*%?8AMaubm+T!mNvQz(D*CQ?UUMP$E2h*(QWIb>N=KF5IzsGr`bdh7oX}Jey<$b5% z;(k=FSKcS!AJXm&%HFz$ZXeu$squ(>*J%WlpFzPZH;}gRD#Ev)L&~o=;V%k{UF-$e zxn6{we2<;`S=f7ChP}@rgrr_XSmret!jHi|`Z%0Y&LX7MO_+NEL9PCPA??@>JYI((`X$u9v<2-}Z9&XC@1x|S`DmQJ2$|j9 zMoHUG(Q(wz=rsIC47z6#CQaXn>7VV#2MbQ(t0ku}Z^cPMdHePq1%%2K znEUwniCkzSib5yEM46NTX9qQcIxFaz<-BNeXM9jxOq>9^Cu*x}aNCf+$g4|5VgD3# zf2|H$)cLn{HQly+18J%O7tmPKZ0EoHDWWui_y zTNAy zE7!ovQ5wv-(OIsKfX>>_f&KyT^6*sboGjf%m@(_v>qt-f^LUr!k&>z`AzAV`N`zgwC>W745`5ec%_zFAsfUk!uJd93ob73yM5w1o9yu3X` z2^yn{gbeJ{3-7%8GTxj%9ew3`f<=*e=z$0D+N-akL;H64c-Ab8y=ydFTpeL>w1dH5 z2NxGR0dG5a$Z&VF7iB``$kAE31MHl=VCU#9!(T=S>>VRS>0s&H6a;x@BEl;VesMVn z$ZUbI_`br7?nFx7eaOh0iq!n)QPSZH6!-WM=8_*_iTxGHp_`BzvK|@H8|A#0!nbla za^Am=;(dQ2W#=Wh+_n~u?YANHV`0TpPQ$g^E|ffU4LPH)qUPbNsF;2Qx!+zx>be_n z9Y~hH0tVx0I0pO)L(C00N1lOm?g4}iI}h`i>j>#`S^!Z1JzT!a@dWH#4#3%X1a7X! zVeh&VE~URAd*(S*zk3DVjXU7zbs1jy=MewMRfNtx0oVDWgnT7H+~EN1gAc;Nct$aF z=a6ggioS`U!fPn#e-r7Q*cx{)9AtPE{*I`QKv);RwdyJy(=Wl<0yqT7aJ?Z)!BN;n z{D!1qzoY+rAb!vx0r*95jG2vwx6h-?Zx;~p`En$Gx*Z7*Z-PVCT(}0WhL3p@T!Mav z(ep=m2KJqKoYr%m*3dlQk=&1H8i$Sr;2;*fQocN$> zK0+(p7hisg#^^$_7Q!N)sTq1VP^FHq7_E%?t+fn^U<(B4XsaRp#7nI zblY8yf!iA}d|OA1-`5upofv|t=SSh$%i}QP!h?AJ$b)F}dNDG)CL+H@E{du|UeT&2 zfL>YF3KeAx0<1%YTyF*Q(&nhcd!O8@8W-c*iicMp9kC?ecizI)Ssmg1g^MK5_(}e)bu~-uaq7PoBG08k&9Q3eYqDEud#N^+azj zKbvkfxwC2@*TAhoasORF*LbI^q_VgXQzqqHcaI-GKK364^!MI-&q1`Vd1Rx?&q9ZP zQ87vd*a_$frdXwE6BuBXR*v-P9XB%|{JsTC3UIJDV=@1u68L0&IJ zT|kE5+0f9SHnP?tbq=~>=1uRXP#~b!)zmfvI)nQX;u2JCXKT|Ii!#Hpx{sBihrr+0 zPcd`?IvKi~s}V_ZZe$E?6boo*j;!TlZVrMv7cl{!=S(v@A2Z@s2l?or50=SfOU!r% z;v~c)JUS9)VF~G(8AxD(16g!5q2-Gvt8z$b=BIfxGFF(hFdo*UPDrx)0A)djMaRG= zI0!}`FF3jjlXjCKY}?sjuZEMOg92qYcbe?&Wl<;N_19j)w_ksbX_Fqr3)3FMqZ95$ zkbf{bwCjdfUVamU293a`O*`=F%(vh#%8tE?>~~b(GJIt?yV=3c+X+s_FgeEv0n7-v857{)odIKDK0Hme2#V{E z#Q155@}GptoS7KVWv;Nnrx75JV}^c!lvigX_rs-#?ei02+pk91%(KXPct0ZA|AMsM zTM*u16GD0&glG3n@Vsv~lD_x@{n-_?_UmBpe-@6}M-V;v3NlvR zMAFt9$Xs*-ULB9Z$^U>TKu6*3dkH>KEb8+o{L(HWtLrsnw7CX1(_t864kM)KG7`Jo zL~sZBT7vv4ZdgV3bwh%3GccUJauJ_>`=VYz>M<-Y8KtNjKU%i-p{TokS4NQv5p zfXdGhH}q??d3B>GLYtA->u1#UScvYUmZR_e%P{7dt$6CKWBB;1b9n!=HK=NA3$HM5 zL?neFCMgC9tOUn=^h8;|(WwaaF`;8!ceE^NKuUD70D3A?6H`=BErC2K(bDWk4+{-d z*&M7yNkHdl-?p7E)8r2u+4e`hwA%OmMJ?_{o=e0Wz z^@r0?bKHWOy-8@dJ0IP*RbbGLM%=l#Gwwdv9h1)v!sJtf@X{ampr6YB{u5c;*(UQ?M(RLH2fQE6lS5n{q10e+g?X@My$Ds1kgsZH*x zuvinIbNoAoPLq3fQ$2TGU`BO$%gI-k8Y`e%dky?g8M!3KYM>6y@0d#`AUL3p_PL%em=*mBwIGUaLU0hTwV!*)-sO!M< zHCE{%i{+8P&U(#^kmqasezIU40ZSm&QLH-3mEWxwt4>?vV+O_1}=w#>wbX$f_e>#JC_`AAquWl2o&qHg_e9Xl_peL~FjYQ$Z1rp66L#EtK!0@U*&VHg4YwAC(Xyc)W%MV>LW(oXJhNj_MmpkU!I`475Eq+=#H3;* zrI#QvqX3E7IY=)nL{^PFSDuEj%rN*T`yeRQSJ+dy>M*Amk=%o@uuuet1i{1_GkB-q(G!NJ~D z=7eT_cR1U-!PVdjPiK30384G9IKs!-74G&f0^~-x%5aupuyavS_)O(9h(cg5N`M31 z8HR9p7$RVF@PwO#8;nk_0=5nU6rOPLW`;oo?CnDl7LtUl+yZ#{#>?j{unUZVli7rj zbWtK>2caZt0`glYfZTW%aXrr=rt<}a*It2B*eN*qo`u2x4D6f^2{0cNK;MqQHV4t} zyX$Db@H$-k{0h79y>Keuk2qH5TlxoLemXBq`8pzc2|&kPgRje3#q=FrPKjc07IvQd z<#!LmCEzF=jRyqmPr?{>8a~oEA8hP(e|ctivf+Y`eaoMtua%EqoAfByegS^q9jet=_5iD^rNV6oX z_OM)w8pt^)lxZ!a^34Bfa_`bf_D8$+>M{2l_rES2#mC%FdJl3hQDNrZqI|Gsw^XZu zxK^zil)0Ut-q_FvUAuHsRyZo}*_k<3K`v}PnN2wa&>8R>D}e9k>7z1Z1`O+i)LJw0 zhNPg!tVWF7-W&Z7HKN;z3bZ|yi#CUI(P3`^dh9C4fIW4%<6s-yb)pL%INJvgoal?k z&yEm4pNJugTBCfJDCLzY$f?XmNp+=wLkpCbHOh4~ptM{VD_Odnw{mZatZhlaCNpm` zx&O_~Zrg>Dque@Fq=Gmu+7R+p^iVCVJcU);e&Ga+d#->1kr*yNPzz3S6@-V zjs!?u{Z5lQDikC+&Gp*svIO=O#qKhz-hMGFGZ)lAn18zgd)@{*Ngwk!j`l54dQ4DI^ z$~6jOscJw@K{;YXc)GGmosT!-QZ0y2OF(>jEMk(wk&qqX`rrtNBP z5b$+|r=u%8n0Ie*5oT@&7a2wYb`PVY0GKd$7Tl5HXmAvU=mL8gb}lkponhxJ&$)QP z%`po8PSNml@PQ}4*Ijei`u#dQSSWY9@rr=C>n_|xx(x{ROwwe zCj+7kQAlvegol8?pHH%!XQhBt89aPDz!*CUp2?%(oi_?uJ)cBPkM~4y%|cQ3he*$Q z1IYz%Aui)xB;ef>NfrtE>sohRUueGFcHXAtOo8lFzf-QNzQ_X$K+ zUPVHO%kWJ;EkL^y9s#Ei5PucHIoIKya~1Bz*AP^81F;2vz(4Rf{N04<`(1!z(kX=Y zzkvA11>ifgqMxwt)Zb9}+BKA}7v}%%5tzQcf~aZN1<((`FXJ@gYHz?bn!j^Y=KpsX zgzY=I`~eq-OE4PF!`?|04HgM2QQEQ+xuOPR6b-y?ghb z@_bA9`2{G3-lIn^v}@Zzv2zM>6%5zJ(3Po`=OpJ59}@>34Vp zP(Q62gMR9TLBDp#?fW{R=kXd0INgB$Ct70Q@s=2LvK~WZj6Bm8b%rPWN+VpTgUpjT8kqOwIRRJW*8mc+U$Vb4V+DvL;G80j7s z8i+OPW?&hIDkf8FwM=S1yKQv3X4kg;Fl@p7)(Cq2S*oKN`;X#1t2NG8r(utyl#I-aML9R z`IwuHerB3im|w1IG}AZE4awJaG^J*{wng-{hQuTN8;lxcU1n-fTwvPdt{0|e$^72t zl(nWoFy}@gptIW=Z#MJL3Fb7pYlcqkohCT~y7r+H(47R7Tm_KWYq?Io&o&4`FMKLB z+Bc<36*8!h(eAc|`*k{Bg9w`z0<7hEg{Ui+4Omc&#;RJhDz8R$K?!=a6~Wc2HA)49 z+t=2kT}>+ykZsVpOILIk!Pm2QAJnsyr|J}yqSA6|L{PMBQH=`GCQA!MtIZYRn4Ak! z3~S*RA|pLd9-9T&3xtVh3X?J;A~+oJQLzXQ3KJkthb1-w>50)ulaY~RLjRtf(56*2 zLj64v8SID106$^k&hQrv)X&jb*q*%rwS$1Py(+>(^Sh$}GXdCD{&#e=hoh^oa)PxWdgj7`}!OggE)hce%hzzUS_{24m%_g}80-?HDrrF3kGmYjo+{6+xcf z=-0jr!ybd7|jR=qKij>qrFxO5%YUk-l@9-gF%RWOy`fP;8eT$^{ zHOP+Lj`+}3@C^JIaSe-5dH->ES1yK|X$j07_M>3pW#qkl9m!8$M#$~I!y$UN%)>7D zIPQhfX`@{KS{MWNz|?pFrDJX&xy>~=`tO5-`#}VouOmS~+#~%@xCj&X%eo44?oEUT z{|4x?`Uu+(-Va0eRusN{4Ha8%Abi1bB+k8x=&4s>C_R9X z+>0=`gq+_w*f|}Rd6#qY`~z;jfV=w*5jNN1=yL@gq1WV^F2GQB7?Jn?iHi4u*s*`W z-f|Si$a9Fz0Rj_msNlcguxm(*7v>-RwXFAvhztuBML0$YN&;kZqSY@QYl?$kfIsR* zVJxkxK}1{sT$nBGh*3(*{-5agZ^Sh2{zqk_Zmz1O9@-lQ;Ux7Z` zTVU|PdW<^O0TWL3!L+j@@$&Bv;)T5vFyO;_l=aI(VNEW|Tb84kZb$~j37{93)FH39 z3PtSL#&WNv<*1fXSKdMe1yXKN*-`O80+_sJDmYYZc)jg8I*Ovxxf42yT-9+sJd*gR zikVI9Tc?@X=C%K4ZK`eCTx;b(gFWRt&%xR?&e~9m^G6w|D}|En(mJVKjRbzy7aJw)NZ@ z6i1Ug0o_bMwvfY9~3}5FE${cFNuqcf{DV1 zW~kR^zJ_X9psc>vN?3W3FcbRls>;eyTUm{gJOO>t*jrY%P@`1tK~be_uUabLL8!^1n@IbM59fS&mKJgqcPlCi-rq#y*D9q?yQ$c}nK{eq`26L2B#A z5mht|=8RdW>$4s$M{g5>e-Is>IfsHlrx2L58@_(q5#+lSE-pX8&iI3z%Mv)6)*!6% zgnafqTmttA*zShG>ll2^*Wi)zCma*6s0eqTgzInZ26ckNIVr(MJ0)SNT>4r;Hdnr@)NecY@qtL2#XOzm?jn>YWWXo zYqXSczfqP9>^}ha-#0<-{rwm+Xs|N5>$0!9Pd4QQWepX4D$Cqw(J?X2wJ%adXy@e> zsPeAf-o7&TM)_Qxkcm5$&s0}~Br|74FDpY&L9)oTM3FNwrdW6?hTa~-2H%N_$~vUx z=ODQv1G!xVzy=ng=KdO#J(P!{htg2`L>kIwq@ePR6f}ICi_Tw_qwhDZF!Hgb}w{l0`5*7JE4Od3FwUQ@6gfu9ug@I9aOBz4+p{ZRv-Jn@}X-$*QR!zQ%)e$ z@|H&pW;)Y}a!y-Xb+3EMblxXjlY}l+{vN-J3I}B-U6GWP#14LjfX;4fY|f%h+1iKx z-vxBd&{>4WmZ7VfB29p)pa=Zp zfIe^Dym4}Y*LdM&j7o1xTg#LULz6o6@@ zMKcq(vTtFE=^3gE6MGSJa6@ufWWzI+Ack#D<;eH4I}Rs_5ro{#TnJoPdZB7C*L`zU z`bM=iVwUI6bTs6(lo`T~V^En>j_Hq0$HOwWPe1zz9((+LjDGMQc!mVS;O-8ChpV;gorAT)p0m3> zTs)m%^f18P*Ad)|`ct=U%u*Z$RUW>&W`@5bU1%9@*cYL)vSX;ZU_3 zt`Wb(E9sgjCzs&vcSd#1vvWNn-^Jj#eWFwyf@jo4nDT&x{G0ITa1!ay+(7lmK>R%y z;gGx?E}?r7oqrvH8E0V#J_NVmEAa9?jkwem$SRrvOKbsRqf!xXV#*iIm8`lJE1+nC zuYa%rdIyxsUW`nPL2SAJx~v)PM<@Fxu&ci1Ny$ptW@SoR0?F3L+&LQK?!E_)Onw-T zJvs$bMA$$0zyrAN-urOp$Wa&|;NQJlceHLwZ(|BAn@6$WQ+RkJ0s?|mgBbeL$Bnxe zefso6Tx^2c=gOKO%DfWfY|g@Z6jUyfEUNPyTd=ln-3|l$4Mv3kZ$W{u(&7^2RTqmg zmxqG3^0qRZD=aqDo{zE!wnghn}K@_wU{h{k!x-ukJmSMX|F0cV_`{f;j(FE>xg$&q)CQYLr0 z6Lf4A&r4@H{ci!CIqW(pj_y|TEr8x!DXyt9+ong>CoFpFfYYXV0o`JdAiGps^M^qf&ME zBrYahRmTd3=?VaJk7AbKGYLS^jLhd*7=o!MWn~pg>(0o?Qh{@1>THk58qM0AL(tQv zINLxE`d$d=-1KDK43MJ_oq=z*o12gS@}Y+{7nae0&LBB1L@sLHFlwK=;t)Zc?3>C9 zAM>}@ty_nU8#gL#nrwpS&4oq;jS6+vf`^Gs5v_p0(*VfN+f$XwVq4IR*~btkkGR@J&w zFSO~>8?8EYM$7gcP zCM*Ibxj)$=yt75)E=Ws7i2!{`RwgP-xM4Dok|~=jr2uKBEZI~RM8w1jAf)4w2~VSQ z>)X+`!vF#FSr~ukc(kf%z?-kWiv`~;MDH$L@xj}#;q$LP!srR(;O-wN4Aesa*$M7m zMgepuIN3WXAHKUY)A}3)nC;-glDURpSvx*(F}es#wHJ18jsAC);UWLKI@-g{*$6KI zc^88LM!EMMj`G;vQ<%J`X!7w0@b?wKXS-Yv*|;GH4z=MV05d2kM~ef zT<#G?@GeAzKY(b{G{nZuL|XZK$Zq@yIjufNV$nxPEByv7I;}(ZJC35`?MG4FX)jVs zwjw@#J0fF+~q;oC}D1 z?F1Jh`S^BqWNm$~4T> z1OdfpnBv3X7wC>a&#IqN=O@uqyd1{lTFUcl-lYy(caS{bE_o@oMZDFk^ z!5A2__q)c7#l7Rkt3gKp@I#X^?Xjtt{LsUgFyR3O;`iP=L0I2o7&`P0#nS0k<9;VI z=aG9|6_zqL)uRwVj}V2`-P;|l+qFTzegjca+5+W8)hI7!j87#BOUh7CB>S9CXu7xoB?qpcnp$zQy#ze z%1lgu{slby^fP$jx##iZ<4@q3C!fMY4^C8;8EYDGvMOMfE8ji#?z`~Vw5cNZN>rAQ zZBX3*6wtNFU7Otr=!~aOu@p^F?uxlLxeio;v?6QmcCz$;2k5%)y$%GYC7GZubMnrv zUAuh#aX|n4^UnvE&F0IhFh2w1WK*%SnMt%MZ+8!sO7Z>NdAM@r3a($jj=g*LssIQ2 zK$xG%Kndm~6405trF}X&Le<*mJQ;DSj&6c7@3LtE3+5BRtE#wxO4UXrxU+9TP#giB zHwfaP3LmH==9DuISadH~MuQfSzspplj=HXl%o*gGOtFG0llZ zWe5ulg{J^sikZ>FObcSUU^1d`m}7(G9!4N4!G!2Yc`kxAzEg$eTWe}piBe*TNkxHd zqHf*Wpfo=T^=(^W)ZJrLXQ!6M1-NJEK-@QetSA5$Rp`da$p=P9CwL1W8)XSQ*%{zq z=O&Lm;B3bTdwV#$c*~k$s+>RU1gP0b&s`PjaZ=U!+~xB|dsi7gaC7od1$jJN1k?rK z?F~jG=VasM*I!i%WU&b55u@)#uFRR8d?sGja7k_!LVbMTXAFY3Tav6Blbl;5T%AJU zYzUL@V!;W~-hEQw6H)-*uu6o)wL^Gfcf@B7L00)>q!vAk_^daPoHrjiWjm3Uzh3}! zGs1+~TLvFR#S9>-&vm$)kHEcPAIe?_YPa7&zz?TkUi2qIUpy~>z8~JE8wkz%Q&{*V zVauoC<9h-wZU}GjK>cjp#dWqT(eWcF1Me#~u;D7qE}M z38#>2u=BePJL4rdIPZji;`=a{jX{L$SyNIvqT*8!YtDdK)=_c_Gcsf^1^Ecrv{XI8 zStr|)n5tqu2;$m*t^u8boW68g{P;iJVT^Z)iHb&Sj7hO~n&qj4^D*B`Q+-A%{h&FD z)w2*yx6WNu&Gvr%24L`zp(2My3z6ui3L}xN>zWf}ncCCkC5#O!L`h{K zifV-gwn#@2{jm80R-*hCW)-7Hr=A#p&%Jo|*{AW`^r!LAl!>@=^au>-(;wa2_CUwF zE-EmOiVQ)nx~jU_T&{@=L7DQdxdK}gz}n`j>moC@fUe_=bWs@v>g8q4l`d^7ecGDg zHCxxdbq)CXIm&nDq;s%w7K7dh?$18+EMA;G18=9f(*;k2g5#iN5XsA2Mdofpa1X=+apK+hEBT~sJqjxchX zU9ttBtSJT=>P9gtn+v*1G~8lQENCtX3JemaVSuZPs}dMoSW5G(sa0n7nx@F}8Z~MZ zum=VNDARk1Y&w>jj0lTp%0so9+}V_#)#4(BAp{2n!PCQ2MQPjH33C$#f@yRFZ?bXv z@yNF6>sNj}5i(ICfT*Md%DJ&hP^k!-7ep(4XwpOKI^*R$*){FXJ4a*7#?7b@g(XPl zGcGDd6_FV-@HYIqdM!4u+aQ}h2Qi{>zYQiYS{v9 z+ccs>ySC^ojJ|XGP9khGl;CXNwmrIb=^_eFdwEa2Y{q<1MAGCw%e@r*O-Vn#Kl+; zE%z?i%S%AoQ2?6v%|)2Ht77Z+4)&^Xj+2u&oE$v_pat*^EU7E(T^>8J>YlvrCM@3Q zC=A}wO_VtSdDhWqx}AV}`)*y8W#z8B?#6xhKZH4R7hu@%(eU*2QS&tYsi_#$yDNGM zV|wu3i2`CNaB#4LkEc;Zy)CqiQHLvY-^h|IYkK}B~VuEUdvt$GeINiQHWYdWg$`5yIeErt2X?@{vlR%A}z zhOp|5^7<8o#GetR;Sl@-_sV))2Pct)ZD3qZ%KbT+bK1?Vg;lVt5~MldH6=iZ7Q?;WENxeMBm=ZG;@H&qW~Clpw!BlWsgBB1ADz5$*KfThAjQj*5d> zJ!gp%g}f=+Jv=HD9zO19)3FT(+}>Zg8;c7BOoW}67gne~=|y=W-%2V)siuFKCg@rf za935%fK*Y6%c_);QN*rXl?BMH%tmTP8nR^Hv~S%J6DLf1mNt6G)LYI&&|9c&IUGYD%VpHG`Q z4HJclKOwCAbpiFyKm82z=FY{>OP6BzuH86t_%J^I>@!tRC{q=D$-%hs<1uyGG<9v7 z`SKcU0lm3WT&7K>xTdaf#E_gUo7A-?KqoonYkYsMkS1DdDEn>G)-<5=H*)iGni+a= zNzq?vYbAeAS<^w>e9X1&Muu*5W1jtsf~Ow;IH14x-h1r?z%G)3@`iCz$x0dJ&HTYt zt5zw$IiF+BIN7N#f2v!kYBPy#F@G*7om-mKRON?SEwxbQhwIwBjI8H#wTy0*BUz|5 zcQsXM*E}+BoIPg=!USsiXj<0PDHhJ?P@36UVM+Uof&`>>i$3;WCL5>!#MXz-?;>!s zxIRrLH0|4yp$kxuWs`ktKxd#HK|LfSL`ImhBG6?(A0AnOtE-zjM>1)CKTUidZk`x( z=UA*;vrbjUGKxY%SHOe!KcF<#hbB%^0f;m~QK?C8O6#GyUt0tI^50u61)OthFh-8r zkQo`)-oI49SaB{!6qMOtex-bo%-y7=VdTgWShsGiN-6nf&Nr$)Hp?@bOwp<-&dOEG z@%{I6@z6sPRh|8jqlU|SC*l74?-NbDQMFBMRbQvdRPuZ|*BoRN%qpNTCVQgEP~DeW zx$h#JIJ%HYb?c4}0{&gQc0u31eFW^Sro`qP;}WZe%vg#nx%Wl+h02-{EKG|+JX{I# zh^98UNou{&G|Q@n6bb}{EVXHK)R8Gkk#f)c<-WQSq*Yye$L1zF>OL#bcTmchySw$? z>-%qz_j9fp=*fuO$&(*aDVTICaosZmgKj3~|NmO z`1k!pQfkVI&IESxBXzodPCjSoCz*F#YKLa1f-$cp! z3TgQd!z9c$-W-Ft_-L5pxF@+@V^z_npnyn~i^6z>@c2m`bz`>a0VQq^&bjicCqLj7M_(i}CeiHweU z(FL`Xuer#Yy36%3d-iNBUc4A{1<;sxO+PcqQ2Tb)ReLBm_L8M!U&xQ z&h)ow0_Zv&SX&)zE5)gt@~q6iwMW%$UahTOt!$jvpsz=Q$y~ zS-ebDf z+Qh`E{|V^y&Ffmc6yzKfG8E{X2My}{pTfur@R1_a{pEdus^>BR-pk8Jv3{n*@pl+q zOEZ62NjZiO8?JyTK)#ozL@F}eFbv$|V&L@>ta$BIWXw$(WMZP#*TaRc3-YL{N31?4 zi;)XkwWmx?5%!y=0vRJkL3!iVnb^MVH+=c|7pnLK-65>t#P6V~?pt}x4o43?G)a`T z6(StEsxEi^`t?z@^x5fd#fo24lbrG6?`iIJT*Y3?CDx!xz3J)eq)CTY0^jjhAKzQ%nO-2{ubwq)c^_foJWE_ z&A8mWTsvBE z%*W;ZVTCLTYPyE#X3^i{M5e5cP0_;S=uygT* zy|WPpXD2v07+|zFipuW|cLyIh+p*t(5e`mF3G{%So4+UmX>fIE1!tF9Bqk5W$T6QG zr|2Gd`gcNn@}0;no{Hr3N0DFjG75{{5`p|7EcIWZ<@n7QGV?rI4L^psybXv>TZPEX zP4I}_2uF`~@O0jRaQ7`Ro4!C=<|J9?*1eFFL|_yEm19bBC=y~L5ajQxYCu#dKxdA3 zuF6BV1$51bbik;$xA$MlmA$t4m9H$ z4I96nS4(B&zl)~Q`#<{ z=kIba=>}CgDqI)*vh78#OxcvrvM0EIHK=o6QTB4*D8F-4V-xP7$|jelZO*z@T=+a) zt;}%Zz96{M^v>#V%sXd#8uta2ngt6MsCX+9!xJY?htS15hV!Y*ziL1yS?klg z&*OrpuKzfoi*TwCus)}o+j9Z4OdriDoKRjoCr)3y*8cdv_Al@;7n4pQvju!Exc>e6 zEB2-r4i^@|fdHnN7g;+O8^M4Jtst*Z1u}4>lJT;MJVD*d(@T8^-^=gOi?pipuK+m; zWNoe?qawHxWVy+?x%of8GgttYuTuaM%*n18h-YOCkxjnxxaJ@VvSmi*v$rk-_sA63 zi7HC@0OM4{2m0svnA)JPkDrR9Cy-lNXOQyQb8sQhM@WWCHc5qs44uHl-&FaM0$i$@ zK%%9o3SYW1A;mEjPv$P6={+e;b@#(VLNV)u4;7d*Er>oU0uOF*RcZwf!ZnXpm~z_yP}Cn zXhnjXm~%+wh(p)ar!vYTtt^~JZf2cXpqU5Hf%lpBo<~;4qVS|}A-I#F^F3rDOP4NH zF(YIq6j(ZaMaO$^E!o>UsH%BRH2*gZ15NNUoMjyo@cEphiuz~SVP^q(PuMxQ340g7 zwkLQCh&y@7x^z>Z@1z3z?BV6@itL;O1jxGa5?~JxHNnp}1TiKv+&z3@^zehT(H{Xp z@$mAALr7>QqN7S+im8OBsSo_qMGW)V;PE5 z7NWA`HBkgch(gUZNQGGTLVP+>Ev&c}33IIMAyI4@b5U8L8G44yUz+MUt^1+dDxg$) z2&7zV+@HKJw0tpIoz>o$g68GrEsBv_Gr%ha$ljoibY(F`&C|n6S=#(Wxn^)HDKW z;@aZ#Y#hb4aOlt>QHWP4a2q;wsFHsi%*Ey)a1+G&n^bD4@KTZGV?IwXC#X}7asBc9 zsO%C1wE{sv*THbQyCu(oAgC*IYT#4mEllk6t*drnravi4FYGs<1BOD&uDI5jO5g~bI;scXgm z6412_(~0l zS)qbJvpaH8_$zK%_Etlwq6c`Ldu-EB4 z)_|Z;Wj)~aQZb-)f~;RRn&4dUIjuCPVhQnP#a8(ppUnDLne%DhcM-Np7C^Hf=YrS4 z02aDZI9T+7=gy5st(y0r;Q#Bdzp70}=1lj4uEnn-k$InJ;wHHBUQr<<`0{>mBk|rS z;ZbU>0?2hhrb3}bkfm^<5aVXmy@_?zK@QCX_*t$aT70y!Mpn{Bgq$`*wilts{9T&- zd89I+tpx;UGH(8cj_2U_^IlS6qvFK-$k)jjct82Nw$AWg>r@@h2Dm>cz{s{36iSA| zwWbwAvQ7fJGW8o|P1zCH8MtTt-#}0@FfyP1c6Yc4i+8khhO-=94IaYGWjOi5-cdkZ zK+VoWn7x;PxeSBRNnKAvT@}9h;(he$*aqF&bi|COo<(_Kv1-3dmji2~Q|Y5cASf^t zKHmOtadd}KlmiF%SovNP>|9N7Fc!eWryhZ!4e*bwg?D&0BF()-nH-LUh}-2}_d;cL zDj=}5B($j2rj#6J>k8rrFDit!1eG?T?!5}W5!Gjz@|_a#A; z_kw0=0st$9X_iX4qYF|Iw6s-)YmoPnb4-gGtsqX0PAZ6%<(kQmJmq^SS13PpLl|0e zs37xy_1Ff`=}Nnmq3bSMwt%iPX>`DrPRZ2_kDyD%ZtK>q%Ct;34KsoW!~}9)4?&s% zmK?k`GIpl=@_FtF4zhDy>RMOH(?G7P#ql-1kLPS#CxdI0pVjZDeCD3g0dqRAEF;aj zhjoc>TX5IFUf7ftn9T!PdNfE1>J=Ze{3{QC4@tUp{maCEXEIW0)1-lTlEV zuK=9|X8s+Z>uctkVj(i7J@@L_bCTexliff4_t;~PWs8vgU7Or>bs~MUbnSKuJ}uaE zcQtKp*P4~~q4VbOJ-S;D15W5urO@TVNo}eRPk`r<3yqVbDn^-N)h6T(&=r2Dag$;D zc=@Vmbh0mk2N$1ai{)j1wFD$^aq@ZIe!hMR%vmu?13FnWpCh2_dh!Hv>NvJT13bZ= zgTj54++1!fJ|?L1y#!;X@dOFu3GxdwwZiH;W*E`XDgiOA3>M1@5oCc-2vDG~9?%pawg{!24n#E###felMDuDXjRquJx}s2`wZVxOMXlT2`pl z^LgG6zAs-k3^xv0#1~(Dp;#^Fj+>n6E__XO)0#b7{U({PH7g=XoeMvwqh75AiBi;S zysx?-Ms-syZ2mr#3a%-d>zVe%bLM8{dZqv+aA=`Nfve4?T9_!yh}ql>AS^{p!Ou7g z9Y>)RIG&HTSm}mDJTJbFpZD_igsah2b*OW8bye$#o$3r^=fcb#?3`5KoTHsEcX{k2 zpzgu4xMc9c(hUZ0*t-g2_i%$_fDi0NXgIj~!qM3e{re5Y-0!}_z`niks3>pqzWEl< zKJ~N;&|%8dH}e0~sndj+hvJUmcc54Ap0aiwJO6h2$ps3rAqcX zxQRky41l9k9GnfbbfhcOYkH#0d6EgK3DHQ4OG73ZutmUAl!g%hP_$`mV+Hhh5tvOt zo|>A{tSG7KY@#3$&^dophG??oy&&ju4d}AbWVN&q(p5xYiQ~QByH7?jeFZt!{kG{j1$1+HzrATVA(W z)%c+LmZzsTw=C6_K)DBXl{ub=wkGIEdsY@@datfbXB*kyT+96yR(`9gU4glruPsBj zHM!?xCLj90GP(17bO%tbKl;xJ=-s+>ogjej z_>Tj+FsD=zT4yPsbSE)gflZeXJ$gm*VfwZi7-x-oH7juE_tEc8lMjUj1v!D8LY%CgK+O$LHt?^&-WK%LQP`1V6EmY3 z#wbFZIs7bCLq<-4L%=6XXSy5(j5clYnyJ`uaI7WX9j55MtmE&2wZjEY3qv=Wj8FgYV~k;ki%=pe2FnF@A__z86Qr`DOKj?VUM);@p$-o1ujJ=+^(!hlwA}D9kGh(f z?rWebPgStmUpWee>E#PBBqWK_CdylINSMs2Nj?)K%8ehqJpE-o`@uuNJIK`;{?2T8 z;|^B`UpUYN?`(vfn>*}{!rGk$e4WD)5NyWKQKRwhhi_xr)Q2!) zG56e!_h-$*km19yV98II{MaNIJw=f)Hg(H$WUjtFJe)j5f$)Z_3f{AWyAK2PxP}8^ zG{hn_APLoF^+=2|BQ3#%baMk!e4+MA<8qAO9CZ9wmGn^xJWT`vhQ%R;f zhU<%p2^A6!TE=)}t5F_l&Ea~c3yjyo=V%$=8r0Xy&ua$EIUuML#C0b+TNe?ZBMau- z@tkyyG>@D^&KuXQ?l_j&RN9+BN7>1Fq1@4c&b^~k1L&F4{_~ zm0R8RT7$V}*_sh+Fz5fY)MzW0Rva`r)GbQ+`^|-7niK}DJm~*9G;z|33&EX_Y30=c z(R5$(n)o?eC4+s}b(DKaS;>DI6xUo?PR^H&u-N~DGF?c-I01CSKMv@k0VfKGo#BM* zI_Y{+ZA-Y?`eSUn&S8BOkF=>$bCP_1PaHCYpQzGPQCPcDQ2<+Bb_AkOcg`I?WZD2y97 zPT0&hs_GqmKa9-epvFi5Av++*aZY$0+80ZpqaY_RlBKJlI+|K#Si#*+nFICU^DK+X z!Zj`o_z|H^zl*o9d;&hfo+fgd++!l66&oj*Cz>qkm?}b&ARZHLLSAORD&b1NC%DH( z#39fxP$@AyFDea;Gw`EQB1hG1M&_CG%iuZw29+ENGcHPAH&YS`q?|J{>Ep+bt6BpT zFxm&rI001;TlJQ=s75z5|5Mr0jWGW5UuLKywPv0Z&Fq}#0|ySM0KJ0;4=U3?<22Zf ziNcVkYBCmD5GcraPdN89vyu_fCBW~X!ol;Upd(;Vh*PPf0OFB@!l{i2HC_+TUH6IR zd1%(5n=|Nj!u74&_-faUF4jR|OX11$YTH!MLpKxQbGm5{zk|RYmeh2ZW$p?~g%!1Ei59gDs_bZCVHvVzKFv`{2=R%9zh{&vgh2xQvPS$o z;N|B77k7VQ*8Ye|%*2atzJd2YeH)KW8;9B7euOvPnSrGA1hnnk8q;Uaz}S25!m}?v zhdqb(;Nhv0;O=cyjc#0>o#5^$>(AaD?sl?%?R;Uh_k;`6`Q&wjf!P3ovQ9-o^h-x= zSw{i%IHbfy3R90kdb|kNL;>lwHliaJmS66*%}0N1|w>d zD&-5oT9X0F9SV6}zg?SOwF^%Ngy~>g?Q^D_q0&udfq>8V>ax;0!k+(|oBZeuisO2w zm5raLLa*aEtS0sf1?r4;=XdGOT?7~YK7WH?PQN+#4};&RppqQWwWL9cQQwp$jN+y# zp7qVCkWzW(Uf8~UyE4;rZPQ}L^U(94Q`58psufbMH)}2XhNhSvs~eQ>*9B&{esv@_ z*SJz(o4QeQe-QX|-ajpbl)>7cuH%xZ6!Z6a&Dun*`h7G7u4yy82J>56u-clbZJ*O% zsGEGz63F?|@733<`@7qMxGv>-E1>J4edh#mZADB~Suj>BFY$!2NBVwhf4HhupPy&r zLoaFu^TOi4Qr5IWqT_E8M1iHdhlEWC#8@^v`^N#jPoF+<0tRQa8Phg6MCUE)TI`B# zHvzisO|tEYt~D(U^r{4Glc||(lqNBnMfsf7w4SCG6g6mq4b9H<30tF7t-Xw?S&?CT zx_c@ABmHJ97^D5w8q~GvpDbGkQBZ(TIUtDBcTP~}clGJj7jM7)j@pa_7Xk>uj3(zV zzx+}G2Okq~*bpjOC@LVq@`4mzcQK+d5+-`)!R1bX`> z@Yk>FZf_n&PxyEUAbSYI_w z%K@*E_ku!^_c+!R+iXH4kaLake-(i*-^+O*5D;v*ZfPB$5T^N)->a)}X@)^z!Qaqe zqFpyS7C@UCb>}~tJGDub3Xrb!)zH2TvPCfDSIT}8fGCuaQIL;R`OPR%T!Tds@|SrG z3X4K$q_E%Yd?aO-AR)OB36@*|MFx$f$hDYITEwD6VaQ0KKR7|oqXff8jzoEd2%o}q zOr7!|M%;0`u!Od%V%Pc&8}aZXQ!!}Ja4i324c>p}BjvU+*gJ{hWQ4213tskq@U-)T zhYWXvkE*oiZe*`}0~{O${N+6%0dZ(((N2`g7+B(hksKd^)Hq>677?yVW>{h@2=)zE zX={uMXIfigQoM?AxAmdxN{d`;RM5yu2~ae>(^Sp-&ABHa@-f*fl{tckX6(Elx~Bt` zG``09)=gXZy|k3@KJfd^s*P}1b3m&#Cc^4NXD}<*g=XjkXB|k!$o3X3s?_x`yMy1u z=c&{YaOv-*V#zeDef##|%$YN|cI_ILEnB8yQV7_54*{L#c&=|+n`jB+^GxNULd(BD z|NK*p%a!yI-5nOt?|2T(+;(z_SQ~qnzi2w>i_Eh{|=zr4h_b(mEdd{ zI>&zo=+Uyz$k4eS`90bttXyZZH*Eo3o7-&xT?YgH1?UM%zEieVS5=Q+ilGbT6@+vO{aJ0-~kmCnP=@w&cOvmK&LiH4Uz0r2gz~p zX0XTv!JI%rFyRT?~r+u~x&A-k<`hbTAbIsTkewEUb#T z_>3cPH+sOu*%e+M-ts+uD&m)*OMfo~8^KF&7+ugq`SfLRbHOJkTAG-PMKN%GhI2;n zrjR4J(j>_&038^}*9g`GYAQwy+#_)7yn7vV!a3&uJjT+;Dxc$e;rvlSpf!WSihxe@ zKkMLASTc}|LYAd;DHu7YG_f+!EIB1v2{|$x{!b8Iv}h5A2`C4PazaIl_n)AyeQ1od z*O?nS_>T8%_3G8?H<&+83k?M^2bDO2GzC2Uw^T%^Fpv#u(;PRxZa%^1sK{}Sbs(s= zP^lUW?c1TEwg#<4n0D#aOO0+!Me5KVeFol!y2dsFQurL#JprEzEy0_gyLj=U`VIm+|5%Ol!i5X!clbWW zxA1zktCMn#vRGRyv}sz)T3xDDyN?Lys&++|wInJD5M?j3M;LQLxj;~-Orkv1$}!)= zIp^oO&N)8>LCySiV3=*doCafCJg^9bP5!o^Y`^v zz@gL42=FxR5(o+C1VfsOsAY1I7Brm`C!B(V3xJD}esC6f(NW=Km(`UmF#Y)%ijh;W zGqRhEoZw9$Cj%tF^D&t!!H0v7-+Jo}5jbzjdkOaM3ZTEIa<)H^<3}F~=>LE1y#=^k z<+bh~AV?D8?(WHsyN3kgE)d+^p|}=82=49{plGoIMJiA|?Wxk1LTL+yBEb$6TKN9s zH|Bij9(%HO;N1Vc_dxGn&+|QV$y{qq`M&WEea9^~r90N$mhQZLoxQ#}-F)MX>DsHV zN!MR{ozo(o{`J$+DJPxm9Mv?ZAl8dUJlG}}V9&Y3j@Qi26JRA7uZY*z+o(p|UK!Pp zq-?Tj{UY|ydu+)GAijvzk4wLu{cc;^(sT!M8t8V%jwN$*lvD9ijtA{7LXTFIss{89=m&DS?|7m(p6Vql@31i5I=7kIXv~9r_&K%J2EwG*3{>f5@DDR zyk?Fu2XXVFunElc%S_Rm0N6Nz>9cv*x5Z2IO<+El7)(El*WLho_zO&g_N-8-g%2B<@a^iPv%tqVYzH9yh>+OPpb(uje>QrCe!Qu`jAoPxN$ zDQYcSwMb2xv`x($w@NJodeV zF%BMJ>#}E$)TTvS1N1r0G6uCX#4@uCbT3GcJ@zeA0`E@;AAFEqZ#*pXojSUi z83y!3U$trne~&pU@XpFR`xgW?l>l9_-BF`Pnq}Y4?q^jx^2ozoKD_kOOAfSvF55TX zeA5A$L{6-5EO+s4C?j2TtAH-DQ$#dkZc`>WAdg%j$Ayx&ciwp?ee%gC4(^|R`f2*F z|N5`={PWMdcMwH|YlmFr`&>iiH}Sq)^2$jNaQfV|fg7EWr_ z)uNPsS@61H4*Gf062Prw3@$dVo~*rTmhDX}0#JiOQkI`AYk(L_TNXNiP8^-z@C2+p z`=0+}!r>xf61Fq#6N}bM7ILef-yJ)8Tsq7^6`*0U0N{WN7C4I-fS2o?_tveu-L~s& zd#eus9U*l2<(K-n#stxI*Iu2jG-1Tx`Hcqrx0sS}ut_=eC^fO zc#GA`FTKng8k}+ZY6lDwXs}37O1R!iEJ6@rO(TpvbK1aLfM4Ihe~Ub$n%7=iUw_l0 z@k|3UG8;BBp}ncs^FrLwpg|)C?ygSRwpg$T9zNsT@RDHt{P2fAO#2_Oe`?jHb-L!dYtxU+nm*>Z zW7DkJv(i=9T%9hw_@Xpp>zV%9Z=U;Y8e*0yzoCv^;tVI0{jM)E<~)dG*y--7-R$k*G&oTmYZ=J}y?=qF7_x3zDA@O0a=x&fs~NG=6fL zIc=LX%B=3Krp!zuhK#a7E%1a?W!H7-l4VYV4BaW$0t;c-=&Ce+>c}*6&crlt;WlaR zoOx-^)=ScyX^Yd!`P-)LDJflP7XISdX^)jl()_JwIHTiSnxjmel=}4Vn?{Tonz|bB zx9ij)wd>eEb?MgCdGP!6?(dCpx(+wc9WyA68#B@b=BPAzx(TmIGt$VR6VmX0ri}EN zVBZ;-I&|)o`gZS=ri`Q<%>cQN0eHWjcAbZ1iIM&6cWs@vY0=(5Vy;)2WL)lpo#9P! zYgt3Q=DOqIP@@oB-K;ypEYCjs{dDog7n(ACg|97u{e%;aGX?7o|LnvQk9R(FNRZAr z<8)uQbLTGbF>c&MQ{2r$Z_zq!yY2P{Xmjk|bT>gi%vtMtLM+pNP!U5fyWCZ#i|k{5 zlA(XW1?QQS{IXl)0@mlAd(OQ)#Ll_@2zg|UYS95w#hB%;1`P2cDDOg`4dapvSQxmpkVJ76{N)S1&-1Woyyp z?tndhT*2i&cKn6`UFCHo#o=z)KG zwcg`q0Uk;m!Bx%gWE|6j3qE(;aw?-hc(X_m?g(V!qR+*B#dRchPZ)$M0^U~x96ob)u6|i! z67Fk+IYJb-C}7HK%E7SMvD_r&0rSR98mH~HUzHww_@VU3qu)x0A9+MN@#K@zFMjpQ z^u1@kpPn%5?U2I`O--9O%Sy>y0qj;V5n^RHsYD(R=l8Ls0B7#Ck{Pj(u!^vb00`zS z$`t$I8l_wcC4hVhydum4ls$fz1UrO3_4+7Ze}`qHaA5VEc4Py$20XqYThz zj+>cgOo)hCS|-Y-ov;P2VDSL!pMOX@eER~pcFv{~mPeC_t`G1vgTn*sVl z1BpqgSI=&#Uw^yr1Ns%oaOzgbbCfTJ4h);9Cf=uzWS!v;-K^JcBnish@)>{;_u z4^u`44IF9$e1OeEsA{WNb0d$cK9dX3r>Aw5!Nw2^DngMC%{w6HI{NZ?! zEyxXJen-ojIjlC%Y?U;r(mb}Yih|DpX92v*vG^UoA^3P1>jDTtY827*B+M# zs5utoGUuW4Nmoo?$~z*Posb}{TVd@41o03O6K-engL{YkR@hYyUcd)J z7yzSMWXB}9GI;;50y_VtTqtcTWw{q42XwjI1?afkkxv*KC|?3}wNLf`o-n=!po?6l zHVd6=EI`K)9X52>u3rj3@7}Fj+nzmozCjOg4iL*3PT~=Hp}wdAaoI2lCI!+M-KZE_ zS0_<#RWG@}tcTC-|O6@mVw`$|WH2{4(=q62>=-#!v zD*>ZNj`pSuix)0Vd+xr6$Hz}R{`j=!j5E>&w$LxT!Ca}(7)}LTin%tvjOt0 zH{aqQeZzIv`}5mxTj#)yRnFjhaX9c_d8NDk)tZo4JwQQhkpXz%O<+rG8o)o_0O>5# z?oT}FM33X)24s;#>O+&7q235%KhP+Vh5*fN5OOmQQ_o&}~ZT%x<}gz{nciaFrD=?!dK zv})-?HQjC6w(*3%tqllv*nS6_N2617yQdutsQa0K?$xbl>e0Ddwq?=UcG%#dsXyJe zY$3O^c{6R&)bxYzJ)2HF@f3H@;i^ZF|LRx2a^b;$A;3r~!%F8GV%6h<2XweDfIjyG z;VvTlj(}fue5uSbtUU- zR^w8$o^G%?vhL<}>C%fXNjq-8ecEHU-P8Pe3ml00_p36%*xJ|8Km+?h11TFE=uM8M z+56)sPEP&J>YM?&5A?c};u&~sO;w2r>SZgoO-q-rOgrzgTiR~t9ny9?t}=jG>X!ZN zIkVCP!V_3A?rq5`8rqw6-@aX2yC*Ge+umhE)?{Uf^Q9vodz;1Iwspre$3S(0DFIH) zOR3m^{?0H+S2JAig9Z)o=dD|}as>`%!bOV~n82Rmbi2wo08aJ+ zU}H;DWu)u15-6pJE1^xF^Bbz|2EdT7e9qkZ+0TCF%7q>z(vc5>w*X)SU;!zWz^NXd z-$lU?LnCz1urbnieLHv=7B6uoM$Tts&H=&iv~JbfLu+_r#*dreT>Ui7nm%nSX9XNG zc!;y}5o#MV3a$~tvDMX0WdM(sy8xYIqID`>II_y&%vaK>c=Ek$EvKb*%%~dj8JBwj z@HwC>IZofflZYITG4#*&Lmyv*`c-|=$BiFbCZI0vZ~l+x#BOl& zh$#(FpsSK|t=ohWDGcC_>zoS+edZRnfO(%7J8@jV7qH-e0C7NrxG~x%|HW_P-gj!% zoVklo1Qqkeoq5n=NPc?TeWEA;G-mxE}go#tCwp<_CB#G;^K!Kbg=hsrWY_o zFSyeIYJeP9JD@JNJIrnjz#ezJTkZzXcmyJ_%StDKkN5dp$$Nml1^|x&fF-G%0QN=O zC;p2@j1a)xNy3qmW)vGkaCz)KXXFyk{YItwdlLC5#oH)<&cF+R_XMq#WRXT@1q0No z#aE0@E-~hlGH%hNsiE)DtEb1zd)TpH*#nM%CpiTu5!ClL+DC6`S!cLTZ9AsU zD0keicAdN~e^5UI%svBBpB{bub(dDgE7mI1C!fL)#%B{^b| zP`6J49SK_~G<=6^i`NHb2LRwbybgFzaEaoMCrf*mU3N{+KKny&I5N|$@dqAwC_Vl3 zcbxQPl&vY;zO)Ob-RGWr?wx+|i(h$67G;7uYh3r-cRT^CAv`q*_x(({SZ#_V;h3yU zu3t`wGO^(KS6&M)Sd<`HKGUYrfpDB#zq4j-ZNInBEk|+)1U^`E{5GvgiA6VYMXkNb zfpIRpDOvQM;@+aPw|_Q!n>ntmuzv8+K#AFZKwk%S#=wF7eRS^J(FtydQE#)&OamGc z@!4MPJOKSjaxd3dU>0y5pKEvzu!y zI#%UZFvMlxt%q~&b%D2lS{w)Qxm@r9d4aHonB!C*&wD5rFw)`vSC%~Se3VM=HS3rC z$P?lBMZP4f8U;iIOB^TX!tX1*%A7&bz^dn3VN3ynTth&SWMb|O*Pi=B_>Fmh1xyHY z%;+(NQKunrFSla#_YD-+o_&_*!i>rjn#Coe@U8HWMk&i~i~MovyaFH#K91d1u#Xhc5-7j~z3n`OqOl zo|VU3umsZaJeg@%UxN;I%zL=8#cUXnjsLEVCOkBs97Rh3x5t!3P`ca zaqB9(8z3k9n=KZ)=urV@j$h1i950}aD@i=+xb(3K6>C+pT}Gdpy%wl@?_B4A?q6`g z1O8&PBQ(HoqKshu^IV<56h7cT=!8amiewa+@^;!`$F%R>`=qZOaik~65jO|$ald29 z1N6$ER~Eez^svt5f=A#0>;O1e5TLqdrRES9i#z!zh=;}_U`2aiwVJt zYtv(8RaNfUp^g-K)BYqn+5M$%U)2jNSSf+rFUsmr3~A88miaS#)|_U z-Zc2T@4h#^_15~d*IxUih7Gqgi+iRgAku^cK>yzNp7F9gS^t0qJlNa^j)PJu-h(fk zTm!rZga7~;?j2=(9G~j*#kM778$p^0?kc;c13i;_#zU~Nk$6VE_ly}^IZyz^ zb7swTP+qoVxr6n*IrG!p+4CIO0hFz0Y~$7<9svL!i=2Mf+}k#$9PPUEZvGwSgGwj? zBaWAf2;~Y9lEPC3_>q`L=@}X4)Pz0}8 z3MB>C4CjUig5RR=^Z2pjZINu{LTK9++os)i-Q8WhlvF`XfzZYZ1b9?JC$N_*9?*~0 zxGZ;B`B?S*hTO@r{22oMXzlZR41k1vP!=x4rGMtybkYeYnw4~jhaM=)gQ<&at&%aW zby1c_*D=6+lT8YMkDy+F|3=mI`6Tw$&s&|+>_laAQj(Z6gJ`d73v+Ppz6a!oxuQAR zl}DHfCsH|JbD4hHd`^NM{p%V0Cf)~Jt}3fTK&!z876ku~2ZL)P;u}apxE54SP*TP9 z$ATk{k4FT~d~yQ-e*pbwKl{1oAk3Jtb$as2r_I{`xmoh(IiQnpNY-`Z#!XFVuS&oF z{qx?Xi~9jkP_aP%22Cvh8HAm(@YR}__$skffFHsh!Z3gn^GC^AfC1Jg$_k3g{rBJJ z31(26&YwTe!3!W!xe)@3tZr#0(3mZ%8jWw^7y&HzYOTEaFzgF-ev(hU>*m6F5^|_ zAwXxI0_fn-;6YW}eJKEa);6;m*~wpvAXaRfizW+QE>QtGASqY7V&$=8l@c?GE&40F=>HJI?3`*A=G&?jyu#enDf57lXR>d+-W z=8i?<7|y3<^VXRX(7Lr-frIi)Q!$u{8=7P-lH+K4fOQ5)Ba8rG0AGYSim?Os0)1Kd zYL4zm+=tn{TNRCg3^msRsYdGuEVIk3Pmd5P05hd zE%$}62lp4toaQlry?|b2dG#uB>gTk&xbku7Z)TuRVq_BoWrQ7b>>zT zue9os2`h*f9^eGrvDUcmfHmO;ev|Jqi2L%n;($EIO^=Y|I}DTnwm?b0FRmYePQo1^ zjfFmQCYJUS4&;j$FHJxC(NBC!TTlR<3WNFc7pAA4`i>JFsjC~u1Tf=LMRDLaQJCSq zSF$En8TTJg0Ro@n;Qpcju=Y?`L}tQ0gzER{r=Rk~Ge}eJx#w;NGpv1-6%qoO7iXH{ zcFZxy`Jnu+S<~hYt_%S=^Im`s*aGNsxuZZSDXxG20R;^#UY7y3J^>KsFWw-w2OW5j zgEL@_<;QQ~&gJ)6FRT;RCI97wMT3WW;w4@K*5u}!ZsCBk^NzdN{5#IS%f9Tx@v_EH zG?*VKaNK`@T~xhJgT7aeE)Fn=I*3wx9=(yZTKvObb z8PEsj{231D!!``)8IjD;K>IqRrprA5y0Y9IsK?c`&;{lrN00QJj|l2|jtpn+F-L8# zZTqDF^zBxz+QJ0wSy<-)x?&K~LI;f5GCUTZ$3*1b5zlYAC$BV_QF$yLfaRv(X2*(S zi>n$y$2uq0>WpTsTDw-rBm&HNE_XW@kh*dvmzc}4^0rPzKXq=TI_>a(BpAVKj@w)O z@%%qt0QE8V49Fa$nY6rSk_wnJS0h>;K#x^Fz>|Zr0Uhc<`+h%ve_;PAf1mgI^y=^X z_w3%sKj$@DUNiVipYz+@y7VmSq!G{n4uAs4U|G`c6rn`+xR;HL&6Fx><0QkOy+c7s zG7T0!!V1e0e)+PCDV_bi`ptrhWF@$H5w_eA0x;ejKV;A+Apor-KhX zB%O8U+3uc4z)BHUWd<^WxG+iuN*i7a)`YBU?{96#$$bPY)zn1KqlK;^_q#xydm*3} zaBm3E1^$Ye%R(2J%SF!p0mvCBc_{Rd)v!PRk5WX~NR~P-ctS`DgUZ98cGf5f%n$wt z#YJHVmENH!pa|@;)2@C!xqkANa&2i7jWQ+g2?Js&k|{;HB$s;xLIJuk1FSgr{PWyG zA2V)j!BiS!=mK;ZnzdQzLvuo@2 z6$)_c-_PE+|Hb`<>lh8VCnZ{TJYDP>l6_1$8GYBu(&sg!vmGL5?&v2&+4J|d7 z8i3N9{($`tNXH#}Tv~m)S@;)Rl&&%0z4@kF(rve{OSfZr-*$Vt#VqfeZ@SsX4cFh8 zuD#~kqV$fKKgoW8yE6RQ@;*b^`hdAg_Eern?LC$~xdOcAGYEG8{Il1dl}<2#IrNZ2 z)9xn3wqLb+|2nUYn3wA#eNhwr`v z${n5VI(P9*ZtnAhrFy z>|Wq{uW!dZXi$|2<9(gfhaTW0#m$~Q*JJ2dT7Wmg8s!UGVuTKWhvJ3sre+(<7Qu)@ zfwe_^9ghL$jpc~4y{;|^*FaJ3pni2nJ{e@RCjb&Rd? zsUAZ|p+&)!#fud#kCc+)1nBG|3te^SqFzNQk{cdiMRDVLVL1<}8k)x1Z%~^*)vUs~ zbLOX=48#vQ@Zj{dBacp}o^o1Rd*)f`OauP2)}HO)&A8ya3w>O2(WU9|LyvHwjRJzz zi1jYzgX2d*6aT==FTd=H5!NvG581{00u?}-=g2R)*HxY-Fqh>X8~q5VKM&BO+dan4 zIR@^b`lF+0;k97^=qP8DQ99t-{bhe-En7k_06JbB$}Q#XVUAGajQb#n- zN&m-haa`O7svr8=_3LD^5gEhi>I5zQoSTBo_n9-cv2`-q^D$5&#a|M6NeTj&9258q z{5a>lb3KMmd6;^kGZep2Qv1oSfXYsM5eCXF69ss^AJ@$_6d zi5>@!q1*3)M>gNy8a#0DHeU)r|G_ig-+1_tq5A@c0AVc3kCwRreryit0_;eOslK=@ z1|~T-hJDA49p~Vxe%a+jI1;Sb0_eC=Gw$)`g}a@tV(3`t06H!;fLvfs|8&L2QA)7< z$UIlORze4WJUz2_-*q=1I~ZUP0|wxEU;VWCuDIEWwR4;#zh$jh;e|H~x>b80yzbb( zi(A&R-UZhE`wprB-Wt4SVDa<0fF029+PO!OkS8~PbmJ=<-(6gJXh1A@{&}}7bRfpI zHm%wfNrkxdos!k|S9YpgXJingk?*V<@Y|r=CfIPr0u*b4ze-Z8Q8-Tt@czDidQXcr7(@y=mdmjKi zcNMN&;X)MQ!~(^_1|$G&0A0j88ob7ejmdj@-Y_r4!}5nypLw{&W*JX2;URvP-$w`t zoB$=>6Kj@)M3#L%!0Vk!ifiW`*1Du-&04rcP9hvkX2hu>JAue&(xfRKm&db$)JdAd@*@aJk=i-@V=- zg!ntwISK+oo;qhNbHV|WCQkABBm$voZ&klq0KLk~@#rtDu!gL3fxMc1i2H(T*wFxk z(aEk$drDXHYsPhg>lgEuM zO^W~utXV*h$^>~E%boS)ZWqODw9?gyQcP-UYV}0{y*y$J9WVu0rgR~N$yW9)i)*`V?rKGq@fG+Et@1f)n2DbV2;?l*-CVnXZ9sb#VefzFz-?rVS zfVLW`2*5Q|cILpG$3q0@kr|H9nCwg(HC4b$6k8l1iDj-1V*t6DhXCvMu&Et+$vB4A0R6J`3z{Q!8vmS_9=mLufp9gK^ZgeWg#h( zJA!%KPvu0OY20^!TT-|r*|IN!PB{m-&^Tsgg)?*x$`Z$|MrJW?x8+M$_;oqZtovh* zIy!y*lvCZJ#j7P#W>fH%Mn@UtHv&3AFf^L+rDjDKFsjgMQO{rOF0 z=ZlV4Nq~eC2nj$0LqiM%7=jL=sLoT&PZqEmc3`2Cu%~$ZCL3>DBU3*P&&c1Tx+Y&% zK4B2z+_=X9O8_2MGQy&F?_OT-N*4Rjp~Jmhr^?iz*u~vGapGhbkd(C{II-yYO+pQd zwy2t^1Yd43aG`=f#I6zxj?SMOA&ftAO zT`qUPoQp=25*94rElWX`YDVYUId8j~#mB`+r707G>wST_lmK3H9T;WhK<-gJkI8;g67*bQ4AuXucRbwsTvy8S zxXy$&oP@}(nHoKy0Fe+!9sZitXQb7qo$hXTQQiXTSl<9Ou6ID6_W*N%n|-dd{V&IT zZvzDY(08}IDF8~=Lr}53GSAe%_S$QlSN}E`_Pi9&{>ypyuT588c}2SLg7ec^YuBbz z4e*aV;)t}D3B2uAZtEqDBu#SfML(P+WHxou8~`7Sy+S9M?Jm5J1AR0kp7g_zdC5bAX<8gw;x%AJ9Vq!G(!iAFyYjOrSvUc}5V_ z*uR5N$68|@avXpTmK@3e$^q6tNp#OX`;1emQvdy}Z$0Aq69{wGE&qey`okZd_oP06 z6hN1<$Q+b~F3%8i0}l^%$ljh3cRY=l`t{F`zmF5TI5V9cb5-7f5AQ;ATl-umwuBzI zP9zIrsVh_gxC`L%WazyCra1JT^A0P&-xqn#MN`%8>z;{q^ z$(^8-5_i2qqIhyp68Jvf6TP&$iLoEYvvlcl=f+>KV3Aj9h!3B8!@UCBxi2J65}KLd zl_i7yK8t0pob4{-&zsClo|MkN@Pc&EAqS_Cqem5SbzOUK#2d2Y9XY=UpsRbQlHxK& zAS+vx%Uyu3EcY^?#~8ZG)*_$_^E#UR#^5yh{=J=c*tyS_0?>K%>(h6JS+}1G+{Crc z;Nk#+0G)bGt29C72LklS6sP0{@7S}=EFTDe%8p2c1H9dh-K=@xZr6YX4nRly2h_33 zDN6wu5ge3EPy@-9EK8Cyrc9brxXC$YT(dn|hZ4I&#jlZn@3<&*pccr0GH$g{oq9}%gLw}3mB>!EAFb;;uST?#8) z+X&3%%=>8B3)~fsi2EozITGrypWKw5r3^WU=L_^J1Z3tF=uspxlJh_)0+8o9;D^f^ z*L5os*09oXy_hp7ihzEm6s=509)5&3s9C$_Ot;3BWQR3>n*sfe2JE-qcvHc1&u1ie zDM`=4{K_lS6<1xEF2BMQfXgniadEozl8e$6fmfe#!}ZtNxF+M(zxhUQo&)GBM8I$3 z)<KfCvy}C_b-*Jb}8ve-l>(HZBGfyV^ppOMG3= z0kO=*+{yn(aY!{uD&mAD!~jVICi`Fw@f|FB{V$-eL_KQd`3zS&?r#9$w9`&?QW;9c zPCfM$x9s7wC%au;`hNM#UzxDq!-e*^u@ed)*Zh-p&Kv{KGq$#IK8JkX^zEPXx%V#y zK#Vejk^ouF#v9kKF`yrgG{ltlH8&+vK+kg&Ag&Xkir%^MtHd|vr{eo@-7x<#0?5`T zqaUsS6dynk7jrD@!n#)Wx~N>$u2cp}ZGbN8y&Oyb?*cmE7LJc&19SmqlraqeUDi3u zh+^(+2^lEAiDM%yMbaZ{29E^$bBrX#ao#8ZvX+@=C?r_z@(@ss0Z`*v!rMgHBWrfk z*A-Fj3ArJF`q;76c~yWqbBPd3?95pPboQq|!vO~!=w)kadZOzs3i7HzLNzpB2cHM% z)jaOQJXcCpMt#44g)TrZ*P+Yxt`coLKLC2$HtinSfA9S|eQ5x_Pp{q+4P5?#tJ(o9 zXNObTKkor#0G_+9^SFb@$8)~+qC_nRbai55lFYS<|gAO>*nc6fCJLFJ*k8F4G76Yz; zevFr^zk1A&=QBVF55?F~V`_LRcwT-j#Q?wu#Bt*b`T#udvE@B4@iJ`~D|h1f ziCKA2uYN8dnKUZl%j(+O_rv(m4*^73@$r3UT>?3Z11 zVY=1;|5~%qFE^oa@dfAk_NvP+En@h11a3CPK|K0m?_-MxfzR2GFaRZjB&QK;hU*_; z2`C`AQC<;VB+3D(Sm~Q>wz+fTZ@TH`4pIX12<#b`etie^4AiO<_vCiRlI3?%CIDza zTyAWX=xV~4Aq7#0x|{J`zN1cOxbNXF-)N(Hc8r@j$qRx}EN3VI{Qlm1@8cj0n2sDV z+UF9X8_feLj?qHLJ{%DYl1EFUqnKh?;Inpc%I*L7yRt6dyWF&38=}+1w@sY1{lYrHwNIStv(aC zc^S}SEL}a!KM&9qXBY1}V9N1vY=lJ0fX@3^=>V^2XZ8CcWD%D;);{x=@<|64n5@1R$m%G-*b;W`wSm8{3m4gIxyLQpu+(@?>7#h1Ar9%%l#m} zb^&@NO~x}o4cxGxacg3I%Eb=;QR~R@`4ZraG-1o%aGEklTJLzw?`Uy9I*fX zX^-9aaI0)v`YGc=-e#7!gcO($vx(shSb42Jc?I%X@VVFL=h^(S>b*xdpwE7$IB@-@ zPa`XIM%u=}dp;IEbejh5JDP>R+pfF$agdm{{i+?(G6VUA3l=%M**3PA;8BLZo1`Pi zKH9g-+L+?{A%`G~gSU0SSJI$dlGUTP6W^5G_DXb;b&qh4-{m_1xxhSQ+Urv==E1xD z)*El8$G-Jg`u=ynmoB^HG7qmbZ`RDOktlFaw(E|!21-&IMxanJFX&s3ySpP4z1@4H zE*(00_(c8g7n%^l?RcEsU&u4gT)Q@1U_$EBOD;~=U42!$^`;xsx?65ecNoYsZllZH zb=Ud;*d53r)iJ<#x4u~|9Mh%L-`n8z74|vfN?iN455Ql93U0j7y##8f%X8xRyhd0; ztBZ>hLLe;R<;$Vfo#XYq#29fwcd&CJ(F`Hjuwf$yCc55{{SIJ7PJLPaa_MKB`q^v6 z*>UY-eG|LKA|iH)^-j02%%w=odYFF(MJxiTefxH{ekj=)ZXn+_H8n*G1q6P6V)7^e zO;EyYJ>o8h$6G)ilgugsI?ABWBi!@-2WDX2yKe#NRAgXfb8RT!JL#lToU48R{SUBl zVA{>*{`R&GR<4AabY7Y^eJckNIKq3|d~0d*qp8V`#!Z^KtG>bJSsCj_rXV3#C{Lm) zg|*Qy4|kzh9eBV&>8&^4a%B*ZV;;fPj~g1mQz9DHsVGqyvJ?gEdLCoy5zrM!SNWPc zt!*eNE+)kB{~QN^j>0HcyTF|106AdKKI|*98RadhF$~9|oB;Nvw2dS=7!o-*0Y2xh z#5*z4Dao|T)*f#3dGi-|ES;VM^XC(XpX@mec$NTlz@2+sRW+orpeYe#F5!CjwyL(y zsTg69B&{Yi06HBODO;1vU4Sl^y8zwEVm1WmIXhed=!2>)bajFx90Z`3+ozV3;yiYa zbv|ZPQHHHXG+aAglkty*Zc5`#-+JJYEx$B?{-YoLXiK}8mm?5V_|L`VB5@L;cNMXQ zfLj*2PB^l|#jb0UCQK@lYQ*}cfrg`jrj13ensdOM*g5-&Ns7gaCeI{Ne2vM3)`-SU zb|>y71T2D1TDW*oTDp8$TE22cTDD@jcOlz#chk`KG-0&E_G$jY1!=1pGu$hH!hoUy z*y743SAhXAvc)>)dDf*abH~R-yGqG+u_dbZm%Cp5)!PH z=l+ncHtQNzFoe0^c8cB{MJGq?OT>82a6cbELtS6$)m zdtCRITzp}=_@WE4aq)!(!=Cu`#j#I9TGoZ{)*7sRBJg1!T%N=+A#OpS65GZa!lFai zv1SlZSTumDYVZN^a!KB2OZ0HHZ|q~^jWf%;Y178`8;#tp-oAZXf8D%!Q>S1>DOLF> zijov6<_v;R3Y!R;xc<85B)r9}apnr^8J9bN&is(uJ9>!}<{^KCxySk;93?Lqua}!u zxMJItX@y-E<~3u_z4vl=EHTzS_S!S;wa?yZw>@_EwZOGQiN?$0eFF^OIbJ+scp0z| zNm@ikG0z~|5g;=6xCekHpw4@^xUqP#k`+r=sahG-Ww9$kE?Vkn=c#WomNC zNB;I`q2q3sYh8fOYrq^O1S^{FL49y_{-{VTg&wC1Xc z;JbLe2yfYXz3S6XKi%|81L!2i*+Cw~$>OeyY(C1a6?hB4^}doF#1JQIT>#H^@SrMR z{M>jfZH)-%0&``v1Ly!dF?7IO$y&tF&2vtgW z1ndl4s3gfSc#go;XZjqLE#7CKB=Gu#m@1_!7pK@6Y)+|m4K zZ3=iZNd92(9-t1OPn~Z2PM+j^#zW1b@&pmr7{?ah(|+^=-)8nKTWs?j?3XOdsUjCI z@mM~Ba-Y5S_JPNNEPakgo$hdPXIz`jvzy0-d2FT~7Km7jrbpO5IG{LclmrZf~0CE8R&wu{23se>@B~vh;Axr>0`ai$& z*O$|L6Ly3oe){8|r0+cSbo$W`f8^KMEqDX^)>x9cq9Xo(z+TN?I7eyf+ymVCF?2)^z6`>(Xts=)C17-vao6{Pove<9+Wnk7S_(=m0xF z&)h;Vk$}W=&V|p*RJsCt-V@M6$I857u43VHZ4lg%M<1a?COGVMBFj-(Uj6!;^I$X6ZeeaHNhLEYsU4%(;{F8C>aRltSes6oc4G?k&hvZJpy{n!%(F~ zq*jK_k^e)G3(Q$34CXcpE$aY*A$L(!2%p)Al>=kapT-=d|O_S?rsT6~93q z2W*2_aEBdwm|rjQ8~_}yHLiA)b*?F(hEjzqo9m3F4p;*0B3n@jTLkq=fL_TpANkz{ z=m2M=e-*ehVq=yF=u$Q~P81QgN`hk_z9)Bkw8}YNjs*)>F@5C)5Su^dm}7jPFmNo& z?iWzWYNsT0<#sFV+Am78=FBSG?iEQ{YQo%E9kPQkfpcRrPo52t{#>H=vj-Z zm{OuLMi)dm!jLkc3#h@7{|=z@z5pF#Qu3>Bu+VD)`k0Dr z`ydeb4HBnlszMewWm|IRGx+S(lTUHCGO_z34m;ch#=iUSS6I{l@Pb7P9h9e-#XfpW z2KXbGFb3#=ISC?TazGz9-jpdcXS49J;z#ES0{gNb!q4M(Tg@o)8~||g1c>1y^iVVy z#P5mOd;EUeRZh1_6AUOG30shzj}T^YqI_i_-`QRIyyhOV9Uw zaf)pzAHuDSf(RJGG5+F?s9m%mEy zzx!S~@%R%Qyu}2Fg^o4J7Qun56%Pa?L68Fh_8M9DzV-!~QSV+oO`r@h0W&^rWk53D zgxHGZ%hDb;U%qz45$TN6PqY8O$Sn3N4baWHH&9T^TCwT@6tcusN+-HpfEr*IxQjwp zL)Jat)%W>*x%JgGkN;6tKXaA%FMy9rA7RgRn>ux}2{JN^ySfX#Wy=;GCvVVT3y+y+ z8ToSKSJUZknltd#%j@u|y{DAV!(I&=I_Q(^h%26TsH96-+zQR0&?za-U79(dtAsMv zt$Vv-6YzMpn48R3p6_TNh3AR6EHH;?gf+mN$Fnug`&Ca&)3%!K?*UN!#@yL+Jl_S@ zz>Wss%x`29;Rq~l>dh(N6KygICZ&yBL%24Drwikp*(P%)nw&99*XEaKsQFzfB*^!+ZQ8lKMmA8bpes*|EqN^D zw%}1SEB$;h^h@=4|NZwHnuhlcl6yE&S=f<-R~hZPh_Ou``$rCU5pckoMLZ6xTh=#Q zCIMEt0G#J4RRhdpwVDYRAGP~Pfv z%f>~mG{?;KCz*^bab7?jutG4fg|!Qyd;Sm4r+e?dC;j5*|Ct{A<^$;`Kl*Wc{`Y@y zwl>_VSyP=X8IR}PyH?ld)1U`dzn-C-NiJgX{b#lJ?ed(1_618IpHW7bfs)OsfrDMa znK6AvTC`x1UmFAufWUpkq6VlrW`G_3Y^Zc)feV}?;KznIvhD@gYM{e5y7B>bT={I{ z;D1z$uhJyuDAyRF&71-tu-dr(xS_ojEeWYjnt1Z5>hQ&IPxh^PY>Lw-G(Z|9wVzMm78D4@!`oDyQ$ zB1W0%T;uZ{(3$fMHs8WMHvl@oK*)l7@b|y}-R~Fjfc4M)!$L>VX8rLV*GpC~?r0eW zvS7J}0!)>$)#h?9Q^CdtED_Ml#51za`ETx>O4AsCFKd)_iHs9S%X^^z*H-a=z){De z&-p)oo4L%j<^1@J|K|Gh+PNFdSQt9o0CMPHw_a7pF7L&poIR2Gk;jo8yjhocc|3t_ zaFug|$eWgRpB&+G39v^lG5?W)!kXyz=RWcua=G(deHn&ssC+F4cF_&fyInHj^UBsL z0eW=33j_H+xho`|_UO^$%r6(3+vA(x{AN9y^t;(XzQe&vBN3ph?0^d^?MIpr`*V>* z>&O=2!J_iaUDN&>HQdrIKE-R%`c>zwOl_4=U2Fwwp;xJc-GVz$>7DZs5VOBYS4sbIB=m0oYIbaTu^IDer z$+iyy1wcnZ&~pYrk5_>A*-D83?6D&7F324y>PBU#%1!2zEws7Coh33LwUI?|mOJN- z&YSG${;JGS$vxtvM%bWeD5l4j>&dldVY9!KH*uj83)TS8#YdmJr+i+JdCh`ADNt+< z&{1nyk{J>FT+e&%x;vwO?b6jXeYRNV2ng!`cW<}h;|THU?(+{?W*v1tz1 zlH3G^F;Jec(5YCU;Ys1L%qNT`?G{m9MszobzxuaA9#wehKs@C1M z&esLXGjzA)uP_HWUTVexL9Qtk21;Ptdgj(eXbbQF&|BL4BJ{*u0(hT$?m6#gFS$Uh z7BD~b&_n%Ru|)v_+(fS`7D50{+3C!4S?VG_iPo@yR4r9w!xqKO%USILc>%fzWsp(K zC3y|lD#kBLR(`h}Cy$nTJl06^mBq$0idVvtToZ)@IA6dVKy`2(KQ2w%YHHegmMLFL zvY0==t6m)rn1eDZVCDc`S8^n}bn4`x8!s`d8sf?~_Z;sSF?FnW<{JsMcoUh+oTHk$ zC|65Hj6hj@^BV$mS?>aKy&h!Bx%f)@RRDCnyQ4-2cDOOsG_L}5y$1jIuF6Bnn`{@LooBnej7Yu@UPd3zvZ~#}ew?A*-u~#Gedx zQAz4T3+R<_RkQxN8qj+oSg^u+_V&zK0G|5DskX3}En8YN9uRFJTfGM$u~=g>1P1#7 zoGf;A%|am367#}1`uD1EW-Xp4R6GPhP32s*Dw$dmQ3U=$Y>;451* z5(H`D@)-(TTwLmcB-fn68q(}l2Bg>|pwE5?9)1e|XKrBG1NtZcfH`p}0A3|pTN>~a z+r^SYNCVse4_ZDJ0Pc1G9pQlB$mT}If#0Q5cc;%)bDSRC`uKZ%*0V=nf8M!cH>c?p z9j|!##lO#}rtKY=(eZZa;m1tPH|L;^U4T5t&v7f;T&*`_l?PedSb=zlxc}T|wXMDG zy6cO!xPUSsFOZJRc<}9ekNc~tyW}Z;FH2v_gBtBfVNlCml!$NKaiB!k3aVKboAGbDd>c&+XxSEYDVMw4^ms*37{t&B5ECk5 zo#177@WBUtt&q#Zb>qBom$PR1jOTzl;6KT(t*D%_1nY0Si32(S&)lLKf}9MlHFFTq zfN2dS86ek|b)@&DK(nS4s|M`kes`~#$vl(=5zRzS_t+y{Ba+O>Do6In4X@-h){rP( zmEEqjB#KwL+G}eV6Ep8+nJZyV9tHutvfGvA&vB}bob9aHv(kb^^V5=LcC1Slxhw$8 zak~z|z_yF@&f|)#*&ud1O!PWk4=> zyXYaIADm`dKZ`^FE=wFB=e6oO<&xKeMSEwV2;jv*&z6bKq5?p@H@OKu>XjuF@4M&T zboV#za!zx$d`9dSpa=LJ{4cq*h^b;FAz%d306fA;z^+)np7XhYUEq$T5|i|J&p%t8 zhY9R^Cmer5I?BKUK?Uez;iHwy+K{VGC5K{&5}mJ-AY&DS@+!*t6b!LTIf+p2%ICUD zV2EN8!7OD<-UhBa0+G@xw|={K_l1vO0KmmnKx`LxF|lyP()*c~Pku(Xt_CE4G7WBQ z+Z}N4+{3qh4V(uK7-IVm_Sc;{b}PEv0rYU^3+M&@8P9%o6Q0bP@0-ubYz{(fk~Plh z>7343@x&1+Wog->mAjV-A5p4>2a0)Jbnb&v)s$Kqk-#pvYTH%mfc*|k#~yQBT7B9X zo`-Pd6%g)Rovy$325+2m`)zmFoVzRCcFQ_{@7ilf*vokDuQ#ROnw*5k`S5SJ(YGS) zi8c4v=Zt(1>+qV)e+?*hnb(gz^sw{rV_|V@05;w;ty^Wh%R=WIu+Sp`QXGo8H*eb9 z?;i>$N*W3#F?1>$9{tv%>AT?(+FRaY9yALS6`- zC~^Rp7g+xQ)}xO;nqGS8r2;rr&&_&Z@SODlpkuA$f@fgG%SvbdszzNFYNc3uEM+VA zNLNR-m<%VYTwu=nV4cLcxnlbYg{gjA;9R!Kqw8I)b?mD|xpJ(XV^boY-0pm?QoH#J z=BL?n&9a|K&ce7FNoK5l_Va~cnd9&mFi!||5z?Y;RuvL7 zc0ozyyp?PxLm~pY+9C7*I)+HLRLRW_2I+GwbYTkp&|`C!B2FHOWyXy9jJsWcj=V%6 zz#Ex&TYSpqX@f5%p!2Xv*48fG)3_Bmc!9YR76j&M&LR>SZbYPejid$BOcNukA;qf$B=~|E%`MD@G%EKv3qT?e)ukyqO1{F(;`VxvW+_F zX(CH$Q_^E>xS(o>*aKM#f~*-WQUrlYd2|o3lw{%K;>9|ooQlLg5fTw5K=a2{Cx$u) zdjrmvE!y~K)v{e`)4GFc&Ye<+_MHvX$+>`04X`&M(xZ=odaoKC@w#{ImJMPQGBuKwq&0~Bu^r<$*LHovVC_Tje_&yPuYk$zUk5Z&=n z(a`bpxw7Q>oGs_ca2c?*$$&}YJ%&DT&;VciTuUhnYL)H07$(C3YzVMa!Um87>KJm& z7m;7d6U$tO?XwK%k`uz3245qfHr!%%LC$_UvHMzpL;-Ylh`)Kf*&nS89WlH^uWh-2<0Jy!UcpxDXlVNnZNB)A?OMvfWWvk8Hl`m$%B1zM% zJ?02=17K&qAy1ee%taJfz>xL89No$+dw?9JkF`k5AI3uF&_%XZUU}sechB>CicKpI zM9obAb*ywiTw4bJ7n9^f;)232*So+nmbAqzce%P*GqGi=yi(CZSJj7(OP)k|2&7C1 zNXs1Z(cK@ZYo$z=L0`&%jx%f8vsIzEutwcGH(_iVF>1I2JNt4B+$YYJHBD$^K)(S+ zhdZovB{XxtnWum{&o#FR-H48tQb*(>=c^>^m=q^s8M)j6VtIZmCBenmIs553hNL{f zl&$fZjI@e?K6+#!&tisqjHhGpHfq%9b(ayrrJS+-%7nISc8_)R>x5LG!B(vDOMm+H1z|Z>k4l~Ps zMA7k$>~d01v!k{)~XvGll&Z$0uYcY!`?+i%`~ zf4cXcd(&OtxXXp}4Q6>=1vRM&Z@^yT%sjImYd-?E67RgV;@UN7^%vc)^%BqRp->W;VT zF1vcY`>wlU&FtjyYJ}39IazlxGV{^aVLc;`@5y-Z8MNu(32(BFJGSra?=fPRyeye6 za}mboH9U{aZp5x94^w$4Pb7BBi%oO{{!vMY-_!pxI6lBp0Pn#Y}G+&77vu6vgJxIFmEH zCZWpvC=j2v@8GuQ`@ByN0R|*PD9~7b044w=&{Q6cV$&$BfH#&pBUkos`E zlgQ*~6bMg5{R##N(D`50qgP6T({sh!s|II)E@fPR9%JaW0eZP)P2NX-KhOWU)2>^i zFE5(s54(8vOhX-H2m4bpk2wLk-=mc-cdM*2S?T2%EPy^?+(b{HV9Sm4HjBBX9!F%C zir`*YHS5J)004jhNklM=Wvllg1p=?Tg_sZS`)Bzp@p~z*N0yb|w>tr(qRD2IhU(Ik(5ZE7}!XlN`MZz61 zc?2+Sd`h_-@W1tFdgAeKr*A*@czV>VdwN=9-OIu!0g8kZ5~fHvLdX$|1n}9eUSrn! znE?A42Hamyr+oe7bn0oR_;cPr^Q<-g+3Gc?`|Gu5ukrUzKf^%&^izGy`)90OU3?$V zKjyfj(_u#(nhrP!cm5u(eRFLkK$OrUFOJ;z(!@#HLm2|l)iM!lTnbbKe6~wq-@;WgIK-$wFsM$5O%ay5+r0A?fV*k+nc#6zf3u zS{66!0L5+ooGj$RdmIk~Ku0ka#{#cee_L$6xs&GL)xp}9B`v;lH9e71&)kT_Er2(m zE-Rhi;58ZWssWEpT*@FB0k+(EP4V*x>Tc&{zdl)95={zgoe{x3mahTi0(7i%8avS1Z^44<#Q|8Wo>SJjhU%=@N3M5KDY97A z3t2H_X^hU_YoJ25HTAnB;Xuei9WRyv0>u-znz!&+9`%?AEHbgx0Z#ny2qXbK0!u_fQq%=Q9iJ5 z%q+(;kM4Jd%G%hE?=y$Qm98>2xxE!uQi5pgkFMl8-UB$r1EGXC#rAnFI@TB)kMa80 z{jCh<>ti^eLVY2gt>an#%BZHaC;)+%YG_YO~D3E->K!mm6O+{== zV%$I%Jj0ymAMy{}bu3yIuog)yTNAL0z{P=mJG0!jU6EF853pz1tkPPQ^ha|7n!u2$ z-?d9OXVaowiDMsOK-0Zj_nb_nY1UA~$HqQ3*?5yw-vrHO2KtQ}HcHK#HBW6!c=CP7 zSrBApuvgnxSuNTsW{-eF*dp-w4B+Ehaz8kJ&Kt`E;KaR79Wu#xl->d8D*t)>+mENm z9)C1_>(NKNFZRQaVBz1B*4@4?-F(Z<>6&Y=NtaxDNxJxwi+y|1MKmBeC#_veX8md( zYt}%IyT;#RyLvU5`=@$aPPTwJV9ugdq93otqpzX(K5}d8pE0t z$f_g{rN|pT1opym*S~TfWDiLv&1UMf>$=r3C6eB$bOwToGF?gBN?2Sl()xIgl= z&=3R;>wfg>rm2iG*0n1V-aPZYWt-x4mhIcQ2G4??%aScy=z3jlryFxlP<~Y=CZ7Fr zNoYpaqy)V@W1oB!+L89`?ZiCtP*C5W9ak^^?U>=tKv>H{2hg$51?bU2ubJtd{ny;t zbJNjZJ35_k+zB2AIPRF^{9fbc=RVWC2(l#RJTd@)_bz>=aPSPlkdE*q-TLT9wfrBXRcYB7A;(yhS(Y+(Gg{x z@QVx_es{=_Dl?{rdsCsoRfa==_>d~!mMwGvI!$5*&`7I_e(FP4zeZN$6uV3V07pmS7M;y_?PULRWMm|A{ z!`;9Ms#ZD1%Ht>lJMq*A^0MyL$b`iUNC8$10A1YD0ACzfu8Ln{L6KPF{mE_7uwcay z$HD;6Ri-8|FDyd`^yO*WRk&m`(gsad9p@Ai-XsN~<>9`hw2B45;$yKZaZD^rOnlCt zn~!G$ZBA`9#lcP2tj#yu%&mGXd|11%k`Pvaw8szae`Tr4Mag-3BA5Xg&#`tU{ak}V&3q3Tz7Psx%GtPA3>hXF5@)*aDeEDoS4nUuQyO%gGtymd6=RLd; zWJA*mmvDrV<>=TJlZM2NP_7}32`$iyLLUm1C|h1f9{o|yk_F{umA{6H6lH>#F9S;+ zcP}8%G3>Z~MyE?|gt+|2jj`VxI`lFn&=oI+R47xvqr{#Y@N#foD1gwpc6wEmwS0c#y-3moIBTq z5FFIQ`|o>zSBuam0WJp;`xsCu69yn0K!PctPa<7h6DW7AeKOk#E3qck^u_^jtLfhN zfDx0@kQ2)4R??i>l}7hFz%Ca&0}Gmcl^~~tV1al{mQyBqxG>mx__Z~eB) zODh3-IWevb=w&dEv2|JKv7;QvgYx1EwHX5Zzrv@gOi@f;vRh#?@Bna}G>P{{d-7@H z#!Y=ojuRnKx*{D#1 z4mP>9p4Y(heG+C#h{da`I&=}ENy*1TA2|{iJaPP*@3>xf~X%)SB zbJ7C#7q|g_v}i>GmxV4smz6HYIJw&0-M-z5w0z|<2lVARptC?C)#_veP0Drx~lwy;}E+V*MY8A8R0@3FS&tk6ADgTj>?$#rWLt0 zD*9Hg7l03-qx7Kg;H8j2k(Hn%#b}+Xxk5|`5#Y0^IYtB)S?*ZZu;fwyOPSrn4?XO$ z`=_3G(%Ykc?>pa3Pe1uodeXq3_aA!TL8ojL*i-*}$;Fpcv+RXzdzIovhCIL?fg-j& zwYx>IvxWEsML`3wKliM2d_R5z1x18Aa+5}OErgh|cgL`R$7KUBhbNrp0672-xR1_x z#ChL&_VX@MisdW5&%F_qDd7;@zyLh;+pD%U3vktrz9nu?3EIN>i|qbw?eTjo6k?CE zz!7wSJs^+k67XxAC+>+$pU>sGR|#BXS=5LmO#*JL>==)il2Fdl7YN6k0F~598IXl8 zK$nGH2JuSncPS(YcTqiO9o`1`b!JWDTE`;-H7p7oZh8o4M5~OFciAPEW~FyFP#i@V z#XV>3I_Tw{3mKwgjd5)?PZ8~^lG9kfa=*)B*W6;wvsU;XAQ{`LYAXx6T#K&%=DWxs zWP`e?VWo2%N`Q-CUamEdty{|pai0g~aj5zP#yrYqS%(~l-171`s6V;f(CR!EOO`1g z#uBFIeVaDzJjV$z6`;#9SJ(>v|3eQx%qupSYXWlR8hsw1R}K9v<*Vw|vCu_gQ}2 zJUs$=0qEPV@b-WX?8}$=LSvCAem>MD4gWiJa%Q2^q(t#A)o?0)7MYk>bbN+#!QxOa zWA$P7<`96s2`b950B4egHZuzzb~IV)YKe;bNv$r~7okTZiYAS-{_!oEH!rA3)e{@n zkf?cMTSAdy#5kDP{+P6Q6)4GI3*d7;2&C-{sIZE$kP)naD%sN1G?N|u!2RF!1ikM* z{T&B;wpjUC_r&#;s7KimiUQ4X*kV;-r33O_YG)Q&!Gm7{bq!f?cmd8bpr@1%D<6Rr zM~vM=^NV0sJal)vFEj*MOyUuAnseu*t!8YMCYq%|E5*zOXG&1c+pN$Cb5!p38h!8c zxm&H64!3>Ac({hSxzGTAsaf#bnq|Mk_B(pN`&HX*pH>o5FpGcw-1$D(m%jI2j)&)J z$S}{$CEU}tOHRH`6})_nPS3;_tBmAr?5#=9Uu zFDK3wrKX{DjprOI$HtmcG9m*PIk*9uY@0H2%^ zu65Q@ zwXc_mHf*?MYH9OSc}@fJ>ZO<%hcbuvYS*22a}EREV|}^HJ!iPA4CsSWRSxD=Avx}| z7W$CFLazq&o}Mc(aNvLqX0D!6@rpV6KujjpZwsr=`o66oAgcW8tXhJO6>wF?G^3x1?Yt6Y&a*LiL+GmzJv7 zJIAZouB>2b?24gb(UF*iD-ky?$vrg1zy%M0C@D*{t$;i40sgq^Va6g6Zk^g?g8My$pENp(#b^p=m}^25H;k6i_1`bvUZ7=N#xB5*8lEg~EY?L8%bu z0^pOG4-n&ezw(MJyq2D1J(BWl5jYzd1F*-v57;YFk61so( zmn@^qsHajrwZ9d(%e~J~NuMZnp$b(evpsWWJy}$gQgPjxqe|3M!WQ=lPl=Mrq-1&% zBNIF-dmKJIo2$b|3@^Y&{Q0qw2lLYFrT5x1_v~c6`6|JZTa~#)^$7D)8RS^XwH^Bq1u7d?dRmm(ImleA&V-@240#9tT>#H;;W)XC98T}P6CV`1vPcyf8?g+ zoUrHte3JTztwR$G6(>SkT-YY#GOv;Tm&}ACs8HGcy+Y?Hw5IL2k4b7bY;$S%=|y=1Il1P zoxB3Rr|?xY$|U1tv8#j)7kj5pT}<9IH+j>_TU>i-abD3>bj-|?;<*2Ku0%=%03%z3 z1r-5(NKKc!WSBr*fUd+&wu1wp)0{k6yic{fk7f$oXDd%hd?rWuRB`gF1n66R~v0V(pKu&QKPS zNhIx;GNE`rlU)K)WoWX}qlF#;ec6g-6#-rUlO1E0p^qTKGGfu7IB+~HP^?TAI5!q;)5NiYXIY7+-AaLc%>XXt#4gnOXv$vk*F=Z@#EI-BX z0b(XBz^!H|YNM&aYvTEUKCykc_r;ZTz zc~Rb08Y0}0^?!2Ct1sYJOdoKUm9H9p4QDGeu&0mup$8x0$`^5SV(7%oQDz8@h%cXO zF>=_5G#u~9&}v@kqBpZTdaGv@d{CiIpx2MD}B%u~-D=>dF*d>}m_j z=T7yyY>77?SiY^j&HP~5SiWTqK`MGru(DNDm1DwAzNtr;z{i~9@80X?O)~k~E zloR3iG54fsu|?J=gaXH;FbM(^cP7?1?tEhKu$BSpkm?Y-_nLcq&cMa*JoNeB9B(O$aNxnUZtU|{4;a!0PcQ;1BmIPRM1h6_%2{i2n81~C2^`* z7O#O^c%si$iH!hX8M*?axK1K(K0{fzG$bPHe(@qxN|&$nSUqn1?N+WzJ8r*|yY z;I;d`oy=yI9Rn37D7@XfWz{HDr(~}A{{EbOGhpviqvVdyGb_ITXBy>X41IlbKK=g1 z`!vqUEcm|82Lb0lUPG=S^GRJH-5>zSr z&;ZQrtIT?_vk6F5q9(UH>rubQKsLzZrw(b#g{jR#uM|IzfLor?6Qr%vhnlsrEGKpQ!*48_E_x?<@C9@@SlGA zX&;K+lbMfj;(p)_pz#Nwk9UCVet|#tDK@}~-S0d@|FqNH)gSxZyQ|-n54M0k;Q>4c z93StUk>7I!BT0r>bt-$(9OQnx1wZIWEW&t_z>ZH7!neWxQ8YJp+D29l%M5+j7e$PP6Q7 zu5%J4Q3YqrjGY|hNjOHfW~IqlOJtSn3KyyjxCajCXWM~Z!bbH;2Izwe>s*O$UVmQV zFu-fTF>!4DDTmIuSBjMiz%z3=}>Z{FPdg-N~ z1LpSJ2UaN)6H8t3SzXx3hZ`-7Xvw36$wDt&xg^_-soB{pwzJF1$)*&FftO{?%*wK2 zOHVx)c}XQeU$}TdTD)|TTj+d0b|K5ibjEvmh1x%|5Yz{p2@fb>9b&1Y;K0s?mW!K? zL@Yp_EOCGy_dMT4$RB^)@ot#|j7vNzYF?HYXMoN?SUFgWvm915+ZW-8Fa+$NzXa&1 z-<0rBygnwuDUOG5l5mkLkpb9~p^u>AdSjtutrL6qzTacBm@mh|{o*)yjs^@F2t+#& zdvM%5CsD6ilcuS;34VU79J`NQ{AA52sR(5uDt0VL{)dH*OP~LjcK}6*(lLZA!jb_rL%B^wd*NIp`~X&ppD+q8{Qf>jCr#HM}ir4W!+@pJ(5n zmA_VtToU;JdBys%-qmP_E$)2L^735qd))sqVGoZA_l5hTmYvK;3Dk1DP#_*_@1x}u z-`5bUo&>wPuVsSi2VSbfa7j$C6Ycgl_6f1^?n0lN(7<=15k zT~>O`j4vwz(FM39)Db=z|9ha0`8iS?Yy_UNyKd1`4Xzih$nV z0o|300O(4J%fen+{2VZ!I59Km_+6A#Q)=HZjN8r>x_=)q$IbBa%P)6(;e{98`0a0h zn|}T4Uwh}HKm6ejiPs2GtUeYx+A`K1T9dlosNA85BaY3Rz2pKq0=g%Gg=R2h94pbR zu-2zc_GCD9bYroISVnGj)tSmt2h@43KIi~CJ%1yhGg;MGBx4&J?=c<(4VlIKe>u5@ zLD>fj6w92(D!ALR_$Z~~+F&Ij+_BbJENqF9Q?m?l3JhgfEV$eOM+BjOQvkrW7!_(&*B6G zSg6b!_Jw#t8LpAZN_F=DB(6m65BGzR2-Z44js*|k1NP58`)qpVnP<{>p8igH%q;%< z@4L_4`6TPza`P?TsqD(jukf0C0GokT4v4E}8Veq9cMCl z9YFtD-maMY!#*M_Qkzk{F;WWfngQtC$8v3XY_3wKldWWlm#y*$`juSvdY{(?=)BBxe%d@@|R-Qv*E)>cmf)(cGaSLB3j-)SImL}bnYjBo>}PCJR{ss z6hI}NRt*}MS?9#hbCzC)z zTK^27FP@(kEybm_v~V$r0gtk!v17BwGMURgvqrRdstF z$HZ}PO<2GPd|42px8m<@ISnqy4v0Yjf?J%JK1pL_ zy)QNp;P+YVcyLhku=;W5Gf2wgKbT%pAW#Od?y&r!14U?yJVc6w5-Gi8EO+axGC_no z)tdLnLXqUP$L`@QSg_C&5%=7E4`-!=*aRyZS3b4$B;}EuNBP|ko_RJs`~7G9Id%8& z=o7;yVNV^)Xgf;WUt~MyoqujR=e)DiIp?13)VOfsL!AqSu5;n9KCPh6g-#cGU52>x zq0WUy_sq3x3SNED^0GhN`83TzVU#;x)TGSE*eWx2KP)rqMR$IT*~d74+)tqeK?%rW z^1U(;=$(~Xa(o;Q=fZJ&f|^<7$PZ79n=<(``8bMOEAdv<2lD>KcAoNXC@wAo0*hT` zZL-w4{t7V($mK!A-Ok!XR!GT?NqUjlEdqKOyan9lj&FsBA|%yCP>)H0O5EdIkXt0`c@mGo0>R24 znS@EqB4BcG5xwp?*T^F0IRkjzk!9JOC`(Gr|>N4Ilc-g~)m;#%Q~$7R~8RV%Npr^yEO_>}NLx`PE5>+yN+w6FB1&sn;-))F|%G?rB= zt5eyzLk4FhcvU%J5iUL!zq}7*u0v|Vx$SF;7ffYb@5?W}%)uKVrvn}U56KRI|IGKk z?}>YWJtRAK-TjTU?vC5i&9~l^uD$-6blDY`ri(7Q(8q-rU*Np=05sqo!Jg*;wsYp^ z@3W0Vjd9kVwbm^vS^je8W8EW+VNU~O#6!;dQ_ljq(78@hgktVX5yKxdx&~{O0AC@s zXzg>oCr`=3M35hG9jV|T;ZyTO0FN@o`w;Q)oa;>(iTld^!CQqwhcyq#OTiQP^BzFo zyGJhvdcry!ALj|siOCXP0ptd z7P=H3mAb_wI>p>$JJd2$T%`oJ+8E>_MQkOoFDCTQXHIc!^4`cxs^5}F zCQFio=X|hdwYO^3#^gssuSxIRxvM8iic|(b$Mw#0JTF^Kn^6F|us}H&Y@O48NL3m! z9Fj4>dq5hL$I&+k=r)JcI13Q34bZDYRaxvDD}BI#e!fq$X3ah^S#{%Gcipx5zZaTk z@$-6r{No>w`t5Ij`;TAz;uj9)Kls59++9gh7?S`uChkmH7m{=o`*@RFgpeVAT*M6b z+{V3CT?X@jJ7`v+Sn+Fx=2nzlI^c?7=dE-)>GcV(Em$l$-!o?Y=E2}+r zRTH3-6bGO$$pKxZTC(oQ2BuDuOiZ4uSF#fA5PZZA@jzfD5Moe*1`CEopc0YH3eKoy z=g*t(u6Eq+Y_UMGyw&gp5K~t%fjQ6B>5UjJE?$HrNn2cB-2H0|c;w=z6)QppB?O^E zj2PFmdb4wn0C?^XpP_i6aNuUgVkS8bK`DW)PI8K$BWwXc1hKN;v79B$v0@ZARCq$! zz5AQs;9811o8hc`yY2469k7NUACCp@S`DeDeWwHdZR>7L*WY+u(In^m3(s?~r`Zh_ z{@SzihB>*V4xnS5)7EzN8LNwId}Zwe=qPgx#q1T&Uz=z7i1?#- zmA`|`V10A#lnJjcgW3-npmIZu40-sJyeI${_$p~Fh5)A3lGIo3%^tygL*Ene4gbS= zASVc^;BNoXkACEGT!K!;8|5AVt4o*8B%+(I8d zoc)~v8dASrq zlyg7>XJ1V)+{=JrJF_a#QgJ;o*_m8WB+|ou{P>C9DULxx2@FdcZ?uWKotcbsE2}XJ zf)Y0*1M3FhLoky4jn#wwU@TW|9?KMD?jPjVPs z?tnb^4C_mPPBwGlmd(8d2vrsgd&wy)OpwXo?1|=@mnIqUx61K8q zLTSV^amXPDr;|@P*_B&}bFyxDkGp4!^~3ny_rK?1t0$g#+}0A=`S-bU%spa`Ay`SO zJ=e*D7XP&V(o%Z$9Z5~mQca)b%Qj&-AxPk<^*>hZJQ%5YtQ)S&NTVL*2J`?Mf zC(7m}jj{Sg%7J*%m9WQ}RP3JX$$Ui#QMuT5c`2yCm3{esAtT0)DLginz`P9NHS%e^$WnTk>s+^-A*LvedO`HG-AQycw z?^Cv>eg?SQBcNxbLj7~{p#ep{jB`7XFM~I2z`)NW!fE|!EvgTCRc?iQ{*(PLc^WiP zLQzmRziM6$=H*C_)eq?3&&wcp*kOlr4CflW{r21SUVr`de>)3(qZeO%@r>u5d+uWr z;sA6kbOe(CU5sC3ePacZ)s5Q*>w%13S?7v1i3eTQfl6ms1dH?TNUGmt(PHVTQ;>jH z8Sh)$`+)fJl`CohIPVGE9n9^0o-bIm&^v3zgddSRhzUtaLz=)@?eA1Sc7!e2(*Pk# z3~p`!5uuA^gyn?tvit6P7;vt1&>m?PK7dZ52t)_4DWN&?oFtO^^*3`u2GwH5jBgEf zpAvAg#e!j|Z!{J^z)oyf+1ChTfS$M}ab5s|#60c|iWp^gfDPxxA_dF=MHG{Q#y2OH z5ul@3VAaq!&a6AyP0N7Y*fI46Ru&YnJ14Etw$N9v>9y}ls>xmU#PsUc-?qL^UC0rs0W*dlGT$;PSvruEYn_8i~`@Ee;V zrFNpU!R=(<8$cONRkbEBD~10RsgbhJ0W&3nB2+jo2^qO7nZGK5LZQVA z--ELAhX!`9=-$^>Kpa~%%Sz@qe>?13($N>(`CIr&eq(W&{D$g#$c8J8waoRCJ38sUK6x^+v=^-qRl6aMm-zYuQT z!VKEYKl$X7e=k7))1Ury%I|;w`;W~^cMT4=3}rx+Y>_QY?3@xHr|`Vy8h7bp@!|H1 zyxPR}029@4%2HRU3^67pu(`EvV9NqQV^@7BTa{>dt>~hK4%Ps=2G)86bOz7qyhO8x znYm`Hx>K<|$qI{EoU%HYEPTek2og0{Kv4n6v8FhGfDxel#y3!MZa1*M$v(T*uE#~` zlvBQ*4nO=zJLkPk0Ie{scZThD1>VQvRg(}bWnS}~ zeE@gdmH_E)CR~W!6Xym{aKGY;<=9cS@Tzd%SO|b9KnEcDA|_^!kIjDc zoZ}@1&pg4E92?`1u!Pcxs~=&{Z<6^8Z6vOEvhAt8CuaaIeG(G0#6Q35`4?)4BS2B!h1}J#eq4-Hd~fDVN+GfEwsU2dBuczntRcK! zD?f&%-iS+emT_*fQy{++7>B z6F2o$g5^O(PYA=a1=8#W}Tog7+2nPjkUMjN`+6yBZe*bg-Y z`82FEr$--o%#?s{rH3D+Q{Kbr zf%_jcW#F5p4BTtV*CW4~;mR5z9R|-)7O1ee?%HeJGllYcM_%H`b3An@ z_sD`wsr^Pl?t}MEY>MQ3u=dN0hRUcGVC&k&HCCgSbq?-aSHKg+O$q>?MLOr&lHnq- z*VbGrTI3NFl^Ce~BOwq<3D!9CP*o-ThQbk*z*g??O2-^S0hO%wN?=~L=q#6l+=gu%kxR2Y(TL2j_Wh@p^y#HERU1IPe*lP#MT32>DxblmSG8UyI!^pAk9 zMAZVwbH0MA!Lp;*Y6Ib0&76O)UiXmG=AKeKiFe|;obQL z= z%q(hv3TqX2E-rYF0S1d*nmh}eatSPVtVP^-$`d6{of%9J+8}7J64?V<_ z1+kPlZ-8Z3UT+O>VyOe90(hPiZzj7P3z#0#2kd`s|&qxvj@bMC0 zk@Gp{K!!7kWB>)q0G1_gW&{fNpJT#}&ap56bTL#(SaL3$7l1AT54B8jj8eHHJ#?ThLqZ&*su|4#PE@Y*MxaN_ycbUiV+?Wvh&HI zAb}FMd&YrpO7-cu&ChUmJ1%zO<_s+Ki!Z#yEqAt9?Znox#!(y?{06@z@*FI5yix!) zN<1MbymgTG5Gr8nJpK7=a&CAHe?PJ!3nM(}iC8EERK@`IDEyRyiPcUlc1}JNKv&)n zg*+6;R)vH5JZuOAwdTuU9_gnAsAX^z80y|DCLPK)1YXW8l`zBOyoykLb>p8zGr zsnstHedyGORUbPAW{rDl1N5r@HlXvH{C5^Z?^^&mzs&&T`af+H0>dJ~n{=je+^LX0bQ=N?Yn=`n~eXE8CdX^3Dq{ypVqP zyWcseE8`tdXTf5rvsj702-wluu|N^j0(abW2m|qL18Bc)mK}Wf}RMeDv*G0u}ICr-4vICi|xjhKnA?r$iBk)6#c zQag4k+@I=h7WD9i^Rh z&br4^&yoYb?eYS!#~{Gd$8$iQ&>izY34v;}i%h_~;$87_>eN^Usg|hBkI#!MmowID z8_^<{5un69aiS}+OdatAexhO)?W=mOK^8`7GS?WnB>BhjBF7@ROabTv26;0bT=cZPfE<(FS}U^lRT)j)l31N5(MIU9if>Z`8~GmYgfG#2Pz#S*8i zcP4;WfnAUdCH3r(6nytWCEXU^JdLG zF$`sbEOi>Qpy>kWDnSA$NFd`_u)2wXi*KI&0C{5ffIOBsuK{#o=yZw0qQ_NCb~XS{ z0v}+?aTCWECpSQ?OmzTNF-l!igdxfb`yo8JkIEiKNdyo85|lsYfhVNVbkMyX~MW}-60Q!}q6moyDwoxunj-rr^odG!>ta+3Xj#2J+1PtFJK@X*g zebg8yQ(DLs*u)fuO%3ojbI@lW6ao|k&XJasbd7?*W4KxA%mc1FTZLzoK&b{5fG>F$ z+%Ipk(!Ey!>{w0+TUkx&S&i^zVA)i=O}pmZvbtnI-=miukA2@1J1RMP!1!juld6Hf zrbPCqJSexa;OQS}^Pf(Ngnvkw<(bj4oQ>4H9ou|^DUVOoG#2`@PI$*pSz$dfV9n_&bH&B1ctn|@@qQA0_H{N)o z^WXmVx3|zzI5AG}kAM85pD>y40Q=8=_A>`|vU5qQK=Vcm$IZr~#Lb3_4eK2@o8oH% zd8~M1?@THIy-IelLe)hJw;Y06R=rwf0`}@}Evp`|XQ5*)2<)-ynXKweCa_mbRc`#q zJg00`#q4F_tA4b+2xFFPbl*$U7U;*aAGF~_*wH=^bhiyyB!9$fCc22fZW z%4AoP8{g%308O5gu*doEIc0Zb+~cw*uFhwi7xx#1g!{(zjTSn9rqD<1LWb7?7q+Nx z*@ydv&{s7D^M^UbJwBNhu6E8eS0RQ^i5K1kO0%%a7vverTvOEqOToaZ2801*{yT?b)xmwON; zVnGOC$Phd^B(;q*MPqp3$%z#Wn#;p;j{?>g$K@nHIXAuH%6M70UU=tN^JHfPo;; z7WFd9CGv=4RToB821G)evVu|0vagh|_eDoMH_nA?FF;3@lOM(LF*h+p_z#8Vlq(=N zH~Vz!TAlbNK<708u7)hU$G`(b(<=a-`Gg{n#kdFLbFuGd0G;^~0lkuiE_ZuTN(Z2K zuLg7x*T_m|{^4nC-n3~t{UMADzi+$cR!`cy`pT=)E<5g=PBKLh(7-K>QmA{# z7G(~XH^%|UVl@NCvH)X36kyJla}d?8+`&rPVh(YhYR$rNNk8XHz zs_XM&UwG9A4)%CHpiW8VAa{#a`F+=%5rr{I9)o+%y=S0wOwJRo6jP2}_+ojRGRL{5 zC`qhQ0H5`)8h^ZgDC?^AR)Se=nc`)t*Gg7w8PFrgdZb;IQm^DZDRT-3C=A9qG8a%Z z0d-XXcw%PGyCHcIjf2`O_u6XeYfA!*l>;h~Q++ve8s!Pu4X7dWP_|Tg!jNlT)f%b+ zhk_T29Ctf_E-+`yz;Xx70e1j>^28}759YfPiN`3Tf9;pg$7&0`0CNZQL7xlgQZm%6 z1t8adVxfzf6dA?Zp&!NcX;ahpzxSQ=!ynMk;i;m(1I8)W0&6{j`YW%zk_-d?Zdf?z z-FM&p%3A0ftzW;snVrNKdwzzU&u`IGI5~iwIK!X*^rr&cxd6B8$eG?O>TRVZm{Z!u_1iDdB!cbq?>NMDcyhz-vsqF2KHB(kiPt~%hRO> z_Ltz6yWqlf9v16aXFFpS1RN3QvFw$3Uj}>JV*ox$I+N2!-jV`fy8~xCj z`ktON?+FLEQ^y1pfzN*3&;_!am4pk zE8I5+bif>!d()=Polk*!et6j-NP?!7@D10OdmsBZc$=n#d&|+36G` z6>qz)vl3#t-sR-4N=b359j}c(bwkvtk>O4C^StI>As@JZ%t@84DL+R|k&q|I6^sb> zM}EjC5R04gJ|gXEOv;NPz)E0VDKlQVD9Zbg=Zp6MbSzWkGjosi#XMGD1Wz_InWH}H zYK}xn7yu5Si?dz4?SMI!yFxh3A*^!-a|u9~H)z9vzTp_U-0)>U=YO+?KUppa>jC-X zp{Ula(}m}spMLbiXVXIueltDta7KL#CJEHJ9>@k_>V%tfEBzmagU7t{&O2XOK=*8S zQuaUm@WcAH9cp6-v)XSnaDUw__781*iaQ=~=fnYb1~CY%cR-%FdjxqjAlw_#nrEo- zO9Xql+CF31-*>;4?%Yi&*d2E|1t)d5l-Cfe#l3vp)du!gUF{Yqu4cLMvFvg6iVIsA z_{5!M)mKW;Q_LPMyKLE4XX5W_fKS=o_FiAS-F7QnXfInv`5f{3g zd)1Kxs#smJz7T*6UNZ^`R9xaTv9SR)t~l_a&V??wYUmJeH`t?>33LN`M&G=BC5uM= z%+Xw9yh^NTQXZs00PaI_D~#AUK+bihY>JF_GS;zt6*Gs*6SunB#iAe}+&y;RuDzFs zWeqoa70HCSt*H<9hAmB+c(y%4Lmb_)EiV=^mVB&57Nw>@o%_W7ajpQn|NGi8opQp7 z=`1^T>a!0&lReXY{P?l11Z zmu_yE!xGo3Me97@qHDnnCyO1hr}li+w%eOrn^w%LtczY%jTpL;;wk}pZJ;hdm*)UL z=l?Uee%8>3^?>|A8AdieWk%%v_uZ53x%(SV?nG!9!xDKQ_dBk4FbpeQneT>)4}bE> zCmVfr1L^q#Iy?a00J+{?Uf(TV_jta>geno_jv1^Bo}o_g{ruYsmR7PYu!PCpE*);Aw;kP+bqfDhP{ zA%3%2ZCGw3li|uoGsTUs1U-R$IYAGw$CWR@$32T1AFx-FUUh;VnfUveCQd@y-ahu; z8yEg=4)nNL1@@~}ZJV~+cBLni;fAKx9CtRI*Z@P^(pb{CEoV%d?ygG)iEHrM)38QE z-RJ;%z+KO2dc$C0C?RA}9#2x2Bi<9Cjgs@&ju@I{QG3#reI~ADj*Iv+mM(E=7|KwX z0CtosuC=z5uL1Tjjp6#nq9>k?8{X^N^GX0*%+!371r9h$uqw~Mt+EN3u*V`Mrj0;C z0Exnv{U?UzEwbDe+4Y=h*KN@}16liDN&r##&N5~0z=IC-`+MfvGt;!Kre~e}=FV|& z=J!>f&vB`)T(#yL3rYtW>m0LK4*7qqGX}yLZxC||B@h9QfZcW1UD7`L>}A4#t}A=X zS1d2$u4<-|)z>dFWq^dv9n;h)(;O6OVuN5(EM1)R(LzUnWlB?@&$!p+MpsN-0A3rQ ztF$O%J?xd5HEZGA-B24>RSoslrfRUlaj7>tRy$31An)NCa@-F3dD0`E50U^;h!DmC zp%{`92*vof09id4cpm$bM+>%6ZFVI<7pOZRpabZDw@TKO90#cLe9EM$Y1z;;i<|_~AJji_iumAcl2X+{AKKS5+dhfjRPW|`af4`{#`zU+w zSo`c2+umzn|Ac}3FKxWdg#hTW6BC& zh8|Ky1PSRz8tIM!hK2!#?(VK3hlX$e{@(#-TpY0X`|c;!S~n5a-nlR;5*y)TkPgAX zsJmNtYED$d#A;ucI8tlczVGwht{_;WOz`J5NfH)@stzUz%%aL_7A$v*&IR6vQhz0} zBIrhL0IK!frCOmvdV8YMp5ufMbR!>_P?UAfG4l`0rtIGQ2Fck+&F9)~k?jB-Q`OU}bK2#$N$Kd%%h8SJTln09IHtj<%dflVjwruDm?a0I2 z%OvCcV7KJhmmV^>vSj;}Z(4Ye7vpoRV~Ks{Jm=#N7L|BNO{G>_4owsHEEuJAusNq4 za4&!~VwrX+i{;{%IDYbs`WP{mI1ytRE1*|vy&flOLi8^h8!r*aZaZ8NkVhQ*!@fej zstg?t+|iP`{!yXh2>qSeQU|%V=*q;`e@Gf?Y|wTZkZV28@hB90u9LHdBdlM&_fw&> zap5q2oFIj;j1iU~ThvF^11xr$IpepSY#w}0l$4D-hnL07uc@^9LU{fTZVorcp&Zx2 z%ME>o0}ps*7JS?N2j*{nbmUsd@MGn`K-F_GGc#AaR-n0J8)3)*24|yq4!{EfF?L%{ zCjfB!;>%=iYEN(mOFKngv6XFVee$=d9O$IVRq$?MTj#P#Tz<!MtW&JJ<~2$dFV5X?&iWH0tBz2RkV_o7-cqTz_RprkS)$Gt-fRgGB>_1499 zK6?q#Zc}pFNJ!;+p0!4;4lPhI;$BzK56KO8E8&myg%>`0ME+Pk`J+Xw>Aab2oPLaH z@n3J#I3E=qY+sMJ%tN6cXNh#!4@zji4KvAk3XlPmD6-WAY_61+_=UZ9L(AZAFP2XSV ze|LK%Ea(M@4;`iF-m)_o<(NfCc16g3P|9>}IpH&tqrtPZv!@YW7?HpsJ)Zj4`=Sy% z_j$hRUtjw-0RG}{)9vr!T88}>xOLTpL!*%e+NF|$b=BlzgFD}GDWoHYI_Q4aX39q# z`1;`6ER~apF$CuqLGs)ONR<=YD_&XXwvQlP*Xnnu&Bi&ZI$SXiA0~_a2-#}ErM$P) zw@ni&-`}XQ8~XRz+I%uYag-&$SvU5TO{NuO;bkM&P5jDWIYBi^0&=aEzd?wsykmWKMM+U@}`bGl5BiX@NE zfO-I*$)CYD8;pYzJtU>7<7$4Ak9GMy)XutF4P>+$W}KjnAW@}2B_Y`PUb01#`;IC9 zC8xlQ{i|u8SPkf+$7(;zD*CX)wxApj{f&GHHvwxP#^6Aes>$});nCJQ?i!|JUXj*g zx48CUdhKuUwvP|2IT5iq%H5|^xso@lWBwOyRmxL#6e{5tX8v~8&xh!W0gSQOHL|~% zF}NHKE3NbSJin>vr8M;03C3sGnIIvoHz6TxG$BECse|!H%w1F*X!^3gr8Bs+C@YN# zW3bs@?<$RnWQab+#;lKsS*#*2QW8$rOh0+^OiVr68n>0fSE4R2)C#pD>@&P_DjRy| zm1(Qt^isf)5(0$2eJSjLf@pc={n=itB3AP4P<&lA6;k=N0#XBtNi1$QltX0E3EsrRjzH`+$)~3$PV!h*=1O;?CIfccp zA+`+h3NAz5NA$y7qT^~=w-a~=j5+~`ra~!#pt2X&ZhW=@+owumNJ(B4C z`@tp8PGs}l8Bm@4luirSUGT48kh;Gd3?tmC^|;=z1z*=-bhT;P3FjzhF&?vMU)Ws{ z_%>oc^KNBa+t_E=|IW_zj6nAs>)LtGh{D%pRT~TDpGFhxmYd+y*G)Nv4E1IS=tU=x z_4bxVMmog#Bz}_rRuW~wKy_+bj99_&F&X1~l@AzA_*Cs_+LRV~AFT?+^yN5nL|VPm zPF-u2X@f)-exf0(T3 zIr_-5hD3F`;;i8Zrax`{nD)1Aw5Wo0YnI?wCkwMtr)_UyU z8}L?0V2C5Gtj*TYXKuD9QQYD-U>BUXF}Ggu_Z6yP&slh$_<;`C9<4oEVqp#Mz2rka z`DS1<5C&JU0EfKnWy@gS5ITv4AN2X3de`kamnJ-;irHQK_eMrL4FqNm$m9j{z8I?( zvT>ODwS1t$U~^*E`>O4|<(W$A%4^2<{YrL>cfIb$YW}0YMyWmA>ER0nGgqM;wi-A` zQ+9;U9+-m-;63W;)jzSf3YNnsD&`h!;Yhah7o!#Soj>vmsCDny-m1S}d2^5wPo;JeNN`>9Xlh@4*8J36x~zKqZMX z$=Z^7-4-JO$Q*4!v?shs@O(1W{@?RK#SXax4tAoxXDdwacanh|o$7M%P)f!?QzK_7e{u_&YkOHddE=+ylzHlpMw!aA$7lyLC-zC^W z^ZmJR3X2b(M%MYbtQYoi>tPD=;w?(+5FjZ%jPpA3SWI<B5z9+?F&qE=>yww?clMu#Wcxi3$k!3t%O%4M7ruI>QR`-k~WH?aUWuP}eg`%j% z5MRGHDSlUl*}0%uUZ*4c{a&FhEHXE=`+f2!rSD~JAjW#37D*^=MmIV=}^M_-#d-Spx05+ktnc_BX&_H(RC+YA_|1W2^))4>_uM#WIp zBYJ7pxDZrof^cv!2m)1lC)a1VK`q@OVdA?IYhsV3*Y~-Iq0#K{*<;fd(r;xBQ|WJJ zJhH;XGl+6g8RNy|@lmg%zkE-%Ky%li&A{|Q?H1P z#)n+8ywb7ex6%xxYp*CZ(Ss6iFt<}{)Gyw%Ret>Usiv2DiC*!!70PGXsM>mXMBG_eBc!Q;6?-=}UoP1!VfdMdM8Ufoq;PY#@iU;#`OUpiIrD2#3yUb&MbpI;-zN0H| zxV{t{#>uLS ziDY1S&Y2!z?+tFkQ}5-2ofYwzVn%D7!C`XYmV>5R`G(lio$0b6nNb`T)0HBXI1m5k ze5Xwj{`L5IFmlkD-pZo=_aE%f!DXyHjNi13Cwrjmu%7U-MEj^^4(WO&DDu~*mLFI;fDR5Id=ePdgT)iA^ z>TbVlX#w?~g$pPC(+%vkodXsudQJwo!1adoLM`CKDt<8J$+aujuDib1q-LZ*KxeHM zBhrG0j;t@v@FvkRS>TUPbYM&9Q|*N$j`sPzeD;N{T>_V2Cz`hQh=qvAAAb^Tf}F9b z>!e5v?MA0(^U15*Uh-`4oTR~jGlqOa8CcT)dMDe0)57t)Nr**oJtihO_g@~o#o!^| z0?&l3c??;)ysQYxLbr9iX={^#{JUKerSY-2gp24dkW? zPU9El)6`KTKjUs+dxT5lSAE`>em_ftgF#PUWJhr{8+_AN8ToAzK_gXvtm$Cf6U#7v zVNn*L=yjhO>J5x=cbKGntM;CBR2;}A(p*nW$`xf{YW&5&DG)lvZREJ?B-PDykl^Y> zKCRSbLnK>km9AtL)M|&$kS;mzN-r5d-X&mAcT`;6(4uRgUi$Zj=y%E{Q5hU&94FZv z%&c5Q`;pFKJvv)nIhkLKY5mv-%mJ;~cn*iw+sDubk-BSK+C!)vc zGgU_Dv#C@aWJ}6!HV&W8-Xmv}(Sh5zAY|*X$)WK`E+2MYBB<0DGyA8!gpi{seFij- zn({j5Y0*#THci~grGg-|JpSFXOg>e`cBxAtmJVY51#eGb`tifLM;y{p{%ZYA8)>Hj z%f`-rTcH!MGlF0b#RX@*=zcJpDd}rSUV0!491=jT{!#cYJ2d5 z9Q+NrJ)8{xA*v?mm5h{sa?L1h1}A3b$BokqEU0_vG#JPbP~z2fsJEWU2gKD9MEn=% z{MyOcU@ugKPV}tQs`@eQLy+{YMFrwoG~xYpjD-=73)x2oW@(=If(#uX z+CA1L%1$*urN{*#dFcP0w%e(JRHk_&X;(RJ!SYxTT5IO<{BPuB-7K8D+qKei23S!eIiIRIijp>@qNYw(_SZuT`W zBjc#~As&BkL%)O8 zjJr&heT^Qn!4}ZRAx+;Iec5~VncJ!44|Xup=!2uUe7khwWDGnb1^jL;{SRwvBOpf+ zrUG#_12HDpiZQA&ubG`+K2c7mwy9@I*LJRjZ1`tWmx2mRLt`2Y4;-XFF$Yx)#r~n3 zE$_Pjl>Z_GY=iSYS9DF6nIfxJSf1WKDKlM zxZ`p0p1&$yhCkeBZd0q95RhxBk!2O^o$Mfx_k7A{Q?wT9TP^C+eiLoV&I<(NK>DE4 z44+~f=HC-I$r`)$+CuAX{Zq|I%EOAFch^;=e-E5a9&y+GlY2Yob8Zn9aG1*&6iX09 zE8ny_F1RN$eQ5Gq)a8~$Lh|my4A)godBp6HUaJWw!td_n?-}7*Q?&k^ypuI*KmsDGo_rw|l3aRL{6^!+d@2)&3`epWA zBU+&8jM%q(fGO{{gHK2vug161KPIit;k@4JmB*X-^3zNjFQ16OMF;qMk(xZhF3Y`x zfljvHNJ&r3izh&r1=d21B8=V0HF5YQ*nte6>|uasqPM(-_?WL5JcAc3VgN0Xm`t(h zJ7WeQxND-%|4?!ntZn{!`)oG|n~A?iQIg3hjG4e=#E0-1@-2Fz_;>2=McYWsH&TEL zfaR6n)F?dRac<3vo)x$@P;?^r!QYnnPUPuLxAW!&p3md@&qQoU$OB?xQvU&o4KEMV z%m7mV_7wF*8Yo`+*U{WCz zcl1-Od>sTKWFmicr92@lijnWETxSn4p?_mI_{e0iat@alN3&rjr|8iVIlT#2$dp`> z>!~$^gtKz}+6roJeW323o@P$8`DCC$*+}w}c`XMOky)tCcV%JE`+q%m3rn)|s~lH# z%Rg!6a(H(1*|BskUhFOJu&Sd|-50)oe)xu`f?*$Oa9L=(=WtzI9en6v^z9tX>4kI3 zKw2A5V{BrK=gcF0Z zw+uBuvg{g^V7d=j!adUabW zPbYQP!y`TateT`bI{YE_AlF?r(3sn-=!?x(QZ^LdQu4Nmtkv)8U&t`bYh&yZm8UXa z;PrcI`aQZ9mp{vyTRvyXv-7FfgGci{feME-{MowT=)3gvP4?HjOSsNAEO zva;K&G{Q_jrV+4HbMQ+IGoHe}MC{*Z2HbL3E;rlx-v_fnQEQ@D*9qC$CAFpR%18x{ z!8co7+UH_tso_pAT}=63ANWnl+-=FnOT+03N;`YoU(m5g4j zh>Ax`8t^fdpzWL8Jo3_gL82GJELW&d-u~4yD$U?B@LE!Jh=YF%dJdQVd;SwBjaQ`| z*%XPFH|^ejQ22PFLTmhiv&>{1O#GRf+Z~&`?c!HE(fJ71KPcEO>a?vqj*~?8a<6 zoEZoa-E*3wDU#(>)H$1IzSQyp5Mv`^yNfF5##r{a^i}U(o@gw5}9T^@O z&fWVS=a(PG)pYf%Cf0v$dQj=nu>DF2>ZR5F+fA^Bs|0zNq%`sFNviAauI7t2@I~DW zmClZ#n7eBs?ID?ay}0!HL;P5&mwTkZt&`PYh!4Fj@9O7w-}N498GL04?)&^pgOLk4 zoneS8#Zv8NH=AoHdb?XVN*-^#jqg-9>rlT<3@pV&+R9TXyU*eNRK~eMr!BZ$j&_H}FLiGdL-7v-|-CJ{r?f;_?uoYvOY>~W%$LTVNp`$pppiGv7o+Y*uVFMniO zj+RrGZqz)~em-tAkP&B%H*hW=eW0577FnyTuIBMZA6(x%k@*`zl77wsfkj9PvY9yL zy)=6oFZLM}gL}ZfOj9K94;lQ?GCF%X6SoUygM67|XcRQhHtWd(fIbF~q-l6@>%cMt zvQ^n^#2tGXQR~#~9BE2{wTpUxo6ZiIjPeh^Tcw?Cx?sI~ebtVx{J}zk65%d!uL@z( z&jgXDuX}hhn2@uIg)qfAm|L5d97#UJM{)v5H-;2q&Cjt|!5-#+e=mT+h`Mcy9D%{| zZumrKMQn*$oCm9U&hAs)M;|3cgb&DVs1gIB1~1S1;1mg~D%;{FCat5-w8erQ>t+OY z#aFF^+UX9>!_zM^@G6*<+X2P;rX(6G!7q1(v60g75Q1aL=Ms^H`)pE=Ca~! zsPBHif>j)v?MpxcTWXU3)7o_G!=`^E+0Xhcbql9Ujr-p*(zwr_7;AjPC4YVvS#7Z< zFWh8s34E~fp=OtcoUPF`QhMjZ6_kK}(PCa6WrWy&fFU43I<7{mt9%ggnRzmz)|%$o zMNQdv>VPC91QMEbDv|UW`@Io-u*ltxP;a!a)x@m|-iqd|n9f^X8LA{xOx+O*5G-)K z;nBmC&k-%>ZKf&53)`!kCUIKw)#WphQE+Loy;V4^i&P(6y~eS`vRUcDyW?z>Mh)=c zYz!R|adDnZ1&80~`cl5fGfhlrHOiWp^Vc_7Xx45vJw=&qt=~TBrBnWA*0Wskpabs5 z=6cBC=%+q`$K&V#dg@99Rq>s%+XxPEj&>#l+4!f_yA>%O(4~i%Vqavw8`=)%bSGhh zPxuo+Hyn7HS5B~Hi39%>T~-bl=)d~vb>>id)rdV-cUNwckj8QTmMmW>1@Z|D9Uuio z-0pd*Qf&a~hU^<1w6+`$wZY=iQ!gL35^*PC;r_c$uDF$va=5KK7y(idE_$2OFE^If~7h#9`88*5AJBTiU z@905J-%C{9PUuf2hSnp^DNEe+a<$^~p9?^>>L~eYc2+iABktFO0xaKDWz|VYN6;){ zvnqP&Kb@+r9>kqBhwN=myTN8RztFfK+QaT^K6JSgZY{Ffmy$FpQC$w#M-V!_j9nU+ zDN&p~nb8(dNvCg`4Trlg)#8$;y; ze;e(2Q(hiDz!X$VwG_ed%pF%p_w6F)-Q1flQpfRFBc>ni#V2T%ioyijo!oc&`bLtB zR=RL#W71j6)KHUOUPC@ogGxC)hBt_$6(T(sOYf~jm3kO^M9zY!{)MyoYSUi7)L#`A z;JB=0{)%TYwh-L{^zHsy(PKA}FXI3%06V(k3N98pv zp??#!>}q|kW}XL)<*(i)@coT3{jmo_$CsJf#F@Kq29&6h^sS;GF8 zDv`uEH6h5%4M_<-hX`MW`aJwFSRdwB>f zUm7wWu>7w27N}~-cRi0~!L1dZv^=!($qClEmn9t$m+(u5QPw_`5Ou|^gK_52$L0gQ z;UoFV>!)bItM5%i6UJWj`^Xnj0xAG>E~N= zK?P|{EqAPG0SSp9Tli&hU6J;v-!nr$=nB(+!*C zjRRc8a4#aH^wHQfNz$}Wt>K8y1R10nKQ>}uRW0w+~uD?JiIbC;08z0yi=k|vwdOg`QEB0Y7qx@}@$YksUQw6F? zTh`EwLaIH7x+7JIOET7|)-cs%ZJjlcH}3UZ7{i0yl~^Mi29U8tQLHWj9@`Uk%h&Q5 z++`6G%@4L#EF#|V5u_Dze!zE^{pqkEV054Wf9{myHaLG^K~cx{c>JWXepGLW&3hfjWWYYjOYt)v9sYL!mEgW2;L&|_E?Zw*chig9Ee_wY=kR=qV!n^g!q_pQ6Nz##54gdv}`ZOVi zbzS8-&C$>a18uGK*z2?ew!9aw{ptRkzk?eRo}N!}?bo6gzQWnxuLb@wKKv_n?df$E z+YFz~F4kQHeU_sgYrskPO-RI>M`yh%r+-E9JN1VM+IR@=C8_w8Gn#~*L5BMrZv)E( zftxPysg{28YtG*R#Z2U9mesqj$7tv1 z-ye3=vJQ@7VSiHOLydYo%WsHw7s&#`KHyJ{uz1K{dzbkLEp%z&ociB=h6mnr%q~u} z+4uo2NEATk7>K=xe{lL_!=vpxU7K(pL2;9lMcEDb;r3MV1!tl{3^xA2~yEk;=c7fOHH zudw{h@RN$Dz~cG~D9PPr=>b4wFk0Ar9=##(gWdk4Re}KMsW`8VlbF|9cczeWj$fvI zSifgS5w0}qI(-hLULD@E?P`$|?Xguo{A!&_kiS1sSa`pF);^7?^>)d~X|XPE&RFUG z*W&8TCVke_va@WufH2-DsOSe%-Ot{F&7}OfP#Dmws$x_st~b#sMnH3rWOIrC^UBleS2_|I=Wv@Rx#lMAyp5moJ&*&I)|lptCc1+U{- z)$GYTOhLn3WtT9WxJqiEdPT{1-d6C2b18}+cMc4lJ2a1mZ0XW@A*ma;%ga?;<(^)F zKmEs8mMt6&Hw^h$=lsobdbHziZ?^I4?=i>Uasyvm1j%ifc4?T633YhMcCR4Uuaq@kyQ2bHP}=Wn0YfmH=p zTXR(DvEd|Z8qdby+HBT8Y!XO4EG~EqD%g}l@=koj*>u`^?IU^|=N`Fg{{B>I5#LaB zino<%80K`M11a_JrH#B!U5u%Ca=9ieo~7ryp+ljuh&0Z-DbJwCbh6hVbZ&2N&Tg-1`O-1n~OXqrDP{@+7n zsM{wrxABLC&bFt^JHRk9&jB$4u~5aiaOI%>)Rqm!o$c(%<4tkcJwN1H-&R~MDlN<} zb`?|2ybWjzls*71Yh(KxX9U6&6FYYSB+HZmhqk6Z46$zp5ap(j2kwBSb^0Q+ue0Fo zW9^EASUABDDhzn)J{2hYo6H#NWZkb_S^}eEJvc0+ta-s>vl`!o-5RC-idrNI_by9R zpn62R7_Cf-qs`x?@?lk)U59fYmajbCT4`mC4Do<>dz#Mb2h_C~YBlyAk1-hNUXgzL z_{@~SeN^LGij$@t3Mj=k3y!Qjz8WlK5T83ucyjOdu`>VK#jk@pfIji{KSbsE>_1KAXWwwwrXVKwvPz`;d-8Sjz*o!G-8~nB_UTfiV&zE`MwlZ)3E`wQ1GO z#l2)1L%Pz-=K@CbGLZ|Es(j;Mu$uS~R!m*`Sgf@9DEBT&Fpz&B$s8rxr4dv}NA)6fxYYy%f$28^?f>Il<+Ag5njy3)n!$Ee zndW@xV8Z{7K}fQ<$Mr4|dBDNHExRiz)Dc{bsqWlg@8$W9n3*qlPUO#nYWi4=h&t`g zC7+7tf?2GhDtKz^V=aI_-jd<+2zZcFJ2fP5mAG$8uyi=G}@nv6WF@Xeb&^g@c1kW?cX^i zP2S|WUoQpXTF{4$*aq8)IfkjsM2|D;T45!k_6la7%u2F_EUL_yA^-e27vqx=DcF?r zyRoJljg$>HopmDjtu~m=r;O=^pTai@cKX#N&lk@@gYn?Lqk;4vkgX!)iJC3L$S1E6 zyUofIbB6~F=$acK4^Ef0ZkHZtxd*-6w8E+CFMK6fY z63@)Nx4mh>BWkY zH zqCCSI8uUj~5zhuBy*-Xnm)n0%vO&n;gx{e^)VHNgy+fD*O?e^TrwX?7hWY|oQEev# zWa?w6X!uSK#gDS{|H%T)Oix9H~)8aw)w z!lnORcfu0RKN|-fS5K%p?h~!jp4c5&VmxK^7G@-?8u#&+Xj*uxZ;1v4j?p!;SN>tR zgnJA@lIO9_>p*#NbRVUU;YqXr1g93$@%+btTEPy8I2O{5^TEC$1jb=65d2dxp(b5S ztjMu!N!AMqe@T|DSg}P}RFi?1c4axTx60+HqRL}As>)=c?Ug&GF|*Tdc!T1YymBw^ zLuyK{a&Df_#3W$rG9+}`{LN!bw^Nq83bF+o>aGb&`*;vtWhsN7O1unvs{3Jt^!?S1 z=fTGLA{AeS0+IQzU-EXsU{^A(n3vLO=KTJ(Ix}Ts>(&txNKJQc4sk3|X=9u-C4YJp z1xm{c`z!%Gh(7TPIk)N7+e{lsli;B9>VC6*1U#F&tN8d42W2PbJb!FqoMjU0{;z&7 z3uztAO=8Wqdylm^4NP82M@+a-^`R`RV~bn}kElCZ@4GJQNQ81c9KCH{yOAubiMuU0 z#k*i;pd*2s#%o6W6Xd;uyFA}Kg9#5BSmdwX%xMDM0Pr-3r9jTr_2feWL%9#GB6*Cz zi+aakTcbaZU0){yko(o#=H{cT2-}-Uo6>s;#MSxa$f6NU5OKV-ID*2t@bl>e-CICz zn(vqq<`cjcg&VlqbT4BQPOW$C?q#W0-l3XkD|v)F)a9BuuldVW;8ZGAJHeC4CX8(I z?EJYx!-29uilL!`9E${JV@}nEK_f4hQPndp174o~zrw8j&n^FczdilPM0;@rcEht8 zOB$6zRo0`Fy=0tg;qf({;KXq7Ykx^=7eN^#TB9t6xzh#F$;7jtlO|)p`t-bP46GBw zOve89DJUx`>76~eg$_kqrWN4?N}2>-=8z0|X`b4dE5@!oMq8%QL?m zim^EoOPor3orE>#NlD&cyR;o4VYvJx^W7$_`C-)1mDLzeJ1svbuAD0yskFR}ILp6$ zq~{)?8vc+J@z`Y}4(zjiBxH__EF|_MZDoy?iQ+YKKGXBP`)rmsy<7M4*Zv&=U_)V; zXy5c20zDJ4;>!F}X|Fqq1*OsKenJpbFAvO@kC=Ua8Ahy$lLeeMd_otyFIZnq%sQO; z?e3omEPCwrcRDm)b`g~}Uv{+$4S3&%2_NvL->cH>+BM$KEZ*N4`(4j2O7iyK0;ekp zbn(vK+rTxJ{}>P_0u>$#vCkyclyKTxY;R_KJ@uH?60jqH5WVP_^xBN$24`bf00OyB zocnwrV>=x}RMMJ>oH9F~Pbo6rOm#aH;R@ECE$*9{lk@eynrVL;g(p0Nf8686DC%^#WP*sHDh@jn!N1ME7XF+!#AMKw>>M+(M_8ef1G)LByWQ1Z zs{JV2S)zHYR*<45xGhep9?E7)&J*EJzZd}+zO@-msD?N?M^UajS3Jx;%YsW1ckzS4 zIngIaGliwul*S&CsXmxQoCHG&{nRTb>puNj+eB)?FI$QZ{!IPKpL}sVdanTOs&!i@ zzm7eIG}t_3(Ch44nNxzL_lO~~wTxiH$aA=5I=N-S70!{k8q| z#`kf=l|#FT!^!v^pTi?ono_^>d9DS$dp7~dB}S-zb`!Daz1#mL7ambrz7!_B(58O7 z4+2q4D8761X=;(;p4sx!Z?VgPV9U?yJ4vITW^XS~ZNq~tCb$ar4NwfEWC!tvlz5NN zGX0CmW^IXB6OEKrHDS;_HI?(t(W-T<`0G59zr9APs=`X^ZaP7*X(OM*M7UR|drG8$ zOAmTK-DHGr(s zFbSuTj#qATI+a6(*UcPv2U=HU%Yw7BL?tgpXFEqknAeVI)o_= zygz`utStxSaHonTGAHvjS~Ttzk5DArrBT~91?o1~(LQzhjB=$%b;ZQ6fV7X3iVpaD zesf-9ZEcst)gE%0ZunH9F}Vwk`Vox!hFRTuwPuXm;cdeO7B;xfWPgN;XJ>Bdk|_F& zzw~b0ZC{v0s1~Y9wR4@g)|R|KpNIN+-IQCeJatuL>a*M>tKSVG9D+>>8s`6ZTN2Xi z9Q>!|M7S?{BBk%_AQxw63$HltT=@?dy|V!F!^32ZGlG>*o zAUf5S^R*iN{rv5O2y%^U>%AxmTj&aU*$i1jN%QPB0V?+B)0a+blX2O#&nXbj=VHC+ zOb)4u85H0qB2Je@8LhhulAadq?g6Jai=(;zWWL1yO#xYG9b#wC$>z5#50->9ySpoP zhjTptcvRsX{ZQB2c;>+tJ?%z%RNAJld;J@uXq8)jPaIRaHSr4uS1FB+`XVS_pW^f7 zsWs>LSwk5>SeJ<$aNuKCX`xTP^Dv#OJCfBm#wk5AU6N$Y2B#jW`rSmIE{LIX7w@iw zx9iNpp}#418^Qt@ot*hwV^49^UdkEes%iGs_o0kxx_)alzV_$H?_We~NW83;TfaS+ zhb6TQbGhz}F}rS=On4v%n(XAIpkkN_oCPlZn&WV9f-l~1xi#Uvrr85}CDRiA_#SiC z(7@V9Xv$qVLha*`s{0C8ulZSr=6P~TF#yg99J_kteS3(1fb%e#o^a8>)@Xq&F9?xl zJ8MX=Ml9UwRHb{uIx8~S^+70&zs#?*i}lH&&8|Ns_`iNhCZ)oaN7xtp+Idd0{+Q#e zW>HP93B&*{r~?|Q@@qrR=Bx=LY2ZM;Q!mhiF>lNE8jR0y{4_kR;ZZLMc`8>uk0smk zF>!6B2if+ZuYBOa#vX1$VX@6MW}nXE$iUG@&H7?+YT*-w5SrbWyNn_oYHJ-xZMYwK zlV{*i!ut-})hI#`q`mGBO4Wn?GTG=$N-aTLC7l9sKT7B#zuD=fEf&0l_M|RQce!_Y zheZHns$8&SWfJQoAzdq2goOw`_6W#V9)$gk51`{^5OFN~E>`?e%>5v#-er4Z7PBvw zTVpp%IcwRkm;IBFhHA+D6xJ;$duevSJE$g_SUAVplHyHHW;`Ju8b9mhJv*_{Q=>Ke z(L&>YmB9|ZAid*i;SD#--q)uJKwxh&)==9I1>sq%9|)=bno5FG?J0L+fEe3+dk(QX zm@REQ?!CsrFvR9VA6zMo{pPz?GGPFERHrpmSaU|5kNF74cZAM=mlLyTD*qJ6f8$|Y z%z7FI>v~2B-5cjKydmTcmG|`)?1+Sk#UZ}_J0heI^N)yn)BO#KeAJ2tU+LUir!!7A zh+}&h#}ps)b~mJ}SVz8A$#Jika;4oFm9#jHdF3|th3A$@jPB#pwufu4KuZoycRx=m zjeWN*(n^P_6r;yXe*d%&Ty5$yrC>7Xdl`{qRnZ4*fb=37?<2J(FyLPkVh5*2h^tT7 zuDaW{(|P)}^!YZE2llA~pc4u%y&S-JpQyPYezrG_I-yE{^bu|lWIW$Ue!2vLZdA3T z9LBZGO-ymrY`E39PL-9w^#0otpI@{Q7kQArSZJ1vTj;#QIIgv40CRS<1D0#a{^*>X z7^(QYt=QO)0r6S-uchd+BN-Yg!It}+FiP9Tz(9t4DBv#`4r53>eOIR8wyw=M$zI#Q zHM$W8+!#U~_`r4$5Q;agVAdBf<#|4dI`VM{1=o(uyaD(B1aJ%u3q48URGUwJnNcMs z>?!w{f!RpwJ2OcgSC`RQ;uh z8yRgBk!SyP$F^Wfy^uE1+HrgiH*o;})qHH4dp~IcvcwZ4ngN>YH*9b@y10~t?Wa0b zG+(er#7dnfFM8>lkgV5Cd7o72-hN0G`B`@*y)x#huVJwLqFL6NBJ3#-&!j(KK+xYB zR+>bUU7zmlPq<^BY`NifP>CboI*b>5upUZRp8?=*^r{R(w(pW8U?ualbRUAn(j7~o zw>=IfX?*Bzx?nD~6OYTh8VX-io6UFn9Q(Set`=W`yHb9B)$isrg~#V*WD*QwQ+NC| ze6&r@80MFYXf6!6_Qg4np9U^Vgpg6y^O-rnz?xb?pj?by(gbua#Wy7%qtf_~QHoxC zOwE0f3=NCy|Ctc9dny1WRRBV7o}{vLY?L0gu&oZQH>kma6~Tb{+x!8@WMQ@W@ZIB( zC2Vh?s^ZY(G6@d{ZTb;Nn>FjOrJnDC|mbX1uId~M+0-QnMGNbmufgv$;DOPkgX$f#nJCN41;(F(RYR)sfBP%cC&`Ob|SI1 z19ZM5r5Zu(MVGitt2sTtRAMb#nNV}H)vgJ#MjWo+>9D-(2d)1qy?SK)bwDS0vuf_5 z1GIFzi9qNBqxY>BHIlonP3k>320ylm7%6PhSwY zA~@We+hc*4Ax6p=?7I^ou`V%m-RNbzs`&D|2pb;bnz>*%kkc>cE6nz8xdU27xULu9 zuKORWO|qy*OG*)}2-*huL811^kpr4)d^=mXO(}Ih=z{96&4yrR7xP_$qcwadTBTDg zIS#jFHhZ_eHcw~B;6i#z@*nN1iKRcQQcV?e=f2o$7{MA(b4O1|NQI{fwutJjm5YBk zIzEQV?Ip1Qr~)H84fJYo(1&E9lYLMF3!9LDWna~9{flC~YQ!RL3_mc1pqmU(i)cxK z%T6ii?!8v;Q?)Z9R)4=GimCno(;n+H2OX4H*#Ga}B1;TS!+%q8BHRN%PM-4RvU4fF z{W+^+eVuAuiWY9Y_yN8>x4r3Wxsw$+2BtLiCl>QaA`hVdHBcr{zskzf5aq4rpG|JtJ;0>#uy!0wfUsa9O^j%q}Dtb7;K# z>2eY#oMTf`KeH%ywx%%>8N?7tB)Zg6wJ4b@vZq`83a?I$Kut%)xP*8zYPb80F@E{2 z7;(jPa3m>%L*shf0(n@1sMhN}hek`2{1fUSoPQ1H-5{F7gOQxy-$lP~?v1ufA~}W{ zw9z4b4t!elJ!UVNkw=D{H$*P$yL037+qUK10+TQ)T&FuqhpwuxRAF2h#8I?>J630o znB*lG8Y9*y{=+Ll7|XdtolOx&8W?N;Cno#>ybUzO?~D5=sc6Dgr7}6ePI8 z0EQS^C?OCCNdOziMU04uNg`$uIX`zN1c!O`>o_%}HJ7?z1 zAM?B4otbmX_uc#be&c+U>U%?ONDh!%}_eUAQK4|B7N}#_i?b zP=d_FmIx7RMBvr#9(l2B>^`Q2KF3elw=9(V@kDVSxk(+n{&DSYTGnh*FI2!b^>80&pfyfG z{}5^(gUSN@>8GFL*SfuZ=RC;F1ELY~F<)UwP(v6IP;4NHmAkcr3$`K3mNE6beeKlq&?eCZZL zv-qIPXZkelQgq*39!QyN^D;>AkCitW?zWc>5io3HKkp~>!#S7>llZ7qy*y4afY zub0h?;VX3`lFO+BmjIsbl?wyp8%4OsRbLwM4f(V`C=D($t!gh(?pR^IOLWLJz0y^8m?QxBe|#)_$(4%EUb>idpu)S8`wR*6z?{gt zGp+5|S`<5K9gyoMLxono{r)@oL)PUUcXDu9fBAIFf+$V2XNZu>L8M01Jt|%-ZrM8& z{CqQ?X;x zZglvW+Pts*a)M9CR=K5PmL?p#(2-BKnFc?oI*hQBe%4AEiqZ%AVKZ!fPm|fXJHr;q z#=M=02cKo;oYX=Gh+K@WPS@xjCd2@HZR$r(yP?Z9_?yO+KdH^BWHkvt8Y2j=OE`M9 zLf{u57b;NplAq&0@V(4uKtsWuxCTJN@kADhhw&ZX%r>OY^-xlTp_2Fh7B55YXl&%B zOqjJ*OHJe!Q>I*!18Lj3++yg&*ULaWcZE(AP-G*|3UxQ4%{-#I%g9>6k!s)FqAbhC zf0J9*$~%pAV_223*rxZT>r^r~DdnpMbc%=pyGoh#vGW9gMzBSHQ&b-vV3WA4^e%ao z&-bn;Bo`OONN+1hx}E%#<9eqA*WWngs418h48iML(MxStyt&5T?CcT`ota8_^EOKl zTY{f3>b^N}c=ysIt%kj!67Mc~2&Y>=0(iKQyYGYV1imFinOFO7$f{?(cwjKM*p@j`AAWMJ0chb1$x?=`JE zkF#Ms?NIIEE<#917hlew6?X@jF!j?5IdF- zqeDx>*+Bs;JrR>D=div#VMNP9#CTHPN#mq&{u_D%%W2h?6{9k=qp%AL zvQ)J}+TxGrU?;!8$EC$Fp?z^v#*t?2C9!ICeg3;wn8f88i~drD8E6N^ows9F^U#m% zuMEp*Ahy5NnQS{DKVKlXPvA`wB+Hm1YPS{GAFTr1D)=df`=&R&l%nAh4*Dvq{w4Rt zpipID%Ow5o;>n=_4pz)r+V^3}pVAj<7{zQ?ilze0*x5N%TBAoFeXf_AxbD=}TAqc5 zIydHA4g7mnKi|ea?nX&=p;V;<`8CbmuR3T`|DAUJD&l_sO)F^GlvN7mcDD^F z6>uGaVPGxc9Eev4gULKGuzt()$osrppM!o~#r;n3kn(z<*Q*~&Zu7P;REE_iR36lG z&&$pryqdd>91V(cc$~P*{ZbhVp}NM43$$-&?2I=0q_Elm4`w;5-nq6uJ!G%rHFlUA z8k7o))9|IV=Uywb*}rwzy5|LWm7?Guw%Q=}cisokFg{vKjLpIuBUcyOt7xk1rAVc; z=`@LSet;=8z%aUVOdVPi9PEsK60CeBGz^)rGb(ZxdVR~fem8#vv9~6w9Hm`&2F=6HgCFMqa?K*ms znIqM+cfW{Zt<1L2h72x9Z=KFdl0O;Id+IRjfjx43p3H}HpREbf?2@f!naI>Af>6J& zTXiq_ayERBBx1wSrg(4ABR+DCY0|JIvmBq!u)b3fo z-6!z^<+7J5F10`jH@V`K|-Mk~Xug-ONpn z+_PXL!9=TadkUN@sJ&B25D0XTY~@P!@+9L?I1(PXfOKKHFl`uITUXy1u7}b$KIfY} z9X)M*bzK-7t_>V8r21JnLfxB84njep6bePhXAKRU09q%IL{N&PIsq0{*DN}FhY~NL zP$vR$p+tND8HI8Lpdldm^VPS|e_(#ri9&hfeF)xUke-2&;Q%ZUz^MGq&Yu{xj~Ce+ zgwQi6^gf*lK=%9%V&_A|lOuxgpr20#4GJKb7rNO0!;YOZfC9k{42_ToBO_hp&3WNY z0HXXiJ5D}SJP3CD_`RoQqrk1(ROi3m=KQ%+AW(SM-g@4a8uhK~zCdf@Qum~fANhLS zwh!a)V*tP2S|@I2n-Ib)|4^{d*>vt<2sVgTYc$J#w)Cy(V3SWnRihxj-g-x;{e?qk z`Bu-LX6LG%0Ba|l6KkHtL=+!#g<)b-1CJh9e=IUgeZTekO6#|#lLYgg#@_aZ@C!*k b%d(jABa=^LR + + + + +
+ + + + + + + + + +"; +$output = shell_exec('systemctl is-active tnc'); +#$output = str_replace("failed", "inactive", $output); +$output = chop($output); + if ($output == "active") + { + echo '"; +echo '"; + +#-- tnc300b ---------------------------------------- + +echo ""; +$output = shell_exec('systemctl is-active tnc300b'); +#$output = str_replace("failed", "inactive", $output); +$output = chop($output); + if ($output == "active") + { + echo '"; +echo '"; + +#-- digipeater ------------------------------------- + +echo ""; +$output = shell_exec('systemctl is-active digipeater'); +#$output = str_replace("failed", "inactive", $output); +$output = chop($output); + if ($output == "active") + { + echo '"; +echo '"; + + +#-- Linux NODE AX.25 ------------------------------------ + +echo ""; +$output = shell_exec('systemctl is-active node'); +#$output = str_replace("failed", "inactive", $output); +$output = chop($output); + if ($output == "active") + { + echo '"; +echo '"; + + +#-- Winlink Server ------------------------------------- + +echo ""; +$output = shell_exec('systemctl is-active winlinkrms'); +#$output = str_replace("failed", "inactive", $output); +$output = chop($output); + if ($output == "active") + { + echo '"; +echo '"; + + +#-- Pat Email Client ----------------------------------- + +echo ""; +$output = shell_exec('systemctl is-active pat'); +#$output = str_replace("failed", "inactive", $output); +$output = chop($output); + if ($output == "active") + { + echo '"; +echo '"; + + +#-- ARDOP --------------------------------------------- + +echo ""; +$output = shell_exec('systemctl is-active ardop'); +#$output = str_replace("failed", "inactive", $output); +$output = chop($output); + if ($output == "active") + { + echo '"; +echo '"; + + +#-- RIGCTLD --------------------------------------------- + +echo ""; +$output = shell_exec('systemctl is-active rigctld'); +#$output = str_replace("failed", "inactive", $output); +$output = chop($output); + if ($output == "active") + { + echo '"; +echo '"; + + +#-- WSJTX FT8 ------------------------------------------- + +echo ""; +$output = shell_exec('systemctl is-active wsjtx'); +#$output = str_replace("failed", "inactive", $output); +$output = chop($output); + if ($output == "active") + { + echo '"; +echo '"; + + +#-- SSTV -------------------------------------------------- + +echo ""; +$output = shell_exec('systemctl is-active sstv'); +#$output = str_replace("failed", "inactive", $output); +$output = chop($output); + if ($output == "active") + { + echo '"; +echo '"; + + +#-- FLDIGI -------------------------------------------------- + +echo ""; +$output = shell_exec('systemctl is-active fldigi'); +#$output = str_replace("failed", "inactive", $output); +$output = chop($output); + if ($output == "active") + { + echo '"; +echo '"; + + +#-- JS8CALL ------------------------------------------------- + +echo ""; +$output = shell_exec('systemctl is-active js8call'); +#$output = str_replace("failed", "inactive", $output); +$output = chop($output); + if ($output == "active") + { + echo '"; +echo '"; + + +#craiger systemd thinks a sigkill is a failure, so reset failed service status +#This will turn red/failed service into grey/stopped +$output = shell_exec('sudo systemctl reset-failed fldigi 2> /dev/null'); +$output = shell_exec('sudo systemctl reset-failed sstv 2> /dev/null'); +$output = shell_exec('sudo systemctl reset-failed wsjtx 2> /dev/null'); +$output = shell_exec('sudo systemctl reset-failed ardop 2> /dev/null'); +$output = shell_exec('sudo systemctl reset-failed tnc300b 2> /dev/null'); +$output = shell_exec('sudo systemctl reset-failed digipeater 2> /dev/null'); +$output = shell_exec('sudo systemctl reset-failed tnc 2> /dev/null'); +$output = shell_exec('sudo systemctl reset-failed node 2> /dev/null'); +$output = shell_exec('sudo systemctl reset-failed winlinkrms 2> /dev/null'); +$output = shell_exec('sudo systemctl reset-failed pat 2> /dev/null'); +$output = shell_exec('sudo systemctl reset-failed js8call 2> /dev/null'); + +?> + +
'; + } + elseif ($output == "failed") + { + echo ''; + } + else + { + echo ''; + } +echo " "; +echo ""; +echo "TNC & APRS igate'; +echo ' '; +echo ''; +echo ""; +echo "
'; + } + elseif ($output == "failed") + { + echo ''; + } + else + { + echo ''; + } +echo " "; +echo ""; +echo "TNC & APRS igate (HF)'; +echo ' '; +echo ''; +echo ""; +echo "
'; + } + elseif ($output == "failed") + { + echo ''; + } + else + { + echo ''; + } +echo " "; +echo ""; +echo "APRS Digipeater'; +echo ' '; +echo ''; +echo ""; +echo "
'; + } + elseif ($output == "failed") + { + echo ''; + } + else + { + echo ''; + } +echo " "; +echo ""; +echo "Linux Node AX.25'; +echo ' '; +echo ''; +echo ""; +echo "
'; + } + elseif ($output == "failed") + { + echo ''; + } + else + { + echo ''; + } +echo " "; +echo ""; +echo "Winlink Email Server'; +echo ' '; +echo ''; +echo ""; +echo "
'; + } + elseif ($output == "failed") + { + echo ''; + } + else + { + echo ''; + } +echo " "; +echo ""; +echo "Pat Winlink Email Client'; +echo ' '; +echo ''; +echo ""; +echo "
'; + } + elseif ($output == "failed") + { + echo ''; + } + else + { + echo ''; + } +echo " "; +echo ""; +echo "ARDOP Modem'; +echo ' '; +echo ''; +echo ""; +echo "
'; + } + elseif ($output == "failed") + { + echo ''; + } + else + { + echo ''; + } +echo " "; +echo ""; +echo "Rig Control Daemon'; +echo ' '; +echo ''; +echo ""; +echo "
'; + } + elseif ($output == "failed") + { + echo ''; + } + else + { + echo ''; + } +echo " "; +echo ""; +echo "WSJTX FT8'; +echo ' '; +echo ''; +echo ""; +echo "
'; + } + elseif ($output == "failed") + { + echo ''; + } + else + { + echo ''; + } +echo " "; +echo ""; +echo "Slow Scan TV'; +echo ' '; +echo ''; +echo ""; +echo "
'; + } + elseif ($output == "failed") + { + echo ''; + } + else + { + echo ''; + } +echo " "; +echo ""; +echo "FLDigi'; +echo ' '; +echo ''; +echo ""; +echo "
'; + } + elseif ($output == "failed") + { + echo ''; + } + else + { + echo ''; + } +echo " "; +echo ""; +echo "JS8Call'; +echo ' '; +echo ''; +echo ""; +echo "
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + +'; + } +?> + +
+ + + AXCall + + JS8Call +
+ WSJTX FT8 + + SSTV + + FLDigi +
+ Wifi + + Shell + + PktLog +
+ SysLog + + Refresh + + Help +
Initialize
+ + + + +

+ +   + +   + +   +

+ 1.6-2 KM6LYW ©2022 + +

+ + +
Restarting DigiPi...
"; +# if (isset($_SERVER['SERVER_ADDR'])) { +# $IP = $_SERVER['SERVER_ADDR']; +# } +# else { +# $IP = "0.0.0.0"; +# } + $output = shell_exec("sudo killall direwatch.py"); + $output = shell_exec("sudo /home/pi/digibanner.py -b DigiPi -s Rebooting..."); + $output = shell_exec("sudo /sbin/shutdown -r 0"); + echo $output; + } +} + +if (isset($_POST["shutdown"])) { + $submit = $_POST["shutdown"]; + if ( $submit == 'Shutdown' ) { + echo "

Shutting down DigiPi...
"; + $output = shell_exec("sudo killall direwatch.py"); + $output = shell_exec("sudo /home/pi/digibanner.py -b Digipi -s Shutdown..."); + $output = shell_exec("sudo /sbin/shutdown -h 0"); + echo $output; + } +} + +if (isset($_POST["save"])) { + $submit = $_POST["save"]; + if ( $submit == 'Save Configs' ) { + echo "

Saving configuration...
"; + $output = shell_exec("sudo -i -u pi /home/pi/saveconfigs.sh"); + #echo $output; + echo "

Please reboot or shutdown gracefully.
"; + } +} + +?> + +
+
+            
. + +
+ + + + diff --git a/var/www/html/index.service.php b/var/www/html/index.service.php new file mode 100755 index 0000000..0ce75bb --- /dev/null +++ b/var/www/html/index.service.php @@ -0,0 +1,257 @@ + + + +DigiPi + + + + + + + + + + + + +
+ DigiPi             + + + 
+ +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ TNC 1200baud/VHF + + + +
+ APRS Digipeater + + + +
+ TNC 300baud/HF + + + +
+ VHF Winlink Gateway + + + +
+ Pat Winlink Client + + + +
+ ARDOP Modem + + + +
+ Rig Control Daemon   + + + +
+
+ + + + + +

System status:

+ + + +"; + +echo ""; + +echo ""; + +echo ""; + +echo ""; + +echo ""; + +echo ""; + +?> + +
"; +$output = shell_exec('systemctl is-active tnc'); +echo "TNC 1200baud/VHF $output
"; +$output = shell_exec('systemctl is-active digipeater'); +echo "APRS Digipeater $output
"; +$output = shell_exec('systemctl is-active tnc300b'); +echo "TNC 300b/HF $output
"; +$output = shell_exec('systemctl is-active winlinkrms'); +echo "VHF Winlink Gateway $output
"; +$output = shell_exec('systemctl is-active pat'); +echo "Pat client $output
"; +$output = shell_exec('systemctl is-active ardop'); +echo "ARDOP modem $output
"; +$output = shell_exec('systemctl is-active rigctld'); +echo "Rig control daemon    $output
+
+Refresh +   + +   +Help + + +
+ + diff --git a/var/www/html/js8/index.php b/var/www/html/js8/index.php new file mode 100644 index 0000000..df4d557 --- /dev/null +++ b/var/www/html/js8/index.php @@ -0,0 +1,35 @@ + + + + + + DigiPi JS8Call redirect + + +

Please wait, JS8Call starting up... +
+ Click here to redirect now +

+ + + + + diff --git a/var/www/html/km6lyw.png b/var/www/html/km6lyw.png new file mode 100644 index 0000000000000000000000000000000000000000..628694fe07a1eb1a74d56d28b4b17f899de43477 GIT binary patch literal 18908 zcmd43^Yy58e! zldVo{Dh=W@Q*RT5TOA(9lzzoHKf!)axx@c}?KXR8mJqe^wst)Vf2} zgRyld6$>C^x9OohpluPVsQW#%A~#)Fn(D)D`BlcR2QBJXn6|fC=xcuqQ1k!8f4={C zlP|sgUAMiqy>a-Q_abJ8`l3klXYX3##ZKf!Eys%cX?oMY>5`7#&9bBEtn=L?X5JRa zr7Jv_5M-ZyXvLxPr$-8-W9#zk8-|m^WhkSiuaSssuZgGlQPV%VCMm&&YW+})YiTB*tTN<;g-(|erbgQ5 zJ)SqVx2k+bg{RV_)rYEC5?Na4S)=p7w@yA|HR1F~H0<*bQ(zu5>0lo7dF59k;alvN z3l5;3dG&Lr&rB5iRs5HB*fR0GnIj66YJl^vUt>yyuV53qY?Ga+8|xvas}d48nUAzp zWPsS2=Tbi^KleVG?qCj%qq6k~9_XfhyZeaz-pK>v={JXtWTyG{op|$_Wa(6%=N*iS zOQ0L;Z>3s8(mko*=(%yOH|+(jraEjX7+AEyl4c#(B2TTkwAiNB%< ztP2zDmm1+U&q@LWrhCOd*Mk$yP>}eHrt8s8shAXNkMc(*MX3QrosZMv4OhStY5lX( zY~#0|A|U_mS_cx+W@RRvso;L~G`%d8N6N|Iu%G5=t&v#OqCfSfN7i*pY3A2#uxce$ zXe<&C*=H2?B{9O2gq~;oK)QDG=zE@PYI}Sak^Vk!@<(J?UK;m1WZX5-2ZCXhUEaeJ zmxJk_U^9L)#OJa-rH>sQ{#wN@SL^WM8X(2dgJCm9Zi7OVLQQyNq5Gb66ln-MAi(lC z+G^!`u69lVQ*D`BM;{A~af2{ZdzIcl{8_m(KRZzd2X3d+4X9V^+)WwYjB&Tf(H@?- zImxy9O;;LRYyK3aG+XWjD}gVJ+xa(P);wjw@8!dO=-Pz%HE*DthnuudmgM9hJ-I&Xx~G}?%q@8q!slMkKN%k-ov+HK+Qn2bIF+%!Cj&P#+GDm7 zu35p3UdIFn)jr5GUKhl?;0o z*>&N-A`kl$Z;1)+lMc&1x*C>!P|eB1}&K{HX z0{H@+rq*8r!B?oc}%8oRK)O#P#8`yi4lXSn60{&5Fd^ytE zq}l(u7KzCl3J_!yUD%ZtactXd>N*jEau9Wc8TKslv-#2H;ANz9$p|D2JINN!^!C}c z5|gFA-A_vj*AvD4n39O{?Wzi6k+L9%kmtCUIMI1TnqIdN4P=jcgB~L^rz#wseb%Ty zkGj1_ytgDpRBc9S)8#dvCy#uqZVy8r;AW=gjB~q$#rGZ6s3{PyV|A62_11sB7U4LT zX^!qrRQTFFmAjxfZ2)!d4rYj$^ zG<8lzE_y4i+*B6v6a@LzryTr@KIOf{%@pu{2*{?1U|5dnq7+%qkE{MAtEWD%X63S~ zP%AKtRU=vy^oiNTr|Z@Ky&+W1`pKH@EGdrddH#=5v#^}WKUVybj5d;DpnGj)Bd?zJ12S8 zc1=XMy64$v!s_R4XwrePz`hoz03@n6oK%qEI_7l}>meBlFIz0|wo% zHDT+tjta3+HZH5e-v#LL@k_f-!!>Um&Vq^v&sB!#t5_AmtGPzBz^Hr~;+QsYOWdXk zI1Y2ug9gJiR{>_WGPP_FMor%rMPO9Nniyj@XK=!e|6xqv--%FGt^-`iCcg- zABz)ozO|++qr_HckWQC@r)0a=;!`r49xt)n#CJtOhk53m}r5b&I--ex6uOhvss^wzT8-m zAzi7iqtpB( z9}HdF65jk>5Gl=IN{4Qy-!eb%;JGx_Zv@Z4pcprdDd3TxO;mhy9zyXq%CsF}V5gF05J*J#*4M#RMn za0xkjH~Ktv$Xl%|!p;hQhcOFqyLqfIivjNMGRNat7p6lGq3EKD=dJ;Nqpg2M30io> zOQph|W93>JWLaeco4bEmoNt@M`!@Xjc*X@cR1v0}&3ntoe(kiBWW^Yb=73KT4@YY%j1o zahsjigDPGPl7x^+!{_6F*4zL%@(gwtm-`3u0TTCXcv<%vyofDc%$i@59g6t8Y>fT9 z9FQ@;x%Bk79zJmRuLY^eA0Vz1hHK{xjMAqXGEn~pOxlcNMl8L~#y!C)t%ux`6YnBC zeZKRNVbhM592C<|T7w5}r8Z2zuKK6jRM3v!`6I@a5=>5k_{u89!IN8E97Z`ks80na zcun;BP3^KoTT9-tVC~XnUX?#S&&P88C6=i)dR^xw0 zKRY(tU_Q6eR}5Q?`}rEvrk$!^o43%K%y1=hUra_=^T&N{R3olj_iw$2v%eF>Zl9=c zzK^BG0^y0d?CK55b6jox4yUjDBv|Fg`&Z<;d{3nj(*eRfoo)*RPg+ z=q;nw^WJ+Qg&f()@#P0W25ic*yiN2<~vz;)UfkP*Hy~{zutsd){oH#b+(hXEQ zp1m8eT{?sxJR@9plhZs%YYPlqRPxQQCsoE+{+{&SulvqWZ6X(N{&WwGNF08tw!e5v zN{YYhwPIuJqg}MU^C=AN!)Sgtr`$ClV$U~imknIdr>I!n?APtvqxJc+shESfBb=q` z%CnnBm(hv3x&;gL?D~BZ2D;!6-A_)o|Kntp;XB7^!L4b3inb zk$>jF5T=nnO|yk1K2_kt@k)VFK{MOw0!MG!wGqbL0u9t!P9KAG2*eV3TRV8&L;TRY z<7Pb?JDDFx>iJ+sgiFY^t zm$#f*#*EQ{$=2g%LH@&nq831byRX4{y51OAEK7T?ph6m(1u5bE-)eIALV7okj?J5D zc%K_e1axf5`Qa+1dgbJPU7R^AyG7|AH|t1lV?*2U{(J@%rM|DiNXYDm=PEZMTDgJM zNxO~7mYO{H8QpHR&|~_(P`^7Qr$;%ihqN#9)J^8<>x8Zm`#??+v)?0*d2j7&Sy))i z_?voBd)=uWHs;4>OmVGmwRwodQNsaO3Z5HyJpL&yvz)9>_}+{g;DFd-OWeri$gp}y zolf(cVqR`8FgjGp)$X_YVnu?tlJJ{89!j6_kQN)vd{J%i=|P2WtFH zLIv+*F-6%jw?XXZmHM`SAn9$tpXv0V=f11UxcwHxz**HrDIq*@qZVb=eC&%;zXc}; z5^So%>2W=XT6&&=j15tO1YWNbIgR_@B}wk;M`mjxUVpNpAqR8njl8aW>LaJtP6j2W z2Mh|%LzxYSp?*Tg3tcY`OkJ$Vv50aW40+i4b#vM#*D%L2EmdPN`gqzfSH=$6!B-pk z7p>cst?xOZaI6@?3)%X7WtY~*Z?kHvF}LSbSP*lg_XjiLN{!UbwK4`H)w`2Kd(=rv(d?{x!Ge}blwyXZhr2;n)*MMLJ2Bdxu7I)9pYQzQ1MEh@4tI zNu{&lQj2`A!5N^LP-@aOV3EZj;qGgtE%@OobG0NSE9{~dLX!47oZg|PQ#_LpwLtCC6MlO|Ma_8GR^-m_4L8? zYPHfit<>`^<2prPgvnVGFFi6$@yTMElfu{8Q?DVJBczlXEmplcd%uvs z32Rz+0?x>?QeeAeXR4w{a-N~F#tXD z|H?_dG&H8!UQP6L+fFi$CTU;aIQ%c8@h0(5*N;LgjU~4#uo$Ky6|bb|JmX|tN$!mK z*Y5fM9dKJg9WB#x-G|bn#a|l2*#3^(pDfWE{gw~Hpl?iF&SKcY=eQoF?zs1NbvA15 zaN|(Z2I`gecOPVEb`_NU;ek?~_Nz%(I$|KW@)nST6?Ek)ID0S9=h!WE-#vP&sI zN1wL5DKTFV@>0#Z64q>h+f%K@oK1z7yQdcY6%-c~V5~bROr)j@Tns3|ftezI0Tl(0 zE12Eu^a9(B+b3sUzj6-d_v#Hhq;b8#?x1Dx%2#t4_z|U8B$h=K>6rX6I60H1S~(FP zz7AV#qI zlSW(k^xD$&t|6f_DpN6f%8CCxDYS)o_y1vRfso%DG=pz(dWt|joC?=dFwC8KP%j>s zyaIkd6qk&frVhdFh?(e=VG(gpAxy?%z@SuRM@X=Z@KI(?U)v-Ds9~;Tj%0!JR|vsX z>5~wlIG^r4#GFh@U@{QQBHj4kAr2b8FdMLy`5a*K4rl4#aV#PaQtymf(bB&^^T1O? z=c@??oPidJ8y3(rD--!1y_*}5$duS4wPN&fUpEy!1ThC$GM3scQ2kO3B zMQn#5fK&>&=`~~rSv$Vn_~iaV+*Bv?ZLj+QWWT>YUq|=c4~Q*07H^BBdJQfa$>f*b&fXf?>8=Hk8t06se$Cc)t!j4iQ!UIDM5S1 zL8?;8*qG*GeBLN>jPkzsdWWN5pVKITdi#7A|MH}59g%#2?t7)yN(#c+uhpOCig!pB zvt>{AI|d&{t~|);&*8t!rK!WOP314?`7TD}`P7Xhd_L+ux?(7IhQ?-KGg~=v(Z}yi z_CMYEd^fQ(S|Ceb^5t6S_%od6(`Nh_%iP|hW_asKuWfQwCoU)7hC1j%>&+~63x)^3 z<-fK&h5#KF^hp>qdYp~D3W%u4W+TDe`5C4aU<=qQo6uF&bSSLa8W zW>+CXzQQ#lT{`jD%ow+lTgN-CLYLhM)_t$+7++D`e=e&#E)I3>k))Pg`&Of0cd~K9 zu~`4sPd0e_v;CTRdf3Sz?fH3aYiZ2`0Z7dHqw0%Js2iGVyxBCqsY>Lf=MDGb3B?S% zj1KvclSQqv2EOa_nnIU%c{FDa1(#h65HWlUt}U9uw6&*4X~L0Z>%SuZ1hGCFxd(~K z=r=K8h`=umuzj!&IWO>_)qpCM{M@QyCX7T(>!8h9^sn(Iu2F4ca zqsru7F0-W}7yWTbvb?=e^M5AwJ1sZ8Ap`lptU}y^u8%5%&`$nWg8IPb&vJK`S0w{U zw4!4BSN|GfubjQ7V%J1w*_yjFQ^5YbBGh*L;;=BwqN%ViH1~86cQiv$smv|)9Ks>{ z)2?;t4cGo1jVD&stkt?Z&DlH*^b{kE$2g+RW^muuSr*zl{3OW6@=xmY{UWKvN3Mb^ zj7SyV?`YLm$?Z^om4K~y-Z=j0b(MHvrYVI=BTd$Z6RrA%c;-&KG>?l;8_KwdVXPFT z+Mq+&#VSk*Xs!ziuHf)LtK$pf;8 zDezf7*C@C;!7m_CwyTOW=G}7qtk;f=mhrrGsW>vrVa8qZWt+Sf301_(r&2_g?bZ|= zfD>d_c40%|34-f!THh}}$u3M_-V~|MXQ=lNakp+<8@5fwd0=z#`~$FLm>Z%UXXp^O zsI{*GV7)i}Idce}WU`!?$BoxNHmo--LcQa#?U5|@iJ^7fre6H&t$zKZkBMQqfs)6v zK4Xul9YQVfF0JBP+V1Yp(@z~&#X>_Tw|D&ClHylMfCq6PZLqnj?QU|vMIYUi$0hF8 zY&6Tls!alLcnD~Hy8@nw#O^S|Qcq@&mLDpuR3GlibPi-+DeJfvb2jl#z43lP z_EERb3*J?8O)|EB6o^ziakt1bBq&1hn~Q&YSX&SLpVNk2$t7sDb}yF2J}BG#QNRil z0Hkoy7>Q2eak%)NlEtt* zq&jsQ=~^!`y}md>U3JmszNF(#d3gR6|NExXv2HX1J){(f@;zVUEQX=W`488H{zduh zVBwP7xG&REP6c#HrDMV+&L|U0U4m!GKy<^1pK%^HACRCcls*Y&z5#ff>2nFzzsju< znf5||uMZI%14Rf5s()SCYuJ-QN40S*t@eY+Sum|=nTr{=M^6h# zcwJ7ccn&d%K@de~Loj+F_AGkq8xpPc&Vt-H+K+KLzNrEb*_v*5;iuKTECZVg%JIlD z9hSf5Fz7O<-nhVTK+0KWv5|Z5Zvz{dHN%EKY5iEnkSE+m7h0MP!*n~KsyP_G)YMk5 zJb5B2mKpWYNy=>%czXUhO{3!3JJlQ9eM1x;+K`q3Wn?fld_Z-*^+!Rpwqup|UgKB4 z)}Jt3xHhhS;wnfi9B=g_Im1|16S8x!iy9C%8aIRLwNY6pvOMy zAaD>ad(kG=t_Q59Weh|5 zO8_VS-hS}=$4i8<`2GhF>0ZYeUqdMJa#;ZBmnp<1=T3?~&c=-V%y$`y9&U-uuYvux zKSiuO%RpIbJtDalOurR(xA1Pp;*SoQD-C+HJ0GYJCF+fhQr?dz<0l_BvDdgh`ZlnM zDAQSac075g7UipNq)7o%`;$IlpgA04QqWNGIjEXz348pzisaZV=oF z{*91bcUxiHrfAeXf@QU{Sm^juuBCt36@CQu;V79jgdFt;Vo>7$$%prHPVy&){@d`E z-!yZbZuy81YD{uiOFo?L;VUe>Tr+`a>=rAaw_@oR<$`uzR_vV46|G9izi}Gs9I+EA z5LW-dzwl_byX@k27Mb>eQNJH|xzgbuLBJd>xz~fY&XzyF^ATRnpGxk}Ij?$D$lzLb zwmr1RuAGRO0x~qJb>s4URhy5p`^RBO`tvWT4chZ@lvwy#%7RQ;E>pDzdRSg-g~vPa z?><0){4!;&h~=sa^FuZ)>@F{jf1Yx}@lcx=^H8XllteGfx2j~BEHF+C@txx+TjvMS z$II`*H*8g3yS>+CFMV%k@<48lmMQWfb@p$Z=i$PN`XW2+MuPpM@;Mcu# z^E-V6v)c9rCYA8dZS3fQ}Pjdz+Fn%0lu72*fAOP20y`fli^DW!aQw$ z6r90CoH=O#O*3NZXyn4{$dWV4;+~zg|2QmYCahsIZ{s z2+FNgd7Dey%G*K$_{7)2@C~*o^zCN~>{R)~JPY9pAOR`Lq~QEpwc|xv_u^T?sB|UG zA;eQ`uO;p4A3iI;6ay|@;=Bd^e&^?ZJeR=6#Q&gJ+cqq8`7~M6lt{~U%%`V2lo#tMzv)0! zU-LyvI(p^BC1BcFpXIf+zPjt)HVhN=Keab;7t|Et1uH^$EPZbXqXIo{%OHUZ#J4oi z)?v~3eaqrQ{8eJJQ*;r)P^}R$^>)6={zI9WTW;#~(nvB5aPx$e(8~TePqfL5V z9TIkg^;3C3L@?PS^9sVlg`hw%6BE9X>dhx>6C8di>=GmC;Mn}fU}SbMzIdyklx3A6 zaqYi=?3Z6S@A9jsdV(6klM(BTbQ`)=%m=>N`YmT(pge=k7URYVS3uHMTH84hNe+{z zd^X`q=n3e2JiFxFA`p-{LIly*$NHB$_!?4HM=9U?7Ma5Mo~AGL0AE)e5?&hR=*{k) zKTVxgSWpV?fgXAiYDgRzeOfiE_iKkOi)`nUVxo{0 z`<}ERI)fNMo^A_F))RqBn+)=f$5+&O+1xkHh<6FCMD&W>WY)BGx%kdl+|%ptJ z^%PE|MXa_hjUlbBJ<$s8{s&T%#W2b{+ClSjg8rG>BRjdn@VtGN#^i{r4OD)7XOhcC zL4|0LL{Y=q64omo$DenqoPN$qlwYqX8;p}m{s6Dtgmj&V@DG{Xx$Z=V%M~_xw&YIdp z&B!1fyHdW}9(}K(@2A^;e{7i%`QPq!%y23%SWJaN;Kn&RWFSgn#K`U9#oRMs5TH4Jkd&(@aNVZ*ep$y{?+vJ?uu#aur&J5`lq?UkHEj*WTv@O zFQFmK^B>SRG2>3v?!%5|clogV9(a`20pE)0&fq=Uo>8%ZW`-eIdrSpw{Q>ESS_@qB z|0aw#RLE^OucW76&9IO1K*TwBINN87@E1B}(uSyDU?y1%9;N(Kz!Zx7>)160LCs1I zgW1VV=`*L#`J^SkxH7a4`nSD%F{O8z^`3tD*=g|q2$=vr`;EO0_u4jsvIolUT?4s% z2b>fA8HfMa8P}7?*i)NK^9q}ZTiqUWsx$&xr+j|6>FJs&ETx_maPpj>4ScszRiAf{ z`J2j+293XU9BpoN91}z5t2j_S$=mthEp}TSID{1R|5Q9qx#Wa>;-B-rgp6M1^DXt^ z?|-!HQd!~F(5Hs}p7E*2f0sH$d~9{u6q|{pk_4J7vajM{K5J?q-%)QgYsx{!zFKPC zHTcgKHBYhhmMRaTXWg2&2fts!2y~=Gu26LNT**T}!g=vHIY?S_!~^0kfkjl^aTP%) zQfDzF=JZu9o8!qxe4{*QT|#tjml8qrY?FzG-&f~{XbHkCnoy%e0_e}}!Y zik=(GuWf|7?24TUWmIbO*fnx^7cVE1JJWkOXDvcoaoumDEAgfP@=3^q&NHS#v2Z!O zV-zh(a}8;c+*0H7&G$vemQ!Fm-H#|oN7?T!THdiL#>hxxO+9IDvQkasCDA;<)hpE0 zNMiVUJSnZ&4_!Ck+Ge*a)uoq7b=N(9*Wu^O&LDj^OVs%$qmn`DeSl~avsmT0cb&CO zk$7GZ1)n*+D%t03QacckfTF=KP zrI#gm=N_NxJ_aEsUtXSeYG-X;?R~RpztmeM`CYasCcU5jX6SLVLEVK!>#M-#>5HF0 z7YJUz^LI+L2RUQ-A!uud6n-rH+fz0>_2-c+-GPNE#Mr-@3fAK!T| zs1|2sQrr>iZb+D3vD5jpefzek`j&`GBsq{1bKcEwH>!^uwmsy0?EV9p*vO{qP5GzR zlMK=|Kjfo=xML`K-_m+Lk~JA-)R?G}0(#P;6onrwym!F2W$0y>zI7`pnuHWuWhYv* zXRI^Yz@pxj9h`yo0?bNO@^G+IZ#B8fzUmpJjaz%7^Sph1f$MfW3y{C0dM!1y_P_>)j2zfC z0eFQFws7EU)-tqSniLSGe?oxpE+>4;|#or6p#iL5b^HDW&AA?mch7~9A2VP-y zaVZ|`DMBkCWgDkMrrtLxrx%9}edI!yzdJD1wv!)!dzE-^`DmAT#@*qEqf? zBw~qel2C84`pn{EuuU_4v#R5s{zA}>)7Fvj{S2=cR%y6g;@Hp9XF_)Guw9vm`X=WW zyw8MNzm+m3;rC?wj+d3HJ>b8*g@t}{#2w7NWnukq@h)xTr*_E13np-(G%`#c;pQI$ zS{KPUq%xt)MrBH?w04Oky`0dHsOA0~;{&7l%HIEpl(GP6jNVI490?ZD_?)-Ix_*lR zBzWnQThMtW-lb3zv_z$G_tv_#ub+g!EQ+|Z{8%JNV$8GV4|4mR|^G0^l0qO)b!It(Vs1=H*OrENo z1gq}I(mGNaK}GFL-{ z^JbZSh{(*#kj8ONsHR_rN@%n3$-Ra68SSN(FJ8coKS{eg{bHC+FG6T-t9+lKi7jbg zRQ{f4^S|6Lic=kHPFq&?8mu2olMBK@rsfGY=}m|6ylcH^8;V8Gn4JB~F>yvx8~x4k zGD!TFu%pb#FDzMGi7aMmCq?)x;8OYgk2f8*RhD}IJ(v=&JeVy5nt1=F3l1oL}C1t7Hi78OUFXtD2_ z^(N81!Ti`~->~qve(Zo#uRyZn2i$TdU#5^j#?dhz>Mbd-KJsTdVI4C*%D@Trd-PqS z9?A7r6ciRltb`a}0e&qj&IkWZ#Ucu@H^7v#edVfh!cRZ`M3R^(=XLWE*O{XfrXy3W z??e}z+(&*Ju(nzyF`HV7+iS=AV4&mWK%c3Pe}_{mSW1^^jjO}AopN7!^E)c3I}f)GL@XeQ$|-H&*Bq4qvR6U z5#}MXD^=(Y!=yyJ$(2>SF38w-&7PB~L1#XG@>ID--;nW}YI4$f5KO}SJC3exxtaB2Y zycKtwzp$@pnne10;%x9JYT!;S8?x&~oW5=8bha+ylOPjr<_XFV`D!A=Ay-M#Q36|V z^0!{6saP#ypSQUW5H|z4P{VbRZvfHj(%HJ(Zr;Fz&gmY!?R`-B{PyW-oq=1I8Iz>P z*69)G&VRB=Rjii^46XM=`7lRF-#2(i{Ugso+I!2z@&rE<6y)$#&R_VhedM*?4T@wXI-+gsYCG8p7t~~B+ zH{EAH{}_uQ!LNT+%td&G8G|eOdKLPh5CglTcfj41hGO^seqnD+_X_zW7&-kGwDbfV z)+t;g$eJ3+E{H4${R@c>NB|chru$wSH`xWL-j(uK{k1hHX>z>6xhpHpCR^OurEP9+ zs4fJnWcF4)xd&jup-{Sz;Y@k={7NfgCzeO!Vz2zz{NWX~mFu_byS~G(_0>vLRw^c74zM^) z__*74sExM%?S9Q30!m?wyyVg3sI$CcPrBnivn+T`S`(04BOmy0PjSt?d z94#H)tFBhWJA`MsCW|GTo!9}9tI=eMdY_&xHpI{r`2!+Q7m5}Lt7K-Xy?1=TL0jQ> zZ_E@xwxtOpKeH?tvUfNaQ4N0(u`LL+1 zN2kVK83@He6^LyM9bIog=n|_`#{2OF6??haztqlUo9?v2Ly%u6cLeH)ooVmcaliE% zg;&KpZ9QakxnzE9k$kBWZ;Y#|v1J;2f4d$3iuRe=At-!hw@v=r3_2<5VGxun3|co*Abe0 zW{I173V#y>so*@rJUk~5bvk1HwXIzD8I}1z>Es<;piS!jDF|}MlHTR)X5+q#Oo=Qw z;Us}8vDE@h9qjNUlv`#xe$}g_qDQ0&S-I*aw3f_pmi;u5m>rT;`v&!He4dxL@Rv5P zCk&yleW2U->U?ag+E)Stjm_?Y0MX=NOhT!YekWP_aVv=_>U>M;+>Q7`szL~JO}M{c+&%DsZi04%U#axYFCu6-B{(ze%G) zGXLCek_;g1Nn&{wTi03{<`3F32G?1;s+}>GXFT=OyWemE5$Jq}JF#Jtt{6$Q4c3MT zECL7PpyQ=Q#%27>_ds+QPby2ColKvz?3o3!c$+7Xz27#M6Sx(hv`?I2NrmpY@Fi_W zxp5(Uk$ph}tyo#j)81 z`%^aVGR+A7tN<26)4z&<%Opa=S?a?)KnWkbG~_=U_AHFUb!y}C$yPevu6W6qkcV!i zMb+#vDWF^f{KZN{B>{(XL9;Okyt>zkYaXzZvD>qI7c+|rD}aWWEQ=YCB7Abw3M%-~ z+)2wYVoiD@;NXl-dmv{6?KnvV<&VvCRo)P-8vhjQF5FrN-s-&0ZNmr7Y8Z5vGo1Oo zQ)~Tg57##~@k;VhDo?3#|E#Wu)?`#b6}ouk7XUK*3U&W{p07&gw}O(#d(3chjbLu+ zt!U1B?R(5r6sl?eKH*LTt-hz(#H#H$rbx|V(YJO|%=;0NnAsc64gmJqC#MK=k1{p5w?7-2%mZ|FuuuRBR{OTibV45{DJFexW1km<*LV!yfYz{nsxb2^w@Q^zsWK^1d%zc7=vst%BI*NOp zp{Z1X_{5|Qui`Da!28ADo4xw|24<2*y0wpB_HN9%x;s5Ar?bD*G~^$AHWtH1LEMD` z$pLzwj^^r(XSUsXxbOOEOfw@i&>?hCyBF$i|868G{ zr+zGA$BIz zDF;vdGY^^ZF;gYUB|eP(h!SerD&LZGd%5Od)F>iO_$1%aT zw7S%l6KXNH45ShDz8d~J{4TA}^RWztk)6W-ZC6HzGzfdd#VN?_!H0j?qD8r>yUKqv z{;s%V^R0Ahvbg*k{b{&ToA1U7KrTlI%P}wnPi~Z8a9f96xG-bppI?y&*ml&fI2b3? zFf-KX8UNy=?7J3I$qqOCoj}(Z8cUS0=yG>iM~BYB4Sbr#>N?pZ;-8VQLJ(|2071elJlI@oqxWW6cOUZP|0!0sxa3h??;rw_8?ELTEW8QyE@u=D5{o)?T>;1dF5%H3&<4Y97n} zS$EXCULObAE2-ZQT(oX%2Eg_VI_#?fJmbAin`Snzi>8XO3d#LVG#B8z0MAY@k+q># z>Xg+WjW-#@^cCyT4rt7*)vr~_%pl*QpqG5&kA^Gf*y0ghD_L|ehk2T>!D*uIL81=I zx;&p4oX^u=k^yC+S5#6QQf5$(;Zh~{@qde-S{XLh*ppf^eWL!G3~p5sMx~ARa(>wr z)s*+v-a4qGulnX-H`i+RSDLn1g~a!T66G>}bQ>|WCF?nfq9WDCB33z_xOzwGO$zJU z@3(dw?U_2hs)Y5-W-Ei1l?U8Bu-49fW@j@&OuE_P@BsY_PRmq;7!fDSi67uMC{VDNIoq4qn&pZr zDA`D}19gu}1LV2wv+X0=e^{kl!{jrj83qwTT|q|UjcutZk*x!{njI!|Hae`yjAdv- zEaqKF$#Lh&%AJ@)5->OF9nNRtU61S?f7AEAt7hKazjUn#{;7Z0X{gkONKq|G1y4GJ zLoQOvMIC({@nOZS$aPl>J)(zX`&eLozP#$71@il=HZCS3chKFiVe3TD)pC5j%BT2e zFLwMgXkG9%uX9ZQ&^j^n)sLVUe4*WvtX55Y+4z%GXJuwGC?*=cn~$Qh1-+BL2_d*s zsh$oFi=_wS$9y^s`oGy?bDPXH`Av&Dp2K^+(9 z@wtUN<~yP3h}wY7Z0FXj%`X!|?X)VFsK{#Y2Zaaj;FDuntNE z)GnrRcTy%urLi&3k`#chgRfm+;dG6atTvuU@#3OMV@Ug)O%(K%EC^;OHv^pC7SR5!c{jMS6D! zBHymgHM{;F_^eZ@5!8=B+)DS+&Fi0U?sXscrJ*PKsFh_|4ylc>mRbJGXx=iFLhbXD zXRqrSeZE$7=Y8^e)iBdM>)`IOU~;u4vB2w6dk~|a#BHf?1Fjg0`nvXdk@)KAXBBU0 zDB;z4<1~tIzHIppVaeUk2BISf(-eOd>7tz;;c5{i;_nvtov8f0<`7htftx z`|r(vF5y|ZN3!6v0A#gCypn6{tn$Q{`BTHM)SQQP_R6r!)wdJx&zik(hc2F^EtN~9 z&16l-Og+BO>@r%(OAnB^Pr;_qny1MC7rp@h!L7%UtzRAEOVLo&29vWrI(t+a4%#6H*Oc$irt}vgI`{kb3dR|RUoeW#Kejq z{Xm9APGzDd6j~;4EJ7dqjvH8v*FW3vt+18$F<5Q_P3y+acE?DR*@@q94Dnt6Y2!d< zVc{fttqQ!vjmny<*lC%HU6ua*jgKtH2m~n&5U})Dd)RgX=|y?}0O*^J@x7)#=b_G8 z*yAQK?g(B==ys5D5}*FhbY~nlvn6@5$aXVeqC0vcw=3-&)pdlrVuSm;Pu+&Ms?|m| z8oFPDMA4w)ngWc3K5Vkc>UmOHn64I6nnE?|?CC)WHJvOOA+IPk{VoEAgoR-)3?80JoA_-8*x2#*wT)cUJGcsRxS6_u?~r zj<3$1%Y5n5Qcw=fu+~`$Y#?0DZCokwdIGQrVPH|yV_Cxf`1uJda4eqX@QLTYW>CB4 zYeE+|QjWDPJ6d3ND20F7_svrF{VZTrZ#jH+TN*6g^k~`BzspUQzN-Lk4vX3?1G4e9 z_>3~(IOpY0&!X!rgDk4DzHorTrh8##nW9PV=_`AH#nBX}Nso9y%Lex78k{{Ye}4Ou z>wEJiM=->Fwbx^0U;w5C2GEp014s!2$WRJ}Mh&Dq3>7qX0%L{z$oTtvvNywp{h*$5 z`X57i``wS9pa1zh^$l>9g}D0T=Ozy%{>#{}{xbhRu=hSMYbwa1%dLx#{`gtd?d0qK z@b$qTmSVF&3HBB166?p$zZIB$a^CG%oM0t110;H?b;;h3$DJ8hTEG6d*}iS*RBy%$ z>_=r7esOw!KQ8azvPAFfvGbolTHBOpGW-JW4O-AYVT#*Cv2{n>BL4l&ufJO^2{NTc zrA4)2-Ha;s3uPX=^i^_~9Q|?kUZEtztyG|nyAw?0>ut(a&2C-Y|NrfJbvYG6j* zA~`AOU|ajTBga0=f|{i@4JNwT&x~^R+%*)@hZGFoi*KvmkSdP_EpTZlKcUR?&Fhxj z<~?$}ApZ6pFNJdEu7^l&zg^6^?c5zzP+qNI4&JcsnohVC3#hayl}($Tko|TS3n*lo zg!WYf^@3DYeFUxvcL<*LIQ^+n&eE;kgYf7fh7a@p?Pp+S*kERQWV-wM!yqSmy85}S Ib4q9e0NcCZpa1{> literal 0 HcmV?d00001 diff --git a/var/www/html/log.php b/var/www/html/log.php new file mode 100755 index 0000000..b8155d5 --- /dev/null +++ b/var/www/html/log.php @@ -0,0 +1,29 @@ + + + +DigiPi + + + + + + + /dev/null 2> /dev/null &" ); +# exec("/usr/local/bin/ttyd -t font=Arial -t fontSize=20 -o -s SIGTERM tail -f /run/direwolf.log > /dev/null 2> /dev/null &" ); + echo "Opening direwolf log..."; + time.sleep(2); + $IP = $_SERVER['SERVER_ADDR']; + echo ""; + exit(); + +?> + +
+ + +
+ + + diff --git a/var/www/html/radio.jpg b/var/www/html/radio.jpg new file mode 100644 index 0000000000000000000000000000000000000000..112c5915f02324c7d0db889862be00ee1cd89a7a GIT binary patch literal 11216 zcmb`tbx>SS@GrW!`x4xJvEU8~?u*+ZfdtpZf|KCx?h;reSb}Q^7Tn!E3xwdo0&nyE z-FM&n_g3AhI#cJ=^qHPIeWtrVpPuKX=T(3l02%3D``07?Yp5vydNfp26jXFHboBo& z3@l7^3@i+EbW9vfEbM;`afge8jr;H6-z5L@Dl!@h3K}*BI>vt@|3}^PHvkbPARO=m z1&I-WOoW6&g!J4GpaCEu0g#bVkO2R?Az@&mprfK;AtQ!W2?0pRsEC!Kp`oLo;GzH! zk0_{UL<|6QViF)DA3p}>Yb??i+LlZLve0B^79IDG#bko=(sR%%ASoSUvF zA3Y=!lBvZkzg@m%0junSm8=tP= z{WJF+)pd2w_0EGuRQ#1y@87OHWJWE1s`_yt0+cDoEG39bHL^?Ywi4@g&G-OWL|-*f zHY5cW-9}LYob1bZk7Mzh_w*eF*`gtN<0LojI$R3+Gq-WY%fzv5`KEF%*n%k~r|CGU z3ZBiFQPw(an)Y6=2X{bn96+rFFPvNmUYX0IYlZXsv#SGmNjm(xw|V1(&$vz1`Um$8 zE>cqXoI`?~4BqgSsLyapuG|F3QTPU5=0jb^fB~ZIsrRokXUgQw#HwDUJP z*=BV}LPvdALVNU!BEZ^JuT~Pe>Ynd;ekC4$ekj3&THZ>vt2!AWTLpz#ar2%Ol9!=s zqkAsSCOO$)k6q%&Z0pZt}<7l6i;LnO?A11Y352OgU?2;hOzv^KQV4 zAWYbbx9bz-pR41mULs`q(DZ!G-DFavy=5i~_J*#8N?ZO1?LVy+;l?{Mp*9w6CWbxl z_n!fd@C4CBVd?h)tP6!?ooEh1jpfy`rZxQ>4L{#!>qY~w;E5LOmshQH`f)xRG29Lu zw(&jL(}YrAZy;`cyUYue%&u7pZjk+=7YK{Yr;rXPuuC(df1skYSG(Uu@!|MIbjB;z zc3MXyuNNaW5Y|{(K_IudNBcqnd=8MdrC2Z{oWsG))+|{~43BmqSE70!2)drbYQiiV zGBrx!U=;QFzEsoVZ@t4alc06J8Cr2km8eC=NTC-!n`5R0ZH-A9Q2+|%%N{KB*+Y7$ zj;PEYrNr9p%KQS|oLh=$1&pg&-cZ+$qAB=!QI;kxX$583y${~}XwiOuwvy;9IIE<2 zyt9&`&{%m~Dkvyt7g@~BuCF@fMHOp-L1p00$V&S9BfcQv*1XB)EC%6;|93}_!5oU` z#vArhgH-(s9LmH+jHHwE*K75)Gu!9}HGeC8km8*G8&@d} z${43^sE6+TR*Y+WVTj=0GQocaUdRv1Vx(72a{pSn=FV6onak|B|3ajhr_sqj7W`W? zPlLC*z5V`X6@ASC=_@D)<}EeZ<`eIy`Z?h(RPO#w4F5Brmoy?;^DgQTt=mLrqt&Xf zh8XlUd$zxIf0^x<=SM40ZDt>;_SrD3m+e>ZoHHbIw&(bw*D_>@nD@5m8Ndf??@8>) ztQ)K9(iNU+w&STifYlxWuxH}dSp7o5YfvS-(Fe{;CWkN<_!>jEc+ZWdK1&I$eu zD1QcAJ3IqcS3^*cP{GQ7VE2J$^N%#0T|vQ%WX@fQfewFvEn2nA&*X^TY^^#g3EOyh zIge32WT;GO=9VU{%{{d;#-S@HddWCG6}mrmcNJO`;9-O$4#G|#p9 z%GV#8tBIug%An+^1BTGH^uOy8*LD<&k60yrSK+L$ICxl7FaIh)T>YxhYcq0QL$Hob z)1^@6IC9n1q4E#g)ogj;T}RJ@I+gDEB*nXR3HO`hFXx&cUI{9RHB|hC4$$#g8R9>X zkcSB{MA&P}Q0^zKs;HQxnf?UOZ=%V{DXLxtaoiTCb<&L_Q|$N(6D|kFu=I<_wnk!g zXq1_bnuLF#%m2VenU{VSdlNcYV#zOaAgX&*t4|m8y)=rA_^Uw=Q3-~7!qUgp~ z3Um9jH}L`Q8F0L8V+moBGHjP>X9Z*}=wH%;K(mG~q zu%-%OhGvkERWWeSRPL;S*I-ZE^~eg*=tVYnlR3ZXgVc*6jL%B&4YG6bB1($*J!=TU zC_Pw)j*z1mGocQ^V)70PNT0CqrGd&yPiLn4S1F|RjU4X6h+EeB-#~;goL)o^L9>`~cyss@qA-+RwI^le)7F78aVB2N=uH9fA-6 zGRbdu)Z-pv?F<^f+rQ#FK07KI|(ldI6rORY((_)s+yejr<| zy%Bod{PUGMMb67H$#w<;Wh)c4IK>uE(V3JN=@{aKDrEh@bIczenkL?m_xKz8?Mlp~ z8he#t7e6+BV~AXJK%W6&7oR1~(B;AHzxwUVa|{dG+u8$q%JCzkF_;U#zQ!c`w*`R_ue-mB{}v$qCe# z>^1;F)&^LMV}97H=*wcv=e&sME{3cnXkT{hx0Rueh{6U%J61dt%EgouwLR)YW~qIT z_7%T%E%0uGL(xak2K$;}A~#;R&zkc>R?kvb8`>vhb9l4G+or^kS-YAYbTbnKLq9s`8Z0frOy#jY%Tq zoCZziez9o4Z>LvRhvLtGAEbR5~<+^T-TBD)*1c!awX?NLUal`;z4s&obXhlRM)1bfeb;Q*OXUu-r@k1PXb z{zY;s2N%-j4}r3ObduP?wMU7j%4;ro^=^Gt>(`@WD!=z!@=9TRH^!#CeHP=A_F!um zc}!t)Jk8oE1+SDZC4Yd|Z=={DUfNER%j%sI+l=3wy2@Dr> z#)B~`lUXS_A#NT%;bF?DOVR$_vxdcPVOG9SUbu+kXUXR`!9uhFm!6ZN>h75zjl+jc zYcdVyN}OOa@r;SvU7n!F#&+fKk}dgQE>auDcUL^A>EEf_W09`lM}f8a2fY&nl8}N% zb~@g;+#x<>q46j)HdPSR+0)0WE0{KB z6fBVa-X5wtGv|Zc&z`i}9fPAHR9QRh|4I`3<0be0sjF~EW-&<~4EtnI=S#ktv8+)0 z6w|bGnQTE7){xExTXu2h_8nK$nX8Qa?^-bCW5~PXU6Z_Ui2Tb^e-3tf6nJCmB6$EG zv~s)ptT=h#T-3U4k!yKO3fc-kVSA!Cu6YdZEgUL}Q_>lVP^T&)CdxW+-9HeQsFZ&9 zuq4?>e-q;?7?L+!7S}*W0^-wBmaVD~`y@Kkj3tGfuJ;V!j21l)%%%AQ z7M0gQ)Ht)?JB$6r;G^6lC$_@V8^5=h4`c$UPf8N!A|u{bu^fJ{MM%)KN>r)5TJ&)U z(_lx9Eb@Q(HYLf^+qOj-m4tlE@XzFF-#D;fVrdYoPDS-o8kT7OEEj>KGueY|%3Nn; zNCFZFmbL>fY5e28zFdASV=b^CspF8=w3jx*aWVCE7l|;ol!%wt;@CHW8PE7(LohbX z)wLo*z=U*^X=N*EO=60e0m@VE?K9MRuZnz(*erO#<+z>v8kPk}PfU40cl)wRza2C$ zG%I7VmzY^B|)YgzVQZXa7qU}@cf52XD zW>I~|p=$}l@ylRI4TECkzA*5`{hKMTk|5zqyTtnSl88FcH_V*tg zWxiZu?Ez=#Gl` z`_AI_7pJHFCgzahVbU_IyjKdy_U8VyE`_}C5YQ3L7-(Y@dpl`q=0Y{rFS=C_46*7L zjq6qX^4-U}W$Yqx`3U`+zLaa!k(rnN@C!SF72%2kS4~7H9mTkzDH;~`49;4m^bM4F z>fs`y_G*i@0)sT?JY*APG{hU1O}`(&t_MHuVJa_5!Im@7`JMqQw>}^G%4|lrwqrDF zOH*0~SH=2jklIM4j%3Dw*r1+-qy6KHr>r?JQ9+iE*)O)_1I!j|QfYO5Gq)t^=>vVd z@-EIwZi4jlOtm`EqnXBTeyOaY>`gTg6WcfHxQEa7hdIQe4~CMo8Je357;QH%U|2{t zeB&Y_U%o?E0>8YxG!g|96xnKn+-W?>7lk6RD?FR=CTsW>rM~C{^ljJ0bQ9lYMmpQ@ zYtR}+X%#d4@QK)2VvYsK8YKUPrvEJy6EhdPQ_Q&i%IG;*tFtG0VQ`_$vg{Z7lEViz0En z3cc3vS&~2YdJfj7729m~=i}6AdRz>~z=|FltP)DZ+YL16X0|Y|aRwGbN*3KS5}=WT zJF^G)Qh(GHusjAHhhq^NWdskELltHc zmZEaEYw$Nd>C$M~HtHq6Q947IdXedZfwpe(Hy$Z_c-+T4NoU({b+*jaf+I{g+R$aQ zHdA^htt!5&N-U8lpre_edt!m4jLn9YH0qsf)@_s2`%u$qD9LhZRS~yZmi_B8cFuz| z(JK6+(BpXXIw47IHK1SC${#v5z?76K+&lSEVmp1JJdjG8neVIA0slMb1>pChLR4pT z?;wE#EG}i3TJeLv$cBl*D^8-yd1%l@-6VyC)OV%Qm5-V9MH|%SW8xNWqAs=A%oRt+ ztKeDk&81n^F=?jT{GG{cyNEd^TV2!!{1OGV#C|a{!q>ur*s|cOLHViGTUmmMgcBzY-iD%Ju{4 zwE0&HBvbg^rM%0K#2?;SgM0%pUu*GSJ|iea(B}isb54)!bX^bS7mQW#0C~5cuU-7* z1fKz#dZ4N&oCNcpXMmfnWqaHSlIJrZbDc7w(0sCPlCtXf=M2s02+x5Rl-<+bUOYBO zxlPbe?M;{zx90?F_4)_z4lW1K4A7xK*YdS%IUa@y+pa@K)C1lMyTkR#eZbi(UwksQ zCjPJH)LbDshADly2oIw{fYHq`|4>(lS8-LIWB2Q{8^;+Q`yC20pF`2(<*R@p2p;!X z1i=HKSzqsIlQuw50z9WD3T6B4)X63W9Q~+Ttm%gh2XMrmWvne;`(ATWUrT`(&jZtT z)rs#d@ms~EQLC+LV;x6&P@E?jhi_ipS%xridOMYDID_$5(2R8k$Mugu8oxpvz4>-| z^4MHVV@@QCiwINYJr=I%Y6(y4L@WB#b^9XF8##J(oNpVbd_7twOrkYcx%3$Jt_?z8 zFD&+w&YeC3+Es%+4e}JY%hPN3?GgOvAP)4WNPoL#{;)f|`$DlENzg*d8NrRVcQb9x z6fPf^Mm?GdJ()R&CW^@#Pz&_rLPI)&}Ja0EAD00P+t{EIY&}oWvWK4pCG)N zSi+1lK@SdgdC?9@!kQIk&wAl*rqeps&vI(B{TPEy9Fwx#w@@pSTS3fhC?DFB0)`AG z*`p|nL#;&t*dPUTYh>TMVwGxpLx+UhN4^IMkV z6KK?w_5G|L>*>-zA_RRkWA0$D(P089&!BmOC zeox<&)`R&gm`V)87}(kW0_-XF5l@F^@En4Jbdr2Z*>ZKj|D(c+yOiTzP=Mr z4%VJBw7ztLaqf4<7d(FRaZR`82ETpxbRHWY?gZ3Omq2Z90rJ!n;`MeRwUK9d=`ywq zt1$Uy?NIkkodog~(`_;t)~iXaG=nCBCaMU|4(-=IHc-a@7&U8#1-#j1PvogDfINP> zEln=o(X-~`QB>d4=uC;EkgIsLtSz-KZbwj2=4xuE<3}(`b(zxXH2&l+S#-EG{Md|5 z>&o*n)|qgozgqR%JJF8{#9r(y7ORxlp?aZL{dhu>di7By2OXd012=wwH6oI-qDaYvrJS=U2t_>Zyh3?OpyKZn{Wmgn@$5 z5L6_~%x_EQhSUqiwOtK>FHO0mGWqZ+`7CrQfN!|X5rNhsUXYSt>K~AkGwyvu3x^8W z73`rdY=f}C7lIl{Y_zcDw(Z7urSua4j(Osyc?ay@v)!Qj&_OEgxpprD81O5CaM-2s z$<00t^6w0Y{Nalc<;tc~z^gE1M^C6h9mOxi#8HZSk%a*F5x z5JhNaX+!fAiamI;sKzffE~fGw!=PMd=l-Twsj*eeb%3)T%3}?aI+Skg;^iTJ5kX(U z-}^eD#wPOVEKML)R*PALY9lF;r@O(mOf4bY!3q1)Doi5kD}%#7;NQP-D?Hh~ESABb z0LwxxOS`F77}ADp<1P-;_m2TBW2vL@p6Fd~8>rif<7$?_#QHW#O4yNFt5FFVR#b{K z}M=~Lx@Ra$oXo%bg;#T-~9oEo$u zQ|C?aFd!DFhPoY3aE`yzCQx8=jzLE{?EN!U6+$69zou z=r%D_HQ2T`f$7|H@y@FmUve*n-nzo`l>aYsfuY-iouA1PqhyOU2ydVH9PhLKKE2Dd zTv3H^Gr7EYXI?QL&wp7rObWAQyAM^#Ow;ERh>+nn$gdz!ze$QCq(J?S2~>vkmB4w& z3(ao-*Hta&G2&mFFja|!m`YfWuR2jdE8p6v z2&lLVO)C~s_lkcm_#awc@W@^4WwjDfzsI~}j=h85BI-+iv7HQgB|<@a<>~Uwnq%|U z&)l7D1|F2^vg-$SuwR1zG4=iSE&MC7%kcrSG?XUF+8!!otTAvWA`*ON9_l$gY9cb+ zkDp-1^XtdpQM|Cz05?onFhTiW!S}&ISqw0iM2fj_|9Z{2#&6o%nx%Rdx!44=cr7B` z%<~T|9T9Vm|0~muh4~zAnS(ERQ>^GOb<~ANfEDcJNx&=4N{oDLxSRE--Guy zd)p;2>SBCX{%ztu{1erWk%r^f?xgc;t$hw`sSs<`71p9MJ+kiU8W^if^jd?zn}$|< zueQqn8*Hfs#Pn#rWpSH{ipC_OO>(0Ylc-TSZ~!P{9B-|p_)0~F%i>9{qlP#h9`DU%|GB?Yae z6jO`edG?&`o*#t%xUo+;uS|1$6caHH<7329@Qu}m1I^?DFiDs(q|@scd#Kx;UD)M>!Z zdMKqLinuBVJzwL+U=^(#4CcbUugQgU)@}PIv#pyV>pV9{)EsSm+=5%M23p^3*qLY( z-E9*#Nh_sdnygG86}82xXaBRxl#IBp{T-1$sa6Fx4l#5|W}_qT?{IH$82^Tdg6O1Q zm+C?fx98RAkg>VLW$Ix*_x`XG|C=wX(-2qgv?^KvsHAvNU%#ikG>O#f*MOHEx#^S8 zL5t*pZ${d!@%D+y4*ys=eKFrSld8cIQ*>;XNH6EAVzk@jv3buGB<4J<`-6m|{|Ac)R`)m1 zd+?UBRD6h2PGL6lF1;FymM;qq3AHnZ?_`Q3-=LJRyz!{-6;g^@B)kkOZ<BZh zJ)OO!A~$q&VX34$p*`*F{9YNrfevTd|S-cqoliBYlj=A=s2iWAE1^Vl(F{I6r#L z{%zmNoMpfOrMYCqy5ei)2Xu8e4y?Jp-R@%BFzW=D`B&FI{yh*re<^mc&bo zg?JfD4(>!=^#bkZ_S`KE5}2Y6t9%7#=B{hWKZ|adR*S{6GPqm{>fYqMYM@@{jlfJq zXJpUIly8-O1~}R6U!C4BqD8%j;Qsi@8j>fQ!d~?xkxR8r7*X~?ERD2#=j2wkg}qSC z&jN#RjMGY5y=FKD-aTbdg4KQ($R4atB-rq^Z_58Pf9_!ZalNaRUaooB##c^IXnU?$ z-|)=T$bv)-LVGp;uvtnw%W zZa>8L)@3fXl)Kw{eZO7#>$lhJA0>@v0HT~2dGKw;}n=iEL#;XD1`=Jq?3YP@h-OzaCwa4O3M94da-sP z+Q;M_u8M}n1g?SK?M^TIx*SXWU$cWGuU(kr>;QcG^XJ7#)rwEEXGW$FJpA8K@x(UH zRRp>7D7C)C09KHE!^Y%d5_2N%iv*aa-e{t$r7YO^V$PC5{N4I%@IwMmKZzyKVB!nRr7Z_qTHhthMMJ~W~lK;=_MeCH+LrVs&`+4 zOG{B3?PdXVuCy|3o_W=bS=}2I!^SerL(sEIDHbTv-PCJEv(U#cj#UIB{1k&Aat;P> z5|XIHcjFfXyD zU=ddrOu}Ey#symAcs^e2`udM#FUb057KXZnf^Lr+s_xspgSnpp-JEtd++XR$4AzE8 zm+Y!ln9ZoWn-Ir&;vyK1^56=hLA!2_``5qjXK|H1g#@ZD{hFy2vak)-b&p*k+aa8U zQzNhBRp+dL2u`sta|Z1hfRE3gEg;ADoxP3lFZQybgV)+sR|4yZJKw+TgJ`&n<6rjS zYKp=r8w3L3N5!6m!8sPk|0NWthVPsL%qmUwh1_mt%~y1C+AYwR)wfHtb`~H}R%N)b zCKDdYW8C%j;r-z!V`;yMxoKx_v~!XKMUgzXs59ZW4sz zT_N&l6er%fR!Sg9Ep9}aR6YRGs2n#H)-3=7!!d*CQdJ|`7p||$aeT%0&MK56w(pMW z8s{OpGx;y&oe$Y~^EzJSw|avJFO>Kjjl7x$sj4?`6$`&Cf{8R8boCuq7naDwCKJDZ zWGE$Y-W?+?&1{+JDWHPGzO5+M{_ku~9y&ib(B~2`~c5r8bT=M85CQR}( z6f3~N*v%l!B(D`?mi`%#Ql$xxuJ@r~5Wn*ew7)~R;^ai^#;63Qg31Re!6qrk5MD9? z&36UBVMY&A&-wDLENiv+=vX66>&BQP7MXnMCV&NH;Gx8L;zwG(TQSl!NvQ($lJdIo z;vHb*zN38&hfd6hUJ*e}b%1lZ|Jfh*v5Q6ny~z}B7;$BV8dOWI+TKVuWI$O+@*8C! z-;~9L%)X5wZuSW{jQ?=i2RX}mhyr377cFF5dj_M<(#HBP(~ib)^=$7Rnl~1^ZCJlf!AUZ?`n3-naR+W z2og+#AfQ}Y8AXrwCUHA3MHn`VAS2Yni*KQhxU^or3bM2YqG8=aT&PG;ZD^};-`M*LnPK>Th4?%%Ne%8|qZ00T*64PW3Zyu4$)>|i~$x2_u&#j9_@SQez^qB zYZi9YX=bH=X8deJ1DDxXtz^8z7cdT69vPJ&r7rRxB~%coxpXc)~;q2FH8 zqhA3*O=3-(T4r%yD%eJ=iP_LHBo_~$H;Lx5&x^EnvnHPzQh=LQA2tUzHM`vUPz@B5 zo{XcSeH@_->pY+%phm50bV>@78vkiSk5dAeN~w< zk}4FuHc?Tw(GYtcxU+m(47<*URfJC0a~OthQ`;YX@u1T#aVs#^TS2)#{*s6}lerZQ zVu$J_2tvps-;yy)oiX;cMn#3NXDIYyh{szwG}2Nl)UI;Cf!lpyQ~hNxX#oq@ewI&! zVkD4qZpW!qZ)4{+N2%A;T6)p-cQ9F?_`h`-Q_9`hFtTjd^hbKOR##{Xw{^3sD#u4g z=^3qaK*iPa=2{jJ3I|d-DS-D@!=5aSX_5FYy58e! zldVo{Dh=W@Q*RT5TOA(9lzzoHKf!)axx@c}?KXR8mJqe^wst)Vf2} zgRyld6$>C^x9OohpluPVsQW#%A~#)Fn(D)D`BlcR2QBJXn6|fC=xcuqQ1k!8f4={C zlP|sgUAMiqy>a-Q_abJ8`l3klXYX3##ZKf!Eys%cX?oMY>5`7#&9bBEtn=L?X5JRa zr7Jv_5M-ZyXvLxPr$-8-W9#zk8-|m^WhkSiuaSssuZgGlQPV%VCMm&&YW+})YiTB*tTN<;g-(|erbgQ5 zJ)SqVx2k+bg{RV_)rYEC5?Na4S)=p7w@yA|HR1F~H0<*bQ(zu5>0lo7dF59k;alvN z3l5;3dG&Lr&rB5iRs5HB*fR0GnIj66YJl^vUt>yyuV53qY?Ga+8|xvas}d48nUAzp zWPsS2=Tbi^KleVG?qCj%qq6k~9_XfhyZeaz-pK>v={JXtWTyG{op|$_Wa(6%=N*iS zOQ0L;Z>3s8(mko*=(%yOH|+(jraEjX7+AEyl4c#(B2TTkwAiNB%< ztP2zDmm1+U&q@LWrhCOd*Mk$yP>}eHrt8s8shAXNkMc(*MX3QrosZMv4OhStY5lX( zY~#0|A|U_mS_cx+W@RRvso;L~G`%d8N6N|Iu%G5=t&v#OqCfSfN7i*pY3A2#uxce$ zXe<&C*=H2?B{9O2gq~;oK)QDG=zE@PYI}Sak^Vk!@<(J?UK;m1WZX5-2ZCXhUEaeJ zmxJk_U^9L)#OJa-rH>sQ{#wN@SL^WM8X(2dgJCm9Zi7OVLQQyNq5Gb66ln-MAi(lC z+G^!`u69lVQ*D`BM;{A~af2{ZdzIcl{8_m(KRZzd2X3d+4X9V^+)WwYjB&Tf(H@?- zImxy9O;;LRYyK3aG+XWjD}gVJ+xa(P);wjw@8!dO=-Pz%HE*DthnuudmgM9hJ-I&Xx~G}?%q@8q!slMkKN%k-ov+HK+Qn2bIF+%!Cj&P#+GDm7 zu35p3UdIFn)jr5GUKhl?;0o z*>&N-A`kl$Z;1)+lMc&1x*C>!P|eB1}&K{HX z0{H@+rq*8r!B?oc}%8oRK)O#P#8`yi4lXSn60{&5Fd^ytE zq}l(u7KzCl3J_!yUD%ZtactXd>N*jEau9Wc8TKslv-#2H;ANz9$p|D2JINN!^!C}c z5|gFA-A_vj*AvD4n39O{?Wzi6k+L9%kmtCUIMI1TnqIdN4P=jcgB~L^rz#wseb%Ty zkGj1_ytgDpRBc9S)8#dvCy#uqZVy8r;AW=gjB~q$#rGZ6s3{PyV|A62_11sB7U4LT zX^!qrRQTFFmAjxfZ2)!d4rYj$^ zG<8lzE_y4i+*B6v6a@LzryTr@KIOf{%@pu{2*{?1U|5dnq7+%qkE{MAtEWD%X63S~ zP%AKtRU=vy^oiNTr|Z@Ky&+W1`pKH@EGdrddH#=5v#^}WKUVybj5d;DpnGj)Bd?zJ12S8 zc1=XMy64$v!s_R4XwrePz`hoz03@n6oK%qEI_7l}>meBlFIz0|wo% zHDT+tjta3+HZH5e-v#LL@k_f-!!>Um&Vq^v&sB!#t5_AmtGPzBz^Hr~;+QsYOWdXk zI1Y2ug9gJiR{>_WGPP_FMor%rMPO9Nniyj@XK=!e|6xqv--%FGt^-`iCcg- zABz)ozO|++qr_HckWQC@r)0a=;!`r49xt)n#CJtOhk53m}r5b&I--ex6uOhvss^wzT8-m zAzi7iqtpB( z9}HdF65jk>5Gl=IN{4Qy-!eb%;JGx_Zv@Z4pcprdDd3TxO;mhy9zyXq%CsF}V5gF05J*J#*4M#RMn za0xkjH~Ktv$Xl%|!p;hQhcOFqyLqfIivjNMGRNat7p6lGq3EKD=dJ;Nqpg2M30io> zOQph|W93>JWLaeco4bEmoNt@M`!@Xjc*X@cR1v0}&3ntoe(kiBWW^Yb=73KT4@YY%j1o zahsjigDPGPl7x^+!{_6F*4zL%@(gwtm-`3u0TTCXcv<%vyofDc%$i@59g6t8Y>fT9 z9FQ@;x%Bk79zJmRuLY^eA0Vz1hHK{xjMAqXGEn~pOxlcNMl8L~#y!C)t%ux`6YnBC zeZKRNVbhM592C<|T7w5}r8Z2zuKK6jRM3v!`6I@a5=>5k_{u89!IN8E97Z`ks80na zcun;BP3^KoTT9-tVC~XnUX?#S&&P88C6=i)dR^xw0 zKRY(tU_Q6eR}5Q?`}rEvrk$!^o43%K%y1=hUra_=^T&N{R3olj_iw$2v%eF>Zl9=c zzK^BG0^y0d?CK55b6jox4yUjDBv|Fg`&Z<;d{3nj(*eRfoo)*RPg+ z=q;nw^WJ+Qg&f()@#P0W25ic*yiN2<~vz;)UfkP*Hy~{zutsd){oH#b+(hXEQ zp1m8eT{?sxJR@9plhZs%YYPlqRPxQQCsoE+{+{&SulvqWZ6X(N{&WwGNF08tw!e5v zN{YYhwPIuJqg}MU^C=AN!)Sgtr`$ClV$U~imknIdr>I!n?APtvqxJc+shESfBb=q` z%CnnBm(hv3x&;gL?D~BZ2D;!6-A_)o|Kntp;XB7^!L4b3inb zk$>jF5T=nnO|yk1K2_kt@k)VFK{MOw0!MG!wGqbL0u9t!P9KAG2*eV3TRV8&L;TRY z<7Pb?JDDFx>iJ+sgiFY^t zm$#f*#*EQ{$=2g%LH@&nq831byRX4{y51OAEK7T?ph6m(1u5bE-)eIALV7okj?J5D zc%K_e1axf5`Qa+1dgbJPU7R^AyG7|AH|t1lV?*2U{(J@%rM|DiNXYDm=PEZMTDgJM zNxO~7mYO{H8QpHR&|~_(P`^7Qr$;%ihqN#9)J^8<>x8Zm`#??+v)?0*d2j7&Sy))i z_?voBd)=uWHs;4>OmVGmwRwodQNsaO3Z5HyJpL&yvz)9>_}+{g;DFd-OWeri$gp}y zolf(cVqR`8FgjGp)$X_YVnu?tlJJ{89!j6_kQN)vd{J%i=|P2WtFH zLIv+*F-6%jw?XXZmHM`SAn9$tpXv0V=f11UxcwHxz**HrDIq*@qZVb=eC&%;zXc}; z5^So%>2W=XT6&&=j15tO1YWNbIgR_@B}wk;M`mjxUVpNpAqR8njl8aW>LaJtP6j2W z2Mh|%LzxYSp?*Tg3tcY`OkJ$Vv50aW40+i4b#vM#*D%L2EmdPN`gqzfSH=$6!B-pk z7p>cst?xOZaI6@?3)%X7WtY~*Z?kHvF}LSbSP*lg_XjiLN{!UbwK4`H)w`2Kd(=rv(d?{x!Ge}blwyXZhr2;n)*MMLJ2Bdxu7I)9pYQzQ1MEh@4tI zNu{&lQj2`A!5N^LP-@aOV3EZj;qGgtE%@OobG0NSE9{~dLX!47oZg|PQ#_LpwLtCC6MlO|Ma_8GR^-m_4L8? zYPHfit<>`^<2prPgvnVGFFi6$@yTMElfu{8Q?DVJBczlXEmplcd%uvs z32Rz+0?x>?QeeAeXR4w{a-N~F#tXD z|H?_dG&H8!UQP6L+fFi$CTU;aIQ%c8@h0(5*N;LgjU~4#uo$Ky6|bb|JmX|tN$!mK z*Y5fM9dKJg9WB#x-G|bn#a|l2*#3^(pDfWE{gw~Hpl?iF&SKcY=eQoF?zs1NbvA15 zaN|(Z2I`gecOPVEb`_NU;ek?~_Nz%(I$|KW@)nST6?Ek)ID0S9=h!WE-#vP&sI zN1wL5DKTFV@>0#Z64q>h+f%K@oK1z7yQdcY6%-c~V5~bROr)j@Tns3|ftezI0Tl(0 zE12Eu^a9(B+b3sUzj6-d_v#Hhq;b8#?x1Dx%2#t4_z|U8B$h=K>6rX6I60H1S~(FP zz7AV#qI zlSW(k^xD$&t|6f_DpN6f%8CCxDYS)o_y1vRfso%DG=pz(dWt|joC?=dFwC8KP%j>s zyaIkd6qk&frVhdFh?(e=VG(gpAxy?%z@SuRM@X=Z@KI(?U)v-Ds9~;Tj%0!JR|vsX z>5~wlIG^r4#GFh@U@{QQBHj4kAr2b8FdMLy`5a*K4rl4#aV#PaQtymf(bB&^^T1O? z=c@??oPidJ8y3(rD--!1y_*}5$duS4wPN&fUpEy!1ThC$GM3scQ2kO3B zMQn#5fK&>&=`~~rSv$Vn_~iaV+*Bv?ZLj+QWWT>YUq|=c4~Q*07H^BBdJQfa$>f*b&fXf?>8=Hk8t06se$Cc)t!j4iQ!UIDM5S1 zL8?;8*qG*GeBLN>jPkzsdWWN5pVKITdi#7A|MH}59g%#2?t7)yN(#c+uhpOCig!pB zvt>{AI|d&{t~|);&*8t!rK!WOP314?`7TD}`P7Xhd_L+ux?(7IhQ?-KGg~=v(Z}yi z_CMYEd^fQ(S|Ceb^5t6S_%od6(`Nh_%iP|hW_asKuWfQwCoU)7hC1j%>&+~63x)^3 z<-fK&h5#KF^hp>qdYp~D3W%u4W+TDe`5C4aU<=qQo6uF&bSSLa8W zW>+CXzQQ#lT{`jD%ow+lTgN-CLYLhM)_t$+7++D`e=e&#E)I3>k))Pg`&Of0cd~K9 zu~`4sPd0e_v;CTRdf3Sz?fH3aYiZ2`0Z7dHqw0%Js2iGVyxBCqsY>Lf=MDGb3B?S% zj1KvclSQqv2EOa_nnIU%c{FDa1(#h65HWlUt}U9uw6&*4X~L0Z>%SuZ1hGCFxd(~K z=r=K8h`=umuzj!&IWO>_)qpCM{M@QyCX7T(>!8h9^sn(Iu2F4ca zqsru7F0-W}7yWTbvb?=e^M5AwJ1sZ8Ap`lptU}y^u8%5%&`$nWg8IPb&vJK`S0w{U zw4!4BSN|GfubjQ7V%J1w*_yjFQ^5YbBGh*L;;=BwqN%ViH1~86cQiv$smv|)9Ks>{ z)2?;t4cGo1jVD&stkt?Z&DlH*^b{kE$2g+RW^muuSr*zl{3OW6@=xmY{UWKvN3Mb^ zj7SyV?`YLm$?Z^om4K~y-Z=j0b(MHvrYVI=BTd$Z6RrA%c;-&KG>?l;8_KwdVXPFT z+Mq+&#VSk*Xs!ziuHf)LtK$pf;8 zDezf7*C@C;!7m_CwyTOW=G}7qtk;f=mhrrGsW>vrVa8qZWt+Sf301_(r&2_g?bZ|= zfD>d_c40%|34-f!THh}}$u3M_-V~|MXQ=lNakp+<8@5fwd0=z#`~$FLm>Z%UXXp^O zsI{*GV7)i}Idce}WU`!?$BoxNHmo--LcQa#?U5|@iJ^7fre6H&t$zKZkBMQqfs)6v zK4Xul9YQVfF0JBP+V1Yp(@z~&#X>_Tw|D&ClHylMfCq6PZLqnj?QU|vMIYUi$0hF8 zY&6Tls!alLcnD~Hy8@nw#O^S|Qcq@&mLDpuR3GlibPi-+DeJfvb2jl#z43lP z_EERb3*J?8O)|EB6o^ziakt1bBq&1hn~Q&YSX&SLpVNk2$t7sDb}yF2J}BG#QNRil z0Hkoy7>Q2eak%)NlEtt* zq&jsQ=~^!`y}md>U3JmszNF(#d3gR6|NExXv2HX1J){(f@;zVUEQX=W`488H{zduh zVBwP7xG&REP6c#HrDMV+&L|U0U4m!GKy<^1pK%^HACRCcls*Y&z5#ff>2nFzzsju< znf5||uMZI%14Rf5s()SCYuJ-QN40S*t@eY+Sum|=nTr{=M^6h# zcwJ7ccn&d%K@de~Loj+F_AGkq8xpPc&Vt-H+K+KLzNrEb*_v*5;iuKTECZVg%JIlD z9hSf5Fz7O<-nhVTK+0KWv5|Z5Zvz{dHN%EKY5iEnkSE+m7h0MP!*n~KsyP_G)YMk5 zJb5B2mKpWYNy=>%czXUhO{3!3JJlQ9eM1x;+K`q3Wn?fld_Z-*^+!Rpwqup|UgKB4 z)}Jt3xHhhS;wnfi9B=g_Im1|16S8x!iy9C%8aIRLwNY6pvOMy zAaD>ad(kG=t_Q59Weh|5 zO8_VS-hS}=$4i8<`2GhF>0ZYeUqdMJa#;ZBmnp<1=T3?~&c=-V%y$`y9&U-uuYvux zKSiuO%RpIbJtDalOurR(xA1Pp;*SoQD-C+HJ0GYJCF+fhQr?dz<0l_BvDdgh`ZlnM zDAQSac075g7UipNq)7o%`;$IlpgA04QqWNGIjEXz348pzisaZV=oF z{*91bcUxiHrfAeXf@QU{Sm^juuBCt36@CQu;V79jgdFt;Vo>7$$%prHPVy&){@d`E z-!yZbZuy81YD{uiOFo?L;VUe>Tr+`a>=rAaw_@oR<$`uzR_vV46|G9izi}Gs9I+EA z5LW-dzwl_byX@k27Mb>eQNJH|xzgbuLBJd>xz~fY&XzyF^ATRnpGxk}Ij?$D$lzLb zwmr1RuAGRO0x~qJb>s4URhy5p`^RBO`tvWT4chZ@lvwy#%7RQ;E>pDzdRSg-g~vPa z?><0){4!;&h~=sa^FuZ)>@F{jf1Yx}@lcx=^H8XllteGfx2j~BEHF+C@txx+TjvMS z$II`*H*8g3yS>+CFMV%k@<48lmMQWfb@p$Z=i$PN`XW2+MuPpM@;Mcu# z^E-V6v)c9rCYA8dZS3fQ}Pjdz+Fn%0lu72*fAOP20y`fli^DW!aQw$ z6r90CoH=O#O*3NZXyn4{$dWV4;+~zg|2QmYCahsIZ{s z2+FNgd7Dey%G*K$_{7)2@C~*o^zCN~>{R)~JPY9pAOR`Lq~QEpwc|xv_u^T?sB|UG zA;eQ`uO;p4A3iI;6ay|@;=Bd^e&^?ZJeR=6#Q&gJ+cqq8`7~M6lt{~U%%`V2lo#tMzv)0! zU-LyvI(p^BC1BcFpXIf+zPjt)HVhN=Keab;7t|Et1uH^$EPZbXqXIo{%OHUZ#J4oi z)?v~3eaqrQ{8eJJQ*;r)P^}R$^>)6={zI9WTW;#~(nvB5aPx$e(8~TePqfL5V z9TIkg^;3C3L@?PS^9sVlg`hw%6BE9X>dhx>6C8di>=GmC;Mn}fU}SbMzIdyklx3A6 zaqYi=?3Z6S@A9jsdV(6klM(BTbQ`)=%m=>N`YmT(pge=k7URYVS3uHMTH84hNe+{z zd^X`q=n3e2JiFxFA`p-{LIly*$NHB$_!?4HM=9U?7Ma5Mo~AGL0AE)e5?&hR=*{k) zKTVxgSWpV?fgXAiYDgRzeOfiE_iKkOi)`nUVxo{0 z`<}ERI)fNMo^A_F))RqBn+)=f$5+&O+1xkHh<6FCMD&W>WY)BGx%kdl+|%ptJ z^%PE|MXa_hjUlbBJ<$s8{s&T%#W2b{+ClSjg8rG>BRjdn@VtGN#^i{r4OD)7XOhcC zL4|0LL{Y=q64omo$DenqoPN$qlwYqX8;p}m{s6Dtgmj&V@DG{Xx$Z=V%M~_xw&YIdp z&B!1fyHdW}9(}K(@2A^;e{7i%`QPq!%y23%SWJaN;Kn&RWFSgn#K`U9#oRMs5TH4Jkd&(@aNVZ*ep$y{?+vJ?uu#aur&J5`lq?UkHEj*WTv@O zFQFmK^B>SRG2>3v?!%5|clogV9(a`20pE)0&fq=Uo>8%ZW`-eIdrSpw{Q>ESS_@qB z|0aw#RLE^OucW76&9IO1K*TwBINN87@E1B}(uSyDU?y1%9;N(Kz!Zx7>)160LCs1I zgW1VV=`*L#`J^SkxH7a4`nSD%F{O8z^`3tD*=g|q2$=vr`;EO0_u4jsvIolUT?4s% z2b>fA8HfMa8P}7?*i)NK^9q}ZTiqUWsx$&xr+j|6>FJs&ETx_maPpj>4ScszRiAf{ z`J2j+293XU9BpoN91}z5t2j_S$=mthEp}TSID{1R|5Q9qx#Wa>;-B-rgp6M1^DXt^ z?|-!HQd!~F(5Hs}p7E*2f0sH$d~9{u6q|{pk_4J7vajM{K5J?q-%)QgYsx{!zFKPC zHTcgKHBYhhmMRaTXWg2&2fts!2y~=Gu26LNT**T}!g=vHIY?S_!~^0kfkjl^aTP%) zQfDzF=JZu9o8!qxe4{*QT|#tjml8qrY?FzG-&f~{XbHkCnoy%e0_e}}!Y zik=(GuWf|7?24TUWmIbO*fnx^7cVE1JJWkOXDvcoaoumDEAgfP@=3^q&NHS#v2Z!O zV-zh(a}8;c+*0H7&G$vemQ!Fm-H#|oN7?T!THdiL#>hxxO+9IDvQkasCDA;<)hpE0 zNMiVUJSnZ&4_!Ck+Ge*a)uoq7b=N(9*Wu^O&LDj^OVs%$qmn`DeSl~avsmT0cb&CO zk$7GZ1)n*+D%t03QacckfTF=KP zrI#gm=N_NxJ_aEsUtXSeYG-X;?R~RpztmeM`CYasCcU5jX6SLVLEVK!>#M-#>5HF0 z7YJUz^LI+L2RUQ-A!uud6n-rH+fz0>_2-c+-GPNE#Mr-@3fAK!T| zs1|2sQrr>iZb+D3vD5jpefzek`j&`GBsq{1bKcEwH>!^uwmsy0?EV9p*vO{qP5GzR zlMK=|Kjfo=xML`K-_m+Lk~JA-)R?G}0(#P;6onrwym!F2W$0y>zI7`pnuHWuWhYv* zXRI^Yz@pxj9h`yo0?bNO@^G+IZ#B8fzUmpJjaz%7^Sph1f$MfW3y{C0dM!1y_P_>)j2zfC z0eFQFws7EU)-tqSniLSGe?oxpE+>4;|#or6p#iL5b^HDW&AA?mch7~9A2VP-y zaVZ|`DMBkCWgDkMrrtLxrx%9}edI!yzdJD1wv!)!dzE-^`DmAT#@*qEqf? zBw~qel2C84`pn{EuuU_4v#R5s{zA}>)7Fvj{S2=cR%y6g;@Hp9XF_)Guw9vm`X=WW zyw8MNzm+m3;rC?wj+d3HJ>b8*g@t}{#2w7NWnukq@h)xTr*_E13np-(G%`#c;pQI$ zS{KPUq%xt)MrBH?w04Oky`0dHsOA0~;{&7l%HIEpl(GP6jNVI490?ZD_?)-Ix_*lR zBzWnQThMtW-lb3zv_z$G_tv_#ub+g!EQ+|Z{8%JNV$8GV4|4mR|^G0^l0qO)b!It(Vs1=H*OrENo z1gq}I(mGNaK}GFL-{ z^JbZSh{(*#kj8ONsHR_rN@%n3$-Ra68SSN(FJ8coKS{eg{bHC+FG6T-t9+lKi7jbg zRQ{f4^S|6Lic=kHPFq&?8mu2olMBK@rsfGY=}m|6ylcH^8;V8Gn4JB~F>yvx8~x4k zGD!TFu%pb#FDzMGi7aMmCq?)x;8OYgk2f8*RhD}IJ(v=&JeVy5nt1=F3l1oL}C1t7Hi78OUFXtD2_ z^(N81!Ti`~->~qve(Zo#uRyZn2i$TdU#5^j#?dhz>Mbd-KJsTdVI4C*%D@Trd-PqS z9?A7r6ciRltb`a}0e&qj&IkWZ#Ucu@H^7v#edVfh!cRZ`M3R^(=XLWE*O{XfrXy3W z??e}z+(&*Ju(nzyF`HV7+iS=AV4&mWK%c3Pe}_{mSW1^^jjO}AopN7!^E)c3I}f)GL@XeQ$|-H&*Bq4qvR6U z5#}MXD^=(Y!=yyJ$(2>SF38w-&7PB~L1#XG@>ID--;nW}YI4$f5KO}SJC3exxtaB2Y zycKtwzp$@pnne10;%x9JYT!;S8?x&~oW5=8bha+ylOPjr<_XFV`D!A=Ay-M#Q36|V z^0!{6saP#ypSQUW5H|z4P{VbRZvfHj(%HJ(Zr;Fz&gmY!?R`-B{PyW-oq=1I8Iz>P z*69)G&VRB=Rjii^46XM=`7lRF-#2(i{Ugso+I!2z@&rE<6y)$#&R_VhedM*?4T@wXI-+gsYCG8p7t~~B+ zH{EAH{}_uQ!LNT+%td&G8G|eOdKLPh5CglTcfj41hGO^seqnD+_X_zW7&-kGwDbfV z)+t;g$eJ3+E{H4${R@c>NB|chru$wSH`xWL-j(uK{k1hHX>z>6xhpHpCR^OurEP9+ zs4fJnWcF4)xd&jup-{Sz;Y@k={7NfgCzeO!Vz2zz{NWX~mFu_byS~G(_0>vLRw^c74zM^) z__*74sExM%?S9Q30!m?wyyVg3sI$CcPrBnivn+T`S`(04BOmy0PjSt?d z94#H)tFBhWJA`MsCW|GTo!9}9tI=eMdY_&xHpI{r`2!+Q7m5}Lt7K-Xy?1=TL0jQ> zZ_E@xwxtOpKeH?tvUfNaQ4N0(u`LL+1 zN2kVK83@He6^LyM9bIog=n|_`#{2OF6??haztqlUo9?v2Ly%u6cLeH)ooVmcaliE% zg;&KpZ9QakxnzE9k$kBWZ;Y#|v1J;2f4d$3iuRe=At-!hw@v=r3_2<5VGxun3|co*Abe0 zW{I173V#y>so*@rJUk~5bvk1HwXIzD8I}1z>Es<;piS!jDF|}MlHTR)X5+q#Oo=Qw z;Us}8vDE@h9qjNUlv`#xe$}g_qDQ0&S-I*aw3f_pmi;u5m>rT;`v&!He4dxL@Rv5P zCk&yleW2U->U?ag+E)Stjm_?Y0MX=NOhT!YekWP_aVv=_>U>M;+>Q7`szL~JO}M{c+&%DsZi04%U#axYFCu6-B{(ze%G) zGXLCek_;g1Nn&{wTi03{<`3F32G?1;s+}>GXFT=OyWemE5$Jq}JF#Jtt{6$Q4c3MT zECL7PpyQ=Q#%27>_ds+QPby2ColKvz?3o3!c$+7Xz27#M6Sx(hv`?I2NrmpY@Fi_W zxp5(Uk$ph}tyo#j)81 z`%^aVGR+A7tN<26)4z&<%Opa=S?a?)KnWkbG~_=U_AHFUb!y}C$yPevu6W6qkcV!i zMb+#vDWF^f{KZN{B>{(XL9;Okyt>zkYaXzZvD>qI7c+|rD}aWWEQ=YCB7Abw3M%-~ z+)2wYVoiD@;NXl-dmv{6?KnvV<&VvCRo)P-8vhjQF5FrN-s-&0ZNmr7Y8Z5vGo1Oo zQ)~Tg57##~@k;VhDo?3#|E#Wu)?`#b6}ouk7XUK*3U&W{p07&gw}O(#d(3chjbLu+ zt!U1B?R(5r6sl?eKH*LTt-hz(#H#H$rbx|V(YJO|%=;0NnAsc64gmJqC#MK=k1{p5w?7-2%mZ|FuuuRBR{OTibV45{DJFexW1km<*LV!yfYz{nsxb2^w@Q^zsWK^1d%zc7=vst%BI*NOp zp{Z1X_{5|Qui`Da!28ADo4xw|24<2*y0wpB_HN9%x;s5Ar?bD*G~^$AHWtH1LEMD` z$pLzwj^^r(XSUsXxbOOEOfw@i&>?hCyBF$i|868G{ zr+zGA$BIz zDF;vdGY^^ZF;gYUB|eP(h!SerD&LZGd%5Od)F>iO_$1%aT zw7S%l6KXNH45ShDz8d~J{4TA}^RWztk)6W-ZC6HzGzfdd#VN?_!H0j?qD8r>yUKqv z{;s%V^R0Ahvbg*k{b{&ToA1U7KrTlI%P}wnPi~Z8a9f96xG-bppI?y&*ml&fI2b3? zFf-KX8UNy=?7J3I$qqOCoj}(Z8cUS0=yG>iM~BYB4Sbr#>N?pZ;-8VQLJ(|2071elJlI@oqxWW6cOUZP|0!0sxa3h??;rw_8?ELTEW8QyE@u=D5{o)?T>;1dF5%H3&<4Y97n} zS$EXCULObAE2-ZQT(oX%2Eg_VI_#?fJmbAin`Snzi>8XO3d#LVG#B8z0MAY@k+q># z>Xg+WjW-#@^cCyT4rt7*)vr~_%pl*QpqG5&kA^Gf*y0ghD_L|ehk2T>!D*uIL81=I zx;&p4oX^u=k^yC+S5#6QQf5$(;Zh~{@qde-S{XLh*ppf^eWL!G3~p5sMx~ARa(>wr z)s*+v-a4qGulnX-H`i+RSDLn1g~a!T66G>}bQ>|WCF?nfq9WDCB33z_xOzwGO$zJU z@3(dw?U_2hs)Y5-W-Ei1l?U8Bu-49fW@j@&OuE_P@BsY_PRmq;7!fDSi67uMC{VDNIoq4qn&pZr zDA`D}19gu}1LV2wv+X0=e^{kl!{jrj83qwTT|q|UjcutZk*x!{njI!|Hae`yjAdv- zEaqKF$#Lh&%AJ@)5->OF9nNRtU61S?f7AEAt7hKazjUn#{;7Z0X{gkONKq|G1y4GJ zLoQOvMIC({@nOZS$aPl>J)(zX`&eLozP#$71@il=HZCS3chKFiVe3TD)pC5j%BT2e zFLwMgXkG9%uX9ZQ&^j^n)sLVUe4*WvtX55Y+4z%GXJuwGC?*=cn~$Qh1-+BL2_d*s zsh$oFi=_wS$9y^s`oGy?bDPXH`Av&Dp2K^+(9 z@wtUN<~yP3h}wY7Z0FXj%`X!|?X)VFsK{#Y2Zaaj;FDuntNE z)GnrRcTy%urLi&3k`#chgRfm+;dG6atTvuU@#3OMV@Ug)O%(K%EC^;OHv^pC7SR5!c{jMS6D! zBHymgHM{;F_^eZ@5!8=B+)DS+&Fi0U?sXscrJ*PKsFh_|4ylc>mRbJGXx=iFLhbXD zXRqrSeZE$7=Y8^e)iBdM>)`IOU~;u4vB2w6dk~|a#BHf?1Fjg0`nvXdk@)KAXBBU0 zDB;z4<1~tIzHIppVaeUk2BISf(-eOd>7tz;;c5{i;_nvtov8f0<`7htftx z`|r(vF5y|ZN3!6v0A#gCypn6{tn$Q{`BTHM)SQQP_R6r!)wdJx&zik(hc2F^EtN~9 z&16l-Og+BO>@r%(OAnB^Pr;_qny1MC7rp@h!L7%UtzRAEOVLo&29vWrI(t+a4%#6H*Oc$irt}vgI`{kb3dR|RUoeW#Kejq z{Xm9APGzDd6j~;4EJ7dqjvH8v*FW3vt+18$F<5Q_P3y+acE?DR*@@q94Dnt6Y2!d< zVc{fttqQ!vjmny<*lC%HU6ua*jgKtH2m~n&5U})Dd)RgX=|y?}0O*^J@x7)#=b_G8 z*yAQK?g(B==ys5D5}*FhbY~nlvn6@5$aXVeqC0vcw=3-&)pdlrVuSm;Pu+&Ms?|m| z8oFPDMA4w)ngWc3K5Vkc>UmOHn64I6nnE?|?CC)WHJvOOA+IPk{VoEAgoR-)3?80JoA_-8*x2#*wT)cUJGcsRxS6_u?~r zj<3$1%Y5n5Qcw=fu+~`$Y#?0DZCokwdIGQrVPH|yV_Cxf`1uJda4eqX@QLTYW>CB4 zYeE+|QjWDPJ6d3ND20F7_svrF{VZTrZ#jH+TN*6g^k~`BzspUQzN-Lk4vX3?1G4e9 z_>3~(IOpY0&!X!rgDk4DzHorTrh8##nW9PV=_`AH#nBX}Nso9y%Lex78k{{Ye}4Ou z>wEJiM=->Fwbx^0U;w5C2GEp014s!2$WRJ}Mh&Dq3>7qX0%L{z$oTtvvNywp{h*$5 z`X57i``wS9pa1zh^$l>9g}D0T=Ozy%{>#{}{xbhRu=hSMYbwa1%dLx#{`gtd?d0qK z@b$qTmSVF&3HBB166?p$zZIB$a^CG%oM0t110;H?b;;h3$DJ8hTEG6d*}iS*RBy%$ z>_=r7esOw!KQ8azvPAFfvGbolTHBOpGW-JW4O-AYVT#*Cv2{n>BL4l&ufJO^2{NTc zrA4)2-Ha;s3uPX=^i^_~9Q|?kUZEtztyG|nyAw?0>ut(a&2C-Y|NrfJbvYG6j* zA~`AOU|ajTBga0=f|{i@4JNwT&x~^R+%*)@hZGFoi*KvmkSdP_EpTZlKcUR?&Fhxj z<~?$}ApZ6pFNJdEu7^l&zg^6^?c5zzP+qNI4&JcsnohVC3#hayl}($Tko|TS3n*lo zg!WYf^@3DYeFUxvcL<*LIQ^+n&eE;kgYf7fh7a@p?Pp+S*kERQWV-wM!yqSmy85}S Ib4q9e0NcCZpa1{> literal 0 HcmV?d00001 diff --git a/var/www/html/riglist.txt b/var/www/html/riglist.txt new file mode 100644 index 0000000..76760f6 --- /dev/null +++ b/var/www/html/riglist.txt @@ -0,0 +1,257 @@ + Rig # Mfg Model Version Status Macro + 1 Hamlib Dummy 20200606.0 Stable RIG_MODEL_DUMMY + 2 Hamlib NET rigctl 20210108.0 Stable RIG_MODEL_NETRIGCTL + 4 FLRig FLRig 20201217.0 Stable RIG_MODEL_FLRIG + 5 TRXManager 5.7.630+ 20200329.0 Stable RIG_MODEL_TRXMANAGER_RIG + 6 Hamlib Dummy No VFO 20200606.0 Stable RIG_MODEL_DUMMY_NOVFO + 1001 Yaesu FT-847 20200509.0 Stable RIG_MODEL_FT847 + 1003 Yaesu FT-1000D 20201009.0 Stable RIG_MODEL_FT1000D + 1004 Yaesu MARK-V FT-1000MP 20200731.0 Stable RIG_MODEL_FT1000MPMKV + 1005 Yaesu FT-747GX 20200323.0 Beta RIG_MODEL_FT747 + 1006 Yaesu FT-757GX 20200325.0 Beta RIG_MODEL_FT757 + 1007 Yaesu FT-757GXII 20200325.0 Stable RIG_MODEL_FT757GXII + 1009 Yaesu FT-767GX 20200325.0 Stable RIG_MODEL_FT767 + 1010 Yaesu FT-736R 20200113.0 Stable RIG_MODEL_FT736R + 1011 Yaesu FT-840 20200323.0 Stable RIG_MODEL_FT840 + 1013 Yaesu FT-900 20200323.0 Stable RIG_MODEL_FT900 + 1014 Yaesu FT-920 20100823.0 Stable RIG_MODEL_FT920 + 1015 Yaesu FT-890 20200323.0 Stable RIG_MODEL_FT890 + 1016 Yaesu FT-990 20201009.0 Alpha RIG_MODEL_FT990 + 1017 Yaesu FRG-100 20160409.0 Beta RIG_MODEL_FRG100 + 1018 Yaesu FRG-9600 20160409.0 Untested RIG_MODEL_FRG9600 + 1019 Yaesu FRG-8800 20160409.0 Untested RIG_MODEL_FRG8800 + 1020 Yaesu FT-817 20201015.0 Stable RIG_MODEL_FT817 + 1021 Yaesu FT-100 20201009.0 Stable RIG_MODEL_FT100 + 1022 Yaesu FT-857 20201215.0 Stable RIG_MODEL_FT857 + 1023 Yaesu FT-897 20201215.0 Stable RIG_MODEL_FT897 + 1024 Yaesu FT-1000MP 20200731.0 Stable RIG_MODEL_FT1000MP + 1025 Yaesu MARK-V Field FT-1000MP 20200731.0 Stable RIG_MODEL_FT1000MPMKVFLD + 1026 Yaesu VR-5000 20200505.0 Alpha RIG_MODEL_VR5000 + 1027 Yaesu FT-450 20201222.0 Stable RIG_MODEL_FT450 + 1028 Yaesu FT-950 20201222.1 Stable RIG_MODEL_FT950 + 1029 Yaesu FT-2000 20201222.0 Stable RIG_MODEL_FT2000 + 1030 Yaesu FTDX-9000 20201222.0 Untested RIG_MODEL_FT9000 + 1031 Yaesu FT-980 20200114.0 Alpha RIG_MODEL_FT980 + 1032 Yaesu FTDX 5000 20201222.0 Stable RIG_MODEL_FTDX5000 + 1033 Vertex Standard VX-1700 20200320.0 Alpha RIG_MODEL_VX1700 + 1034 Yaesu FTDX 1200 20201222.0 Stable RIG_MODEL_FTDX1200 + 1035 Yaesu FT-991 20201222.2 Stable RIG_MODEL_FT991 + 1036 Yaesu FT-891 20201222.3 Stable RIG_MODEL_FT891 + 1037 Yaesu FTDX 3000 20201222.2 Stable RIG_MODEL_FTDX3000 + 1038 Yaesu FT-847UNI 20200509.0 Stable RIG_MODEL_FT847UNI + 1039 Yaesu FT-600 20201009.0 Beta RIG_MODEL_FT600 + 1040 Yaesu FTDX101D 20201222.7 Stable RIG_MODEL_FTDX101D + 1041 Yaesu FT-818 20200710.0 Stable RIG_MODEL_FT818 + 2001 Kenwood TS-50S 20201214.0 Alpha RIG_MODEL_TS50 + 2002 Kenwood TS-440S 20200407.0 Stable RIG_MODEL_TS440 + 2003 Kenwood TS-450S 20201214.0 Beta RIG_MODEL_TS450S + 2004 Kenwood TS-570D 20201214.0 Stable RIG_MODEL_TS570D + 2005 Kenwood TS-690S 20201214.0 Stable RIG_MODEL_TS690S + 2006 Kenwood TS-711 20201214.0 Untested RIG_MODEL_TS711 + 2007 Kenwood TS-790 20201214.0 Stable RIG_MODEL_TS790 + 2008 Kenwood TS-811 20201214.0 Untested RIG_MODEL_TS811 + 2009 Kenwood TS-850 20201214.0 Beta RIG_MODEL_TS850 + 2010 Kenwood TS-870S 20201214.0 Stable RIG_MODEL_TS870S + 2011 Kenwood TS-940S 20201214.0 Stable RIG_MODEL_TS940 + 2012 Kenwood TS-950S 20201214.0 Stable RIG_MODEL_TS950S + 2013 Kenwood TS-950SDX 20201214.0 Stable RIG_MODEL_TS950SDX + 2014 Kenwood TS-2000 20201214.0 Stable RIG_MODEL_TS2000 + 2015 Kenwood R-5000 20200407.0 Alpha RIG_MODEL_R5000 + 2016 Kenwood TS-570S 20201214.0 Stable RIG_MODEL_TS570S + 2017 Kenwood TH-D7A 20200701.0 Beta RIG_MODEL_THD7A + 2019 Kenwood TH-F6A 20200701.0 Beta RIG_MODEL_THF6A + 2020 Kenwood TH-F7E 20200701.0 Beta RIG_MODEL_THF7E + 2021 Elecraft K2 20201214.0 Beta RIG_MODEL_K2 + 2022 Kenwood TS-930 20201214.0 Untested RIG_MODEL_TS930 + 2023 Kenwood TH-G71 20200701.0 Beta RIG_MODEL_THG71 + 2024 Kenwood TS-680S 20201214.0 Beta RIG_MODEL_TS680S + 2025 Kenwood TS-140S 20201214.0 Beta RIG_MODEL_TS140S + 2026 Kenwood TM-D700 20200701.0 Beta RIG_MODEL_TMD700 + 2027 Kenwood TM-V7 20200701.0 Beta RIG_MODEL_TMV7 + 2028 Kenwood TS-480 20201214.0 Stable RIG_MODEL_TS480 + 2029 Elecraft K3 20201214.2 Stable RIG_MODEL_K3 + 2030 Kenwood TRC-80 20201214.0 Alpha RIG_MODEL_TRC80 + 2031 Kenwood TS-590S 20201214.0 Stable RIG_MODEL_TS590S + 2032 SigFox Transfox 20111223.0 Alpha RIG_MODEL_TRANSFOX + 2033 Kenwood TH-D72A 20200701.0 Beta RIG_MODEL_THD72A + 2034 Kenwood TM-D710(G) 20201214.0 Beta RIG_MODEL_TMD710 + 2036 FlexRadio 6xxx 20201217.0 Stable RIG_MODEL_F6K + 2037 Kenwood TS-590SG 20201214.0 Beta RIG_MODEL_TS590SG + 2038 Elecraft XG3 20200613.0 Stable RIG_MODEL_XG3 + 2039 Kenwood TS-990s 20201214.0 Beta RIG_MODEL_TS990S + 2040 OpenHPSDR PiHPSDR 20201214.0 Stable RIG_MODEL_HPSDR + 2041 Kenwood TS-890S 20201214.0 Stable RIG_MODEL_TS890S + 2042 Kenwood TH-D74 20201214.0 Alpha RIG_MODEL_THD74 + 2043 Elecraft K3S 20201214.2 Stable RIG_MODEL_K3S + 2044 Elecraft KX2 20201214.2 Beta RIG_MODEL_KX2 + 2045 Elecraft KX3 20201214.2 Beta RIG_MODEL_KX3 + 2046 Hilberling PT-8000A 20201214.0 Beta RIG_MODEL_PT8000A + 2047 Elecraft K4 20201214.2 Alpha RIG_MODEL_K4 + 2048 FlexRadio/ANAN PowerSDR/Thetis 2020161217 Stable RIG_MODEL_POWERSDR + 3002 Icom IC-1275 20210107.0 Beta RIG_MODEL_IC1275 + 3003 Icom IC-271 20210107.0 Untested RIG_MODEL_IC271 + 3004 Icom IC-275 20210107.0 Beta RIG_MODEL_IC275 + 3006 Icom IC-471 20210107.0 Untested RIG_MODEL_IC471 + 3007 Icom IC-475 20210107.0 Beta RIG_MODEL_IC475 + 3009 Icom IC-706 20210107.0 Stable RIG_MODEL_IC706 + 3010 Icom IC-706MkII 20210107.0 Stable RIG_MODEL_IC706MKII + 3011 Icom IC-706MkIIG 20210107.0 Stable RIG_MODEL_IC706MKIIG + 3012 Icom IC-707 20210107.0 Untested RIG_MODEL_IC707 + 3013 Icom IC-718 20210107.0 Stable RIG_MODEL_IC718 + 3014 Icom IC-725 20210107.0 Stable RIG_MODEL_IC725 + 3015 Icom IC-726 20210107.0 Stable RIG_MODEL_IC726 + 3016 Icom IC-728 20210107.0 Untested RIG_MODEL_IC728 + 3017 Icom IC-729 20210107.0 Stable RIG_MODEL_IC729 + 3019 Icom IC-735 20210107.0 Beta RIG_MODEL_IC735 + 3020 Icom IC-736 20210107.0 Untested RIG_MODEL_IC736 + 3021 Icom IC-737 20210107.0 Untested RIG_MODEL_IC737 + 3022 Icom IC-738 20210107.0 Untested RIG_MODEL_IC738 + 3023 Icom IC-746 20210107.0 Stable RIG_MODEL_IC746 + 3024 Icom IC-751 20210107.0 Beta RIG_MODEL_IC751 + 3026 Icom IC-756 20210107.0 Stable RIG_MODEL_IC756 + 3027 Icom IC-756PRO 20210107.0 Stable RIG_MODEL_IC756PRO + 3028 Icom IC-761 20210107.0 Stable RIG_MODEL_IC761 + 3029 Icom IC-765 20210107.0 Stable RIG_MODEL_IC765 + 3030 Icom IC-775 20210107.0 Untested RIG_MODEL_IC775 + 3031 Icom IC-781 20210107.0 Untested RIG_MODEL_IC781 + 3032 Icom IC-820H 20210107.0 Alpha RIG_MODEL_IC820 + 3034 Icom IC-821H 20210107.0 Beta RIG_MODEL_IC821H + 3035 Icom IC-970 20210107.0 Untested RIG_MODEL_IC970 + 3036 Icom IC-R10 20210107.0 Beta RIG_MODEL_ICR10 + 3037 Icom IC-R71 20210107.0 Untested RIG_MODEL_ICR71 + 3038 Icom IC-R72 20210107.0 Untested RIG_MODEL_ICR72 + 3039 Icom IC-R75 20210107.0 Beta RIG_MODEL_ICR75 + 3040 Icom IC-R7000 20210107.0 Alpha RIG_MODEL_ICR7000 + 3041 Icom IC-R7100 20210107.0 Untested RIG_MODEL_ICR7100 + 3042 Icom ICR-8500 20210107.0 Beta RIG_MODEL_ICR8500 + 3043 Icom IC-R9000 20210107.0 Alpha RIG_MODEL_ICR9000 + 3044 Icom IC-910 20210107.0 Stable RIG_MODEL_IC910 + 3045 Icom IC-78 20210107.0 Untested RIG_MODEL_IC78 + 3046 Icom IC-746PRO 20210107.0 Stable RIG_MODEL_IC746PRO + 3047 Icom IC-756PROII 20210107.0 Stable RIG_MODEL_IC756PROII + 3051 Ten-Tec Omni VI Plus 20210107.0 Beta RIG_MODEL_OMNIVIP + 3052 Optoelectronics OptoScan535 20210107.0 Beta RIG_MODEL_OS535 + 3053 Optoelectronics OptoScan456 20210107.0 Beta RIG_MODEL_OS456 + 3054 Icom IC ID-1 20210107.0 Untested RIG_MODEL_ICID1 + 3055 Icom IC-703 20210107.0 Stable RIG_MODEL_IC703 + 3056 Icom IC-7800 20210107.0 Untested RIG_MODEL_IC7800 + 3057 Icom IC-756PROIII 20210107.0 Stable RIG_MODEL_IC756PROIII + 3058 Icom IC-R20 20210107.0 Beta RIG_MODEL_ICR20 + 3060 Icom IC-7000 20210107.1 Stable RIG_MODEL_IC7000 + 3061 Icom IC-7200 20210107.0 Beta RIG_MODEL_IC7200 + 3062 Icom IC-7700 20210107.0 Stable RIG_MODEL_IC7700 + 3063 Icom IC-7600 20210107.0 Stable RIG_MODEL_IC7600 + 3064 Ten-Tec Delta II 20210107.0 Untested RIG_MODEL_DELTAII + 3065 Icom IC-92D 20210107.0 Untested RIG_MODEL_IC92D + 3066 Icom IC-R9500 20210107.0 Beta RIG_MODEL_ICR9500 + 3067 Icom IC-7410 20210107.0 Untested RIG_MODEL_IC7410 + 3068 Icom IC-9100 20210107.0 Stable RIG_MODEL_IC9100 + 3069 Icom IC-RX7 20210107.0 Untested RIG_MODEL_ICRX7 + 3070 Icom IC-7100 20210107.0 Stable RIG_MODEL_IC7100 + 3071 Icom ID-5100 20210107.0 Untested RIG_MODEL_ID5100 + 3072 Icom IC-2730 20210107.0 Untested RIG_MODEL_IC2730 + 3073 Icom IC-7300 20210107.1 Stable RIG_MODEL_IC7300 + 3074 Microtelecom Perseus 20210107.0 Alpha RIG_MODEL_PERSEUS + 3075 Icom IC-785x 20210107.0 Beta RIG_MODEL_IC785x + 3076 Xeigu X108G 20210107.0 Alpha RIG_MODEL_X108G + 3077 Icom IC-R6 20210107.0 Beta RIG_MODEL_ICR6 + 3078 Icom IC-7610 20210107.0 Stable RIG_MODEL_IC7610 + 3079 Icom IC-R8600 20210107.0 Alpha RIG_MODEL_ICR8600 + 3080 Icom IC-R30 20210107.0 Alpha RIG_MODEL_ICR30 + 3081 Icom IC-9700 20210107.1 Stable RIG_MODEL_IC9700 + 3082 Icom ID-4100 20210107.0 Untested RIG_MODEL_ID4100 + 3083 Icom ID-31 20210107.0 Untested RIG_MODEL_ID31 + 3084 Icom ID-51 20210107.0 Untested RIG_MODEL_ID51 + 3085 Icom IC-705 20210107.0 Stable RIG_MODEL_IC705 + 4001 Icom IC-PCR1000 20200323.0 Beta RIG_MODEL_PCR1000 + 4002 Icom IC-PCR100 20200323.0 Beta RIG_MODEL_PCR100 + 4003 Icom IC-PCR1500 20200323.0 Beta RIG_MODEL_PCR1500 + 4004 Icom IC-PCR2500 20200323.0 Beta RIG_MODEL_PCR2500 + 5001 AOR AR8200 20200404.0 Alpha RIG_MODEL_AR8200 + 5002 AOR AR8000 20200404.0 Beta RIG_MODEL_AR8000 + 5003 AOR AR7030 20200324.0 Beta RIG_MODEL_AR7030 + 5004 AOR AR5000 20200404.0 Beta RIG_MODEL_AR5000 + 5005 AOR AR3030 20200113.0 Stable RIG_MODEL_AR3030 + 5006 AOR AR3000A 20061007.0 Beta RIG_MODEL_AR3000A + 5008 AOR AR2700 20200404.0 Untested RIG_MODEL_AR2700 + 5013 AOR AR8600 20200404.0 Beta RIG_MODEL_AR8600 + 5014 AOR AR5000A 20200404.0 Alpha RIG_MODEL_AR5000A + 5015 AOR AR7030 Plus 20200319.0 Beta RIG_MODEL_AR7030P + 5016 AOR SR2200 20200404.0 Beta RIG_MODEL_SR2200 + 6005 JRC NRD-525 20200320.0 Alpha RIG_MODEL_NRD525 + 6006 JRC NRD-535D 20200320.0 Stable RIG_MODEL_NRD535 + 6007 JRC NRD-545 DSP 20200320.0 Beta RIG_MODEL_NRD545 + 8001 Uniden BC780xlt 20200621.0 Stable RIG_MODEL_BC780 + 8002 Uniden BC245xlt 20200621.0 Untested RIG_MODEL_BC245 + 8003 Uniden BC895xlt 20200621.0 Untested RIG_MODEL_BC895 + 8004 Radio Shack PRO-2052 20200621.0 Untested RIG_MODEL_PRO2052 + 8006 Uniden BC250D 20200621.0 Untested RIG_MODEL_BC250 + 8010 Uniden BCD-396T 20170808.0 Alpha RIG_MODEL_BCD396T + 8011 Uniden BCD-996T 20170808.0 Alpha RIG_MODEL_BCD996T + 8012 Uniden BC898T 20200621.0 Untested RIG_MODEL_BC898 + 9002 Drake R-8A 20200319.0 Beta RIG_MODEL_DKR8A + 9003 Drake R-8B 20200319.0 Untested RIG_MODEL_DKR8B + 10004 Lowe HF-235 20200112.0 Alpha RIG_MODEL_HF235 + 11003 Racal RA6790/GM 20200113.0 Untested RIG_MODEL_RA6790 + 11005 Racal RA3702 20200114.0 Alpha RIG_MODEL_RA3702 + 12004 Watkins-Johnson WJ-8888 20040912.0 Untested RIG_MODEL_WJ8888 + 14002 Skanti TRP8000 20191208.0 Untested RIG_MODEL_TRP8000 + 14004 Skanti TRP 8255 S R 20200323.0 Untested RIG_MODEL_TRP8255 + 15001 Winradio WR-1000 20110822.0 Untested RIG_MODEL_WR1000 + 15002 Winradio WR-1500 20110822.0 Untested RIG_MODEL_WR1500 + 15003 Winradio WR-1550 20110822.0 Untested RIG_MODEL_WR1550 + 15004 Winradio WR-3100 20110822.0 Untested RIG_MODEL_WR3100 + 15005 Winradio WR-3150 20110822.0 Untested RIG_MODEL_WR3150 + 15006 Winradio WR-3500 20110822.0 Untested RIG_MODEL_WR3500 + 15007 Winradio WR-3700 20110822.0 Untested RIG_MODEL_WR3700 + 15009 Winradio WR-G313 20191224.0 Alpha RIG_MODEL_G313 + 16001 Ten-Tec TT-550 20190817.0 Stable RIG_MODEL_TT550 + 16002 Ten-Tec TT-538 Jupiter 20191209.0 Stable RIG_MODEL_TT538 + 16003 Ten-Tec RX-320 20200113.0 Stable RIG_MODEL_RX320 + 16004 Ten-Tec RX-340 20160409.0 Untested RIG_MODEL_RX340 + 16005 Ten-Tec RX-350 20191208.0 Untested RIG_MODEL_RX350 + 16007 Ten-Tec TT-516 Argonaut V 20191208.0 Stable RIG_MODEL_TT516 + 16008 Ten-Tec TT-565 Orion 20200324.0 Beta RIG_MODEL_TT565 + 16009 Ten-Tec TT-585 Paragon 20200305.0 Beta RIG_MODEL_TT585 + 16011 Ten-Tec TT-588 Omni VII 20200113.0 Stable RIG_MODEL_TT588 + 16012 Ten-Tec RX-331 20200323.0 Beta RIG_MODEL_RX331 + 16013 Ten-Tec TT-599 Eagle 20200324.0 Untested RIG_MODEL_TT599 + 17001 Alinco DX-77 20200323.0 Beta RIG_MODEL_DX77 + 17002 Alinco DX-SR8 20200323.0 Beta RIG_MODEL_DXSR8 + 18001 Kachina 505DSP 20061007.0 Alpha RIG_MODEL_505DSP + 22001 TAPR DSP-10 20061007.0 Alpha RIG_MODEL_DSP10 + 23001 Flex-radio SDR-1000 20200323.0 Untested RIG_MODEL_SDR1000 + 23003 DTTS Microwave Society DttSP IPC 20200319.0 Alpha RIG_MODEL_DTTSP + 23004 DTTS Microwave Society DttSP UDP 20200319.0 Alpha RIG_MODEL_DTTSP_UDP + 24001 RFT EKD-500 20031007.0 Alpha RIG_MODEL_EKD500 + 25001 Elektor Elektor 3/04 20200112.0 Stable RIG_MODEL_ELEKTOR304 + 25002 SAT-Schneider DRT1 20200112.0 Beta RIG_MODEL_DRT1 + 25003 Coding Technologies Digital World Traveller 20200112.0 Untested RIG_MODEL_DWT + 25006 AmQRP DDS-60 20200112.0 Alpha RIG_MODEL_DDS60 + 25007 Elektor Elektor SDR-USB 20200112.0 Stable RIG_MODEL_ELEKTOR507 + 25008 mRS miniVNA 20190817.0 Alpha RIG_MODEL_MINIVNA + 25009 SoftRock Si570 AVR-USB 20200112.0 Beta RIG_MODEL_SI570AVRUSB + 25011 KTH-SDR kit Si570 PIC-USB 20200112.0 Beta RIG_MODEL_SI570PICUSB + 25012 FiFi FiFi-SDR 20200112.0 Beta RIG_MODEL_FIFISDR + 25013 AMSAT-UK FUNcube Dongle 20200112.0 Stable RIG_MODEL_FUNCUBEDONGLE + 25014 N2ADR HiQSDR 20200323.0 Untested RIG_MODEL_HIQSDR + 25015 Funkamateur FA-SDR 20200112.0 Alpha RIG_MODEL_FASDR + 25016 AE9RB Si570 Peaberry V1 20200112.0 Beta RIG_MODEL_SI570PEABERRY1 + 25017 AE9RB Si570 Peaberry V2 20200112.0 Beta RIG_MODEL_SI570PEABERRY2 + 25018 AMSAT-UK FUNcube Dongle Pro+ 20200112.0 Stable RIG_MODEL_FUNCUBEDONGLEPLUS + 25019 HobbyPCB RS-HFIQ 20191209.0 Beta RIG_MODEL_RSHFIQ + 26001 Video4Linux SW/FM radio 20120107.0 Beta RIG_MODEL_V4L + 26002 Video4Linux2 SW/FM radio 20191223.0 Alpha RIG_MODEL_V4L2 + 27001 Rohde&Schwarz ESMC 20090803.0 Alpha RIG_MODEL_ESMC + 27002 Rohde&Schwarz EB200 20090803.0 Untested RIG_MODEL_EB200 + 27003 Rohde&Schwarz XK2100 20180307.0 Beta RIG_MODEL_XK2100 + 28001 Philips/Simoco PRM8060 20101027.0 Alpha RIG_MODEL_PRM8060 + 29001 ADAT www.adat.ch ADT-200A 20191206.0 Beta RIG_MODEL_ADT_200A + 30001 Icom IC-M700PRO 20181007.0 Alpha RIG_MODEL_IC_M700PRO + 30002 Icom IC-M802 20181007.0 Stable RIG_MODEL_IC_M802 + 30003 Icom IC-M710 20181007.0 Stable RIG_MODEL_IC_M710 + 30004 Icom IC-M803 20181007.0 Stable RIG_MODEL_IC_M803 + 31001 Dorji DRA818V 20191209.0 Untested RIG_MODEL_DORJI_DRA818V + 31002 Dorji DRA818U 20191209.0 Untested RIG_MODEL_DORJI_DRA818U + 32001 Barrett 2050 20181111.0 Beta RIG_MODEL_BARRETT_2050 + 33001 ELAD FDM-DUO 20200117.0 Untested RIG_MODEL_ELAD_FDM_DUO diff --git a/var/www/html/setup.php b/var/www/html/setup.php new file mode 100755 index 0000000..1c7d163 --- /dev/null +++ b/var/www/html/setup.php @@ -0,0 +1,171 @@ + + + + + + +DigiPi + + + + + + + + + +
+ DigiPi + + + + +
+
+ + +

DigiPi Initialization

+
+

+Please try to fill out this form completely the first time, as you cannot currently come +back and make changes here later. If you need to make subsequent edits, see +/home/pi/localize.sh for a list of files you can tweak manually. You can +always reflash your SD card and start over if not sure. +

+

+ + +

+ +

+ + + + + + + + + + +
Callsign Base callsign, no sid/suffix
Winlink Password Create Account
APRS Password Generate
Grid Square Find
Lattitude Locate
Longitude Locate
AX.25 Node Pass any alpha-numeric string
Enable FLRig Use FLRig for CAT control
Large Display Check if using PC or large tablet
+
+
+ + + + + +
USB-connected radios only
  Rig number See rig list
  Device file ys991,ic7300=ttyUSB0   ic705=ttyACM0
  Baud rate ys991=38400, ic7300=19200, ic705=115200
+
+
+'; + } + } + else { + echo '
'; + echo 'This Digipi was already initialized.

+ To make changes, please edit the config files manually. Please read /home/pi/localize.sh as a guide.

+ Remove /var/cache/digipi/localized.txt if you know what you\'re doing and would like to see + the submit button here again.'; + echo '
'; + } +} +?> +
+

+
+ +Restarting device.
"; + $IP = $_SERVER['SERVER_ADDR']; + echo "DigiPi will be available at http://$IP/ in approximately one minute.
"; + $output = shell_exec("sudo /sbin/shutdown -r 0"); + echo $output; + } +} + + +if (isset($_POST["submit"])) { + if (strlen($_POST["call"]) > 0) { + $call = addslashes($_POST["call"]); + $output = shell_exec("sudo sed -i 's/NEWCALL=.*/NEWCALL=$call/gi' /home/pi/localize.sh "); + } + if (strlen($_POST["wlpass"]) > 0) { + $wlpass = addslashes($_POST["wlpass"]); + $output = shell_exec("sudo sed -i 's/NEWWLPASS=.*/NEWWLPASS=$wlpass/gi' /home/pi/localize.sh "); + } + if (strlen($_POST["aprspass"]) > 0) { + $aprspass = addslashes($_POST["aprspass"]); + $output = shell_exec("sudo sed -i 's/NEWAPRSPASS=.*/NEWAPRSPASS=$aprspass/gi' /home/pi/localize.sh "); + } + if (strlen($_POST["grid"]) > 0) { + $grid = addslashes($_POST["grid"]); + $output = shell_exec("sudo sed -i 's/NEWGRID=.*/NEWGRID=$grid/gi' /home/pi/localize.sh"); + } + if (strlen($_POST["lat"]) > 0) { + $lat = addslashes($_POST["lat"]); + $output = shell_exec("sudo sed -i 's/NEWLAT=.*/NEWLAT=$lat/gi' /home/pi/localize.sh "); + } + if (strlen($_POST["lon"]) > 0) { + $lon = addslashes($_POST["lon"]); + $output = shell_exec("sudo sed -i 's/NEWLON=.*/NEWLON=$lon/gi' /home/pi/localize.sh "); + } + if (strlen($_POST["nodepass"]) > 0) { + $nodepass = addslashes($_POST["nodepass"]); + $output = shell_exec("sudo sed -i 's/NEWNODEPASS=.*/NEWNODEPASS=$nodepass/gi' /home/pi/localize.sh "); + } + if (strlen($_POST["rignumber"]) > 0) { + $rignumber = addslashes($_POST["rignumber"]); + $output = shell_exec("sudo sed -i 's/NEWRIGNUMBER=.*/NEWRIGNUMBER=$rignumber/gi' /home/pi/localize.sh "); + } + if (strlen($_POST["devicefile"]) > 0) { + $devicefile = addslashes($_POST["devicefile"]); + $output = shell_exec("sudo sed -i 's/NEWDEVICEFILE=.*/NEWDEVICEFILE=$devicefile/gi' /home/pi/localize.sh "); + } + if (strlen($_POST["baudrate"]) > 0) { + $baudrate = addslashes($_POST["baudrate"]); + $output = shell_exec("sudo sed -i 's/NEWBAUDRATE=.*/NEWBAUDRATE=$baudrate/gi' /home/pi/localize.sh "); + } + if (isset($_POST["flrig"])) { + $output = shell_exec("sudo sed -i 's/NEWFLRIG=.*/NEWFLRIG=1/gi' /home/pi/localize.sh "); + } + if (isset($_POST["bigvnc"])) { + $output = shell_exec("sudo sed -i 's/NEWBIGVNC=.*/NEWBIGVNC=1/gi' /home/pi/localize.sh "); + } + + echo 'Changes applied.

'; + echo'
'; + echo ' for changes to take effect.'; + echo'
'; + echo "
"; + echo "
"; + echo "
"; + $output = shell_exec('head -17 /home/pi/localize.sh | tail -13 '); + + $output = shell_exec('sudo /home/pi/localize.sh'); + +} + +?> + +
+ + + +
+ + diff --git a/var/www/html/shell.php b/var/www/html/shell.php new file mode 100755 index 0000000..24c5562 --- /dev/null +++ b/var/www/html/shell.php @@ -0,0 +1,28 @@ + + + +DigiPi Shell + + + + + + + /dev/null 2> /dev/null &" ); + echo "Shell access..."; + time.sleep(2); + $IP = $_SERVER['SERVER_ADDR']; + echo ""; + exit(); + +?> + +
+ + +
+ + + diff --git a/var/www/html/styles/simple.css b/var/www/html/styles/simple.css new file mode 100644 index 0000000..58a06eb --- /dev/null +++ b/var/www/html/styles/simple.css @@ -0,0 +1,290 @@ +/* ----------------------- +Base styles +------------------------*/ + +body +{ + margin: 0; + padding: 5; + color: #333; + background-color: #fdfdfd; +/* font: 1em/1.2 "Helvetica Neue", Helvetica, Arial, Geneva, sans-serif; */ + font: 1em/1.2 "Arial", Arial, Helvetica, Geneva, sans-serif; + + overflow:initial !important; +} + +h1,h2,h3,h4,h5,h6 +{ + margin: 0 0 .5em; + font-weight: 500; + line-height: 1.1; + font: 1em/1.2 "Arial", Arial, Helvetica, Geneva, sans-serif; +} + +h1 { font-size: 2.25em; } /* 36px */ +h2 { font-size: 1.75em; } /* 28px */ +h3 { font-size: 1.375em; } /* 22px */ +h4 { font-size: 1.125em; } /* 18px */ +h5 { font-size: 1em; } /* 16px */ +h6 { font-size: .875em; } /* 14px */ + +p +{ + margin: 0 0 1.5em; + line-height: 1.5; + font: 1em/1.2 "Arial", Arial, Helvetica, Geneva, sans-serif; +} + +div +{ + margin: 0 0 1.5em; + line-height: 1.5; + font: 1em/1.2 "Arial", Arial, Helvetica, Geneva, sans-serif; +} + + +blockquote +{ + padding: 1em 2em; + margin: 0 0 2em; + border-left: 5px solid #eee; +} + +hr +{ + height: 0; + margin-top: 1em; + margin-bottom: 2em; + border: 0; + border-top: 1px solid #ddd; +} + +table +{ + background-color: transparent; + border-spacing: 0; + border-collapse: collapse; + border-top: 1px solid #ddd; +} + +th, td +{ + padding: .2em 1em; + vertical-align: top; + text-align: left; + border-bottom: 1px solid #ddd; + font: 1em/1.2 "Arial", Arial, Helvetica, Geneva, sans-serif; +} + +a { text-decoration:none; } +a:link { color: royalblue; } +a:visited { color: royalblue; } +a:focus { color: black; } +a:hover { color: green; } +a:active { color: red; } + + + +/* ----------------------- +Layout styles +------------------------*/ + +.container +{ + max-width: 70em; + margin: 0 auto; +} + +.header +{ + color: #fff; + background: #999; + padding: 1em 1.25em; +} + +.header-heading { margin: 0; } + +.nav-bar +{ + background: #000; + padding: 0; +} + +.content +{ + /* overflow: hidden; --*/ + overflow: scroll; + padding: 1em 1.25em; + background-color: #fff; +} + +.main, .aside +{ + margin-bottom: 1em; +} + +.footer +{ + color: #fff; + background: #000; + padding: 1em 1.25em; +} + +/* ----------------------- +Nav +------------------------*/ + +.nav +{ + margin: 0; + padding: 0; + list-style: none; +} + +.nav li +{ + display: inline; + margin: 0; +} + +.nav a +{ + display: block; + padding: .7em 1.25em; + color: #fff; + text-decoration: none; + border-bottom: 1px solid gray; +} + +.nav a:link { color: white; } +.nav a:visited { color: white; } + +.nav a:focus +{ + color: black; + background-color: white; +} + +.nav a:hover +{ + color: white; + background-color: green; +} + +.nav a:active +{ + color: white; + background-color: red; +} + +/* ----------------------- +Single styles +------------------------*/ + +.img-responsive { max-width: 100%; } + +.btn +{ + color: #fff !important; + background-color: royalblue; + border-color: #222; + display: inline-block; + padding: .5em 1em; + margin-bottom: 0; + font-weight: 400; + line-height: 1.2; + text-align: center; + white-space: nowrap; + vertical-align: middle; + cursor: pointer; + border: 1px solid transparent; + border-radius: .2em; + text-decoration: none; +} + +.btn:hover +{ + color: #fff !important; + background-color: green; +} + +.btn:focus +{ + color: #fff !important; + background-color: black; +} + +.btn:active +{ + color: #fff !important; + background-color: red; +} + +.table +{ + width: 100%; + max-width: 100%; + margin-bottom: 20px; +} + +.list-unstyled +{ + padding-left: 0; + list-style: none; +} + +.list-inline +{ + padding-left: 0; + margin-left: -5px; + list-style: none; +} + +.list-inline > li +{ + display: inline-block; + padding-right: 5px; + padding-left: 5px; +} + +/* ----------------------- +Wide styles +------------------------*/ + +@media (min-width: 55em) +{ + .header { padding: 1.5em 3em; } + .nav-bar { padding: 1em 3em; } + .content { padding: 2em 3em; } + + .main + { + float: left; + width: 65%; + margin-right: 5%; + margin-bottom: 1em; + } + + .aside + { + float: left; + width: 30%; + margin-bottom: 1em; + } + + .footer { padding: 2em 3em; } + + .nav li + { + display: inline; + margin: 0 1em 0 0; + } + + .nav a + { + display: inline; + padding: 0; + border-bottom: 0; + } +} diff --git a/var/www/html/syslog.php b/var/www/html/syslog.php new file mode 100755 index 0000000..1c1901d --- /dev/null +++ b/var/www/html/syslog.php @@ -0,0 +1,29 @@ + + + +DigiPi + + + + + + + /dev/null 2> /dev/null &" ); +# exec("/usr/local/bin/ttyd -t font=Arial -t fontSize=20 -o -s SIGTERM tail -f /run/direwolf.log > /dev/null 2> /dev/null &" ); + echo "Opening direwolf log..."; + time.sleep(2); + $IP = $_SERVER['SERVER_ADDR']; + echo ""; + exit(); + +?> + +
+ + +
+ + + diff --git a/var/www/html/tv/index.html.orig b/var/www/html/tv/index.html.orig new file mode 100644 index 0000000..ff27276 --- /dev/null +++ b/var/www/html/tv/index.html.orig @@ -0,0 +1,29 @@ + + + + SSTV redirect + + +

Please wait, SSTV starting up... +
+ Click here to redirect now +

+ + + + + + + diff --git a/var/www/html/tv/index.php b/var/www/html/tv/index.php new file mode 100644 index 0000000..f717b35 --- /dev/null +++ b/var/www/html/tv/index.php @@ -0,0 +1,34 @@ + + + + + + DigiPi SSTV redirect + + +

Please wait, SSTV starting up... +
+ Click here to redirect now +

+ + + + + + diff --git a/var/www/html/wifi.php b/var/www/html/wifi.php new file mode 100755 index 0000000..d1ef6ad --- /dev/null +++ b/var/www/html/wifi.php @@ -0,0 +1,81 @@ + + + + +

Wifi Setup

+ + +
+

+ SSID:
+

+

+ PASS:
+

+

+         + +

+
+ + + + "; + echo "Try http://digipi/ in a couple of minutes.
"; + echo "Check your router/firewall DHCP assignments if that doesn't work."; + $output = shell_exec("sudo /home/pi/digibanner.py -b DigiPi -s Rebooting..."); + $output = shell_exec("sudo /sbin/shutdown -r 0"); + echo $output; + } +} + + +?> + +
+ + + + + +