cariboulabs-cariboulite/firmware/top.json

32905 wiersze
1.1 MiB

{
"creator": "Yosys 0.9+4081 (git sha1 83a21814, clang 7.0.1-8+rpi3+deb10u2 -fPIC -Os)",
"modules": {
"ICESTORM_LC": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2068.1-2352.10"
},
"parameter_default_values": {
"ASYNC_SR": "0",
"CARRY_ENABLE": "0",
"CIN_CONST": "0",
"CIN_SET": "0",
"DFF_ENABLE": "0",
"LUT_INIT": "0000000000000000",
"NEG_CLK": "0",
"SET_NORESET": "0"
},
"ports": {
"I0": {
"direction": "input",
"bits": [ 2 ]
},
"I1": {
"direction": "input",
"bits": [ 3 ]
},
"I2": {
"direction": "input",
"bits": [ 4 ]
},
"I3": {
"direction": "input",
"bits": [ 5 ]
},
"CIN": {
"direction": "input",
"bits": [ 6 ]
},
"CLK": {
"direction": "input",
"bits": [ 7 ]
},
"CEN": {
"direction": "input",
"bits": [ 8 ]
},
"SR": {
"direction": "input",
"bits": [ 9 ]
},
"LO": {
"direction": "output",
"bits": [ 10 ]
},
"O": {
"direction": "output",
"bits": [ 11 ]
},
"COUT": {
"direction": "output",
"bits": [ 12 ]
}
},
"cells": {
"$specify$267": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000001101001",
"T_FALL_MIN": "00000000000000000000000001010101",
"T_FALL_TYP": "00000000000000000000000001011110",
"T_RISE_MAX": "00000000000000000000000001111110",
"T_RISE_MIN": "00000000000000000000000001100101",
"T_RISE_TYP": "00000000000000000000000001110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2163.2-2163.43"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 12 ],
"EN": [ "1" ],
"SRC": [ 6 ]
}
},
"$specify$268": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000110000010",
"T_FALL_MIN": "00000000000000000000000100110110",
"T_FALL_TYP": "00000000000000000000000101010111",
"T_RISE_MAX": "00000000000000000000000111000001",
"T_RISE_MIN": "00000000000000000000000101101001",
"T_RISE_TYP": "00000000000000000000000110001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2165.2-2165.41"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 2 ]
}
},
"$specify$269": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000110000010",
"T_FALL_MIN": "00000000000000000000000100110110",
"T_FALL_TYP": "00000000000000000000000101010111",
"T_RISE_MAX": "00000000000000000000000101101101",
"T_RISE_MIN": "00000000000000000000000100100101",
"T_RISE_TYP": "00000000000000000000000101000100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2167.2-2167.42"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 10 ],
"EN": [ "1" ],
"SRC": [ 2 ]
}
},
"$specify$270": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000011110101",
"T_FALL_MIN": "00000000000000000000000011000101",
"T_FALL_TYP": "00000000000000000000000011011010",
"T_RISE_MAX": "00000000000000000000000100000011",
"T_RISE_MIN": "00000000000000000000000011010001",
"T_RISE_TYP": "00000000000000000000000011100111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2169.2-2169.44"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 12 ],
"EN": [ "1" ],
"SRC": [ 3 ]
}
},
"$specify$271": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000101111011",
"T_FALL_MIN": "00000000000000000000000100110000",
"T_FALL_TYP": "00000000000000000000000101010001",
"T_RISE_MAX": "00000000000000000000000110010000",
"T_RISE_MIN": "00000000000000000000000101000001",
"T_RISE_TYP": "00000000000000000000000101100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2171.2-2171.41"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 3 ]
}
},
"$specify$272": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000101111011",
"T_FALL_MIN": "00000000000000000000000100110000",
"T_FALL_TYP": "00000000000000000000000101010001",
"T_RISE_MAX": "00000000000000000000000101000011",
"T_RISE_MIN": "00000000000000000000000100000011",
"T_RISE_TYP": "00000000000000000000000100011111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2173.2-2173.42"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 10 ],
"EN": [ "1" ],
"SRC": [ 3 ]
}
},
"$specify$273": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000010000101",
"T_FALL_MIN": "00000000000000000000000001101011",
"T_FALL_TYP": "00000000000000000000000001110110",
"T_RISE_MAX": "00000000000000000000000011100111",
"T_RISE_MIN": "00000000000000000000000010111010",
"T_RISE_TYP": "00000000000000000000000011001110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2175.2-2175.44"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 12 ],
"EN": [ "1" ],
"SRC": [ 4 ]
}
},
"$specify$274": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000101011111",
"T_FALL_MIN": "00000000000000000000000100011010",
"T_FALL_TYP": "00000000000000000000000100111000",
"T_RISE_MAX": "00000000000000000000000101111011",
"T_RISE_MIN": "00000000000000000000000100110000",
"T_RISE_TYP": "00000000000000000000000101010001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2177.2-2177.41"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 4 ]
}
},
"$specify$275": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000100100000",
"T_FALL_MIN": "00000000000000000000000011100111",
"T_FALL_TYP": "00000000000000000000000100000000",
"T_RISE_MAX": "00000000000000000000000100111100",
"T_RISE_MIN": "00000000000000000000000011111110",
"T_RISE_TYP": "00000000000000000000000100011001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2179.2-2179.42"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 10 ],
"EN": [ "1" ],
"SRC": [ 4 ]
}
},
"$specify$276": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000100100000",
"T_FALL_MIN": "00000000000000000000000011100111",
"T_FALL_TYP": "00000000000000000000000100000000",
"T_RISE_MAX": "00000000000000000000000100111100",
"T_RISE_MIN": "00000000000000000000000011111110",
"T_RISE_TYP": "00000000000000000000000100011001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2181.2-2181.41"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 5 ]
}
},
"$specify$277": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000100010010",
"T_FALL_MIN": "00000000000000000000000011011100",
"T_FALL_TYP": "00000000000000000000000011110011",
"T_RISE_MAX": "00000000000000000000000100001011",
"T_RISE_MIN": "00000000000000000000000011010110",
"T_RISE_TYP": "00000000000000000000000011101101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2183.2-2183.42"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 10 ],
"EN": [ "1" ],
"SRC": [ 5 ]
}
},
"$specify$278": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000000110110010",
"T_FALL_TYP": "00000000000000000000000111100000",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000000110110010",
"T_RISE_TYP": "00000000000000000000000111100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2185.2-2185.59"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x" ],
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 7 ]
}
},
"$specify$279": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001001010111",
"T_FALL_MIN": "00000000000000000000000111100010",
"T_FALL_TYP": "00000000000000000000001000010101",
"T_RISE_MAX": "00000000000000000000001001010111",
"T_RISE_MIN": "00000000000000000000000111100010",
"T_RISE_TYP": "00000000000000000000001000010111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2187.2-2187.41"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 9 ]
}
},
"$specify$280": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000111010110",
"T_LIMIT_MIN": "00000000000000000000000101111010",
"T_LIMIT_TYP": "00000000000000000000000110100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2189.2-2189.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 2 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$281": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000110010000",
"T_LIMIT_MIN": "00000000000000000000000101000001",
"T_LIMIT_TYP": "00000000000000000000000101100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2191.2-2191.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 2 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$282": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000111010110",
"T_LIMIT_MIN": "00000000000000000000000101111010",
"T_LIMIT_TYP": "00000000000000000000000110100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2192.2-2192.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 2 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$283": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000110010000",
"T_LIMIT_MIN": "00000000000000000000000101000001",
"T_LIMIT_TYP": "00000000000000000000000101100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2193.2-2193.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 2 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$284": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000110010000",
"T_LIMIT_MIN": "00000000000000000000000101000001",
"T_LIMIT_TYP": "00000000000000000000000101100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2195.2-2195.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$285": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101111011",
"T_LIMIT_MIN": "00000000000000000000000100110000",
"T_LIMIT_TYP": "00000000000000000000000101010001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2197.2-2197.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$286": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000110010000",
"T_LIMIT_MIN": "00000000000000000000000101000001",
"T_LIMIT_TYP": "00000000000000000000000101100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2198.2-2198.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$287": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101111011",
"T_LIMIT_MIN": "00000000000000000000000100110000",
"T_LIMIT_TYP": "00000000000000000000000101010001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2199.2-2199.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$288": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101110100",
"T_LIMIT_MIN": "00000000000000000000000100101011",
"T_LIMIT_TYP": "00000000000000000000000101001010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2201.2-2201.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 4 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$289": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101000011",
"T_LIMIT_MIN": "00000000000000000000000100000011",
"T_LIMIT_TYP": "00000000000000000000000100011111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2203.2-2203.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 4 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$290": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101110100",
"T_LIMIT_MIN": "00000000000000000000000100101011",
"T_LIMIT_TYP": "00000000000000000000000101001010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2204.2-2204.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 4 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$291": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101000011",
"T_LIMIT_MIN": "00000000000000000000000100000011",
"T_LIMIT_TYP": "00000000000000000000000100011111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2205.2-2205.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 4 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$292": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000011011100",
"T_LIMIT_TYP": "00000000000000000000000011110011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2207.2-2207.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 5 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$293": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011011001",
"T_LIMIT_MIN": "00000000000000000000000010101111",
"T_LIMIT_TYP": "00000000000000000000000010110111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2209.2-2209.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 5 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$294": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000011011100",
"T_LIMIT_TYP": "00000000000000000000000011110011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2210.2-2210.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 5 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$295": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011011001",
"T_LIMIT_MIN": "00000000000000000000000010101111",
"T_LIMIT_TYP": "00000000000000000000000010110111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2211.2-2211.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 5 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$296": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2213.2-2213.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 8 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$297": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2215.2-2215.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 8 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$298": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000010100011",
"T_LIMIT_TYP": "00000000000000000000000010110101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2217.2-2217.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 9 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$299": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010001100",
"T_LIMIT_MIN": "00000000000000000000000001110001",
"T_LIMIT_TYP": "00000000000000000000000001111101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2219.2-2219.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 9 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$300": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000010100011",
"T_LIMIT_TYP": "00000000000000000000000010110101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2220.2-2220.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 9 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$301": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010001100",
"T_LIMIT_MIN": "00000000000000000000000001110001",
"T_LIMIT_TYP": "00000000000000000000000001111101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2221.2-2221.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 9 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
}
},
"netnames": {
"CEN": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.34-2069.37"
}
},
"CIN": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.24-2069.27"
}
},
"CLK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.29-2069.32"
}
},
"COUT": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2072.9-2072.13"
}
},
"I0": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.8-2069.10"
}
},
"I1": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.12-2069.14"
}
},
"I2": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.16-2069.18"
}
},
"I3": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.20-2069.22"
}
},
"LO": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2070.9-2070.11"
}
},
"O": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071.9-2071.10"
}
},
"SR": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.39-2069.41"
}
}
}
},
"ICESTORM_RAM": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3099.1-3434.10"
},
"parameter_default_values": {
"INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"NEG_CLK_R": "0",
"NEG_CLK_W": "0",
"READ_MODE": "00000000000000000000000000000000",
"WRITE_MODE": "00000000000000000000000000000000"
},
"ports": {
"RDATA_15": {
"direction": "output",
"bits": [ 2 ]
},
"RDATA_14": {
"direction": "output",
"bits": [ 3 ]
},
"RDATA_13": {
"direction": "output",
"bits": [ 4 ]
},
"RDATA_12": {
"direction": "output",
"bits": [ 5 ]
},
"RDATA_11": {
"direction": "output",
"bits": [ 6 ]
},
"RDATA_10": {
"direction": "output",
"bits": [ 7 ]
},
"RDATA_9": {
"direction": "output",
"bits": [ 8 ]
},
"RDATA_8": {
"direction": "output",
"bits": [ 9 ]
},
"RDATA_7": {
"direction": "output",
"bits": [ 10 ]
},
"RDATA_6": {
"direction": "output",
"bits": [ 11 ]
},
"RDATA_5": {
"direction": "output",
"bits": [ 12 ]
},
"RDATA_4": {
"direction": "output",
"bits": [ 13 ]
},
"RDATA_3": {
"direction": "output",
"bits": [ 14 ]
},
"RDATA_2": {
"direction": "output",
"bits": [ 15 ]
},
"RDATA_1": {
"direction": "output",
"bits": [ 16 ]
},
"RDATA_0": {
"direction": "output",
"bits": [ 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR_10": {
"direction": "input",
"bits": [ 21 ]
},
"RADDR_9": {
"direction": "input",
"bits": [ 22 ]
},
"RADDR_8": {
"direction": "input",
"bits": [ 23 ]
},
"RADDR_7": {
"direction": "input",
"bits": [ 24 ]
},
"RADDR_6": {
"direction": "input",
"bits": [ 25 ]
},
"RADDR_5": {
"direction": "input",
"bits": [ 26 ]
},
"RADDR_4": {
"direction": "input",
"bits": [ 27 ]
},
"RADDR_3": {
"direction": "input",
"bits": [ 28 ]
},
"RADDR_2": {
"direction": "input",
"bits": [ 29 ]
},
"RADDR_1": {
"direction": "input",
"bits": [ 30 ]
},
"RADDR_0": {
"direction": "input",
"bits": [ 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR_10": {
"direction": "input",
"bits": [ 35 ]
},
"WADDR_9": {
"direction": "input",
"bits": [ 36 ]
},
"WADDR_8": {
"direction": "input",
"bits": [ 37 ]
},
"WADDR_7": {
"direction": "input",
"bits": [ 38 ]
},
"WADDR_6": {
"direction": "input",
"bits": [ 39 ]
},
"WADDR_5": {
"direction": "input",
"bits": [ 40 ]
},
"WADDR_4": {
"direction": "input",
"bits": [ 41 ]
},
"WADDR_3": {
"direction": "input",
"bits": [ 42 ]
},
"WADDR_2": {
"direction": "input",
"bits": [ 43 ]
},
"WADDR_1": {
"direction": "input",
"bits": [ 44 ]
},
"WADDR_0": {
"direction": "input",
"bits": [ 45 ]
},
"MASK_15": {
"direction": "input",
"bits": [ 46 ]
},
"MASK_14": {
"direction": "input",
"bits": [ 47 ]
},
"MASK_13": {
"direction": "input",
"bits": [ 48 ]
},
"MASK_12": {
"direction": "input",
"bits": [ 49 ]
},
"MASK_11": {
"direction": "input",
"bits": [ 50 ]
},
"MASK_10": {
"direction": "input",
"bits": [ 51 ]
},
"MASK_9": {
"direction": "input",
"bits": [ 52 ]
},
"MASK_8": {
"direction": "input",
"bits": [ 53 ]
},
"MASK_7": {
"direction": "input",
"bits": [ 54 ]
},
"MASK_6": {
"direction": "input",
"bits": [ 55 ]
},
"MASK_5": {
"direction": "input",
"bits": [ 56 ]
},
"MASK_4": {
"direction": "input",
"bits": [ 57 ]
},
"MASK_3": {
"direction": "input",
"bits": [ 58 ]
},
"MASK_2": {
"direction": "input",
"bits": [ 59 ]
},
"MASK_1": {
"direction": "input",
"bits": [ 60 ]
},
"MASK_0": {
"direction": "input",
"bits": [ 61 ]
},
"WDATA_15": {
"direction": "input",
"bits": [ 62 ]
},
"WDATA_14": {
"direction": "input",
"bits": [ 63 ]
},
"WDATA_13": {
"direction": "input",
"bits": [ 64 ]
},
"WDATA_12": {
"direction": "input",
"bits": [ 65 ]
},
"WDATA_11": {
"direction": "input",
"bits": [ 66 ]
},
"WDATA_10": {
"direction": "input",
"bits": [ 67 ]
},
"WDATA_9": {
"direction": "input",
"bits": [ 68 ]
},
"WDATA_8": {
"direction": "input",
"bits": [ 69 ]
},
"WDATA_7": {
"direction": "input",
"bits": [ 70 ]
},
"WDATA_6": {
"direction": "input",
"bits": [ 71 ]
},
"WDATA_5": {
"direction": "input",
"bits": [ 72 ]
},
"WDATA_4": {
"direction": "input",
"bits": [ 73 ]
},
"WDATA_3": {
"direction": "input",
"bits": [ 74 ]
},
"WDATA_2": {
"direction": "input",
"bits": [ 75 ]
},
"WDATA_1": {
"direction": "input",
"bits": [ 76 ]
},
"WDATA_0": {
"direction": "input",
"bits": [ 77 ]
}
},
"cells": {
},
"netnames": {
"MASK_0": {
"hide_name": 0,
"bits": [ 61 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.135-3105.141"
}
},
"MASK_1": {
"hide_name": 0,
"bits": [ 60 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.127-3105.133"
}
},
"MASK_10": {
"hide_name": 0,
"bits": [ 51 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.54-3105.61"
}
},
"MASK_11": {
"hide_name": 0,
"bits": [ 50 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.45-3105.52"
}
},
"MASK_12": {
"hide_name": 0,
"bits": [ 49 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.36-3105.43"
}
},
"MASK_13": {
"hide_name": 0,
"bits": [ 48 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.27-3105.34"
}
},
"MASK_14": {
"hide_name": 0,
"bits": [ 47 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.18-3105.25"
}
},
"MASK_15": {
"hide_name": 0,
"bits": [ 46 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.9-3105.16"
}
},
"MASK_2": {
"hide_name": 0,
"bits": [ 59 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.119-3105.125"
}
},
"MASK_3": {
"hide_name": 0,
"bits": [ 58 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.111-3105.117"
}
},
"MASK_4": {
"hide_name": 0,
"bits": [ 57 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.103-3105.109"
}
},
"MASK_5": {
"hide_name": 0,
"bits": [ 56 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.95-3105.101"
}
},
"MASK_6": {
"hide_name": 0,
"bits": [ 55 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.87-3105.93"
}
},
"MASK_7": {
"hide_name": 0,
"bits": [ 54 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.79-3105.85"
}
},
"MASK_8": {
"hide_name": 0,
"bits": [ 53 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.71-3105.77"
}
},
"MASK_9": {
"hide_name": 0,
"bits": [ 52 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3105.63-3105.69"
}
},
"RADDR_0": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3102.100-3102.107"
}
},
"RADDR_1": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3102.91-3102.98"
}
},
"RADDR_10": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3102.9-3102.17"
}
},
"RADDR_2": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3102.82-3102.89"
}
},
"RADDR_3": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3102.73-3102.80"
}
},
"RADDR_4": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3102.64-3102.71"
}
},
"RADDR_5": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3102.55-3102.62"
}
},
"RADDR_6": {
"hide_name": 0,
"bits": [ 25 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3102.46-3102.53"
}
},
"RADDR_7": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3102.37-3102.44"
}
},
"RADDR_8": {
"hide_name": 0,
"bits": [ 23 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3102.28-3102.35"
}
},
"RADDR_9": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3102.19-3102.26"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3101.9-3101.13"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3101.15-3101.20"
}
},
"RDATA_0": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.150-3100.157"
}
},
"RDATA_1": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.141-3100.148"
}
},
"RDATA_10": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.59-3100.67"
}
},
"RDATA_11": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.49-3100.57"
}
},
"RDATA_12": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.39-3100.47"
}
},
"RDATA_13": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.29-3100.37"
}
},
"RDATA_14": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.19-3100.27"
}
},
"RDATA_15": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.9-3100.17"
}
},
"RDATA_2": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.132-3100.139"
}
},
"RDATA_3": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.123-3100.130"
}
},
"RDATA_4": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.114-3100.121"
}
},
"RDATA_5": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.105-3100.112"
}
},
"RDATA_6": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.96-3100.103"
}
},
"RDATA_7": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.87-3100.94"
}
},
"RDATA_8": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.78-3100.85"
}
},
"RDATA_9": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3100.69-3100.76"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3101.22-3101.24"
}
},
"WADDR_0": {
"hide_name": 0,
"bits": [ 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3104.100-3104.107"
}
},
"WADDR_1": {
"hide_name": 0,
"bits": [ 44 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3104.91-3104.98"
}
},
"WADDR_10": {
"hide_name": 0,
"bits": [ 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3104.9-3104.17"
}
},
"WADDR_2": {
"hide_name": 0,
"bits": [ 43 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3104.82-3104.89"
}
},
"WADDR_3": {
"hide_name": 0,
"bits": [ 42 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3104.73-3104.80"
}
},
"WADDR_4": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3104.64-3104.71"
}
},
"WADDR_5": {
"hide_name": 0,
"bits": [ 40 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3104.55-3104.62"
}
},
"WADDR_6": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3104.46-3104.53"
}
},
"WADDR_7": {
"hide_name": 0,
"bits": [ 38 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3104.37-3104.44"
}
},
"WADDR_8": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3104.28-3104.35"
}
},
"WADDR_9": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3104.19-3104.26"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3103.9-3103.13"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3103.15-3103.20"
}
},
"WDATA_0": {
"hide_name": 0,
"bits": [ 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.150-3106.157"
}
},
"WDATA_1": {
"hide_name": 0,
"bits": [ 76 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.141-3106.148"
}
},
"WDATA_10": {
"hide_name": 0,
"bits": [ 67 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.59-3106.67"
}
},
"WDATA_11": {
"hide_name": 0,
"bits": [ 66 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.49-3106.57"
}
},
"WDATA_12": {
"hide_name": 0,
"bits": [ 65 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.39-3106.47"
}
},
"WDATA_13": {
"hide_name": 0,
"bits": [ 64 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.29-3106.37"
}
},
"WDATA_14": {
"hide_name": 0,
"bits": [ 63 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.19-3106.27"
}
},
"WDATA_15": {
"hide_name": 0,
"bits": [ 62 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.9-3106.17"
}
},
"WDATA_2": {
"hide_name": 0,
"bits": [ 75 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.132-3106.139"
}
},
"WDATA_3": {
"hide_name": 0,
"bits": [ 74 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.123-3106.130"
}
},
"WDATA_4": {
"hide_name": 0,
"bits": [ 73 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.114-3106.121"
}
},
"WDATA_5": {
"hide_name": 0,
"bits": [ 72 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.105-3106.112"
}
},
"WDATA_6": {
"hide_name": 0,
"bits": [ 71 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.96-3106.103"
}
},
"WDATA_7": {
"hide_name": 0,
"bits": [ 70 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.87-3106.94"
}
},
"WDATA_8": {
"hide_name": 0,
"bits": [ 69 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.78-3106.85"
}
},
"WDATA_9": {
"hide_name": 0,
"bits": [ 68 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3106.69-3106.76"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3103.22-3103.24"
}
}
}
},
"SB_CARRY": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.1-260.10"
},
"ports": {
"CO": {
"direction": "output",
"bits": [ 2 ]
},
"I0": {
"direction": "input",
"bits": [ 3 ]
},
"I1": {
"direction": "input",
"bits": [ 4 ]
},
"CI": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"CI": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.43-228.45"
}
},
"CO": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.25-228.27"
}
},
"I0": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.35-228.37"
}
},
"I1": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.39-228.41"
}
}
}
},
"SB_DFF": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:265.1-298.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"D": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:267.8-267.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:267.11-267.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:266.13-266.14"
}
}
}
},
"SB_DFFE": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301.1-341.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303.8-303.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303.21-303.22"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303.11-303.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302.13-302.14"
}
}
}
},
"SB_DFFER": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"abc9_box": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:634.1-706.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:636.8-636.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:636.24-636.25"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:636.11-636.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:635.13-635.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:636.21-636.22"
}
}
}
},
"SB_DFFES": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"abc9_box": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:765.1-837.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:767.8-767.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:767.24-767.25"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:767.11-767.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:766.13-766.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:767.21-767.22"
}
}
}
},
"SB_DFFESR": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:578.1-631.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:580.8-580.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:580.24-580.25"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:580.11-580.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:579.13-579.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:580.21-580.22"
}
}
}
},
"SB_DFFESS": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:709.1-762.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:711.8-711.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:711.24-711.25"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:711.11-711.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:710.13-710.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:711.21-711.22"
}
}
}
},
"SB_DFFN": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"abc9_flop": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:842.1-875.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"D": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:844.8-844.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:844.11-844.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:843.13-843.14"
}
}
}
},
"SB_DFFNE": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878.1-918.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:880.8-880.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:880.21-880.22"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:880.11-880.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:879.13-879.14"
}
}
}
},
"SB_DFFNER": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"abc9_box": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1211.1-1283.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213.8-1213.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213.24-1213.25"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213.11-1213.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1212.13-1212.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213.21-1213.22"
}
}
}
},
"SB_DFFNES": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"abc9_box": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1342.1-1415.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1344.8-1344.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1344.24-1344.25"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1344.11-1344.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1343.13-1343.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1344.21-1344.22"
}
}
}
},
"SB_DFFNESR": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"abc9_flop": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155.1-1208.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1157.8-1157.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1157.24-1157.25"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1157.11-1157.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156.13-1156.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1157.21-1157.22"
}
}
}
},
"SB_DFFNESS": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1286.1-1339.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1288.8-1288.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1288.24-1288.25"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1288.11-1288.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1287.13-1287.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1288.21-1288.22"
}
}
}
},
"SB_DFFNR": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"abc9_flop": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:969.1-1035.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:971.8-971.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:971.14-971.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:970.13-970.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:971.11-971.12"
}
}
}
},
"SB_DFFNS": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"abc9_box": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086.1-1152.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088.8-1088.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088.14-1088.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087.13-1087.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088.11-1088.12"
}
}
}
},
"SB_DFFNSR": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"abc9_flop": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:921.1-966.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:923.8-923.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:923.14-923.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:922.13-922.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:923.11-923.12"
}
}
}
},
"SB_DFFNSS": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"abc9_flop": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038.1-1083.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040.8-1040.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040.14-1040.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039.13-1039.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040.11-1040.12"
}
}
}
},
"SB_DFFR": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"abc9_box": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:392.1-458.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:394.8-394.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:394.14-394.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:393.13-393.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:394.11-394.12"
}
}
}
},
"SB_DFFS": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"abc9_box": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:509.1-575.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:511.8-511.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:511.14-511.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:510.13-510.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:511.11-511.12"
}
}
}
},
"SB_DFFSR": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:344.1-389.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:346.8-346.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:346.14-346.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:345.13-345.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:346.11-346.12"
}
}
}
},
"SB_DFFSS": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:461.1-506.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:463.8-463.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:463.14-463.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462.13-462.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:463.11-463.12"
}
}
}
},
"SB_FILTER_50NS": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2788.1-2792.10"
},
"ports": {
"FILTERIN": {
"direction": "input",
"bits": [ 2 ]
},
"FILTEROUT": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
},
"netnames": {
"FILTERIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2789.8-2789.16"
}
},
"FILTEROUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2790.9-2790.18"
}
}
}
},
"SB_GB": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162.1-172.10"
},
"ports": {
"USER_SIGNAL_TO_GLOBAL_BUFFER": {
"direction": "input",
"bits": [ 2 ]
},
"GLOBAL_BUFFER_OUTPUT": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
},
"netnames": {
"GLOBAL_BUFFER_OUTPUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:164.9-164.29"
}
},
"USER_SIGNAL_TO_GLOBAL_BUFFER": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:163.9-163.37"
}
}
}
},
"SB_GB_IO": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:123.1-160.10"
},
"parameter_default_values": {
"IO_STANDARD": "SB_LVCMOS",
"NEG_TRIGGER": "0",
"PIN_TYPE": "000000",
"PULLUP": "0"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"GLOBAL_BUFFER_OUTPUT": {
"direction": "output",
"bits": [ 3 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 4 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 5 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 7 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 9 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 10 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 11 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 12 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:127.9-127.21"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:133.9-133.15"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:134.9-134.15"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:131.9-131.16"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:132.9-132.16"
}
},
"GLOBAL_BUFFER_OUTPUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:125.9-125.29"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:128.9-128.18"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:126.9-126.26"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129.9-129.19"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:130.9-130.22"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:124.9-124.20"
}
}
}
},
"SB_HFOSC": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2596.1-2613.10"
},
"parameter_default_values": {
"CLKHF_DIV": "0b00",
"TRIM_EN": "0b0"
},
"ports": {
"TRIM0": {
"direction": "input",
"bits": [ 2 ]
},
"TRIM1": {
"direction": "input",
"bits": [ 3 ]
},
"TRIM2": {
"direction": "input",
"bits": [ 4 ]
},
"TRIM3": {
"direction": "input",
"bits": [ 5 ]
},
"TRIM4": {
"direction": "input",
"bits": [ 6 ]
},
"TRIM5": {
"direction": "input",
"bits": [ 7 ]
},
"TRIM6": {
"direction": "input",
"bits": [ 8 ]
},
"TRIM7": {
"direction": "input",
"bits": [ 9 ]
},
"TRIM8": {
"direction": "input",
"bits": [ 10 ]
},
"TRIM9": {
"direction": "input",
"bits": [ 11 ]
},
"CLKHFPU": {
"direction": "input",
"bits": [ 12 ]
},
"CLKHFEN": {
"direction": "input",
"bits": [ 13 ]
},
"CLKHF": {
"direction": "output",
"bits": [ 14 ]
}
},
"cells": {
},
"netnames": {
"CLKHF": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2609.9-2609.14"
}
},
"CLKHFEN": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2608.8-2608.15"
}
},
"CLKHFPU": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2607.8-2607.15"
}
},
"TRIM0": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2597.8-2597.13"
}
},
"TRIM1": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2598.8-2598.13"
}
},
"TRIM2": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2599.8-2599.13"
}
},
"TRIM3": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2600.8-2600.13"
}
},
"TRIM4": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2601.8-2601.13"
}
},
"TRIM5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2602.8-2602.13"
}
},
"TRIM6": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2603.8-2603.13"
}
},
"TRIM7": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.8-2604.13"
}
},
"TRIM8": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2605.8-2605.13"
}
},
"TRIM9": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2606.8-2606.13"
}
}
}
},
"SB_I2C": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2665.1-2705.10"
},
"parameter_default_values": {
"BUS_ADDR74": "0b0001",
"I2C_SLAVE_INIT_ADDR": "0b1111100001"
},
"ports": {
"SBCLKI": {
"direction": "input",
"bits": [ 2 ]
},
"SBRWI": {
"direction": "input",
"bits": [ 3 ]
},
"SBSTBI": {
"direction": "input",
"bits": [ 4 ]
},
"SBADRI7": {
"direction": "input",
"bits": [ 5 ]
},
"SBADRI6": {
"direction": "input",
"bits": [ 6 ]
},
"SBADRI5": {
"direction": "input",
"bits": [ 7 ]
},
"SBADRI4": {
"direction": "input",
"bits": [ 8 ]
},
"SBADRI3": {
"direction": "input",
"bits": [ 9 ]
},
"SBADRI2": {
"direction": "input",
"bits": [ 10 ]
},
"SBADRI1": {
"direction": "input",
"bits": [ 11 ]
},
"SBADRI0": {
"direction": "input",
"bits": [ 12 ]
},
"SBDATI7": {
"direction": "input",
"bits": [ 13 ]
},
"SBDATI6": {
"direction": "input",
"bits": [ 14 ]
},
"SBDATI5": {
"direction": "input",
"bits": [ 15 ]
},
"SBDATI4": {
"direction": "input",
"bits": [ 16 ]
},
"SBDATI3": {
"direction": "input",
"bits": [ 17 ]
},
"SBDATI2": {
"direction": "input",
"bits": [ 18 ]
},
"SBDATI1": {
"direction": "input",
"bits": [ 19 ]
},
"SBDATI0": {
"direction": "input",
"bits": [ 20 ]
},
"SCLI": {
"direction": "input",
"bits": [ 21 ]
},
"SDAI": {
"direction": "input",
"bits": [ 22 ]
},
"SBDATO7": {
"direction": "output",
"bits": [ 23 ]
},
"SBDATO6": {
"direction": "output",
"bits": [ 24 ]
},
"SBDATO5": {
"direction": "output",
"bits": [ 25 ]
},
"SBDATO4": {
"direction": "output",
"bits": [ 26 ]
},
"SBDATO3": {
"direction": "output",
"bits": [ 27 ]
},
"SBDATO2": {
"direction": "output",
"bits": [ 28 ]
},
"SBDATO1": {
"direction": "output",
"bits": [ 29 ]
},
"SBDATO0": {
"direction": "output",
"bits": [ 30 ]
},
"SBACKO": {
"direction": "output",
"bits": [ 31 ]
},
"I2CIRQ": {
"direction": "output",
"bits": [ 32 ]
},
"I2CWKUP": {
"direction": "output",
"bits": [ 33 ]
},
"SCLO": {
"direction": "output",
"bits": [ 34 ]
},
"SCLOE": {
"direction": "output",
"bits": [ 35 ]
},
"SDAO": {
"direction": "output",
"bits": [ 36 ]
},
"SDAOE": {
"direction": "output",
"bits": [ 37 ]
}
},
"cells": {
},
"netnames": {
"I2CIRQ": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2696.9-2696.15"
}
},
"I2CWKUP": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2697.9-2697.16"
}
},
"SBACKO": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2695.9-2695.15"
}
},
"SBADRI0": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2676.9-2676.16"
}
},
"SBADRI1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2675.9-2675.16"
}
},
"SBADRI2": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2674.9-2674.16"
}
},
"SBADRI3": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2673.9-2673.16"
}
},
"SBADRI4": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2672.9-2672.16"
}
},
"SBADRI5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2671.9-2671.16"
}
},
"SBADRI6": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2670.9-2670.16"
}
},
"SBADRI7": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2669.9-2669.16"
}
},
"SBCLKI": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2666.9-2666.15"
}
},
"SBDATI0": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2684.9-2684.16"
}
},
"SBDATI1": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2683.9-2683.16"
}
},
"SBDATI2": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2682.9-2682.16"
}
},
"SBDATI3": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2681.9-2681.16"
}
},
"SBDATI4": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2680.9-2680.16"
}
},
"SBDATI5": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2679.9-2679.16"
}
},
"SBDATI6": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2678.9-2678.16"
}
},
"SBDATI7": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2677.9-2677.16"
}
},
"SBDATO0": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2694.9-2694.16"
}
},
"SBDATO1": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2693.9-2693.16"
}
},
"SBDATO2": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2692.9-2692.16"
}
},
"SBDATO3": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2691.9-2691.16"
}
},
"SBDATO4": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2690.9-2690.16"
}
},
"SBDATO5": {
"hide_name": 0,
"bits": [ 25 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2689.9-2689.16"
}
},
"SBDATO6": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2688.9-2688.16"
}
},
"SBDATO7": {
"hide_name": 0,
"bits": [ 23 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2687.9-2687.16"
}
},
"SBRWI": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2667.9-2667.14"
}
},
"SBSTBI": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2668.9-2668.15"
}
},
"SCLI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2685.9-2685.13"
}
},
"SCLO": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2698.9-2698.13"
}
},
"SCLOE": {
"hide_name": 0,
"bits": [ 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2699.9-2699.14"
}
},
"SDAI": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2686.9-2686.13"
}
},
"SDAO": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2700.9-2700.13"
}
},
"SDAOE": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2701.9-2701.14"
}
}
}
},
"SB_IO": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17.1-121.10"
},
"parameter_default_values": {
"IO_STANDARD": "SB_LVCMOS",
"NEG_TRIGGER": "0",
"PIN_TYPE": "000000",
"PULLUP": "0"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 9 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 10 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 11 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:20.9-20.21"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:26.9-26.15"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:27.9-27.15"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:24.9-24.16"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:25.9-25.16"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:21.9-21.18"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:19.9-19.26"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:22.9-22.19"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:23.9-23.22"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:18.9-18.20"
}
}
}
},
"SB_IO_I3C": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2794.1-2861.10"
},
"parameter_default_values": {
"IO_STANDARD": "SB_LVCMOS",
"NEG_TRIGGER": "0",
"PIN_TYPE": "000000",
"PULLUP": "0",
"WEAK_PULLUP": "0"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 9 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 10 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 11 ]
},
"PU_ENB": {
"direction": "input",
"bits": [ 12 ]
},
"WEAK_PU_ENB": {
"direction": "input",
"bits": [ 13 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2797.9-2797.21"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2803.9-2803.15"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2804.9-2804.15"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2801.9-2801.16"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2802.9-2802.16"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2798.9-2798.18"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2796.9-2796.26"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2799.9-2799.19"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2800.9-2800.22"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2795.9-2795.20"
}
},
"PU_ENB": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2805.9-2805.15"
}
},
"WEAK_PU_ENB": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2806.9-2806.20"
}
}
}
},
"SB_IO_OD": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2863.1-2925.10"
},
"parameter_default_values": {
"NEG_TRIGGER": "0",
"PIN_TYPE": "000000"
},
"ports": {
"PACKAGEPIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCKENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUTCLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUTCLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUTENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"DOUT1": {
"direction": "input",
"bits": [ 8 ]
},
"DOUT0": {
"direction": "input",
"bits": [ 9 ]
},
"DIN1": {
"direction": "output",
"bits": [ 10 ]
},
"DIN0": {
"direction": "output",
"bits": [ 11 ]
}
},
"cells": {
},
"netnames": {
"CLOCKENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2866.9-2866.20"
}
},
"DIN0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2873.9-2873.13"
}
},
"DIN1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2872.9-2872.13"
}
},
"DOUT0": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2871.9-2871.14"
}
},
"DOUT1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2870.9-2870.14"
}
},
"INPUTCLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2867.9-2867.17"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2865.9-2865.24"
}
},
"OUTPUTCLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2868.9-2868.18"
}
},
"OUTPUTENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2869.9-2869.21"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2864.9-2864.19"
}
}
}
},
"SB_LEDDA_IP": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2762.1-2785.10"
},
"ports": {
"LEDDCS": {
"direction": "input",
"bits": [ 2 ]
},
"LEDDCLK": {
"direction": "input",
"bits": [ 3 ]
},
"LEDDDAT7": {
"direction": "input",
"bits": [ 4 ]
},
"LEDDDAT6": {
"direction": "input",
"bits": [ 5 ]
},
"LEDDDAT5": {
"direction": "input",
"bits": [ 6 ]
},
"LEDDDAT4": {
"direction": "input",
"bits": [ 7 ]
},
"LEDDDAT3": {
"direction": "input",
"bits": [ 8 ]
},
"LEDDDAT2": {
"direction": "input",
"bits": [ 9 ]
},
"LEDDDAT1": {
"direction": "input",
"bits": [ 10 ]
},
"LEDDDAT0": {
"direction": "input",
"bits": [ 11 ]
},
"LEDDADDR3": {
"direction": "input",
"bits": [ 12 ]
},
"LEDDADDR2": {
"direction": "input",
"bits": [ 13 ]
},
"LEDDADDR1": {
"direction": "input",
"bits": [ 14 ]
},
"LEDDADDR0": {
"direction": "input",
"bits": [ 15 ]
},
"LEDDDEN": {
"direction": "input",
"bits": [ 16 ]
},
"LEDDEXE": {
"direction": "input",
"bits": [ 17 ]
},
"LEDDRST": {
"direction": "input",
"bits": [ 18 ]
},
"PWMOUT0": {
"direction": "output",
"bits": [ 19 ]
},
"PWMOUT1": {
"direction": "output",
"bits": [ 20 ]
},
"PWMOUT2": {
"direction": "output",
"bits": [ 21 ]
},
"LEDDON": {
"direction": "output",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"LEDDADDR0": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2776.8-2776.17"
}
},
"LEDDADDR1": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2775.8-2775.17"
}
},
"LEDDADDR2": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2774.8-2774.17"
}
},
"LEDDADDR3": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2773.8-2773.17"
}
},
"LEDDCLK": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2764.8-2764.15"
}
},
"LEDDCS": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2763.8-2763.14"
}
},
"LEDDDAT0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2772.8-2772.16"
}
},
"LEDDDAT1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2771.8-2771.16"
}
},
"LEDDDAT2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2770.8-2770.16"
}
},
"LEDDDAT3": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2769.8-2769.16"
}
},
"LEDDDAT4": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2768.8-2768.16"
}
},
"LEDDDAT5": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2767.8-2767.16"
}
},
"LEDDDAT6": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2766.8-2766.16"
}
},
"LEDDDAT7": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2765.8-2765.16"
}
},
"LEDDDEN": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2777.8-2777.15"
}
},
"LEDDEXE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2778.8-2778.15"
}
},
"LEDDON": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2783.9-2783.15"
}
},
"LEDDRST": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2779.8-2779.15"
}
},
"PWMOUT0": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2780.9-2780.16"
}
},
"PWMOUT1": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2781.9-2781.16"
}
},
"PWMOUT2": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2782.9-2782.16"
}
}
}
},
"SB_LED_DRV_CUR": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2641.1-2645.10"
},
"ports": {
"EN": {
"direction": "input",
"bits": [ 2 ]
},
"LEDPU": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
},
"netnames": {
"EN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2642.8-2642.10"
}
},
"LEDPU": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2643.9-2643.14"
}
}
}
},
"SB_LFOSC": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2616.1-2621.10"
},
"ports": {
"CLKLFPU": {
"direction": "input",
"bits": [ 2 ]
},
"CLKLFEN": {
"direction": "input",
"bits": [ 3 ]
},
"CLKLF": {
"direction": "output",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"CLKLF": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2619.9-2619.14"
}
},
"CLKLFEN": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2618.8-2618.15"
}
},
"CLKLFPU": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2617.8-2617.15"
}
}
}
},
"SB_LUT4": {
"attributes": {
"abc9_lut": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:177.1-225.10"
},
"parameter_default_values": {
"LUT_INIT": "0000000000000000"
},
"ports": {
"O": {
"direction": "output",
"bits": [ 2 ]
},
"I0": {
"direction": "input",
"bits": [ 3 ]
},
"I1": {
"direction": "input",
"bits": [ 4 ]
},
"I2": {
"direction": "input",
"bits": [ 5 ]
},
"I3": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"I0": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:179.8-179.10"
}
},
"I1": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:180.8-180.10"
}
},
"I2": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:181.8-181.10"
}
},
"I3": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:182.8-182.10"
}
},
"O": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178.9-178.10"
}
}
}
},
"SB_MAC16": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2928.1-3096.10"
},
"parameter_default_values": {
"A_REG": "0",
"A_SIGNED": "0",
"BOTADDSUB_CARRYSELECT": "00",
"BOTADDSUB_LOWERINPUT": "00",
"BOTADDSUB_UPPERINPUT": "0",
"BOTOUTPUT_SELECT": "00",
"BOT_8x8_MULT_REG": "0",
"B_REG": "0",
"B_SIGNED": "0",
"C_REG": "0",
"D_REG": "0",
"MODE_8x8": "0",
"NEG_TRIGGER": "0",
"PIPELINE_16x16_MULT_REG1": "0",
"PIPELINE_16x16_MULT_REG2": "0",
"TOPADDSUB_CARRYSELECT": "00",
"TOPADDSUB_LOWERINPUT": "00",
"TOPADDSUB_UPPERINPUT": "0",
"TOPOUTPUT_SELECT": "00",
"TOP_8x8_MULT_REG": "0"
},
"ports": {
"CLK": {
"direction": "input",
"bits": [ 2 ]
},
"CE": {
"direction": "input",
"bits": [ 3 ]
},
"C": {
"direction": "input",
"bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
},
"A": {
"direction": "input",
"bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
},
"B": {
"direction": "input",
"bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
},
"D": {
"direction": "input",
"bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
},
"AHOLD": {
"direction": "input",
"bits": [ 68 ]
},
"BHOLD": {
"direction": "input",
"bits": [ 69 ]
},
"CHOLD": {
"direction": "input",
"bits": [ 70 ]
},
"DHOLD": {
"direction": "input",
"bits": [ 71 ]
},
"IRSTTOP": {
"direction": "input",
"bits": [ 72 ]
},
"IRSTBOT": {
"direction": "input",
"bits": [ 73 ]
},
"ORSTTOP": {
"direction": "input",
"bits": [ 74 ]
},
"ORSTBOT": {
"direction": "input",
"bits": [ 75 ]
},
"OLOADTOP": {
"direction": "input",
"bits": [ 76 ]
},
"OLOADBOT": {
"direction": "input",
"bits": [ 77 ]
},
"ADDSUBTOP": {
"direction": "input",
"bits": [ 78 ]
},
"ADDSUBBOT": {
"direction": "input",
"bits": [ 79 ]
},
"OHOLDTOP": {
"direction": "input",
"bits": [ 80 ]
},
"OHOLDBOT": {
"direction": "input",
"bits": [ 81 ]
},
"CI": {
"direction": "input",
"bits": [ 82 ]
},
"ACCUMCI": {
"direction": "input",
"bits": [ 83 ]
},
"SIGNEXTIN": {
"direction": "input",
"bits": [ 84 ]
},
"O": {
"direction": "output",
"bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
},
"CO": {
"direction": "output",
"bits": [ 117 ]
},
"ACCUMCO": {
"direction": "output",
"bits": [ 118 ]
},
"SIGNEXTOUT": {
"direction": "output",
"bits": [ 119 ]
}
},
"cells": {
},
"netnames": {
"A": {
"hide_name": 0,
"bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2930.18-2930.19"
}
},
"ACCUMCI": {
"hide_name": 0,
"bits": [ 83 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2937.12-2937.19"
}
},
"ACCUMCO": {
"hide_name": 0,
"bits": [ 118 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2939.13-2939.20"
}
},
"ADDSUBBOT": {
"hide_name": 0,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2935.19-2935.28"
}
},
"ADDSUBTOP": {
"hide_name": 0,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2935.8-2935.17"
}
},
"AHOLD": {
"hide_name": 0,
"bits": [ 68 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2931.8-2931.13"
}
},
"B": {
"hide_name": 0,
"bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2930.21-2930.22"
}
},
"BHOLD": {
"hide_name": 0,
"bits": [ 69 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2931.15-2931.20"
}
},
"C": {
"hide_name": 0,
"bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2930.15-2930.16"
}
},
"CE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2929.13-2929.15"
}
},
"CHOLD": {
"hide_name": 0,
"bits": [ 70 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2931.22-2931.27"
}
},
"CI": {
"hide_name": 0,
"bits": [ 82 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2937.8-2937.10"
}
},
"CLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2929.8-2929.11"
}
},
"CO": {
"hide_name": 0,
"bits": [ 117 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2939.9-2939.11"
}
},
"D": {
"hide_name": 0,
"bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2930.24-2930.25"
}
},
"DHOLD": {
"hide_name": 0,
"bits": [ 71 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2931.29-2931.34"
}
},
"IRSTBOT": {
"hide_name": 0,
"bits": [ 73 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2932.17-2932.24"
}
},
"IRSTTOP": {
"hide_name": 0,
"bits": [ 72 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2932.8-2932.15"
}
},
"O": {
"hide_name": 0,
"bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2938.16-2938.17"
}
},
"OHOLDBOT": {
"hide_name": 0,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2936.18-2936.26"
}
},
"OHOLDTOP": {
"hide_name": 0,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2936.8-2936.16"
}
},
"OLOADBOT": {
"hide_name": 0,
"bits": [ 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2934.18-2934.26"
}
},
"OLOADTOP": {
"hide_name": 0,
"bits": [ 76 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2934.8-2934.16"
}
},
"ORSTBOT": {
"hide_name": 0,
"bits": [ 75 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2933.17-2933.24"
}
},
"ORSTTOP": {
"hide_name": 0,
"bits": [ 74 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2933.8-2933.15"
}
},
"SIGNEXTIN": {
"hide_name": 0,
"bits": [ 84 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2937.21-2937.30"
}
},
"SIGNEXTOUT": {
"hide_name": 0,
"bits": [ 119 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2939.22-2939.32"
}
}
}
},
"SB_PLL40_2F_CORE": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2453.1-2485.10"
},
"parameter_default_values": {
"DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
"DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
"DIVF": "0000000",
"DIVQ": "000",
"DIVR": "0000",
"ENABLE_ICEGATE_PORTA": "0",
"ENABLE_ICEGATE_PORTB": "0",
"EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
"FDA_FEEDBACK": "0000",
"FDA_RELATIVE": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "000",
"PLLOUT_SELECT_PORTA": "GENCLK",
"PLLOUT_SELECT_PORTB": "GENCLK",
"SHIFTREG_DIV_MODE": "0",
"TEST_MODE": "0"
},
"ports": {
"REFERENCECLK": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2462.10-2462.16"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2460.16-2460.28"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2459.10-2459.21"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2464.10-2464.25"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2461.10-2461.14"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2455.10-2455.21"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2457.10-2457.21"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2456.10-2456.23"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2458.10-2458.23"
}
},
"REFERENCECLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2454.10-2454.22"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2463.10-2463.16"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2467.10-2467.14"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2466.10-2466.13"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2465.10-2465.13"
}
}
}
},
"SB_PLL40_2F_PAD": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2488.1-2520.10"
},
"parameter_default_values": {
"DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
"DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
"DIVF": "0000000",
"DIVQ": "000",
"DIVR": "0000",
"ENABLE_ICEGATE_PORTA": "0",
"ENABLE_ICEGATE_PORTB": "0",
"EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
"FDA_FEEDBACK": "0000",
"FDA_RELATIVE": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "000",
"PLLOUT_SELECT_PORTA": "GENCLK",
"PLLOUT_SELECT_PORTB": "GENCLK",
"SHIFTREG_DIV_MODE": "00",
"TEST_MODE": "0"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2497.10-2497.16"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2495.16-2495.28"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2494.10-2494.21"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2499.10-2499.25"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2496.10-2496.14"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2489.10-2489.20"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2490.10-2490.21"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2492.10-2492.21"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2491.10-2491.23"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2493.10-2493.23"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2498.10-2498.16"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2502.10-2502.14"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2501.10-2501.13"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2500.10-2500.13"
}
}
}
},
"SB_PLL40_2_PAD": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2419.1-2450.10"
},
"parameter_default_values": {
"DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
"DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
"DIVF": "0000000",
"DIVQ": "000",
"DIVR": "0000",
"ENABLE_ICEGATE_PORTA": "0",
"ENABLE_ICEGATE_PORTB": "0",
"EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
"FDA_FEEDBACK": "0000",
"FDA_RELATIVE": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "000",
"PLLOUT_SELECT_PORTB": "GENCLK",
"SHIFTREG_DIV_MODE": "0",
"TEST_MODE": "0"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2428.10-2428.16"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2426.16-2426.28"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2425.10-2425.21"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2430.10-2430.25"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2427.10-2427.14"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2420.10-2420.20"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2421.10-2421.21"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2423.10-2423.21"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2422.10-2422.23"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2424.10-2424.23"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2429.10-2429.16"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2433.10-2433.14"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2432.10-2432.13"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2431.10-2431.13"
}
}
}
},
"SB_PLL40_CORE": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2357.1-2385.10"
},
"parameter_default_values": {
"DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
"DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
"DIVF": "0000000",
"DIVQ": "000",
"DIVR": "0000",
"ENABLE_ICEGATE": "0",
"EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
"FDA_FEEDBACK": "0000",
"FDA_RELATIVE": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "000",
"PLLOUT_SELECT": "GENCLK",
"SHIFTREG_DIV_MODE": "0",
"TEST_MODE": "0"
},
"ports": {
"REFERENCECLK": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCORE": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBAL": {
"direction": "output",
"bits": [ 4 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 5 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
},
"LOCK": {
"direction": "output",
"bits": [ 14 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 15 ]
},
"RESETB": {
"direction": "input",
"bits": [ 16 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 17 ]
},
"SDO": {
"direction": "output",
"bits": [ 18 ]
},
"SDI": {
"direction": "input",
"bits": [ 19 ]
},
"SCLK": {
"direction": "input",
"bits": [ 20 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2364.10-2364.16"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2362.16-2362.28"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2361.10-2361.21"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2366.10-2366.25"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2363.10-2363.14"
}
},
"PLLOUTCORE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2359.10-2359.20"
}
},
"PLLOUTGLOBAL": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2360.10-2360.22"
}
},
"REFERENCECLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2358.10-2358.22"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2365.10-2365.16"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2369.10-2369.14"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2368.10-2368.13"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2367.10-2367.13"
}
}
}
},
"SB_PLL40_PAD": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2388.1-2416.10"
},
"parameter_default_values": {
"DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
"DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
"DIVF": "0000000",
"DIVQ": "000",
"DIVR": "0000",
"ENABLE_ICEGATE": "0",
"EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
"FDA_FEEDBACK": "0000",
"FDA_RELATIVE": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "000",
"PLLOUT_SELECT": "GENCLK",
"SHIFTREG_DIV_MODE": "0",
"TEST_MODE": "0"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCORE": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBAL": {
"direction": "output",
"bits": [ 4 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 5 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
},
"LOCK": {
"direction": "output",
"bits": [ 14 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 15 ]
},
"RESETB": {
"direction": "input",
"bits": [ 16 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 17 ]
},
"SDO": {
"direction": "output",
"bits": [ 18 ]
},
"SDI": {
"direction": "input",
"bits": [ 19 ]
},
"SCLK": {
"direction": "input",
"bits": [ 20 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2395.10-2395.16"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2393.16-2393.28"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2392.10-2392.21"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2397.10-2397.25"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2394.10-2394.14"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2389.10-2389.20"
}
},
"PLLOUTCORE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2390.10-2390.20"
}
},
"PLLOUTGLOBAL": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2391.10-2391.22"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2396.10-2396.16"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2400.10-2400.14"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2399.10-2399.13"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2398.10-2398.13"
}
}
}
},
"SB_RAM40_4K": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1419.1-1656.10"
},
"parameter_default_values": {
"INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_FILE": " ",
"READ_MODE": "00000000000000000000000000000000",
"WRITE_MODE": "00000000000000000000000000000000"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1593$386": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1593.33-1593.44"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 78 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1595$387": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1595.34-1595.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 20 ],
"B": [ 19 ],
"Y": [ 79 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1601$388": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1601.34-1601.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 80 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1605$389": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1605.34-1605.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 81 ]
}
},
"$specify$231": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000100010010",
"T_LIMIT_TYP": "00000000000000000000000100010010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1593.3-1593.51"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 78 ],
"SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"SRC_EN": [ "1" ]
}
},
"$specify$232": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1595.3-1595.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ 79 ],
"SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"SRC_EN": [ "1" ]
}
},
"$specify$233": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1597.3-1597.36"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 19 ],
"SRC_EN": [ "1" ]
}
},
"$specify$234": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000001100010",
"T_LIMIT_MIN": "00000000000000000000000001100010",
"T_LIMIT_TYP": "00000000000000000000000001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1599.3-1599.32"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 20 ],
"SRC_EN": [ "1" ]
}
},
"$specify$235": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011100000",
"T_LIMIT_MIN": "00000000000000000000000011100000",
"T_LIMIT_TYP": "00000000000000000000000011100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1601.3-1601.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 80 ],
"SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"SRC_EN": [ "1" ]
}
},
"$specify$236": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1603.3-1603.36"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 33 ],
"SRC_EN": [ "1" ]
}
},
"$specify$237": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100001",
"T_LIMIT_MIN": "00000000000000000000000010100001",
"T_LIMIT_TYP": "00000000000000000000000010100001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1605.3-1605.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 81 ],
"SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"SRC_EN": [ "1" ]
}
},
"$specify$238": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010000101",
"T_LIMIT_MIN": "00000000000000000000000010000101",
"T_LIMIT_TYP": "00000000000000000000000010000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1607.3-1607.33"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 34 ],
"SRC_EN": [ "1" ]
}
},
"$specify$239": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000010000",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000100001100010",
"T_FALL_MIN": "00000000000000000000100001100010",
"T_FALL_TYP": "00000000000000000000100001100010",
"T_RISE_MAX": "00000000000000000000100001100010",
"T_RISE_MIN": "00000000000000000000100001100010",
"T_RISE_TYP": "00000000000000000000100001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1609.3-1609.44"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"EN": [ "1" ],
"SRC": [ 18 ]
}
}
},
"netnames": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1593$386_Y": {
"hide_name": 1,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1593.33-1593.44"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1595$387_Y": {
"hide_name": 1,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1595.34-1595.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1601$388_Y": {
"hide_name": 1,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1601.34-1601.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1605$389_Y": {
"hide_name": 1,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1605.34-1605.45"
}
},
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"defaultvalue": "0000000000000000",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1429.16-1429.20"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1424.16-1424.21"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1421.16-1421.20"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1422.16-1422.21"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1420.16-1420.21"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1423.16-1423.18"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1428.16-1428.21"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1425.16-1425.20"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1426.16-1426.21"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1430.16-1430.21"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1427.16-1427.18"
}
}
}
},
"SB_RAM40_4KNR": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1658.1-1792.10"
},
"parameter_default_values": {
"INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_FILE": " ",
"READ_MODE": "00000000000000000000000000000000",
"WRITE_MODE": "00000000000000000000000000000000"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLKN": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729$390": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729.33-1729.44"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 78 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731$391": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731.35-1731.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 20 ],
"B": [ 19 ],
"Y": [ 79 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737$392": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737.34-1737.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 80 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1741$393": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1741.34-1741.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 81 ]
}
},
"$specify$240": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000100010010",
"T_LIMIT_TYP": "00000000000000000000000100010010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729.3-1729.51"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 78 ],
"SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"SRC_EN": [ "1" ]
}
},
"$specify$241": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731.3-1731.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ 79 ],
"SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"SRC_EN": [ "1" ]
}
},
"$specify$242": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1733.3-1733.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 19 ],
"SRC_EN": [ "1" ]
}
},
"$specify$243": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000001100010",
"T_LIMIT_MIN": "00000000000000000000000001100010",
"T_LIMIT_TYP": "00000000000000000000000001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1735.3-1735.33"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 20 ],
"SRC_EN": [ "1" ]
}
},
"$specify$244": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011100000",
"T_LIMIT_MIN": "00000000000000000000000011100000",
"T_LIMIT_TYP": "00000000000000000000000011100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737.3-1737.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 80 ],
"SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"SRC_EN": [ "1" ]
}
},
"$specify$245": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1739.3-1739.36"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 33 ],
"SRC_EN": [ "1" ]
}
},
"$specify$246": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100001",
"T_LIMIT_MIN": "00000000000000000000000010100001",
"T_LIMIT_TYP": "00000000000000000000000010100001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1741.3-1741.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 81 ],
"SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"SRC_EN": [ "1" ]
}
},
"$specify$247": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010000101",
"T_LIMIT_MIN": "00000000000000000000000010000101",
"T_LIMIT_TYP": "00000000000000000000000010000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1743.3-1743.33"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 34 ],
"SRC_EN": [ "1" ]
}
},
"$specify$248": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000010000",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000100001100010",
"T_FALL_MIN": "00000000000000000000100001100010",
"T_FALL_TYP": "00000000000000000000100001100010",
"T_RISE_MAX": "00000000000000000000100001100010",
"T_RISE_MIN": "00000000000000000000100001100010",
"T_RISE_TYP": "00000000000000000000100001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1745.3-1745.45"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"EN": [ "1" ],
"SRC": [ 18 ]
}
}
},
"netnames": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729$390_Y": {
"hide_name": 1,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729.33-1729.44"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731$391_Y": {
"hide_name": 1,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731.35-1731.46"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737$392_Y": {
"hide_name": 1,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737.34-1737.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1741$393_Y": {
"hide_name": 1,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1741.34-1741.45"
}
},
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"defaultvalue": "0000000000000000",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1668.16-1668.20"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.16-1663.21"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.16-1661.21"
}
},
"RCLKN": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1660.16-1660.21"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1659.16-1659.21"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1662.16-1662.18"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1667.16-1667.21"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1664.16-1664.20"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1665.16-1665.21"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.16-1669.21"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1666.16-1666.18"
}
}
}
},
"SB_RAM40_4KNRNW": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1930.1-2064.10"
},
"parameter_default_values": {
"INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_FILE": " ",
"READ_MODE": "00000000000000000000000000000000",
"WRITE_MODE": "00000000000000000000000000000000"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLKN": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLKN": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001$398": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001.34-2001.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 78 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003$399": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003.35-2003.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 20 ],
"B": [ 19 ],
"Y": [ 79 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009$400": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009.35-2009.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 80 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2013$401": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2013.35-2013.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 81 ]
}
},
"$specify$258": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000100010010",
"T_LIMIT_TYP": "00000000000000000000000100010010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001.3-2001.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 78 ],
"SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"SRC_EN": [ "1" ]
}
},
"$specify$259": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003.3-2003.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ 79 ],
"SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"SRC_EN": [ "1" ]
}
},
"$specify$260": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2005.3-2005.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 19 ],
"SRC_EN": [ "1" ]
}
},
"$specify$261": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000001100010",
"T_LIMIT_MIN": "00000000000000000000000001100010",
"T_LIMIT_TYP": "00000000000000000000000001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2007.3-2007.33"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 20 ],
"SRC_EN": [ "1" ]
}
},
"$specify$262": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011100000",
"T_LIMIT_MIN": "00000000000000000000000011100000",
"T_LIMIT_TYP": "00000000000000000000000011100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009.3-2009.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 80 ],
"SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"SRC_EN": [ "1" ]
}
},
"$specify$263": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2011.3-2011.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 33 ],
"SRC_EN": [ "1" ]
}
},
"$specify$264": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100001",
"T_LIMIT_MIN": "00000000000000000000000010100001",
"T_LIMIT_TYP": "00000000000000000000000010100001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2013.3-2013.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 81 ],
"SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"SRC_EN": [ "1" ]
}
},
"$specify$265": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010000101",
"T_LIMIT_MIN": "00000000000000000000000010000101",
"T_LIMIT_TYP": "00000000000000000000000010000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2015.3-2015.34"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 34 ],
"SRC_EN": [ "1" ]
}
},
"$specify$266": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000010000",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000100001100010",
"T_FALL_MIN": "00000000000000000000100001100010",
"T_FALL_TYP": "00000000000000000000100001100010",
"T_RISE_MAX": "00000000000000000000100001100010",
"T_RISE_MIN": "00000000000000000000100001100010",
"T_RISE_TYP": "00000000000000000000100001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2017.3-2017.45"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"EN": [ "1" ],
"SRC": [ 18 ]
}
}
},
"netnames": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001$398_Y": {
"hide_name": 1,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001.34-2001.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003$399_Y": {
"hide_name": 1,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003.35-2003.46"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009$400_Y": {
"hide_name": 1,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009.35-2009.46"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2013$401_Y": {
"hide_name": 1,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2013.35-2013.46"
}
},
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"defaultvalue": "0000000000000000",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1940.16-1940.20"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935.16-1935.21"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933.16-1933.21"
}
},
"RCLKN": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1932.16-1932.21"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1931.16-1931.21"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1934.16-1934.18"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1939.16-1939.21"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1937.16-1937.21"
}
},
"WCLKN": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1936.16-1936.21"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941.16-1941.21"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1938.16-1938.18"
}
}
}
},
"SB_RAM40_4KNW": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1794.1-1928.10"
},
"parameter_default_values": {
"INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_FILE": " ",
"READ_MODE": "00000000000000000000000000000000",
"WRITE_MODE": "00000000000000000000000000000000"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLKN": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865$394": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865.34-1865.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 78 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867$395": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867.34-1867.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 20 ],
"B": [ 19 ],
"Y": [ 79 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873$396": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873.35-1873.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 80 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1877$397": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1877.35-1877.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 81 ]
}
},
"$specify$249": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000100010010",
"T_LIMIT_TYP": "00000000000000000000000100010010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865.3-1865.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 78 ],
"SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"SRC_EN": [ "1" ]
}
},
"$specify$250": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867.3-1867.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ 79 ],
"SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"SRC_EN": [ "1" ]
}
},
"$specify$251": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1869.3-1869.36"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 19 ],
"SRC_EN": [ "1" ]
}
},
"$specify$252": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000001100010",
"T_LIMIT_MIN": "00000000000000000000000001100010",
"T_LIMIT_TYP": "00000000000000000000000001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1871.3-1871.32"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 20 ],
"SRC_EN": [ "1" ]
}
},
"$specify$253": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011100000",
"T_LIMIT_MIN": "00000000000000000000000011100000",
"T_LIMIT_TYP": "00000000000000000000000011100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873.3-1873.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 80 ],
"SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"SRC_EN": [ "1" ]
}
},
"$specify$254": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1875.3-1875.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 33 ],
"SRC_EN": [ "1" ]
}
},
"$specify$255": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100001",
"T_LIMIT_MIN": "00000000000000000000000010100001",
"T_LIMIT_TYP": "00000000000000000000000010100001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1877.3-1877.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 81 ],
"SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"SRC_EN": [ "1" ]
}
},
"$specify$256": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010000101",
"T_LIMIT_MIN": "00000000000000000000000010000101",
"T_LIMIT_TYP": "00000000000000000000000010000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1879.3-1879.34"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 34 ],
"SRC_EN": [ "1" ]
}
},
"$specify$257": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000010000",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000100001100010",
"T_FALL_MIN": "00000000000000000000100001100010",
"T_FALL_TYP": "00000000000000000000100001100010",
"T_RISE_MAX": "00000000000000000000100001100010",
"T_RISE_MIN": "00000000000000000000100001100010",
"T_RISE_TYP": "00000000000000000000100001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1881.3-1881.44"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"EN": [ "1" ],
"SRC": [ 18 ]
}
}
},
"netnames": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865$394_Y": {
"hide_name": 1,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865.34-1865.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867$395_Y": {
"hide_name": 1,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867.34-1867.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873$396_Y": {
"hide_name": 1,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873.35-1873.46"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1877$397_Y": {
"hide_name": 1,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1877.35-1877.46"
}
},
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"defaultvalue": "0000000000000000",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1804.16-1804.20"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799.16-1799.21"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1796.16-1796.20"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797.16-1797.21"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1795.16-1795.21"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1798.16-1798.18"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1803.16-1803.21"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1801.16-1801.21"
}
},
"WCLKN": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1800.16-1800.21"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805.16-1805.21"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1802.16-1802.18"
}
}
}
},
"SB_RGBA_DRV": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2624.1-2638.10"
},
"parameter_default_values": {
"CURRENT_MODE": "0b0",
"RGB0_CURRENT": "0b000000",
"RGB1_CURRENT": "0b000000",
"RGB2_CURRENT": "0b000000"
},
"ports": {
"CURREN": {
"direction": "input",
"bits": [ 2 ]
},
"RGBLEDEN": {
"direction": "input",
"bits": [ 3 ]
},
"RGB0PWM": {
"direction": "input",
"bits": [ 4 ]
},
"RGB1PWM": {
"direction": "input",
"bits": [ 5 ]
},
"RGB2PWM": {
"direction": "input",
"bits": [ 6 ]
},
"RGB0": {
"direction": "output",
"bits": [ 7 ]
},
"RGB1": {
"direction": "output",
"bits": [ 8 ]
},
"RGB2": {
"direction": "output",
"bits": [ 9 ]
}
},
"cells": {
},
"netnames": {
"CURREN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2625.8-2625.14"
}
},
"RGB0": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2630.9-2630.13"
}
},
"RGB0PWM": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2627.8-2627.15"
}
},
"RGB1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2631.9-2631.13"
}
},
"RGB1PWM": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2628.8-2628.15"
}
},
"RGB2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2632.9-2632.13"
}
},
"RGB2PWM": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2629.8-2629.15"
}
},
"RGBLEDEN": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2626.8-2626.16"
}
}
}
},
"SB_RGB_DRV": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2648.1-2662.10"
},
"parameter_default_values": {
"CURRENT_MODE": "0b0",
"RGB0_CURRENT": "0b000000",
"RGB1_CURRENT": "0b000000",
"RGB2_CURRENT": "0b000000"
},
"ports": {
"RGBLEDEN": {
"direction": "input",
"bits": [ 2 ]
},
"RGB0PWM": {
"direction": "input",
"bits": [ 3 ]
},
"RGB1PWM": {
"direction": "input",
"bits": [ 4 ]
},
"RGB2PWM": {
"direction": "input",
"bits": [ 5 ]
},
"RGBPU": {
"direction": "input",
"bits": [ 6 ]
},
"RGB0": {
"direction": "output",
"bits": [ 7 ]
},
"RGB1": {
"direction": "output",
"bits": [ 8 ]
},
"RGB2": {
"direction": "output",
"bits": [ 9 ]
}
},
"cells": {
},
"netnames": {
"RGB0": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2654.9-2654.13"
}
},
"RGB0PWM": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2650.8-2650.15"
}
},
"RGB1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2655.9-2655.13"
}
},
"RGB1PWM": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2651.8-2651.15"
}
},
"RGB2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2656.9-2656.13"
}
},
"RGB2PWM": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2652.8-2652.15"
}
},
"RGBLEDEN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2649.8-2649.16"
}
},
"RGBPU": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2653.8-2653.13"
}
}
}
},
"SB_SPI": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2708.1-2759.10"
},
"parameter_default_values": {
"BUS_ADDR74": "0b0000"
},
"ports": {
"SBCLKI": {
"direction": "input",
"bits": [ 2 ]
},
"SBRWI": {
"direction": "input",
"bits": [ 3 ]
},
"SBSTBI": {
"direction": "input",
"bits": [ 4 ]
},
"SBADRI7": {
"direction": "input",
"bits": [ 5 ]
},
"SBADRI6": {
"direction": "input",
"bits": [ 6 ]
},
"SBADRI5": {
"direction": "input",
"bits": [ 7 ]
},
"SBADRI4": {
"direction": "input",
"bits": [ 8 ]
},
"SBADRI3": {
"direction": "input",
"bits": [ 9 ]
},
"SBADRI2": {
"direction": "input",
"bits": [ 10 ]
},
"SBADRI1": {
"direction": "input",
"bits": [ 11 ]
},
"SBADRI0": {
"direction": "input",
"bits": [ 12 ]
},
"SBDATI7": {
"direction": "input",
"bits": [ 13 ]
},
"SBDATI6": {
"direction": "input",
"bits": [ 14 ]
},
"SBDATI5": {
"direction": "input",
"bits": [ 15 ]
},
"SBDATI4": {
"direction": "input",
"bits": [ 16 ]
},
"SBDATI3": {
"direction": "input",
"bits": [ 17 ]
},
"SBDATI2": {
"direction": "input",
"bits": [ 18 ]
},
"SBDATI1": {
"direction": "input",
"bits": [ 19 ]
},
"SBDATI0": {
"direction": "input",
"bits": [ 20 ]
},
"MI": {
"direction": "input",
"bits": [ 21 ]
},
"SI": {
"direction": "input",
"bits": [ 22 ]
},
"SCKI": {
"direction": "input",
"bits": [ 23 ]
},
"SCSNI": {
"direction": "input",
"bits": [ 24 ]
},
"SBDATO7": {
"direction": "output",
"bits": [ 25 ]
},
"SBDATO6": {
"direction": "output",
"bits": [ 26 ]
},
"SBDATO5": {
"direction": "output",
"bits": [ 27 ]
},
"SBDATO4": {
"direction": "output",
"bits": [ 28 ]
},
"SBDATO3": {
"direction": "output",
"bits": [ 29 ]
},
"SBDATO2": {
"direction": "output",
"bits": [ 30 ]
},
"SBDATO1": {
"direction": "output",
"bits": [ 31 ]
},
"SBDATO0": {
"direction": "output",
"bits": [ 32 ]
},
"SBACKO": {
"direction": "output",
"bits": [ 33 ]
},
"SPIIRQ": {
"direction": "output",
"bits": [ 34 ]
},
"SPIWKUP": {
"direction": "output",
"bits": [ 35 ]
},
"SO": {
"direction": "output",
"bits": [ 36 ]
},
"SOE": {
"direction": "output",
"bits": [ 37 ]
},
"MO": {
"direction": "output",
"bits": [ 38 ]
},
"MOE": {
"direction": "output",
"bits": [ 39 ]
},
"SCKO": {
"direction": "output",
"bits": [ 40 ]
},
"SCKOE": {
"direction": "output",
"bits": [ 41 ]
},
"MCSNO3": {
"direction": "output",
"bits": [ 42 ]
},
"MCSNO2": {
"direction": "output",
"bits": [ 43 ]
},
"MCSNO1": {
"direction": "output",
"bits": [ 44 ]
},
"MCSNO0": {
"direction": "output",
"bits": [ 45 ]
},
"MCSNOE3": {
"direction": "output",
"bits": [ 46 ]
},
"MCSNOE2": {
"direction": "output",
"bits": [ 47 ]
},
"MCSNOE1": {
"direction": "output",
"bits": [ 48 ]
},
"MCSNOE0": {
"direction": "output",
"bits": [ 49 ]
}
},
"cells": {
},
"netnames": {
"MCSNO0": {
"hide_name": 0,
"bits": [ 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2752.9-2752.15"
}
},
"MCSNO1": {
"hide_name": 0,
"bits": [ 44 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2751.9-2751.15"
}
},
"MCSNO2": {
"hide_name": 0,
"bits": [ 43 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2750.9-2750.15"
}
},
"MCSNO3": {
"hide_name": 0,
"bits": [ 42 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2749.9-2749.15"
}
},
"MCSNOE0": {
"hide_name": 0,
"bits": [ 49 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2756.9-2756.16"
}
},
"MCSNOE1": {
"hide_name": 0,
"bits": [ 48 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2755.9-2755.16"
}
},
"MCSNOE2": {
"hide_name": 0,
"bits": [ 47 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2754.9-2754.16"
}
},
"MCSNOE3": {
"hide_name": 0,
"bits": [ 46 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2753.9-2753.16"
}
},
"MI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2728.9-2728.11"
}
},
"MO": {
"hide_name": 0,
"bits": [ 38 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2745.9-2745.11"
}
},
"MOE": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2746.9-2746.12"
}
},
"SBACKO": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2740.9-2740.15"
}
},
"SBADRI0": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2719.9-2719.16"
}
},
"SBADRI1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2718.9-2718.16"
}
},
"SBADRI2": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2717.9-2717.16"
}
},
"SBADRI3": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2716.9-2716.16"
}
},
"SBADRI4": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2715.9-2715.16"
}
},
"SBADRI5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2714.9-2714.16"
}
},
"SBADRI6": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2713.9-2713.16"
}
},
"SBADRI7": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2712.9-2712.16"
}
},
"SBCLKI": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2709.9-2709.15"
}
},
"SBDATI0": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2727.9-2727.16"
}
},
"SBDATI1": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2726.9-2726.16"
}
},
"SBDATI2": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2725.9-2725.16"
}
},
"SBDATI3": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2724.9-2724.16"
}
},
"SBDATI4": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2723.9-2723.16"
}
},
"SBDATI5": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2722.9-2722.16"
}
},
"SBDATI6": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2721.9-2721.16"
}
},
"SBDATI7": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2720.9-2720.16"
}
},
"SBDATO0": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2739.9-2739.16"
}
},
"SBDATO1": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2738.9-2738.16"
}
},
"SBDATO2": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2737.9-2737.16"
}
},
"SBDATO3": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2736.9-2736.16"
}
},
"SBDATO4": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2735.9-2735.16"
}
},
"SBDATO5": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2734.9-2734.16"
}
},
"SBDATO6": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2733.9-2733.16"
}
},
"SBDATO7": {
"hide_name": 0,
"bits": [ 25 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2732.9-2732.16"
}
},
"SBRWI": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2710.9-2710.14"
}
},
"SBSTBI": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2711.9-2711.15"
}
},
"SCKI": {
"hide_name": 0,
"bits": [ 23 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2730.9-2730.13"
}
},
"SCKO": {
"hide_name": 0,
"bits": [ 40 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2747.9-2747.13"
}
},
"SCKOE": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2748.9-2748.14"
}
},
"SCSNI": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2731.9-2731.14"
}
},
"SI": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2729.9-2729.11"
}
},
"SO": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2743.9-2743.11"
}
},
"SOE": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2744.9-2744.12"
}
},
"SPIIRQ": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2741.9-2741.15"
}
},
"SPIWKUP": {
"hide_name": 0,
"bits": [ 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2742.9-2742.16"
}
}
}
},
"SB_SPRAM256KA": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2532.1-2593.10"
},
"ports": {
"ADDRESS": {
"direction": "input",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"DATAIN": {
"direction": "input",
"bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"MASKWREN": {
"direction": "input",
"bits": [ 32, 33, 34, 35 ]
},
"WREN": {
"direction": "input",
"bits": [ 36 ]
},
"CHIPSELECT": {
"direction": "input",
"bits": [ 37 ]
},
"CLOCK": {
"direction": "input",
"bits": [ 38 ]
},
"STANDBY": {
"direction": "input",
"bits": [ 39 ]
},
"SLEEP": {
"direction": "input",
"bits": [ 40 ]
},
"POWEROFF": {
"direction": "input",
"bits": [ 41 ]
},
"DATAOUT": {
"direction": "output",
"bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
}
},
"cells": {
},
"netnames": {
"ADDRESS": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2533.15-2533.22"
}
},
"CHIPSELECT": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2536.14-2536.24"
}
},
"CLOCK": {
"hide_name": 0,
"bits": [ 38 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2536.26-2536.31"
}
},
"DATAIN": {
"hide_name": 0,
"bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2534.15-2534.21"
}
},
"DATAOUT": {
"hide_name": 0,
"bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2537.20-2537.27"
}
},
"MASKWREN": {
"hide_name": 0,
"bits": [ 32, 33, 34, 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2535.14-2535.22"
}
},
"POWEROFF": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2536.49-2536.57"
}
},
"SLEEP": {
"hide_name": 0,
"bits": [ 40 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2536.42-2536.47"
}
},
"STANDBY": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2536.33-2536.40"
}
},
"WREN": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2536.8-2536.12"
}
}
}
},
"SB_WARMBOOT": {
"attributes": {
"keep": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2525.1-2530.10"
},
"ports": {
"BOOT": {
"direction": "input",
"bits": [ 2 ]
},
"S1": {
"direction": "input",
"bits": [ 3 ]
},
"S0": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"BOOT": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2526.8-2526.12"
}
},
"S0": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2528.8-2528.10"
}
},
"S1": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2527.8-2527.10"
}
}
}
},
"top": {
"attributes": {
"top": "00000000000000000000000000000001",
"src": "top.v:8.1-394.10"
},
"ports": {
"i_glob_clock": {
"direction": "input",
"bits": [ 2 ]
},
"o_rx_h_tx_l": {
"direction": "output",
"bits": [ 3 ]
},
"o_rx_h_tx_l_b": {
"direction": "output",
"bits": [ 4 ]
},
"o_tr_vc1": {
"direction": "output",
"bits": [ 5 ]
},
"o_tr_vc1_b": {
"direction": "output",
"bits": [ 6 ]
},
"o_tr_vc2": {
"direction": "output",
"bits": [ 7 ]
},
"o_shdn_rx_lna": {
"direction": "output",
"bits": [ 8 ]
},
"o_shdn_tx_lna": {
"direction": "output",
"bits": [ 9 ]
},
"o_iq_tx_p": {
"direction": "output",
"bits": [ "x" ]
},
"o_iq_tx_n": {
"direction": "output",
"bits": [ "x" ]
},
"o_iq_tx_clk_p": {
"direction": "output",
"bits": [ "x" ]
},
"o_iq_tx_clk_n": {
"direction": "output",
"bits": [ "x" ]
},
"i_iq_rx_09_p": {
"direction": "input",
"bits": [ 10 ]
},
"i_iq_rx_24_n": {
"direction": "input",
"bits": [ 11 ]
},
"i_iq_rx_clk_p": {
"direction": "input",
"bits": [ 12 ]
},
"o_mixer_fm": {
"direction": "output",
"bits": [ "0" ]
},
"o_mixer_en": {
"direction": "output",
"bits": [ "1" ]
},
"i_config": {
"direction": "input",
"bits": [ 13, 14, 15, 16 ]
},
"i_button": {
"direction": "input",
"bits": [ 17 ]
},
"io_pmod": {
"direction": "input",
"bits": [ 18, 19, 20, 21, 22, 23, 24, 25 ]
},
"o_led0": {
"direction": "output",
"bits": [ 26 ]
},
"o_led1": {
"direction": "output",
"bits": [ 27 ]
},
"i_smi_a1": {
"direction": "input",
"bits": [ 28 ]
},
"i_smi_a2": {
"direction": "input",
"bits": [ 29 ]
},
"i_smi_a3": {
"direction": "input",
"bits": [ 30 ]
},
"i_smi_soe_se": {
"direction": "input",
"bits": [ 31 ]
},
"i_smi_swe_srw": {
"direction": "input",
"bits": [ 32 ]
},
"io_smi_data": {
"direction": "output",
"bits": [ 33, 34, 35, 36, 37, 38, 39, 40 ]
},
"o_smi_write_req": {
"direction": "output",
"bits": [ 41 ]
},
"o_smi_read_req": {
"direction": "output",
"bits": [ 42 ]
},
"i_mosi": {
"direction": "input",
"bits": [ 43 ]
},
"i_sck": {
"direction": "input",
"bits": [ 44 ]
},
"i_ss": {
"direction": "input",
"bits": [ 45 ]
},
"o_miso": {
"direction": "output",
"bits": [ 46 ]
}
},
"cells": {
"i_button_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 47 ],
"I2": [ 17 ],
"I3": [ 48 ],
"O": [ 49 ]
}
},
"i_button_SB_LUT4_I2_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 50 ],
"I2": [ 51 ],
"I3": [ 52 ],
"O": [ 47 ]
}
},
"i_smi_a1_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111101000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 28 ],
"I1": [ 30 ],
"I2": [ 29 ],
"I3": [ 53 ],
"O": [ 54 ]
}
},
"i_smi_a1_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001010000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 53 ],
"I1": [ 28 ],
"I2": [ 29 ],
"I3": [ 30 ],
"O": [ 55 ]
}
},
"i_smi_a2_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 29 ],
"I2": [ 28 ],
"I3": [ 30 ],
"O": [ 56 ]
}
},
"i_ss_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 45 ],
"O": [ 57 ]
}
},
"io_ctrl_ins.debug_mode_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 59 ],
"E": [ 60 ],
"Q": [ 61 ],
"R": [ 53 ]
}
},
"io_ctrl_ins.debug_mode_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 62 ],
"E": [ 60 ],
"Q": [ 63 ],
"R": [ 53 ]
}
},
"io_ctrl_ins.debug_mode_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000010111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 63 ],
"I1": [ 64 ],
"I2": [ 65 ],
"I3": [ 61 ],
"O": [ 66 ]
}
},
"io_ctrl_ins.debug_mode_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 63 ],
"I3": [ 61 ],
"O": [ 67 ]
}
},
"io_ctrl_ins.i_cs_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 68 ],
"E": [ 69 ],
"Q": [ 70 ],
"R": [ 71 ]
}
},
"io_ctrl_ins.led0_state_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 62 ],
"E": [ 72 ],
"Q": [ 26 ],
"R": [ 53 ]
}
},
"io_ctrl_ins.led0_state_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101110111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 73 ],
"I1": [ 63 ],
"I2": [ 47 ],
"I3": [ 26 ],
"O": [ 74 ]
}
},
"io_ctrl_ins.led1_state_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 59 ],
"E": [ 72 ],
"Q": [ 27 ],
"R": [ 53 ]
}
},
"io_ctrl_ins.led1_state_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 75 ],
"I2": [ 47 ],
"I3": [ 53 ],
"O": [ 72 ]
}
},
"io_ctrl_ins.led1_state_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101110111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 73 ],
"I1": [ 61 ],
"I2": [ 47 ],
"I3": [ 27 ],
"O": [ 76 ]
}
},
"io_ctrl_ins.led1_state_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 77 ],
"I1": [ 8 ],
"I2": [ 50 ],
"I3": [ 78 ],
"O": [ 79 ]
}
},
"io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 80 ],
"E": [ 66 ],
"Q": [ 8 ]
}
},
"io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 81 ],
"I2": [ 64 ],
"I3": [ 67 ],
"O": [ 80 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 82 ],
"E": [ 66 ],
"Q": [ 9 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011101111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 64 ],
"I1": [ 65 ],
"I2": [ 83 ],
"I3": [ 67 ],
"O": [ 82 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 84 ],
"I1": [ 9 ],
"I2": [ 50 ],
"I3": [ 78 ],
"O": [ 85 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 86 ],
"I2": [ 73 ],
"I3": [ 85 ],
"O": [ 87 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011111111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 51 ],
"I2": [ 50 ],
"I3": [ 52 ],
"O": [ 88 ]
}
},
"io_ctrl_ins.mixer_en_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 89 ],
"E": [ 66 ],
"Q": [ 90 ]
}
},
"io_ctrl_ins.mixer_en_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011110010101010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 91 ],
"I1": [ 65 ],
"I2": [ 64 ],
"I3": [ 67 ],
"O": [ 89 ]
}
},
"io_ctrl_ins.mixer_en_state_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 92 ],
"I1": [ 90 ],
"I2": [ 50 ],
"I3": [ 78 ],
"O": [ 93 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 94 ],
"E": [ 95 ],
"Q": [ 96 ],
"R": [ 97 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 98 ],
"E": [ 95 ],
"Q": [ 99 ],
"R": [ 97 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 100 ],
"I1": [ 88 ],
"I2": [ 101 ],
"I3": [ 102 ],
"O": [ 98 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 49 ],
"E": [ 95 ],
"Q": [ 103 ],
"R": [ 97 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 104 ],
"E": [ 105 ],
"Q": [ 106 ],
"R": [ 107 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010111111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 108 ],
"I1": [ 88 ],
"I2": [ 79 ],
"I3": [ 76 ],
"O": [ 104 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 109 ],
"E": [ 105 ],
"Q": [ 110 ],
"R": [ 107 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_4_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010111111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 111 ],
"I1": [ 88 ],
"I2": [ 112 ],
"I3": [ 113 ],
"O": [ 109 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 114 ],
"E": [ 105 ],
"Q": [ 115 ],
"R": [ 107 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010111111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 116 ],
"I1": [ 88 ],
"I2": [ 117 ],
"I3": [ 118 ],
"O": [ 114 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 119 ],
"E": [ 120 ],
"Q": [ 121 ],
"R": [ 122 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 88 ],
"I2": [ 123 ],
"I3": [ 87 ],
"O": [ 119 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 124 ],
"I2": [ 122 ],
"I3": [ 125 ],
"O": [ 120 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 126 ],
"I1": [ 88 ],
"I2": [ 127 ],
"I3": [ 128 ],
"O": [ 94 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 50 ],
"I1": [ 124 ],
"I2": [ 97 ],
"I3": [ 125 ],
"O": [ 95 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_R_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 52 ],
"I2": [ 51 ],
"I3": [ 78 ],
"O": [ 97 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESS_Q": {
"hide_name": 0,
"type": "SB_DFFESS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 129 ],
"E": [ 105 ],
"Q": [ 130 ],
"S": [ 107 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESS_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010111111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 131 ],
"I1": [ 88 ],
"I2": [ 93 ],
"I3": [ 74 ],
"O": [ 129 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESS_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111110000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 78 ],
"I2": [ 51 ],
"I3": [ 125 ],
"O": [ 105 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESS_Q_S_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 52 ],
"I2": [ 51 ],
"I3": [ 122 ],
"O": [ 107 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 132 ],
"E": [ 133 ],
"Q": [ 134 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 135 ],
"E": [ 133 ],
"Q": [ 100 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 136 ],
"E": [ 133 ],
"Q": [ 126 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 137 ],
"E": [ 133 ],
"Q": [ 116 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 138 ],
"E": [ 133 ],
"Q": [ 111 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 139 ],
"E": [ 133 ],
"Q": [ 123 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 59 ],
"E": [ 133 ],
"Q": [ 108 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 62 ],
"E": [ 133 ],
"Q": [ 131 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 132 ],
"E": [ 140 ],
"Q": [ 141 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 135 ],
"E": [ 140 ],
"Q": [ 142 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 136 ],
"E": [ 140 ],
"Q": [ 143 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 137 ],
"E": [ 140 ],
"Q": [ 144 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 138 ],
"E": [ 140 ],
"Q": [ 145 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 139 ],
"E": [ 140 ],
"Q": [ 84 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 59 ],
"E": [ 140 ],
"Q": [ 77 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 62 ],
"E": [ 140 ],
"Q": [ 92 ]
}
},
"io_ctrl_ins.rf_mode_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 137 ],
"E": [ 60 ],
"Q": [ 65 ],
"R": [ 53 ]
}
},
"io_ctrl_ins.rf_mode_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 138 ],
"E": [ 60 ],
"Q": [ 64 ],
"R": [ 53 ]
}
},
"io_ctrl_ins.rf_mode_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 139 ],
"E": [ 60 ],
"Q": [ 86 ],
"R": [ 53 ]
}
},
"io_ctrl_ins.rf_mode_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 73 ],
"I2": [ 75 ],
"I3": [ 53 ],
"O": [ 60 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 132 ],
"E": [ 146 ],
"Q": [ 147 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 135 ],
"E": [ 146 ],
"Q": [ 148 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 136 ],
"E": [ 146 ],
"Q": [ 149 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 137 ],
"E": [ 146 ],
"Q": [ 150 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 138 ],
"E": [ 146 ],
"Q": [ 151 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 139 ],
"E": [ 146 ],
"Q": [ 83 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 59 ],
"E": [ 146 ],
"Q": [ 81 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:109.5-204.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 62 ],
"E": [ 146 ],
"Q": [ 91 ]
}
},
"io_ctrl_ins.rx_h_b_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 152 ],
"E": [ 66 ],
"Q": [ 4 ]
}
},
"io_ctrl_ins.rx_h_b_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 67 ],
"I2": [ 148 ],
"I3": [ 153 ],
"O": [ 152 ]
}
},
"io_ctrl_ins.rx_h_b_state_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 142 ],
"I1": [ 4 ],
"I2": [ 50 ],
"I3": [ 78 ],
"O": [ 102 ]
}
},
"io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 47 ],
"I3": [ 16 ],
"O": [ 101 ]
}
},
"io_ctrl_ins.rx_h_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 154 ],
"E": [ 66 ],
"Q": [ 3 ]
}
},
"io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 147 ],
"I2": [ 67 ],
"I3": [ 153 ],
"O": [ 154 ]
}
},
"io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010100000101010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 67 ],
"I1": [ 86 ],
"I2": [ 65 ],
"I3": [ 64 ],
"O": [ 153 ]
}
},
"io_ctrl_ins.rx_h_state_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 141 ],
"I1": [ 3 ],
"I2": [ 50 ],
"I3": [ 78 ],
"O": [ 155 ]
}
},
"io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 52 ],
"I1": [ 156 ],
"I2": [ 157 ],
"I3": [ 158 ],
"O": [ 78 ]
}
},
"io_ctrl_ins.rx_h_state_SB_LUT4_I1_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 134 ],
"I2": [ 88 ],
"I3": [ 155 ],
"O": [ 48 ]
}
},
"io_ctrl_ins.tr_vc_1_b_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 159 ],
"E": [ 66 ],
"Q": [ 6 ]
}
},
"io_ctrl_ins.tr_vc_1_b_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 67 ],
"I2": [ 150 ],
"I3": [ 160 ],
"O": [ 159 ]
}
},
"io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 144 ],
"I1": [ 6 ],
"I2": [ 50 ],
"I3": [ 78 ],
"O": [ 117 ]
}
},
"io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101110111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 73 ],
"I1": [ 65 ],
"I2": [ 47 ],
"I3": [ 14 ],
"O": [ 118 ]
}
},
"io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 161 ],
"E": [ 66 ],
"Q": [ 5 ]
}
},
"io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 149 ],
"I2": [ 67 ],
"I3": [ 160 ],
"O": [ 161 ]
}
},
"io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 86 ],
"I1": [ 65 ],
"I2": [ 64 ],
"I3": [ 67 ],
"O": [ 160 ]
}
},
"io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 143 ],
"I1": [ 5 ],
"I2": [ 50 ],
"I3": [ 78 ],
"O": [ 128 ]
}
},
"io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 47 ],
"I3": [ 15 ],
"O": [ 127 ]
}
},
"io_ctrl_ins.tr_vc_2_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 162 ],
"E": [ 66 ],
"Q": [ 7 ]
}
},
"io_ctrl_ins.tr_vc_2_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011110010101010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 151 ],
"I1": [ 65 ],
"I2": [ 64 ],
"I3": [ 67 ],
"O": [ 162 ]
}
},
"io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 145 ],
"I1": [ 7 ],
"I2": [ 50 ],
"I3": [ 78 ],
"O": [ 112 ]
}
},
"io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101110111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 73 ],
"I1": [ 64 ],
"I2": [ 47 ],
"I3": [ 13 ],
"O": [ 113 ]
}
},
"io_smi_data_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 30 ],
"I3": [ 163 ],
"O": [ 40 ]
}
},
"io_smi_data_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 30 ],
"I3": [ 164 ],
"O": [ 39 ]
}
},
"io_smi_data_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 30 ],
"I3": [ 165 ],
"O": [ 38 ]
}
},
"io_smi_data_SB_LUT4_O_3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 30 ],
"I3": [ 166 ],
"O": [ 37 ]
}
},
"io_smi_data_SB_LUT4_O_4": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 30 ],
"I3": [ 167 ],
"O": [ 36 ]
}
},
"io_smi_data_SB_LUT4_O_5": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 30 ],
"I3": [ 168 ],
"O": [ 35 ]
}
},
"io_smi_data_SB_LUT4_O_6": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 30 ],
"I3": [ 169 ],
"O": [ 34 ]
}
},
"iq_rx_09": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"IO_STANDARD": "SB_LVDS_INPUT",
"NEG_TRIGGER": "1",
"PIN_TYPE": "000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:228.6-232.36"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_IN_0": "output",
"D_IN_1": "output",
"INPUT_CLK": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_IN_0": [ 170 ],
"D_IN_1": [ 171 ],
"INPUT_CLK": [ 172 ],
"PACKAGE_PIN": [ 10 ]
}
},
"iq_rx_24": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"IO_STANDARD": "SB_LVDS_INPUT",
"NEG_TRIGGER": "1",
"PIN_TYPE": "000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:215.6-220.36"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_IN_0": "output",
"D_IN_1": "output",
"INPUT_CLK": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_IN_0": [ 173 ],
"D_IN_1": [ 174 ],
"INPUT_CLK": [ 172 ],
"PACKAGE_PIN": [ 11 ]
}
},
"iq_rx_clk": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"IO_STANDARD": "SB_LVDS_INPUT",
"PIN_TYPE": "000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:195.6-197.30"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_IN_0": "output",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_IN_0": [ 172 ],
"PACKAGE_PIN": [ 12 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 175 ],
"E": [ 176 ],
"Q": [ 177 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 178 ],
"E": [ 176 ],
"Q": [ 179 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_10": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 180 ],
"E": [ 176 ],
"Q": [ 181 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_11": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 182 ],
"E": [ 176 ],
"Q": [ 183 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_12": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 184 ],
"E": [ 176 ],
"Q": [ 185 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_13": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 186 ],
"E": [ 176 ],
"Q": [ 187 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_14": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 188 ],
"E": [ 176 ],
"Q": [ 189 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_15": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 190 ],
"E": [ 176 ],
"Q": [ 191 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_16": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 192 ],
"E": [ 176 ],
"Q": [ 193 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_17": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 194 ],
"E": [ 176 ],
"Q": [ 195 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_18": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 196 ],
"E": [ 176 ],
"Q": [ 197 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_19": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 198 ],
"E": [ 176 ],
"Q": [ 199 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 200 ],
"E": [ 176 ],
"Q": [ 201 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_20": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 202 ],
"E": [ 176 ],
"Q": [ 203 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_21": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 204 ],
"E": [ 176 ],
"Q": [ 205 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_22": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 206 ],
"E": [ 176 ],
"Q": [ 207 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_23": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 208 ],
"E": [ 176 ],
"Q": [ 209 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_24": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 210 ],
"E": [ 176 ],
"Q": [ 211 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_25": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 212 ],
"E": [ 176 ],
"Q": [ 213 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_26": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 214 ],
"E": [ 176 ],
"Q": [ 215 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_27": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 216 ],
"E": [ 176 ],
"Q": [ 217 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_28": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 218 ],
"E": [ 176 ],
"Q": [ 219 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_29": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 220 ],
"E": [ 176 ],
"Q": [ 221 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 222 ],
"E": [ 176 ],
"Q": [ 223 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_30": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 171 ],
"E": [ 176 ],
"Q": [ 224 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_31": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 170 ],
"E": [ 176 ],
"Q": [ 225 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 226 ],
"E": [ 176 ],
"Q": [ 227 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 228 ],
"E": [ 176 ],
"Q": [ 229 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 230 ],
"E": [ 176 ],
"Q": [ 231 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 232 ],
"E": [ 176 ],
"Q": [ 233 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_8": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 234 ],
"E": [ 176 ],
"Q": [ 235 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_9": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 236 ],
"E": [ 176 ],
"Q": [ 237 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 238 ],
"Q": [ 239 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100000100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 240 ],
"I1": [ 241 ],
"I2": [ 242 ],
"I3": [ 239 ],
"O": [ 243 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 53 ],
"I3": [ 239 ],
"O": [ 244 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 53 ],
"I3": [ 239 ],
"O": [ 245 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I0_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 246 ],
"CO": [ 240 ],
"I0": [ "0" ],
"I1": [ 247 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I0_SB_CARRY_CO_1": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 248 ],
"CO": [ 246 ],
"I0": [ "0" ],
"I1": [ 249 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I0_SB_CARRY_CO_2": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 250 ],
"CO": [ 248 ],
"I0": [ "0" ],
"I1": [ 251 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I0_SB_CARRY_CO_3": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 252 ],
"CO": [ 250 ],
"I0": [ "0" ],
"I1": [ 253 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I0_SB_CARRY_CO_4": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 254 ],
"CO": [ 252 ],
"I0": [ "0" ],
"I1": [ 255 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I0_SB_CARRY_CO_5": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 256 ],
"CO": [ 254 ],
"I0": [ "0" ],
"I1": [ 257 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I0_SB_CARRY_CO_6": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 258 ],
"CO": [ 256 ],
"I0": [ "0" ],
"I1": [ 259 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I0_SB_CARRY_CO_7": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 260 ],
"CO": [ 258 ],
"I0": [ "0" ],
"I1": [ 261 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 247 ],
"I3": [ 246 ],
"O": [ 242 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 249 ],
"I3": [ 248 ],
"O": [ 262 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I2_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 251 ],
"I3": [ 250 ],
"O": [ 263 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I2_SB_LUT4_O_3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 253 ],
"I3": [ 252 ],
"O": [ 264 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I2_SB_LUT4_O_4": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 255 ],
"I3": [ 254 ],
"O": [ 265 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I2_SB_LUT4_O_5": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 257 ],
"I3": [ 256 ],
"O": [ 266 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I2_SB_LUT4_O_6": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 259 ],
"I3": [ 258 ],
"O": [ 267 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I2_SB_LUT4_O_7": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 261 ],
"I3": [ 260 ],
"O": [ 268 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 269 ],
"I1": [ 268 ],
"I2": [ 270 ],
"I3": [ 243 ],
"O": [ 271 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000000001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 263 ],
"I1": [ 272 ],
"I2": [ 273 ],
"I3": [ 262 ],
"O": [ 274 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 275 ],
"I1": [ 265 ],
"I2": [ 276 ],
"I3": [ 264 ],
"O": [ 277 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100000110000010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 260 ],
"I1": [ 278 ],
"I2": [ 266 ],
"I3": [ 279 ],
"O": [ 280 ]
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000000001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 272 ],
"I1": [ 263 ],
"I2": [ 281 ],
"I3": [ 267 ],
"O": [ 270 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 200 ],
"E": [ 282 ],
"Q": [ 175 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 222 ],
"E": [ 282 ],
"Q": [ 178 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_10": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 184 ],
"E": [ 282 ],
"Q": [ 180 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_11": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 186 ],
"E": [ 282 ],
"Q": [ 182 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_12": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 188 ],
"E": [ 282 ],
"Q": [ 184 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_13": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 190 ],
"E": [ 282 ],
"Q": [ 186 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_14": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 192 ],
"E": [ 282 ],
"Q": [ 188 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_15": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 194 ],
"E": [ 282 ],
"Q": [ 190 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_16": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 196 ],
"E": [ 282 ],
"Q": [ 192 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_17": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 198 ],
"E": [ 282 ],
"Q": [ 194 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_18": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 202 ],
"E": [ 282 ],
"Q": [ 196 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_19": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 204 ],
"E": [ 282 ],
"Q": [ 198 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 226 ],
"E": [ 282 ],
"Q": [ 200 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_20": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 206 ],
"E": [ 282 ],
"Q": [ 202 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_21": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 208 ],
"E": [ 282 ],
"Q": [ 204 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_22": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 210 ],
"E": [ 282 ],
"Q": [ 206 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_23": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 212 ],
"E": [ 282 ],
"Q": [ 208 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_24": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 214 ],
"E": [ 282 ],
"Q": [ 210 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_25": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 216 ],
"E": [ 282 ],
"Q": [ 212 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_26": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 218 ],
"E": [ 282 ],
"Q": [ 214 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_27": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 220 ],
"E": [ 282 ],
"Q": [ 216 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_28": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 171 ],
"E": [ 282 ],
"Q": [ 218 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_29": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 170 ],
"E": [ 282 ],
"Q": [ 220 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 228 ],
"E": [ 282 ],
"Q": [ 222 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 230 ],
"E": [ 282 ],
"Q": [ 226 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 232 ],
"E": [ 282 ],
"Q": [ 228 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 234 ],
"E": [ 282 ],
"Q": [ 230 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 236 ],
"E": [ 282 ],
"Q": [ 232 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 180 ],
"E": [ 282 ],
"Q": [ 234 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_9": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 182 ],
"E": [ 282 ],
"Q": [ 236 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_phase_count_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:259.12-279.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 283 ],
"CO": [ 284 ],
"I0": [ 285 ],
"I1": [ "1" ]
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 285 ],
"I2": [ "1" ],
"I3": [ 283 ],
"O": [ 286 ]
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 287 ],
"O": [ 285 ]
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 288 ],
"O": [ 283 ]
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 289 ],
"E": [ 290 ],
"Q": [ 288 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100111101000100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 288 ],
"I1": [ 291 ],
"I2": [ 292 ],
"I3": [ 293 ],
"O": [ 289 ]
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001011001101001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 294 ],
"I1": [ "0" ],
"I2": [ "1" ],
"I3": [ 284 ],
"O": [ 295 ]
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 296 ],
"E": [ 290 ],
"Q": [ 294 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 297 ],
"E": [ 290 ],
"Q": [ 287 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 292 ],
"I1": [ 293 ],
"I2": [ 286 ],
"I3": [ 291 ],
"O": [ 297 ]
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 292 ],
"I1": [ 293 ],
"I2": [ 295 ],
"I3": [ 291 ],
"O": [ 296 ]
}
},
"lvds_rx_09_inst.r_push_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 298 ],
"E": [ 299 ],
"Q": [ 238 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_push_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 300 ],
"I2": [ 291 ],
"I3": [ 292 ],
"O": [ 298 ]
}
},
"lvds_rx_09_inst.r_push_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111110011110101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 291 ],
"I1": [ 293 ],
"I2": [ 53 ],
"I3": [ 292 ],
"O": [ 299 ]
}
},
"lvds_rx_09_inst.r_push_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 53 ],
"I2": [ 293 ],
"I3": [ 292 ],
"O": [ 176 ]
}
},
"lvds_rx_09_inst.r_push_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 288 ],
"I1": [ 287 ],
"I2": [ 294 ],
"I3": [ 291 ],
"O": [ 293 ]
}
},
"lvds_rx_09_inst.r_state_if_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 301 ],
"E": [ 302 ],
"Q": [ 292 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 303 ],
"E": [ 302 ],
"Q": [ 291 ],
"R": [ 53 ]
}
},
"lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 292 ],
"I1": [ 171 ],
"I2": [ 170 ],
"I3": [ 291 ],
"O": [ 301 ]
}
},
"lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 292 ],
"I1": [ 171 ],
"I2": [ 170 ],
"I3": [ 291 ],
"O": [ 303 ]
}
},
"lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 293 ],
"I2": [ 304 ],
"I3": [ 53 ],
"O": [ 302 ]
}
},
"lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 292 ],
"I1": [ 291 ],
"I2": [ 170 ],
"I3": [ 171 ],
"O": [ 304 ]
}
},
"lvds_rx_09_inst.r_state_if_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 53 ],
"I3": [ 291 ],
"O": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 305 ],
"E": [ 306 ],
"Q": [ 307 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 308 ],
"E": [ 306 ],
"Q": [ 309 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_10": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 310 ],
"E": [ 306 ],
"Q": [ 311 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_11": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 312 ],
"E": [ 306 ],
"Q": [ 313 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_12": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 314 ],
"E": [ 306 ],
"Q": [ 315 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_13": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 316 ],
"E": [ 306 ],
"Q": [ 317 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_14": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 318 ],
"E": [ 306 ],
"Q": [ 319 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_15": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 320 ],
"E": [ 306 ],
"Q": [ 321 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_16": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 322 ],
"E": [ 306 ],
"Q": [ 323 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_17": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 324 ],
"E": [ 306 ],
"Q": [ 325 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_18": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 326 ],
"E": [ 306 ],
"Q": [ 327 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_19": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 328 ],
"E": [ 306 ],
"Q": [ 329 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 330 ],
"E": [ 306 ],
"Q": [ 331 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_20": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 332 ],
"E": [ 306 ],
"Q": [ 333 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_21": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 334 ],
"E": [ 306 ],
"Q": [ 335 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_22": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 336 ],
"E": [ 306 ],
"Q": [ 337 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_23": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 338 ],
"E": [ 306 ],
"Q": [ 339 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_24": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 340 ],
"E": [ 306 ],
"Q": [ 341 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_25": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 342 ],
"E": [ 306 ],
"Q": [ 343 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_26": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 344 ],
"E": [ 306 ],
"Q": [ 345 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_27": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 346 ],
"E": [ 306 ],
"Q": [ 347 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_28": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 348 ],
"E": [ 306 ],
"Q": [ 349 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_29": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 350 ],
"E": [ 306 ],
"Q": [ 351 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 352 ],
"E": [ 306 ],
"Q": [ 353 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_30": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 174 ],
"E": [ 306 ],
"Q": [ 354 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_31": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 173 ],
"E": [ 306 ],
"Q": [ 355 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 356 ],
"E": [ 306 ],
"Q": [ 357 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 358 ],
"E": [ 306 ],
"Q": [ 359 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 360 ],
"E": [ 306 ],
"Q": [ 361 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 362 ],
"E": [ 306 ],
"Q": [ 363 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_8": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 364 ],
"E": [ 306 ],
"Q": [ 365 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_9": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 172 ],
"D": [ 366 ],
"E": [ 306 ],
"Q": [ 367 ]
}
},
"lvds_rx_24_inst.o_fifo_push_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 368 ],
"Q": [ 369 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 53 ],
"I3": [ 369 ],
"O": [ 370 ]
}
},
"lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 53 ],
"I3": [ 369 ],
"O": [ 371 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 330 ],
"E": [ 372 ],
"Q": [ 305 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 352 ],
"E": [ 372 ],
"Q": [ 308 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_10": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 314 ],
"E": [ 372 ],
"Q": [ 310 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_11": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 316 ],
"E": [ 372 ],
"Q": [ 312 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_12": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 318 ],
"E": [ 372 ],
"Q": [ 314 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_13": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 320 ],
"E": [ 372 ],
"Q": [ 316 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_14": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 322 ],
"E": [ 372 ],
"Q": [ 318 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_15": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 324 ],
"E": [ 372 ],
"Q": [ 320 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_16": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 326 ],
"E": [ 372 ],
"Q": [ 322 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_17": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 328 ],
"E": [ 372 ],
"Q": [ 324 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_18": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 332 ],
"E": [ 372 ],
"Q": [ 326 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_19": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 334 ],
"E": [ 372 ],
"Q": [ 328 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 356 ],
"E": [ 372 ],
"Q": [ 330 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_20": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 336 ],
"E": [ 372 ],
"Q": [ 332 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_21": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 338 ],
"E": [ 372 ],
"Q": [ 334 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_22": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 340 ],
"E": [ 372 ],
"Q": [ 336 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_23": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 342 ],
"E": [ 372 ],
"Q": [ 338 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_24": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 344 ],
"E": [ 372 ],
"Q": [ 340 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_25": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 346 ],
"E": [ 372 ],
"Q": [ 342 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_26": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 348 ],
"E": [ 372 ],
"Q": [ 344 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_27": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 350 ],
"E": [ 372 ],
"Q": [ 346 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_28": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 174 ],
"E": [ 372 ],
"Q": [ 348 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_29": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 173 ],
"E": [ 372 ],
"Q": [ 350 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 358 ],
"E": [ 372 ],
"Q": [ 352 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 360 ],
"E": [ 372 ],
"Q": [ 356 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 362 ],
"E": [ 372 ],
"Q": [ 358 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 364 ],
"E": [ 372 ],
"Q": [ 360 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 366 ],
"E": [ 372 ],
"Q": [ 362 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 310 ],
"E": [ 372 ],
"Q": [ 364 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_9": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 312 ],
"E": [ 372 ],
"Q": [ 366 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_phase_count_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:297.12-317.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 373 ],
"CO": [ 374 ],
"I0": [ 375 ],
"I1": [ "1" ]
}
},
"lvds_rx_24_inst.r_phase_count_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 375 ],
"I2": [ "1" ],
"I3": [ 373 ],
"O": [ 376 ]
}
},
"lvds_rx_24_inst.r_phase_count_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 377 ],
"O": [ 375 ]
}
},
"lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 378 ],
"O": [ 373 ]
}
},
"lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 379 ],
"E": [ 380 ],
"Q": [ 378 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 381 ],
"I1": [ 382 ],
"I2": [ 378 ],
"I3": [ 383 ],
"O": [ 379 ]
}
},
"lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001011001101001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 384 ],
"I1": [ "0" ],
"I2": [ "1" ],
"I3": [ 374 ],
"O": [ 385 ]
}
},
"lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 386 ],
"E": [ 380 ],
"Q": [ 384 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 387 ],
"E": [ 380 ],
"Q": [ 377 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 381 ],
"I1": [ 382 ],
"I2": [ 376 ],
"I3": [ 383 ],
"O": [ 387 ]
}
},
"lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 381 ],
"I1": [ 382 ],
"I2": [ 385 ],
"I3": [ 383 ],
"O": [ 386 ]
}
},
"lvds_rx_24_inst.r_push_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 388 ],
"E": [ 389 ],
"Q": [ 368 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_push_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 390 ],
"I2": [ 383 ],
"I3": [ 381 ],
"O": [ 388 ]
}
},
"lvds_rx_24_inst.r_push_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1110110011001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 382 ],
"I1": [ 53 ],
"I2": [ 381 ],
"I3": [ 383 ],
"O": [ 389 ]
}
},
"lvds_rx_24_inst.r_push_SB_DFFESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 53 ],
"I1": [ 382 ],
"I2": [ 381 ],
"I3": [ 383 ],
"O": [ 306 ]
}
},
"lvds_rx_24_inst.r_push_SB_DFFESR_Q_E_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 378 ],
"I2": [ 377 ],
"I3": [ 384 ],
"O": [ 382 ]
}
},
"lvds_rx_24_inst.r_state_if_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 391 ],
"E": [ 392 ],
"Q": [ 381 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 393 ],
"E": [ 392 ],
"Q": [ 383 ],
"R": [ 53 ]
}
},
"lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 381 ],
"I1": [ 174 ],
"I2": [ 173 ],
"I3": [ 383 ],
"O": [ 391 ]
}
},
"lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 381 ],
"I1": [ 174 ],
"I2": [ 173 ],
"I3": [ 383 ],
"O": [ 393 ]
}
},
"lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 382 ],
"I2": [ 383 ],
"I3": [ 394 ],
"O": [ 392 ]
}
},
"lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 381 ],
"I1": [ 383 ],
"I2": [ 395 ],
"I3": [ 53 ],
"O": [ 394 ]
}
},
"lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 173 ],
"I3": [ 174 ],
"O": [ 395 ]
}
},
"lvds_rx_24_inst.r_state_if_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 53 ],
"I3": [ 383 ],
"O": [ 372 ]
}
},
"o_miso_$_TBUF__Y": {
"hide_name": 0,
"type": "$_TBUF_",
"parameters": {
},
"attributes": {
"src": "top.v:111.20-111.40"
},
"port_directions": {
"A": "input",
"E": "input",
"Y": "output"
},
"connections": {
"A": [ 396 ],
"E": [ 57 ],
"Y": [ 46 ]
}
},
"o_smi_read_req_$_TBUF__Y": {
"hide_name": 0,
"type": "$_TBUF_",
"parameters": {
},
"attributes": {
"src": "top.v:380.28-380.63"
},
"port_directions": {
"A": "input",
"E": "input",
"Y": "output"
},
"connections": {
"A": [ 397 ],
"E": [ 30 ],
"Y": [ 42 ]
}
},
"o_smi_write_req_$_TBUF__Y": {
"hide_name": 0,
"type": "$_TBUF_",
"parameters": {
},
"attributes": {
"src": "top.v:379.29-379.65"
},
"port_directions": {
"A": "input",
"E": "input",
"Y": "output"
},
"connections": {
"A": [ "x" ],
"E": [ 30 ],
"Y": [ 41 ]
}
},
"r_counter_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 2 ],
"D": [ 398 ],
"Q": [ 58 ]
}
},
"r_counter_SB_DFF_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 58 ],
"O": [ 398 ]
}
},
"r_tx_data_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 2 ],
"D": [ 399 ],
"E": [ 400 ],
"Q": [ 401 ],
"R": [ 402 ]
}
},
"r_tx_data_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 2 ],
"D": [ 403 ],
"E": [ 400 ],
"Q": [ 404 ],
"R": [ 402 ]
}
},
"r_tx_data_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 2 ],
"D": [ 405 ],
"E": [ 400 ],
"Q": [ 406 ],
"R": [ 402 ]
}
},
"r_tx_data_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 2 ],
"D": [ 407 ],
"E": [ 400 ],
"Q": [ 408 ],
"R": [ 402 ]
}
},
"r_tx_data_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 2 ],
"D": [ 409 ],
"E": [ 400 ],
"Q": [ 410 ],
"R": [ 402 ]
}
},
"r_tx_data_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 2 ],
"D": [ 411 ],
"E": [ 400 ],
"Q": [ 412 ],
"R": [ 402 ]
}
},
"r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 413 ],
"I3": [ 99 ],
"O": [ 411 ]
}
},
"r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 413 ],
"I3": [ 115 ],
"O": [ 407 ]
}
},
"r_tx_data_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 2 ],
"D": [ 414 ],
"E": [ 400 ],
"Q": [ 415 ],
"R": [ 402 ]
}
},
"r_tx_data_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 2 ],
"D": [ 416 ],
"E": [ 400 ],
"Q": [ 417 ]
}
},
"rx_09_fifo.empty_o_SB_DFFSS_Q": {
"hide_name": 0,
"type": "SB_DFFSS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:20.59-20.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 418 ],
"Q": [ 419 ],
"S": [ 53 ]
}
},
"rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 420 ],
"I1": [ 421 ],
"I2": [ 422 ],
"I3": [ 423 ],
"O": [ 418 ]
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 424 ],
"Q": [ 300 ],
"R": [ 53 ]
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000100011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 425 ],
"I1": [ 426 ],
"I2": [ 427 ],
"I3": [ 428 ],
"O": [ 424 ]
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 277 ],
"I1": [ 274 ],
"I2": [ 280 ],
"I3": [ 271 ],
"O": [ 427 ]
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 279 ],
"I1": [ 429 ],
"I2": [ 241 ],
"I3": [ 430 ],
"O": [ 425 ]
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100000100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 428 ],
"I1": [ 279 ],
"I2": [ 260 ],
"I3": [ 431 ],
"O": [ 432 ]
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_I0_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000000001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 269 ],
"I1": [ 261 ],
"I2": [ 272 ],
"I3": [ 251 ],
"O": [ 431 ]
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_I0_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 275 ],
"I1": [ 255 ],
"I2": [ 433 ],
"I3": [ 434 ],
"O": [ 435 ]
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_I0_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 241 ],
"I2": [ 247 ],
"I3": [ 436 ],
"O": [ 437 ]
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_I0_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 281 ],
"I1": [ 259 ],
"I2": [ 273 ],
"I3": [ 249 ],
"O": [ 436 ]
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000000001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 253 ],
"I1": [ 276 ],
"I2": [ 278 ],
"I3": [ 257 ],
"O": [ 434 ]
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000010111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 276 ],
"I1": [ 253 ],
"I2": [ 261 ],
"I3": [ 269 ],
"O": [ 433 ]
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 438 ],
"I3": [ 439 ],
"O": [ 428 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100000100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 239 ],
"I1": [ 272 ],
"I2": [ 440 ],
"I3": [ 300 ],
"O": [ 441 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 247 ],
"I3": [ 442 ],
"O": [ 430 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 249 ],
"I3": [ 443 ],
"O": [ 444 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 251 ],
"I3": [ 445 ],
"O": [ 440 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 253 ],
"I3": [ 446 ],
"O": [ 447 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_4": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 255 ],
"I3": [ 448 ],
"O": [ 449 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_5": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 257 ],
"I3": [ 450 ],
"O": [ 451 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_6": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 259 ],
"I3": [ 452 ],
"O": [ 453 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_7": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 261 ],
"I3": [ 454 ],
"O": [ 455 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_8": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 260 ],
"I3": [ 438 ],
"O": [ 429 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_9": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 438 ],
"O": [ 456 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 443 ],
"CO": [ 442 ],
"I0": [ "0" ],
"I1": [ 249 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_1": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 445 ],
"CO": [ 443 ],
"I0": [ "0" ],
"I1": [ 251 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_2": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 446 ],
"CO": [ 445 ],
"I0": [ "0" ],
"I1": [ 253 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_3": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 448 ],
"CO": [ 446 ],
"I0": [ "0" ],
"I1": [ 255 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_4": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 450 ],
"CO": [ 448 ],
"I0": [ "0" ],
"I1": [ 257 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_5": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 452 ],
"CO": [ 450 ],
"I0": [ "0" ],
"I1": [ 259 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_6": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 454 ],
"CO": [ 452 ],
"I0": [ "0" ],
"I1": [ 261 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_7": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 438 ],
"CO": [ 454 ],
"I0": [ "0" ],
"I1": [ 260 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 457 ],
"I1": [ 458 ],
"I2": [ 459 ],
"I3": [ 441 ],
"O": [ 426 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 278 ],
"I1": [ 451 ],
"I2": [ 276 ],
"I3": [ 447 ],
"O": [ 458 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 269 ],
"I1": [ 455 ],
"I2": [ 281 ],
"I3": [ 453 ],
"O": [ 457 ]
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 275 ],
"I1": [ 449 ],
"I2": [ 273 ],
"I3": [ 444 ],
"O": [ 459 ]
}
},
"rx_09_fifo.mem_i.0.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 439, 279, 269, 281, 278, 275, 276, 272, 273, 241, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 460 ],
"RDATA": [ 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476 ],
"RE": [ "1" ],
"WADDR": [ 438, 260, 261, 259, 257, 255, 253, 251, 249, 247, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 244 ],
"WDATA": [ "x", 191, "x", "x", "x", 189, "x", "x", "x", 187, "x", "x", "x", 185, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_09_fifo.mem_i.1.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 439, 279, 269, 281, 278, 275, 276, 272, 273, 241, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 460 ],
"RDATA": [ 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492 ],
"RE": [ "1" ],
"WADDR": [ 438, 260, 261, 259, 257, 255, 253, 251, 249, 247, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 244 ],
"WDATA": [ "x", 183, "x", "x", "x", 181, "x", "x", "x", 237, "x", "x", "x", 235, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_09_fifo.mem_i.2.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 439, 279, 269, 281, 278, 275, 276, 272, 273, 241, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 460 ],
"RDATA": [ 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508 ],
"RE": [ "1" ],
"WADDR": [ 438, 260, 261, 259, 257, 255, 253, 251, 249, 247, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 244 ],
"WDATA": [ "x", 233, "x", "x", "x", 231, "x", "x", "x", 229, "x", "x", "x", 227, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_09_fifo.mem_i.3.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 439, 279, 269, 281, 278, 275, 276, 272, 273, 241, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 460 ],
"RDATA": [ 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524 ],
"RE": [ "1" ],
"WADDR": [ 438, 260, 261, 259, 257, 255, 253, 251, 249, 247, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 244 ],
"WDATA": [ "x", 223, "x", "x", "x", 201, "x", "x", "x", 179, "x", "x", "x", 177, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_09_fifo.mem_q.0.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 439, 279, 269, 281, 278, 275, 276, 272, 273, 241, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 460 ],
"RDATA": [ 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540 ],
"RE": [ "1" ],
"WADDR": [ 438, 260, 261, 259, 257, 255, 253, 251, 249, 247, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 244 ],
"WDATA": [ "x", 225, "x", "x", "x", 224, "x", "x", "x", 221, "x", "x", "x", 219, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_09_fifo.mem_q.1.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 439, 279, 269, 281, 278, 275, 276, 272, 273, 241, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 460 ],
"RDATA": [ 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556 ],
"RE": [ "1" ],
"WADDR": [ 438, 260, 261, 259, 257, 255, 253, 251, 249, 247, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 244 ],
"WDATA": [ "x", 217, "x", "x", "x", 215, "x", "x", "x", 213, "x", "x", "x", 211, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_09_fifo.mem_q.2.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 439, 279, 269, 281, 278, 275, 276, 272, 273, 241, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 460 ],
"RDATA": [ 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572 ],
"RE": [ "1" ],
"WADDR": [ 438, 260, 261, 259, 257, 255, 253, 251, 249, 247, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 244 ],
"WDATA": [ "x", 209, "x", "x", "x", 207, "x", "x", "x", 205, "x", "x", "x", 203, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_09_fifo.mem_q.3.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 439, 279, 269, 281, 278, 275, 276, 272, 273, 241, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 460 ],
"RDATA": [ 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588 ],
"RE": [ "1" ],
"WADDR": [ 438, 260, 261, 259, 257, 255, 253, 251, 249, 247, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 244 ],
"WDATA": [ "x", 199, "x", "x", "x", 197, "x", "x", "x", 195, "x", "x", "x", 193, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 589 ],
"E": [ 590 ],
"Q": [ 241 ],
"R": [ 53 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 591 ],
"E": [ 590 ],
"Q": [ 273 ],
"R": [ 53 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 592 ],
"E": [ 590 ],
"Q": [ 272 ],
"R": [ 53 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 593 ],
"E": [ 590 ],
"Q": [ 276 ],
"R": [ 53 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 594 ],
"E": [ 590 ],
"Q": [ 275 ],
"R": [ 53 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 595 ],
"E": [ 590 ],
"Q": [ 278 ],
"R": [ 53 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 596 ],
"E": [ 590 ],
"Q": [ 281 ],
"R": [ 53 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 597 ],
"E": [ 590 ],
"Q": [ 269 ],
"R": [ 53 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 598 ],
"E": [ 590 ],
"Q": [ 279 ],
"R": [ 53 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_9": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 599 ],
"E": [ 590 ],
"Q": [ 439 ],
"R": [ 53 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 241 ],
"I3": [ 600 ],
"O": [ 589 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 273 ],
"I3": [ 601 ],
"O": [ 591 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 272 ],
"I3": [ 602 ],
"O": [ 592 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 276 ],
"I3": [ 603 ],
"O": [ 593 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_4": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 275 ],
"I3": [ 604 ],
"O": [ 594 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_5": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 278 ],
"I3": [ 605 ],
"O": [ 595 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_6": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 281 ],
"I3": [ 606 ],
"O": [ 596 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_7": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 269 ],
"I3": [ 607 ],
"O": [ 597 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_8": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 279 ],
"I3": [ 439 ],
"O": [ 598 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_9": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 439 ],
"O": [ 599 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 601 ],
"CO": [ 600 ],
"I0": [ "0" ],
"I1": [ 273 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_1": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 602 ],
"CO": [ 601 ],
"I0": [ "0" ],
"I1": [ 272 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_2": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 603 ],
"CO": [ 602 ],
"I0": [ "0" ],
"I1": [ 276 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_3": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 604 ],
"CO": [ 603 ],
"I0": [ "0" ],
"I1": [ 275 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_4": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 605 ],
"CO": [ 604 ],
"I0": [ "0" ],
"I1": [ 278 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_5": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 606 ],
"CO": [ 605 ],
"I0": [ "0" ],
"I1": [ 281 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_6": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 607 ],
"CO": [ 606 ],
"I0": [ "0" ],
"I1": [ 269 ]
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_7": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 439 ],
"CO": [ 607 ],
"I0": [ "0" ],
"I1": [ 279 ]
}
},
"rx_09_fifo.wr_addr_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 430 ],
"E": [ 245 ],
"Q": [ 247 ],
"R": [ 53 ]
}
},
"rx_09_fifo.wr_addr_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 444 ],
"E": [ 245 ],
"Q": [ 249 ],
"R": [ 53 ]
}
},
"rx_09_fifo.wr_addr_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 440 ],
"E": [ 245 ],
"Q": [ 251 ],
"R": [ 53 ]
}
},
"rx_09_fifo.wr_addr_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 447 ],
"E": [ 245 ],
"Q": [ 253 ],
"R": [ 53 ]
}
},
"rx_09_fifo.wr_addr_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 449 ],
"E": [ 245 ],
"Q": [ 255 ],
"R": [ 53 ]
}
},
"rx_09_fifo.wr_addr_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 451 ],
"E": [ 245 ],
"Q": [ 257 ],
"R": [ 53 ]
}
},
"rx_09_fifo.wr_addr_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 453 ],
"E": [ 245 ],
"Q": [ 259 ],
"R": [ 53 ]
}
},
"rx_09_fifo.wr_addr_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 455 ],
"E": [ 245 ],
"Q": [ 261 ],
"R": [ 53 ]
}
},
"rx_09_fifo.wr_addr_SB_DFFESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 429 ],
"E": [ 245 ],
"Q": [ 260 ],
"R": [ 53 ]
}
},
"rx_09_fifo.wr_addr_SB_DFFESR_Q_9": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 456 ],
"E": [ 245 ],
"Q": [ 438 ],
"R": [ 53 ]
}
},
"rx_24_fifo.empty_o_SB_DFFSS_Q": {
"hide_name": 0,
"type": "SB_DFFSS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:20.59-20.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 608 ],
"Q": [ 609 ],
"S": [ 53 ]
}
},
"rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111110000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 610 ],
"I1": [ 611 ],
"I2": [ 609 ],
"I3": [ 612 ],
"O": [ 608 ]
}
},
"rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 613 ],
"I1": [ 614 ],
"I2": [ 615 ],
"I3": [ 616 ],
"O": [ 610 ]
}
},
"rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 617 ],
"I2": [ 618 ],
"I3": [ 619 ],
"O": [ 611 ]
}
},
"rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 620 ],
"I1": [ 621 ],
"I2": [ 622 ],
"I3": [ 623 ],
"O": [ 619 ]
}
},
"rx_24_fifo.full_o_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 624 ],
"Q": [ 390 ],
"R": [ 53 ]
}
},
"rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111100010001000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 625 ],
"I1": [ 626 ],
"I2": [ 627 ],
"I3": [ 628 ],
"O": [ 624 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 629 ],
"I1": [ 630 ],
"I2": [ 369 ],
"I3": [ 390 ],
"O": [ 627 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 631 ],
"I3": [ 632 ],
"O": [ 633 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 634 ],
"I3": [ 635 ],
"O": [ 636 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 637 ],
"I3": [ 638 ],
"O": [ 629 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 639 ],
"I3": [ 640 ],
"O": [ 641 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_4": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 642 ],
"I3": [ 643 ],
"O": [ 644 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_5": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 618 ],
"I3": [ 645 ],
"O": [ 646 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_6": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 620 ],
"I3": [ 647 ],
"O": [ 648 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_7": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 623 ],
"I3": [ 649 ],
"O": [ 650 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_8": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 651 ],
"I3": [ 652 ],
"O": [ 653 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_9": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 647 ],
"O": [ 654 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 652 ],
"CO": [ 649 ],
"I0": [ "0" ],
"I1": [ 651 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_I3_SB_CARRY_CO_1": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 632 ],
"CO": [ 652 ],
"I0": [ "0" ],
"I1": [ 631 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_I3_SB_CARRY_CO_2": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 635 ],
"CO": [ 632 ],
"I0": [ "0" ],
"I1": [ 634 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_I3_SB_CARRY_CO_3": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 638 ],
"CO": [ 635 ],
"I0": [ "0" ],
"I1": [ 637 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_I3_SB_CARRY_CO_4": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 640 ],
"CO": [ 638 ],
"I0": [ "0" ],
"I1": [ 639 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_I3_SB_CARRY_CO_5": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 643 ],
"CO": [ 640 ],
"I0": [ "0" ],
"I1": [ 642 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_I3_SB_CARRY_CO_6": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 645 ],
"CO": [ 643 ],
"I0": [ "0" ],
"I1": [ 618 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_I3_SB_CARRY_CO_7": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 647 ],
"CO": [ 645 ],
"I0": [ "0" ],
"I1": [ 620 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 617 ],
"I1": [ 655 ],
"I2": [ 656 ],
"I3": [ 657 ],
"O": [ 625 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 658 ],
"I1": [ 644 ],
"I2": [ 659 ],
"I3": [ 660 ],
"O": [ 628 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 613 ],
"I1": [ 661 ],
"I2": [ 662 ],
"I3": [ 663 ],
"O": [ 659 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 621 ],
"I2": [ 648 ],
"I3": [ 664 ],
"O": [ 660 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 665 ],
"I1": [ 633 ],
"I2": [ 666 ],
"I3": [ 653 ],
"O": [ 664 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000010111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 667 ],
"I1": [ 636 ],
"I2": [ 630 ],
"I3": [ 629 ],
"O": [ 663 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_I1_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000000001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 636 ],
"I1": [ 667 ],
"I2": [ 668 ],
"I3": [ 641 ],
"O": [ 662 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_I1_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 617 ],
"I1": [ 646 ],
"I2": [ 622 ],
"I3": [ 650 ],
"O": [ 661 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001010000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 613 ],
"I1": [ 620 ],
"I2": [ 621 ],
"I3": [ 669 ],
"O": [ 626 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 668 ],
"I1": [ 639 ],
"I2": [ 667 ],
"I3": [ 634 ],
"O": [ 614 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 665 ],
"I1": [ 631 ],
"I2": [ 666 ],
"I3": [ 651 ],
"O": [ 616 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 658 ],
"I1": [ 642 ],
"I2": [ 630 ],
"I3": [ 637 ],
"O": [ 615 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 647 ],
"I3": [ 670 ],
"O": [ 613 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 671 ],
"I1": [ 658 ],
"I2": [ 672 ],
"I3": [ 369 ],
"O": [ 669 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I3_SB_LUT4_O_I2_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 673 ],
"CO": [ 672 ],
"I0": [ "0" ],
"I1": [ 623 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I3_SB_LUT4_O_I2_SB_CARRY_CO_1": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 674 ],
"CO": [ 673 ],
"I0": [ "0" ],
"I1": [ 651 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I3_SB_LUT4_O_I2_SB_CARRY_CO_2": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 675 ],
"CO": [ 674 ],
"I0": [ "0" ],
"I1": [ 631 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I3_SB_LUT4_O_I2_SB_CARRY_CO_3": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 676 ],
"CO": [ 675 ],
"I0": [ "0" ],
"I1": [ 634 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I3_SB_LUT4_O_I2_SB_CARRY_CO_4": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 677 ],
"CO": [ 676 ],
"I0": [ "0" ],
"I1": [ 637 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I3_SB_LUT4_O_I2_SB_CARRY_CO_5": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 678 ],
"CO": [ 677 ],
"I0": [ "0" ],
"I1": [ 639 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I3_SB_LUT4_O_I2_SB_CARRY_CO_6": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 679 ],
"CO": [ 678 ],
"I0": [ "0" ],
"I1": [ 642 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I3_SB_LUT4_O_I2_SB_CARRY_CO_7": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 620 ],
"CO": [ 679 ],
"I0": [ "0" ],
"I1": [ 618 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 623 ],
"I3": [ 673 ],
"O": [ 680 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 651 ],
"I3": [ 674 ],
"O": [ 681 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 631 ],
"I3": [ 675 ],
"O": [ 682 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_O_3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 634 ],
"I3": [ 676 ],
"O": [ 683 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_O_4": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 637 ],
"I3": [ 677 ],
"O": [ 684 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_O_5": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 639 ],
"I3": [ 678 ],
"O": [ 685 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_O_6": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 642 ],
"I3": [ 679 ],
"O": [ 671 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_O_7": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 618 ],
"I3": [ 620 ],
"O": [ 655 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 668 ],
"I1": [ 685 ],
"I2": [ 686 ],
"I3": [ 687 ],
"O": [ 656 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000000001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 658 ],
"I1": [ 671 ],
"I2": [ 630 ],
"I3": [ 684 ],
"O": [ 657 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 666 ],
"I1": [ 681 ],
"I2": [ 622 ],
"I3": [ 680 ],
"O": [ 686 ]
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 667 ],
"I1": [ 683 ],
"I2": [ 665 ],
"I3": [ 682 ],
"O": [ 687 ]
}
},
"rx_24_fifo.mem_i.0.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 670, 621, 617, 658, 668, 630, 667, 665, 666, 622, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 688 ],
"RDATA": [ 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704 ],
"RE": [ "1" ],
"WADDR": [ 647, 620, 618, 642, 639, 637, 634, 631, 651, 623, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 370 ],
"WDATA": [ "x", 321, "x", "x", "x", 319, "x", "x", "x", 317, "x", "x", "x", 315, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_24_fifo.mem_i.1.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 670, 621, 617, 658, 668, 630, 667, 665, 666, 622, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 688 ],
"RDATA": [ 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720 ],
"RE": [ "1" ],
"WADDR": [ 647, 620, 618, 642, 639, 637, 634, 631, 651, 623, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 370 ],
"WDATA": [ "x", 313, "x", "x", "x", 311, "x", "x", "x", 367, "x", "x", "x", 365, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_24_fifo.mem_i.2.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 670, 621, 617, 658, 668, 630, 667, 665, 666, 622, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 688 ],
"RDATA": [ 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736 ],
"RE": [ "1" ],
"WADDR": [ 647, 620, 618, 642, 639, 637, 634, 631, 651, 623, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 370 ],
"WDATA": [ "x", 363, "x", "x", "x", 361, "x", "x", "x", 359, "x", "x", "x", 357, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_24_fifo.mem_i.3.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 670, 621, 617, 658, 668, 630, 667, 665, 666, 622, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 688 ],
"RDATA": [ 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752 ],
"RE": [ "1" ],
"WADDR": [ 647, 620, 618, 642, 639, 637, 634, 631, 651, 623, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 370 ],
"WDATA": [ "x", 353, "x", "x", "x", 331, "x", "x", "x", 309, "x", "x", "x", 307, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_24_fifo.mem_q.0.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 670, 621, 617, 658, 668, 630, 667, 665, 666, 622, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 688 ],
"RDATA": [ 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768 ],
"RE": [ "1" ],
"WADDR": [ 647, 620, 618, 642, 639, 637, 634, 631, 651, 623, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 370 ],
"WDATA": [ "x", 355, "x", "x", "x", 354, "x", "x", "x", 351, "x", "x", "x", 349, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_24_fifo.mem_q.1.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 670, 621, 617, 658, 668, 630, 667, 665, 666, 622, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 688 ],
"RDATA": [ 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784 ],
"RE": [ "1" ],
"WADDR": [ 647, 620, 618, 642, 639, 637, 634, 631, 651, 623, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 370 ],
"WDATA": [ "x", 347, "x", "x", "x", 345, "x", "x", "x", 343, "x", "x", "x", 341, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_24_fifo.mem_q.2.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 670, 621, 617, 658, 668, 630, 667, 665, 666, 622, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 688 ],
"RDATA": [ 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800 ],
"RE": [ "1" ],
"WADDR": [ 647, 620, 618, 642, 639, 637, 634, 631, 651, 623, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 370 ],
"WDATA": [ "x", 339, "x", "x", "x", 337, "x", "x", "x", 335, "x", "x", "x", 333, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_24_fifo.mem_q.3.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:305.439-305.644|/usr/local/bin/../share/yosys/ice40/brams_map.v:54.7-66.6"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"RADDR": [ 670, 621, 617, 658, 668, 630, 667, 665, 666, 622, "0" ],
"RCLK": [ 58 ],
"RCLKE": [ 688 ],
"RDATA": [ 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816 ],
"RE": [ "1" ],
"WADDR": [ 647, 620, 618, 642, 639, 637, 634, 631, 651, 623, "0" ],
"WCLK": [ 172 ],
"WCLKE": [ 370 ],
"WDATA": [ "x", 329, "x", "x", "x", 327, "x", "x", "x", 325, "x", "x", "x", 323, "x", "x" ],
"WE": [ "1" ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 817 ],
"E": [ 818 ],
"Q": [ 622 ],
"R": [ 53 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 819 ],
"E": [ 818 ],
"Q": [ 666 ],
"R": [ 53 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 820 ],
"E": [ 818 ],
"Q": [ 665 ],
"R": [ 53 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 821 ],
"E": [ 818 ],
"Q": [ 667 ],
"R": [ 53 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 822 ],
"E": [ 818 ],
"Q": [ 630 ],
"R": [ 53 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 823 ],
"E": [ 818 ],
"Q": [ 668 ],
"R": [ 53 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 824 ],
"E": [ 818 ],
"Q": [ 658 ],
"R": [ 53 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 825 ],
"E": [ 818 ],
"Q": [ 617 ],
"R": [ 53 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 826 ],
"E": [ 818 ],
"Q": [ 621 ],
"R": [ 53 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_9": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 827 ],
"E": [ 818 ],
"Q": [ 670 ],
"R": [ 53 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 622 ],
"I3": [ 828 ],
"O": [ 817 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 666 ],
"I3": [ 829 ],
"O": [ 819 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 665 ],
"I3": [ 830 ],
"O": [ 820 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 667 ],
"I3": [ 831 ],
"O": [ 821 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_4": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 630 ],
"I3": [ 832 ],
"O": [ 822 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_5": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 668 ],
"I3": [ 833 ],
"O": [ 823 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_6": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 658 ],
"I3": [ 834 ],
"O": [ 824 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_7": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 617 ],
"I3": [ 835 ],
"O": [ 825 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_8": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 621 ],
"I3": [ 670 ],
"O": [ 826 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_9": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 670 ],
"O": [ 827 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 829 ],
"CO": [ 828 ],
"I0": [ "0" ],
"I1": [ 666 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_1": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 830 ],
"CO": [ 829 ],
"I0": [ "0" ],
"I1": [ 665 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_2": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 831 ],
"CO": [ 830 ],
"I0": [ "0" ],
"I1": [ 667 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_3": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 832 ],
"CO": [ 831 ],
"I0": [ "0" ],
"I1": [ 630 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_4": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 833 ],
"CO": [ 832 ],
"I0": [ "0" ],
"I1": [ 668 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_5": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 834 ],
"CO": [ 833 ],
"I0": [ "0" ],
"I1": [ 658 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_6": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 835 ],
"CO": [ 834 ],
"I0": [ "0" ],
"I1": [ 617 ]
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_7": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 670 ],
"CO": [ 835 ],
"I0": [ "0" ],
"I1": [ 621 ]
}
},
"rx_24_fifo.wr_addr_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 650 ],
"E": [ 371 ],
"Q": [ 623 ],
"R": [ 53 ]
}
},
"rx_24_fifo.wr_addr_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 653 ],
"E": [ 371 ],
"Q": [ 651 ],
"R": [ 53 ]
}
},
"rx_24_fifo.wr_addr_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 633 ],
"E": [ 371 ],
"Q": [ 631 ],
"R": [ 53 ]
}
},
"rx_24_fifo.wr_addr_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 636 ],
"E": [ 371 ],
"Q": [ 634 ],
"R": [ 53 ]
}
},
"rx_24_fifo.wr_addr_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 629 ],
"E": [ 371 ],
"Q": [ 637 ],
"R": [ 53 ]
}
},
"rx_24_fifo.wr_addr_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 641 ],
"E": [ 371 ],
"Q": [ 639 ],
"R": [ 53 ]
}
},
"rx_24_fifo.wr_addr_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 644 ],
"E": [ 371 ],
"Q": [ 642 ],
"R": [ 53 ]
}
},
"rx_24_fifo.wr_addr_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 646 ],
"E": [ 371 ],
"Q": [ 618 ],
"R": [ 53 ]
}
},
"rx_24_fifo.wr_addr_SB_DFFESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 648 ],
"E": [ 371 ],
"Q": [ 620 ],
"R": [ 53 ]
}
},
"rx_24_fifo.wr_addr_SB_DFFESR_Q_9": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 172 ],
"D": [ 654 ],
"E": [ 371 ],
"Q": [ 647 ],
"R": [ 53 ]
}
},
"smi_ctrl_ins.i_cs_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 836 ],
"E": [ 69 ],
"Q": [ 837 ],
"R": [ 71 ]
}
},
"smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q": {
"hide_name": 0,
"type": "SB_DFFNESS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:23.66-23.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 838 ],
"D": [ 839 ],
"E": [ 840 ],
"Q": [ 841 ],
"S": [ 53 ]
}
},
"smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_1": {
"hide_name": 0,
"type": "SB_DFFNESS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:23.66-23.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 838 ],
"D": [ 842 ],
"E": [ 840 ],
"Q": [ 843 ],
"S": [ 53 ]
}
},
"smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 843 ],
"O": [ 842 ]
}
},
"smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 841 ],
"I3": [ 843 ],
"O": [ 839 ]
}
},
"smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 56 ],
"I3": [ 53 ],
"O": [ 840 ]
}
},
"smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q": {
"hide_name": 0,
"type": "SB_DFFNESS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:23.66-23.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 838 ],
"D": [ 844 ],
"E": [ 54 ],
"Q": [ 845 ],
"S": [ 53 ]
}
},
"smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_1": {
"hide_name": 0,
"type": "SB_DFFNESS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:23.66-23.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 838 ],
"D": [ 846 ],
"E": [ 54 ],
"Q": [ 847 ],
"S": [ 53 ]
}
},
"smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 847 ],
"O": [ 846 ]
}
},
"smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 847 ],
"I3": [ 845 ],
"O": [ 844 ]
}
},
"smi_ctrl_ins.o_data_out_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:62.5-87.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 390 ],
"E": [ 848 ],
"Q": [ 849 ],
"R": [ 73 ]
}
},
"smi_ctrl_ins.o_data_out_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:62.5-87.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 609 ],
"E": [ 848 ],
"Q": [ 850 ],
"R": [ 73 ]
}
},
"smi_ctrl_ins.o_data_out_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:62.5-87.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 300 ],
"E": [ 848 ],
"Q": [ 851 ],
"R": [ 73 ]
}
},
"smi_ctrl_ins.o_data_out_SB_DFFESS_Q": {
"hide_name": 0,
"type": "SB_DFFESS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:62.5-87.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 419 ],
"E": [ 848 ],
"Q": [ 852 ],
"S": [ 73 ]
}
},
"smi_ctrl_ins.o_data_out_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000011101110111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 853 ],
"I1": [ 852 ],
"I2": [ 413 ],
"I3": [ 130 ],
"O": [ 854 ]
}
},
"smi_ctrl_ins.o_data_out_SB_LUT4_I1_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111100010001000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 853 ],
"I1": [ 851 ],
"I2": [ 413 ],
"I3": [ 106 ],
"O": [ 399 ]
}
},
"smi_ctrl_ins.o_data_out_SB_LUT4_I1_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000011101110111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 853 ],
"I1": [ 850 ],
"I2": [ 413 ],
"I3": [ 121 ],
"O": [ 855 ]
}
},
"smi_ctrl_ins.o_data_out_SB_LUT4_I1_3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111100010001000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 853 ],
"I1": [ 849 ],
"I2": [ 413 ],
"I3": [ 110 ],
"O": [ 405 ]
}
},
"smi_ctrl_ins.o_data_out_SB_LUT4_I1_3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 413 ],
"I2": [ 96 ],
"I3": [ 856 ],
"O": [ 409 ]
}
},
"smi_ctrl_ins.o_data_out_SB_LUT4_I1_3_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 413 ],
"I2": [ 103 ],
"I3": [ 856 ],
"O": [ 414 ]
}
},
"smi_ctrl_ins.o_data_out_SB_LUT4_I1_3_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 856 ],
"I3": [ 855 ],
"O": [ 403 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 838 ],
"D": [ 857 ],
"E": [ 55 ],
"Q": [ 163 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 838 ],
"D": [ 858 ],
"E": [ 55 ],
"Q": [ 164 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001000100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 859 ],
"I1": [ 860 ],
"I2": [ 861 ],
"I3": [ 56 ],
"O": [ 858 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 746 ],
"I1": [ 810 ],
"I2": [ 847 ],
"I3": [ 862 ],
"O": [ 861 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 518 ],
"I1": [ 582 ],
"I2": [ 843 ],
"I3": [ 841 ],
"O": [ 860 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 486 ],
"I1": [ 550 ],
"I2": [ 841 ],
"I3": [ 843 ],
"O": [ 859 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011111101010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 714 ],
"I1": [ 778 ],
"I2": [ 847 ],
"I3": [ 845 ],
"O": [ 862 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 838 ],
"D": [ 863 ],
"E": [ 55 ],
"Q": [ 165 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001000100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 864 ],
"I1": [ 865 ],
"I2": [ 866 ],
"I3": [ 56 ],
"O": [ 863 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 742 ],
"I1": [ 806 ],
"I2": [ 847 ],
"I3": [ 867 ],
"O": [ 866 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 514 ],
"I1": [ 578 ],
"I2": [ 843 ],
"I3": [ 841 ],
"O": [ 865 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 482 ],
"I1": [ 546 ],
"I2": [ 841 ],
"I3": [ 843 ],
"O": [ 864 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011111101010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 710 ],
"I1": [ 774 ],
"I2": [ 847 ],
"I3": [ 845 ],
"O": [ 867 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 838 ],
"D": [ 868 ],
"E": [ 55 ],
"Q": [ 166 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001000100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 869 ],
"I1": [ 870 ],
"I2": [ 871 ],
"I3": [ 56 ],
"O": [ 868 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 738 ],
"I1": [ 802 ],
"I2": [ 847 ],
"I3": [ 872 ],
"O": [ 871 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 510 ],
"I1": [ 574 ],
"I2": [ 843 ],
"I3": [ 841 ],
"O": [ 870 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 478 ],
"I1": [ 542 ],
"I2": [ 841 ],
"I3": [ 843 ],
"O": [ 869 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011111101010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 706 ],
"I1": [ 770 ],
"I2": [ 847 ],
"I3": [ 845 ],
"O": [ 872 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 838 ],
"D": [ 873 ],
"E": [ 55 ],
"Q": [ 167 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001000100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 874 ],
"I1": [ 875 ],
"I2": [ 876 ],
"I3": [ 56 ],
"O": [ 873 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 734 ],
"I1": [ 798 ],
"I2": [ 847 ],
"I3": [ 877 ],
"O": [ 876 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 506 ],
"I1": [ 570 ],
"I2": [ 843 ],
"I3": [ 841 ],
"O": [ 875 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 474 ],
"I1": [ 538 ],
"I2": [ 841 ],
"I3": [ 843 ],
"O": [ 874 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011111101010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 702 ],
"I1": [ 766 ],
"I2": [ 847 ],
"I3": [ 845 ],
"O": [ 877 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 838 ],
"D": [ 878 ],
"E": [ 55 ],
"Q": [ 168 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001000100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 879 ],
"I1": [ 880 ],
"I2": [ 881 ],
"I3": [ 56 ],
"O": [ 878 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 730 ],
"I1": [ 794 ],
"I2": [ 847 ],
"I3": [ 882 ],
"O": [ 881 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 502 ],
"I1": [ 566 ],
"I2": [ 843 ],
"I3": [ 841 ],
"O": [ 880 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 470 ],
"I1": [ 534 ],
"I2": [ 841 ],
"I3": [ 843 ],
"O": [ 879 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011111101010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 698 ],
"I1": [ 762 ],
"I2": [ 847 ],
"I3": [ 845 ],
"O": [ 882 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 838 ],
"D": [ 883 ],
"E": [ 55 ],
"Q": [ 169 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001000100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 884 ],
"I1": [ 885 ],
"I2": [ 886 ],
"I3": [ 56 ],
"O": [ 883 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 726 ],
"I1": [ 790 ],
"I2": [ 847 ],
"I3": [ 887 ],
"O": [ 886 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 498 ],
"I1": [ 562 ],
"I2": [ 843 ],
"I3": [ 841 ],
"O": [ 885 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 466 ],
"I1": [ 530 ],
"I2": [ 841 ],
"I3": [ 843 ],
"O": [ 884 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011111101010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 694 ],
"I1": [ 758 ],
"I2": [ 847 ],
"I3": [ 845 ],
"O": [ 887 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 838 ],
"D": [ 888 ],
"E": [ 55 ],
"Q": [ 33 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001000100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 889 ],
"I1": [ 890 ],
"I2": [ 891 ],
"I3": [ 56 ],
"O": [ 888 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 722 ],
"I1": [ 786 ],
"I2": [ 847 ],
"I3": [ 892 ],
"O": [ 891 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 494 ],
"I1": [ 558 ],
"I2": [ 843 ],
"I3": [ 841 ],
"O": [ 890 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 462 ],
"I1": [ 526 ],
"I2": [ 841 ],
"I3": [ 843 ],
"O": [ 889 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011111101010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 690 ],
"I1": [ 754 ],
"I2": [ 847 ],
"I3": [ 845 ],
"O": [ 892 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001000100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 893 ],
"I1": [ 894 ],
"I2": [ 895 ],
"I3": [ 56 ],
"O": [ 857 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 750 ],
"I1": [ 814 ],
"I2": [ 847 ],
"I3": [ 896 ],
"O": [ 895 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 522 ],
"I1": [ 586 ],
"I2": [ 843 ],
"I3": [ 841 ],
"O": [ 894 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011010100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 490 ],
"I1": [ 554 ],
"I2": [ 841 ],
"I3": [ 843 ],
"O": [ 893 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011111101010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 718 ],
"I1": [ 782 ],
"I2": [ 847 ],
"I3": [ 845 ],
"O": [ 896 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:139.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 897 ],
"Q": [ 898 ],
"R": [ 53 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 898 ],
"I1": [ 419 ],
"I2": [ 428 ],
"I3": [ 897 ],
"O": [ 420 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 898 ],
"I1": [ 419 ],
"I2": [ 897 ],
"I3": [ 53 ],
"O": [ 590 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 899 ],
"I2": [ 900 ],
"I3": [ 901 ],
"O": [ 421 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100000100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 902 ],
"I1": [ 259 ],
"I2": [ 903 ],
"I3": [ 904 ],
"O": [ 422 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I0_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 905 ],
"CO": [ 902 ],
"I0": [ "0" ],
"I1": [ 241 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I0_SB_CARRY_CO_1": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 906 ],
"CO": [ 905 ],
"I0": [ "0" ],
"I1": [ 273 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I0_SB_CARRY_CO_2": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 907 ],
"CO": [ 906 ],
"I0": [ "0" ],
"I1": [ 272 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I0_SB_CARRY_CO_3": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 908 ],
"CO": [ 907 ],
"I0": [ "0" ],
"I1": [ 276 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I0_SB_CARRY_CO_4": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 909 ],
"CO": [ 908 ],
"I0": [ "0" ],
"I1": [ 275 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I0_SB_CARRY_CO_5": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 910 ],
"CO": [ 909 ],
"I0": [ "0" ],
"I1": [ 278 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I0_SB_CARRY_CO_6": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 911 ],
"CO": [ 910 ],
"I0": [ "0" ],
"I1": [ 281 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I0_SB_CARRY_CO_7": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 912 ],
"CO": [ 911 ],
"I0": [ "0" ],
"I1": [ 269 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I0_SB_CARRY_CO_8": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 439 ],
"CO": [ 912 ],
"I0": [ "0" ],
"I1": [ 279 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 241 ],
"I3": [ 905 ],
"O": [ 913 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 273 ],
"I3": [ 906 ],
"O": [ 914 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I2_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 272 ],
"I3": [ 907 ],
"O": [ 915 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I2_SB_LUT4_O_3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 276 ],
"I3": [ 908 ],
"O": [ 916 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I2_SB_LUT4_O_4": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 275 ],
"I3": [ 909 ],
"O": [ 917 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I2_SB_LUT4_O_5": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 278 ],
"I3": [ 910 ],
"O": [ 918 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I2_SB_LUT4_O_6": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 281 ],
"I3": [ 911 ],
"O": [ 903 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I2_SB_LUT4_O_7": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 269 ],
"I3": [ 912 ],
"O": [ 919 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I2_SB_LUT4_O_8": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 279 ],
"I3": [ 439 ],
"O": [ 920 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 253 ],
"I1": [ 916 ],
"I2": [ 247 ],
"I3": [ 913 ],
"O": [ 904 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0111111111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 419 ],
"I1": [ 432 ],
"I2": [ 437 ],
"I3": [ 435 ],
"O": [ 423 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 260 ],
"I1": [ 920 ],
"I2": [ 261 ],
"I3": [ 919 ],
"O": [ 899 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 257 ],
"I1": [ 918 ],
"I2": [ 255 ],
"I3": [ 917 ],
"O": [ 901 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 251 ],
"I1": [ 915 ],
"I2": [ 249 ],
"I3": [ 914 ],
"O": [ 900 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 53 ],
"I1": [ 898 ],
"I2": [ 419 ],
"I3": [ 897 ],
"O": [ 460 ]
}
},
"smi_ctrl_ins.r_fifo_09_pull_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:139.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 921 ],
"Q": [ 897 ],
"R": [ 53 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:139.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 922 ],
"Q": [ 923 ],
"R": [ 53 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 923 ],
"I2": [ 609 ],
"I3": [ 922 ],
"O": [ 924 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 924 ],
"I3": [ 53 ],
"O": [ 818 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 925 ],
"I1": [ 620 ],
"I2": [ 926 ],
"I3": [ 924 ],
"O": [ 927 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 53 ],
"I3": [ 924 ],
"O": [ 688 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 622 ],
"I3": [ 928 ],
"O": [ 929 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 666 ],
"I3": [ 930 ],
"O": [ 931 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I0_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 665 ],
"I3": [ 932 ],
"O": [ 933 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I0_SB_LUT4_O_3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 667 ],
"I3": [ 934 ],
"O": [ 935 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I0_SB_LUT4_O_4": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 630 ],
"I3": [ 936 ],
"O": [ 937 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I0_SB_LUT4_O_5": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 668 ],
"I3": [ 938 ],
"O": [ 939 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I0_SB_LUT4_O_6": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 617 ],
"I3": [ 940 ],
"O": [ 941 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I0_SB_LUT4_O_7": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 621 ],
"I3": [ 670 ],
"O": [ 925 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 928 ],
"CO": [ 926 ],
"I0": [ "0" ],
"I1": [ 622 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_CARRY_CO_1": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 930 ],
"CO": [ 928 ],
"I0": [ "0" ],
"I1": [ 666 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_CARRY_CO_2": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 932 ],
"CO": [ 930 ],
"I0": [ "0" ],
"I1": [ 665 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_CARRY_CO_3": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 934 ],
"CO": [ 932 ],
"I0": [ "0" ],
"I1": [ 667 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_CARRY_CO_4": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 936 ],
"CO": [ 934 ],
"I0": [ "0" ],
"I1": [ 630 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_CARRY_CO_5": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 938 ],
"CO": [ 936 ],
"I0": [ "0" ],
"I1": [ 668 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_CARRY_CO_6": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 942 ],
"CO": [ 938 ],
"I0": [ "0" ],
"I1": [ 658 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_CARRY_CO_7": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 940 ],
"CO": [ 942 ],
"I0": [ "0" ],
"I1": [ 617 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_CARRY_CO_8": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 670 ],
"CO": [ 940 ],
"I0": [ "0" ],
"I1": [ 621 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 943 ],
"I1": [ 927 ],
"I2": [ 944 ],
"I3": [ 945 ],
"O": [ 612 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100100010000100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 642 ],
"I1": [ 613 ],
"I2": [ 658 ],
"I3": [ 942 ],
"O": [ 944 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 618 ],
"I1": [ 941 ],
"I2": [ 946 ],
"I3": [ 947 ],
"O": [ 943 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 631 ],
"I1": [ 933 ],
"I2": [ 651 ],
"I3": [ 931 ],
"O": [ 946 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000010111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 935 ],
"I1": [ 634 ],
"I2": [ 939 ],
"I3": [ 639 ],
"O": [ 947 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 637 ],
"I1": [ 937 ],
"I2": [ 948 ],
"I3": [ 949 ],
"O": [ 945 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_2_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000010111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 634 ],
"I1": [ 935 ],
"I2": [ 620 ],
"I3": [ 925 ],
"O": [ 949 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_2_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011000000001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 639 ],
"I1": [ 939 ],
"I2": [ 623 ],
"I3": [ 929 ],
"O": [ 948 ]
}
},
"smi_ctrl_ins.r_fifo_24_pull_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:139.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 950 ],
"Q": [ 922 ],
"R": [ 53 ]
}
},
"smi_ctrl_ins.soe_and_reset_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 53 ],
"I3": [ 31 ],
"O": [ 838 ]
}
},
"smi_ctrl_ins.w_fifo_09_pull_trigger_SB_DFFNE_Q": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 838 ],
"D": [ 951 ],
"E": [ 952 ],
"Q": [ 921 ]
}
},
"smi_ctrl_ins.w_fifo_09_pull_trigger_SB_DFFNE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 841 ],
"I2": [ 843 ],
"I3": [ 419 ],
"O": [ 951 ]
}
},
"smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 838 ],
"D": [ 953 ],
"E": [ 952 ],
"Q": [ 950 ]
}
},
"smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 847 ],
"I2": [ 845 ],
"I3": [ 609 ],
"O": [ 953 ]
}
},
"smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 53 ],
"O": [ 952 ]
}
},
"spi_if_ins.o_cs_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 954 ],
"E": [ 69 ],
"Q": [ 955 ],
"R": [ 71 ]
}
},
"spi_if_ins.o_cs_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 956 ],
"I3": [ 957 ],
"O": [ 68 ]
}
},
"spi_if_ins.o_cs_SB_DFFESR_Q_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 957 ],
"I3": [ 956 ],
"O": [ 836 ]
}
},
"spi_if_ins.o_cs_SB_DFFESR_Q_D_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 957 ],
"I3": [ 956 ],
"O": [ 954 ]
}
},
"spi_if_ins.o_cs_SB_DFFESR_Q_R_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 957 ],
"I3": [ 956 ],
"O": [ 71 ]
}
},
"spi_if_ins.o_cs_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 958 ],
"I1": [ 70 ],
"I2": [ 955 ],
"I3": [ 837 ],
"O": [ 853 ]
}
},
"spi_if_ins.o_cs_SB_LUT4_I2_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 958 ],
"I1": [ 837 ],
"I2": [ 955 ],
"I3": [ 70 ],
"O": [ 413 ]
}
},
"spi_if_ins.o_cs_SB_LUT4_I2_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111101000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 837 ],
"I1": [ 70 ],
"I2": [ 955 ],
"I3": [ 958 ],
"O": [ 402 ]
}
},
"spi_if_ins.o_cs_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 958 ],
"I1": [ 837 ],
"I2": [ 70 ],
"I3": [ 955 ],
"O": [ 856 ]
}
},
"spi_if_ins.o_cs_SB_LUT4_I3_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000100010111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 958 ],
"I1": [ 837 ],
"I2": [ 70 ],
"I3": [ 955 ],
"O": [ 400 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 959 ],
"E": [ 960 ],
"Q": [ 132 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 956 ],
"E": [ 960 ],
"Q": [ 135 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 957 ],
"E": [ 960 ],
"Q": [ 136 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 961 ],
"E": [ 960 ],
"Q": [ 137 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 962 ],
"E": [ 960 ],
"Q": [ 138 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 963 ],
"E": [ 960 ],
"Q": [ 139 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 964 ],
"E": [ 960 ],
"Q": [ 59 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 965 ],
"E": [ 960 ],
"Q": [ 62 ]
}
},
"spi_if_ins.o_fetch_cmd_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 966 ],
"E": [ 967 ],
"Q": [ 968 ],
"R": [ 969 ]
}
},
"spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 970 ],
"I3": [ 971 ],
"O": [ 966 ]
}
},
"spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 970 ],
"I2": [ 972 ],
"I3": [ 973 ],
"O": [ 967 ]
}
},
"spi_if_ins.o_fetch_cmd_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 53 ],
"I2": [ 70 ],
"I3": [ 968 ],
"O": [ 125 ]
}
},
"spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 53 ],
"I1": [ 124 ],
"I2": [ 837 ],
"I3": [ 968 ],
"O": [ 848 ]
}
},
"spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 52 ],
"I3": [ 51 ],
"O": [ 124 ]
}
},
"spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 50 ],
"I2": [ 51 ],
"I3": [ 78 ],
"O": [ 122 ]
}
},
"spi_if_ins.o_ioc_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 961 ],
"E": [ 69 ],
"Q": [ 156 ]
}
},
"spi_if_ins.o_ioc_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 962 ],
"E": [ 69 ],
"Q": [ 157 ]
}
},
"spi_if_ins.o_ioc_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 963 ],
"E": [ 69 ],
"Q": [ 158 ]
}
},
"spi_if_ins.o_ioc_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 964 ],
"E": [ 69 ],
"Q": [ 52 ]
}
},
"spi_if_ins.o_ioc_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 965 ],
"E": [ 69 ],
"Q": [ 50 ]
}
},
"spi_if_ins.o_load_cmd_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 970 ],
"E": [ 974 ],
"Q": [ 975 ],
"R": [ 969 ]
}
},
"spi_if_ins.o_load_cmd_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0111010001001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 973 ],
"I1": [ 971 ],
"I2": [ 976 ],
"I3": [ 977 ],
"O": [ 974 ]
}
},
"spi_if_ins.o_load_cmd_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 968 ],
"I2": [ 70 ],
"I3": [ 975 ],
"O": [ 75 ]
}
},
"spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100111111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 52 ],
"I2": [ 50 ],
"I3": [ 51 ],
"O": [ 73 ]
}
},
"spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 158 ],
"I2": [ 156 ],
"I3": [ 157 ],
"O": [ 51 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 415 ],
"E": [ 978 ],
"Q": [ 979 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 412 ],
"E": [ 978 ],
"Q": [ 980 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 410 ],
"E": [ 978 ],
"Q": [ 981 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 408 ],
"E": [ 978 ],
"Q": [ 982 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 406 ],
"E": [ 978 ],
"Q": [ 983 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 404 ],
"E": [ 978 ],
"Q": [ 984 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 401 ],
"E": [ 978 ],
"Q": [ 985 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 417 ],
"E": [ 978 ],
"Q": [ 986 ]
}
},
"spi_if_ins.r_tx_data_valid_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 987 ],
"E": [ 988 ],
"Q": [ 989 ],
"R": [ 973 ]
}
},
"spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 973 ],
"I3": [ 987 ],
"O": [ 978 ]
}
},
"spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 971 ],
"I2": [ 976 ],
"I3": [ 977 ],
"O": [ 987 ]
}
},
"spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011001100110101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 976 ],
"I1": [ 973 ],
"I2": [ 971 ],
"I3": [ 977 ],
"O": [ 988 ]
}
},
"spi_if_ins.r_tx_data_valid_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 45 ],
"I3": [ 989 ],
"O": [ 990 ]
}
},
"spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 991 ],
"I2": [ 992 ],
"I3": [ 990 ],
"O": [ 993 ]
}
},
"spi_if_ins.spi.SCKr_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:81.3-81.62|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 994 ],
"Q": [ 995 ]
}
},
"spi_if_ins.spi.SCKr_SB_DFF_Q_1": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:81.3-81.62|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 996 ],
"Q": [ 994 ]
}
},
"spi_if_ins.spi.SCKr_SB_DFF_Q_2": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:81.3-81.62|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 44 ],
"Q": [ 996 ]
}
},
"spi_if_ins.spi.SCKr_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 995 ],
"I1": [ 997 ],
"I2": [ 998 ],
"I3": [ 994 ],
"O": [ 992 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:66.3-78.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 999 ],
"E": [ 1000 ],
"Q": [ 959 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:66.3-78.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 1001 ],
"E": [ 1000 ],
"Q": [ 956 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:66.3-78.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 1002 ],
"E": [ 1000 ],
"Q": [ 957 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:66.3-78.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 1003 ],
"E": [ 1000 ],
"Q": [ 961 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:66.3-78.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 1004 ],
"E": [ 1000 ],
"Q": [ 962 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:66.3-78.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 1005 ],
"E": [ 1000 ],
"Q": [ 963 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:66.3-78.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 1006 ],
"E": [ 1000 ],
"Q": [ 964 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:66.3-78.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 1007 ],
"E": [ 1000 ],
"Q": [ 965 ]
}
},
"spi_if_ins.spi.o_rx_data_valid_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:66.3-78.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 1000 ],
"Q": [ 973 ]
}
},
"spi_if_ins.spi.o_rx_data_valid_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 971 ],
"I1": [ 973 ],
"I2": [ 976 ],
"I3": [ 977 ],
"O": [ 960 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:87.3-104.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 1008 ],
"E": [ 1009 ],
"Q": [ 396 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 979 ],
"I2": [ 1010 ],
"I3": [ 990 ],
"O": [ 1008 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1110111011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 1011 ],
"I1": [ 1012 ],
"I2": [ 1013 ],
"I3": [ 991 ],
"O": [ 1010 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111110000001010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 1014 ],
"I1": [ 1015 ],
"I2": [ 997 ],
"I3": [ 1016 ],
"O": [ 1013 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000110000001010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 1017 ],
"I1": [ 1018 ],
"I2": [ 997 ],
"I3": [ 998 ],
"O": [ 1012 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100101000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 1019 ],
"I1": [ 1020 ],
"I2": [ 998 ],
"I3": [ 997 ],
"O": [ 1011 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100111110100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 1021 ],
"I1": [ 1022 ],
"I2": [ 997 ],
"I3": [ 998 ],
"O": [ 1016 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 995 ],
"I2": [ 994 ],
"I3": [ 990 ],
"O": [ 1009 ]
}
},
"spi_if_ins.spi.r2_rx_done_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:66.3-78.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 1023 ],
"Q": [ 1024 ]
}
},
"spi_if_ins.spi.r3_rx_done_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:66.3-78.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 1024 ],
"Q": [ 1025 ]
}
},
"spi_if_ins.spi.r3_rx_done_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1025 ],
"I3": [ 1024 ],
"O": [ 1000 ]
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 44 ],
"D": [ 1026 ],
"Q": [ 1027 ],
"R": [ 45 ]
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_1": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 44 ],
"D": [ 1028 ],
"Q": [ 1029 ],
"R": [ 45 ]
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_2": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 44 ],
"D": [ 1030 ],
"Q": [ 1031 ],
"R": [ 45 ]
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 1031 ],
"O": [ 1030 ]
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:34.25-34.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1027 ],
"I3": [ 1032 ],
"O": [ 1026 ]
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:34.25-34.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1029 ],
"I3": [ 1031 ],
"O": [ 1028 ]
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:92.11-108.5|spi_slave.v:34.25-34.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1031 ],
"CO": [ 1032 ],
"I0": [ "0" ],
"I1": [ 1029 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1033 ],
"E": [ 1034 ],
"Q": [ 999 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1035 ],
"E": [ 1034 ],
"Q": [ 1001 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1036 ],
"E": [ 1034 ],
"Q": [ 1002 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1037 ],
"E": [ 1034 ],
"Q": [ 1003 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1038 ],
"E": [ 1034 ],
"Q": [ 1004 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1039 ],
"E": [ 1034 ],
"Q": [ 1005 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1040 ],
"E": [ 1034 ],
"Q": [ 1006 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 43 ],
"E": [ 1034 ],
"Q": [ 1007 ]
}
},
"spi_if_ins.spi.r_rx_done_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 44 ],
"D": [ 1041 ],
"E": [ 1042 ],
"Q": [ 1023 ],
"R": [ 45 ]
}
},
"spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 45 ],
"I3": [ 1041 ],
"O": [ 1034 ]
}
},
"spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 1031 ],
"I2": [ 1027 ],
"I3": [ 1029 ],
"O": [ 1041 ]
}
},
"spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1110101110101010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 45 ],
"I1": [ 1031 ],
"I2": [ 1027 ],
"I3": [ 1029 ],
"O": [ 1042 ]
}
},
"spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1035 ],
"E": [ 57 ],
"Q": [ 1033 ]
}
},
"spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1036 ],
"E": [ 57 ],
"Q": [ 1035 ]
}
},
"spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1037 ],
"E": [ 57 ],
"Q": [ 1036 ]
}
},
"spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1038 ],
"E": [ 57 ],
"Q": [ 1037 ]
}
},
"spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1039 ],
"E": [ 57 ],
"Q": [ 1038 ]
}
},
"spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1040 ],
"E": [ 57 ],
"Q": [ 1039 ]
}
},
"spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 43 ],
"E": [ 57 ],
"Q": [ 1040 ]
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:87.3-104.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 1043 ],
"E": [ 1009 ],
"Q": [ 997 ],
"R": [ 1044 ]
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:95.27-95.45|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 998 ],
"I2": [ "1" ],
"I3": [ 1045 ],
"O": [ 1046 ]
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:95.27-95.45|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 991 ],
"I2": [ "1" ],
"I3": [ 997 ],
"O": [ 1047 ]
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 997 ],
"O": [ 1043 ]
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:92.11-108.5|spi_slave.v:95.27-95.45|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 997 ],
"CO": [ 1045 ],
"I0": [ 991 ],
"I1": [ "1" ]
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 990 ],
"O": [ 1044 ]
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q": {
"hide_name": 0,
"type": "SB_DFFESS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:87.3-104.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 1046 ],
"E": [ 1009 ],
"Q": [ 998 ],
"S": [ 1044 ]
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_1": {
"hide_name": 0,
"type": "SB_DFFESS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:87.3-104.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 1047 ],
"E": [ 1009 ],
"Q": [ 991 ],
"S": [ 1044 ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:87.3-104.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 979 ],
"E": [ 993 ],
"Q": [ 1020 ],
"R": [ 990 ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:87.3-104.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 980 ],
"E": [ 993 ],
"Q": [ 1018 ],
"R": [ 990 ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:87.3-104.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 981 ],
"E": [ 993 ],
"Q": [ 1022 ],
"R": [ 990 ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:87.3-104.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 982 ],
"E": [ 993 ],
"Q": [ 1015 ],
"R": [ 990 ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:87.3-104.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 983 ],
"E": [ 993 ],
"Q": [ 1019 ],
"R": [ 990 ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:87.3-104.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 984 ],
"E": [ 993 ],
"Q": [ 1017 ],
"R": [ 990 ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:87.3-104.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 985 ],
"E": [ 993 ],
"Q": [ 1021 ],
"R": [ 990 ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:87.3-104.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 986 ],
"E": [ 993 ],
"Q": [ 1014 ],
"R": [ 990 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 1048 ],
"E": [ 1049 ],
"Q": [ 976 ],
"R": [ 969 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 1050 ],
"E": [ 1049 ],
"Q": [ 971 ],
"R": [ 973 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100111111110011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 971 ],
"I2": [ 976 ],
"I3": [ 977 ],
"O": [ 1048 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111110011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 971 ],
"I2": [ 977 ],
"I3": [ 976 ],
"O": [ 1050 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_R_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 973 ],
"O": [ 969 ]
}
},
"spi_if_ins.state_if_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 972 ],
"E": [ 1049 ],
"Q": [ 977 ]
}
},
"spi_if_ins.state_if_SB_DFFE_Q_D_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 970 ],
"I2": [ 971 ],
"I3": [ 973 ],
"O": [ 69 ]
}
},
"spi_if_ins.state_if_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000100000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 1048 ],
"I1": [ 959 ],
"I2": [ 1050 ],
"I3": [ 973 ],
"O": [ 972 ]
}
},
"spi_if_ins.state_if_SB_DFFE_Q_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 976 ],
"I3": [ 977 ],
"O": [ 970 ]
}
},
"spi_if_ins.state_if_SB_DFFE_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100000100011100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 971 ],
"I1": [ 973 ],
"I2": [ 976 ],
"I3": [ 977 ],
"O": [ 1049 ]
}
},
"sys_ctrl_ins.i_cs_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:56.5-111.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 71 ],
"E": [ 69 ],
"Q": [ 958 ]
}
},
"sys_ctrl_ins.o_data_out_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:113.13-126.5|sys_ctrl.v:39.5-64.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 58 ],
"D": [ 88 ],
"E": [ 1051 ],
"Q": [ 1052 ]
}
},
"sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 51 ],
"I2": [ 958 ],
"I3": [ 968 ],
"O": [ 1051 ]
}
},
"sys_ctrl_ins.o_data_out_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111100011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 1052 ],
"I1": [ 402 ],
"I2": [ 856 ],
"I3": [ 854 ],
"O": [ 416 ]
}
},
"sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q": {
"hide_name": 0,
"type": "SB_DFFESS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:113.13-126.5|sys_ctrl.v:67.5-83.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 58 ],
"D": [ "0" ],
"E": [ 1053 ],
"Q": [ 53 ],
"S": [ 1054 ]
}
},
"sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 1055 ],
"O": [ 1053 ]
}
},
"sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0111111111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 1056 ],
"I1": [ 1057 ],
"I2": [ 1058 ],
"I3": [ 1059 ],
"O": [ 1054 ]
}
},
"sys_ctrl_ins.reset_cmd_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:113.13-126.5|sys_ctrl.v:39.5-64.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ "1" ],
"E": [ 1060 ],
"Q": [ 1055 ],
"R": [ 1061 ]
}
},
"sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 968 ],
"I1": [ 1062 ],
"I2": [ 975 ],
"I3": [ 958 ],
"O": [ 1060 ]
}
},
"sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1062 ],
"I3": [ 1063 ],
"O": [ 140 ]
}
},
"sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_I3_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 1063 ],
"I2": [ 78 ],
"I3": [ 50 ],
"O": [ 146 ]
}
},
"sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_I3_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 88 ],
"I3": [ 1063 ],
"O": [ 133 ]
}
},
"sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 53 ],
"I3": [ 75 ],
"O": [ 1063 ]
}
},
"sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 50 ],
"I3": [ 78 ],
"O": [ 1062 ]
}
},
"sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 958 ],
"O": [ 1061 ]
}
},
"sys_ctrl_ins.reset_cmd_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1054 ],
"I3": [ 1055 ],
"O": [ 1064 ]
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:113.13-126.5|sys_ctrl.v:67.5-83.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 1065 ],
"E": [ 1064 ],
"Q": [ 1056 ],
"R": [ 1055 ]
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:113.13-126.5|sys_ctrl.v:67.5-83.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 1066 ],
"E": [ 1064 ],
"Q": [ 1057 ],
"R": [ 1055 ]
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101010100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:113.13-126.5|sys_ctrl.v:73.32-73.50|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 1054 ],
"I1": [ "0" ],
"I2": [ 1057 ],
"I3": [ 1067 ],
"O": [ 1066 ]
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:113.13-126.5|sys_ctrl.v:67.5-83.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 1068 ],
"E": [ 1064 ],
"Q": [ 1058 ],
"R": [ 1055 ]
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101010100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:113.13-126.5|sys_ctrl.v:73.32-73.50|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 1054 ],
"I1": [ "0" ],
"I2": [ 1058 ],
"I3": [ 1059 ],
"O": [ 1068 ]
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:113.13-126.5|sys_ctrl.v:67.5-83.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 58 ],
"D": [ 1069 ],
"E": [ 1064 ],
"Q": [ 1059 ],
"R": [ 1055 ]
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q_3_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 1059 ],
"O": [ 1069 ]
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101010100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:113.13-126.5|sys_ctrl.v:73.32-73.50|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 1054 ],
"I1": [ "0" ],
"I2": [ 1056 ],
"I3": [ 1070 ],
"O": [ 1065 ]
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:113.13-126.5|sys_ctrl.v:73.32-73.50|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1067 ],
"CO": [ 1070 ],
"I0": [ "0" ],
"I1": [ 1057 ]
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_1": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "top.v:113.13-126.5|sys_ctrl.v:73.32-73.50|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1059 ],
"CO": [ 1067 ],
"I0": [ "0" ],
"I1": [ 1058 ]
}
},
"w_lvds_rx_09_d1_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011101111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 292 ],
"I1": [ 171 ],
"I2": [ 170 ],
"I3": [ 53 ],
"O": [ 1071 ]
}
},
"w_lvds_rx_09_d1_SB_LUT4_I1_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 291 ],
"I1": [ 292 ],
"I2": [ 293 ],
"I3": [ 1071 ],
"O": [ 290 ]
}
},
"w_lvds_rx_24_d1_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 381 ],
"I1": [ 174 ],
"I2": [ 173 ],
"I3": [ 1072 ],
"O": [ 380 ]
}
},
"w_lvds_rx_24_d1_SB_LUT4_I1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000110000001010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 381 ],
"I1": [ 382 ],
"I2": [ 53 ],
"I3": [ 383 ],
"O": [ 1072 ]
}
},
"w_smi_read_req_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 609 ],
"I3": [ 419 ],
"O": [ 397 ]
}
}
},
"netnames": {
"i_button": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "top.v:40.13-40.21"
}
},
"i_button_SB_LUT4_I2_I1": {
"hide_name": 0,
"bits": [ 47, 15 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"i_button_SB_LUT4_I2_I3": {
"hide_name": 0,
"bits": [ 47, 17, 48 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"i_config": {
"hide_name": 0,
"bits": [ 13, 14, 15, 16 ],
"attributes": {
"src": "top.v:39.19-39.27"
}
},
"i_glob_clock": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "top.v:9.13-9.25"
}
},
"i_iq_rx_09_p": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "top.v:25.13-25.25"
}
},
"i_iq_rx_24_n": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "top.v:26.13-26.25"
}
},
"i_iq_rx_clk_p": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "top.v:27.13-27.26"
}
},
"i_mosi": {
"hide_name": 0,
"bits": [ 43 ],
"attributes": {
"src": "top.v:57.13-57.19"
}
},
"i_sck": {
"hide_name": 0,
"bits": [ 44 ],
"attributes": {
"src": "top.v:58.13-58.18"
}
},
"i_smi_a1": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "top.v:46.13-46.21"
}
},
"i_smi_a1_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 55 ],
"attributes": {
}
},
"i_smi_a2": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "top.v:47.13-47.21"
}
},
"i_smi_a2_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 56, 53 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"i_smi_a3": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "top.v:48.13-48.21"
}
},
"i_smi_soe_se": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "top.v:50.13-50.25"
}
},
"i_smi_swe_srw": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "top.v:51.13-51.26"
}
},
"i_ss": {
"hide_name": 0,
"bits": [ 45 ],
"attributes": {
"src": "top.v:59.13-59.17"
}
},
"i_ss_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 57 ],
"attributes": {
}
},
"int_miso": {
"hide_name": 0,
"bits": [ 396 ],
"attributes": {
"src": "top.v:110.9-110.17"
}
},
"io_ctrl_ins.debug_mode": {
"hide_name": 0,
"bits": [ 63, 61 ],
"attributes": {
"hdlname": "io_ctrl_ins debug_mode",
"src": "top.v:128.12-156.5|io_ctrl.v:67.17-67.27"
}
},
"io_ctrl_ins.debug_mode_SB_LUT4_I0_O": {
"hide_name": 0,
"bits": [ 66 ],
"attributes": {
}
},
"io_ctrl_ins.debug_mode_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 67, 86, 65, 64 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.i_button": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"hdlname": "io_ctrl_ins i_button",
"src": "top.v:128.12-156.5|io_ctrl.v:14.29-14.37"
}
},
"io_ctrl_ins.i_config": {
"hide_name": 0,
"bits": [ 13, 14, 15, 16 ],
"attributes": {
"hdlname": "io_ctrl_ins i_config",
"src": "top.v:128.12-156.5|io_ctrl.v:15.29-15.37"
}
},
"io_ctrl_ins.i_cs": {
"hide_name": 0,
"bits": [ 70 ],
"attributes": {
"hdlname": "io_ctrl_ins i_cs",
"src": "top.v:128.12-156.5|io_ctrl.v:9.29-9.33"
}
},
"io_ctrl_ins.i_data_in": {
"hide_name": 0,
"bits": [ 62, 59, 139, 138, 137, 136, 135, 132 ],
"attributes": {
"hdlname": "io_ctrl_ins i_data_in",
"src": "top.v:128.12-156.5|io_ctrl.v:7.29-7.38"
}
},
"io_ctrl_ins.i_fetch_cmd": {
"hide_name": 0,
"bits": [ 968 ],
"attributes": {
"hdlname": "io_ctrl_ins i_fetch_cmd",
"src": "top.v:128.12-156.5|io_ctrl.v:10.29-10.40"
}
},
"io_ctrl_ins.i_ioc": {
"hide_name": 0,
"bits": [ 50, 52, 158, 157, 156 ],
"attributes": {
"hdlname": "io_ctrl_ins i_ioc",
"src": "top.v:128.12-156.5|io_ctrl.v:6.29-6.34"
}
},
"io_ctrl_ins.i_load_cmd": {
"hide_name": 0,
"bits": [ 975 ],
"attributes": {
"hdlname": "io_ctrl_ins i_load_cmd",
"src": "top.v:128.12-156.5|io_ctrl.v:11.29-11.39"
}
},
"io_ctrl_ins.i_reset": {
"hide_name": 0,
"bits": [ 53 ],
"attributes": {
"hdlname": "io_ctrl_ins i_reset",
"src": "top.v:128.12-156.5|io_ctrl.v:3.29-3.36"
}
},
"io_ctrl_ins.i_sys_clk": {
"hide_name": 0,
"bits": [ 58 ],
"attributes": {
"hdlname": "io_ctrl_ins i_sys_clk",
"src": "top.v:128.12-156.5|io_ctrl.v:4.29-4.38"
}
},
"io_ctrl_ins.led0_state": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"hdlname": "io_ctrl_ins led0_state",
"src": "top.v:128.12-156.5|io_ctrl.v:71.17-71.27"
}
},
"io_ctrl_ins.led0_state_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 131, 88, 93, 74 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.led1_state": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"hdlname": "io_ctrl_ins led1_state",
"src": "top.v:128.12-156.5|io_ctrl.v:72.17-72.27"
}
},
"io_ctrl_ins.led1_state_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 72 ],
"attributes": {
}
},
"io_ctrl_ins.led1_state_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 108, 88, 79, 76 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.lna_rx_shutdown_state": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"hdlname": "io_ctrl_ins lna_rx_shutdown_state",
"src": "top.v:128.12-156.5|io_ctrl.v:79.17-79.38"
}
},
"io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 80 ],
"attributes": {
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8"
}
},
"io_ctrl_ins.lna_tx_shutdown_state": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"hdlname": "io_ctrl_ins lna_tx_shutdown_state",
"src": "top.v:128.12-156.5|io_ctrl.v:80.17-80.38"
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 82 ],
"attributes": {
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8"
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 86, 73, 85 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 88, 123, 87 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.mixer_en_state": {
"hide_name": 0,
"bits": [ 90 ],
"attributes": {
"hdlname": "io_ctrl_ins mixer_en_state",
"src": "top.v:128.12-156.5|io_ctrl.v:76.17-76.31"
}
},
"io_ctrl_ins.mixer_en_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 89 ],
"attributes": {
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8"
}
},
"io_ctrl_ins.o_data_out": {
"hide_name": 0,
"bits": [ 130, 106, 121, 110, 115, 96, 99, 103 ],
"attributes": {
"hdlname": "io_ctrl_ins o_data_out",
"src": "top.v:128.12-156.5|io_ctrl.v:8.29-8.39"
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_1_D": {
"hide_name": 0,
"bits": [ 98 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22"
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D": {
"hide_name": 0,
"bits": [ 49 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22"
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D": {
"hide_name": 0,
"bits": [ 104 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22"
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_4_D": {
"hide_name": 0,
"bits": [ 109 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22"
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_D": {
"hide_name": 0,
"bits": [ 114 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22"
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D": {
"hide_name": 0,
"bits": [ 119 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22"
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E": {
"hide_name": 0,
"bits": [ 120 ],
"attributes": {
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 94 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22"
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 95 ],
"attributes": {
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_R": {
"hide_name": 0,
"bits": [ 50, 124, 97, 125 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.o_data_out_SB_DFFESS_Q_D": {
"hide_name": 0,
"bits": [ 129 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22"
}
},
"io_ctrl_ins.o_data_out_SB_DFFESS_Q_E": {
"hide_name": 0,
"bits": [ 105 ],
"attributes": {
}
},
"io_ctrl_ins.o_data_out_SB_DFFESS_Q_S": {
"hide_name": 0,
"bits": [ 107 ],
"attributes": {
}
},
"io_ctrl_ins.o_led0": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"hdlname": "io_ctrl_ins o_led0",
"src": "top.v:128.12-156.5|io_ctrl.v:16.29-16.35"
}
},
"io_ctrl_ins.o_led1": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"hdlname": "io_ctrl_ins o_led1",
"src": "top.v:128.12-156.5|io_ctrl.v:17.29-17.35"
}
},
"io_ctrl_ins.o_mixer_en": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"hdlname": "io_ctrl_ins o_mixer_en",
"src": "top.v:128.12-156.5|io_ctrl.v:29.29-29.39"
}
},
"io_ctrl_ins.o_mixer_fm": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "io_ctrl_ins o_mixer_fm",
"src": "top.v:128.12-156.5|io_ctrl.v:21.29-21.39"
}
},
"io_ctrl_ins.o_pmod": {
"hide_name": 0,
"bits": [ 92, 77, 84, 145, 144, 143, 142, 141 ],
"attributes": {
"hdlname": "io_ctrl_ins o_pmod",
"src": "top.v:128.12-156.5|io_ctrl.v:18.29-18.35"
}
},
"io_ctrl_ins.o_rx_h_tx_l": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"hdlname": "io_ctrl_ins o_rx_h_tx_l",
"src": "top.v:128.12-156.5|io_ctrl.v:22.29-22.40"
}
},
"io_ctrl_ins.o_rx_h_tx_l_b": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"hdlname": "io_ctrl_ins o_rx_h_tx_l_b",
"src": "top.v:128.12-156.5|io_ctrl.v:23.29-23.42"
}
},
"io_ctrl_ins.o_shdn_rx_lna": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"hdlname": "io_ctrl_ins o_shdn_rx_lna",
"src": "top.v:128.12-156.5|io_ctrl.v:28.29-28.42"
}
},
"io_ctrl_ins.o_shdn_tx_lna": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"hdlname": "io_ctrl_ins o_shdn_tx_lna",
"src": "top.v:128.12-156.5|io_ctrl.v:27.29-27.42"
}
},
"io_ctrl_ins.o_tr_vc1": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"hdlname": "io_ctrl_ins o_tr_vc1",
"src": "top.v:128.12-156.5|io_ctrl.v:24.29-24.37"
}
},
"io_ctrl_ins.o_tr_vc1_b": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"hdlname": "io_ctrl_ins o_tr_vc1_b",
"src": "top.v:128.12-156.5|io_ctrl.v:25.29-25.39"
}
},
"io_ctrl_ins.o_tr_vc2": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"hdlname": "io_ctrl_ins o_tr_vc2",
"src": "top.v:128.12-156.5|io_ctrl.v:26.29-26.37"
}
},
"io_ctrl_ins.pmod_dir_state": {
"hide_name": 0,
"bits": [ 131, 108, 123, 111, 116, 126, 100, 134 ],
"attributes": {
"hdlname": "io_ctrl_ins pmod_dir_state",
"src": "top.v:128.12-156.5|io_ctrl.v:73.17-73.31"
}
},
"io_ctrl_ins.pmod_state": {
"hide_name": 0,
"bits": [ 92, 77, 84, 145, 144, 143, 142, 141 ],
"attributes": {
"hdlname": "io_ctrl_ins pmod_state",
"src": "top.v:128.12-156.5|io_ctrl.v:74.17-74.27"
}
},
"io_ctrl_ins.rf_mode": {
"hide_name": 0,
"bits": [ 86, 64, 65 ],
"attributes": {
"hdlname": "io_ctrl_ins rf_mode",
"src": "top.v:128.12-156.5|io_ctrl.v:68.17-68.24"
}
},
"io_ctrl_ins.rf_mode_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 60 ],
"attributes": {
}
},
"io_ctrl_ins.rf_pin_state": {
"hide_name": 0,
"bits": [ 91, 81, 83, 151, 150, 149, 148, 147 ],
"attributes": {
"hdlname": "io_ctrl_ins rf_pin_state",
"src": "top.v:128.12-156.5|io_ctrl.v:75.17-75.29"
}
},
"io_ctrl_ins.rx_h_b_state": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"hdlname": "io_ctrl_ins rx_h_b_state",
"src": "top.v:128.12-156.5|io_ctrl.v:82.17-82.29"
}
},
"io_ctrl_ins.rx_h_b_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 152 ],
"attributes": {
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8"
}
},
"io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 100, 88, 101, 102 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.rx_h_state": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"hdlname": "io_ctrl_ins rx_h_state",
"src": "top.v:128.12-156.5|io_ctrl.v:81.17-81.27"
}
},
"io_ctrl_ins.rx_h_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 154 ],
"attributes": {
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8"
}
},
"io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 147, 67, 153 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3": {
"hide_name": 0,
"bits": [ 50, 78 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.rx_h_state_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 134, 88, 155 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.tr_vc_1_b_state": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"hdlname": "io_ctrl_ins tr_vc_1_b_state",
"src": "top.v:128.12-156.5|io_ctrl.v:84.17-84.32"
}
},
"io_ctrl_ins.tr_vc_1_b_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 159 ],
"attributes": {
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8"
}
},
"io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 116, 88, 117, 118 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.tr_vc_1_state": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"hdlname": "io_ctrl_ins tr_vc_1_state",
"src": "top.v:128.12-156.5|io_ctrl.v:83.17-83.30"
}
},
"io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 161 ],
"attributes": {
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8"
}
},
"io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 67, 150, 160 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 126, 88, 127, 128 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.tr_vc_2_state": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"hdlname": "io_ctrl_ins tr_vc_2_state",
"src": "top.v:128.12-156.5|io_ctrl.v:85.17-85.30"
}
},
"io_ctrl_ins.tr_vc_2_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 162 ],
"attributes": {
"src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8"
}
},
"io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 111, 88, 112, 113 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_pmod": {
"hide_name": 0,
"bits": [ 18, 19, 20, 21, 22, 23, 24, 25 ],
"attributes": {
"src": "top.v:41.19-41.26"
}
},
"io_smi_data": {
"hide_name": 0,
"bits": [ 33, 34, 35, 36, 37, 38, 39, 40 ],
"attributes": {
"src": "top.v:52.19-52.30"
}
},
"lvds_clock": {
"hide_name": 0,
"bits": [ 172 ],
"attributes": {
"src": "top.v:189.9-189.19"
}
},
"lvds_clock_buf": {
"hide_name": 0,
"bits": [ 172 ],
"attributes": {
"src": "top.v:190.9-190.23"
}
},
"lvds_rx_09_inst.i_ddr_clk": {
"hide_name": 0,
"bits": [ 172 ],
"attributes": {
"hdlname": "lvds_rx_09_inst i_ddr_clk",
"src": "top.v:259.12-279.5|lvds_rx.v:4.29-4.38"
}
},
"lvds_rx_09_inst.i_ddr_data": {
"hide_name": 0,
"bits": [ 170, 171 ],
"attributes": {
"hdlname": "lvds_rx_09_inst i_ddr_data",
"src": "top.v:259.12-279.5|lvds_rx.v:5.29-5.39"
}
},
"lvds_rx_09_inst.i_fifo_full": {
"hide_name": 0,
"bits": [ 300 ],
"attributes": {
"hdlname": "lvds_rx_09_inst i_fifo_full",
"src": "top.v:259.12-279.5|lvds_rx.v:7.29-7.40"
}
},
"lvds_rx_09_inst.i_reset": {
"hide_name": 0,
"bits": [ 53 ],
"attributes": {
"hdlname": "lvds_rx_09_inst i_reset",
"src": "top.v:259.12-279.5|lvds_rx.v:3.29-3.36"
}
},
"lvds_rx_09_inst.o_debug_state": {
"hide_name": 0,
"bits": [ 291, 292 ],
"attributes": {
"hdlname": "lvds_rx_09_inst o_debug_state",
"src": "top.v:259.12-279.5|lvds_rx.v:11.29-11.42"
}
},
"lvds_rx_09_inst.o_fifo_data": {
"hide_name": 0,
"bits": [ 225, 224, 221, 219, 217, 215, 213, 211, 209, 207, 205, 203, 199, 197, 195, 193, 191, 189, 187, 185, 183, 181, 237, 235, 233, 231, 229, 227, 223, 201, 179, 177 ],
"attributes": {
"hdlname": "lvds_rx_09_inst o_fifo_data",
"src": "top.v:259.12-279.5|lvds_rx.v:10.29-10.40"
}
},
"lvds_rx_09_inst.o_fifo_push": {
"hide_name": 0,
"bits": [ 239 ],
"attributes": {
"hdlname": "lvds_rx_09_inst o_fifo_push",
"src": "top.v:259.12-279.5|lvds_rx.v:9.29-9.40"
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O": {
"hide_name": 0,
"bits": [ 244 ],
"attributes": {
"src": "top.v:284.17-295.5|complex_fifo.v:23.1-37.4"
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_2_O": {
"hide_name": 0,
"bits": [ 245 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I0": {
"hide_name": 0,
"bits": [ "0", 260, 258, 256, 254, 252, 250, 248, 246, 240 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22"
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_I2": {
"hide_name": 0,
"bits": [ 1073, 268, 267, 266, 265, 264, 263, 262, 242, 240 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27",
"unused_bits": "0 "
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 269, 268, 270, 243 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 277, 274, 280, 271 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.o_fifo_write_clk": {
"hide_name": 0,
"bits": [ 172 ],
"attributes": {
"hdlname": "lvds_rx_09_inst o_fifo_write_clk",
"src": "top.v:259.12-279.5|lvds_rx.v:8.29-8.45"
}
},
"lvds_rx_09_inst.r_data": {
"hide_name": 0,
"bits": [ 220, 218, 216, 214, 212, 210, 208, 206, 204, 202, 198, 196, 194, 192, 190, 188, 186, 184, 182, 180, 236, 234, 232, 230, 228, 226, 222, 200, 178, 175, "x", "x" ],
"attributes": {
"hdlname": "lvds_rx_09_inst r_data",
"src": "top.v:259.12-279.5|lvds_rx.v:27.17-27.23"
}
},
"lvds_rx_09_inst.r_data_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 282 ],
"attributes": {
}
},
"lvds_rx_09_inst.r_phase_count": {
"hide_name": 0,
"bits": [ 283, 285, 1074 ],
"attributes": {
"hdlname": "lvds_rx_09_inst r_phase_count",
"src": "top.v:259.12-279.5|lvds_rx.v:26.17-26.30",
"unused_bits": "2"
}
},
"lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ "1", 283, 284 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22"
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 288, 286, 295 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27"
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 289 ],
"attributes": {
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 288, 287, 294, 291 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_1_D": {
"hide_name": 0,
"bits": [ 297 ],
"attributes": {
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 296 ],
"attributes": {
}
},
"lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 290 ],
"attributes": {
}
},
"lvds_rx_09_inst.r_push": {
"hide_name": 0,
"bits": [ 238 ],
"attributes": {
"hdlname": "lvds_rx_09_inst r_push",
"src": "top.v:259.12-279.5|lvds_rx.v:28.17-28.23"
}
},
"lvds_rx_09_inst.r_push_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 298 ],
"attributes": {
}
},
"lvds_rx_09_inst.r_push_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 299 ],
"attributes": {
}
},
"lvds_rx_09_inst.r_push_SB_DFFESR_Q_E_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 292, 293, 286, 291 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.r_push_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 176 ],
"attributes": {
}
},
"lvds_rx_09_inst.r_state_if": {
"hide_name": 0,
"bits": [ 291, 292 ],
"attributes": {
"hdlname": "lvds_rx_09_inst r_state_if",
"src": "top.v:259.12-279.5|lvds_rx.v:25.17-25.27"
}
},
"lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 303, 301 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:259.12-279.5|lvds_rx.v:58.13-94.20|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22"
}
},
"lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 302 ],
"attributes": {
}
},
"lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 293, 304, 53 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_24_inst.i_ddr_clk": {
"hide_name": 0,
"bits": [ 172 ],
"attributes": {
"hdlname": "lvds_rx_24_inst i_ddr_clk",
"src": "top.v:297.12-317.5|lvds_rx.v:4.29-4.38"
}
},
"lvds_rx_24_inst.i_ddr_data": {
"hide_name": 0,
"bits": [ 173, 174 ],
"attributes": {
"hdlname": "lvds_rx_24_inst i_ddr_data",
"src": "top.v:297.12-317.5|lvds_rx.v:5.29-5.39"
}
},
"lvds_rx_24_inst.i_fifo_full": {
"hide_name": 0,
"bits": [ 390 ],
"attributes": {
"hdlname": "lvds_rx_24_inst i_fifo_full",
"src": "top.v:297.12-317.5|lvds_rx.v:7.29-7.40"
}
},
"lvds_rx_24_inst.i_reset": {
"hide_name": 0,
"bits": [ 53 ],
"attributes": {
"hdlname": "lvds_rx_24_inst i_reset",
"src": "top.v:297.12-317.5|lvds_rx.v:3.29-3.36"
}
},
"lvds_rx_24_inst.o_debug_state": {
"hide_name": 0,
"bits": [ 383, 381 ],
"attributes": {
"hdlname": "lvds_rx_24_inst o_debug_state",
"src": "top.v:297.12-317.5|lvds_rx.v:11.29-11.42"
}
},
"lvds_rx_24_inst.o_fifo_data": {
"hide_name": 0,
"bits": [ 355, 354, 351, 349, 347, 345, 343, 341, 339, 337, 335, 333, 329, 327, 325, 323, 321, 319, 317, 315, 313, 311, 367, 365, 363, 361, 359, 357, 353, 331, 309, 307 ],
"attributes": {
"hdlname": "lvds_rx_24_inst o_fifo_data",
"src": "top.v:297.12-317.5|lvds_rx.v:10.29-10.40"
}
},
"lvds_rx_24_inst.o_fifo_push": {
"hide_name": 0,
"bits": [ 369 ],
"attributes": {
"hdlname": "lvds_rx_24_inst o_fifo_push",
"src": "top.v:297.12-317.5|lvds_rx.v:9.29-9.40"
}
},
"lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_1_O": {
"hide_name": 0,
"bits": [ 371 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 370 ],
"attributes": {
"src": "top.v:321.17-332.5|complex_fifo.v:23.1-37.4"
}
},
"lvds_rx_24_inst.o_fifo_write_clk": {
"hide_name": 0,
"bits": [ 172 ],
"attributes": {
"hdlname": "lvds_rx_24_inst o_fifo_write_clk",
"src": "top.v:297.12-317.5|lvds_rx.v:8.29-8.45"
}
},
"lvds_rx_24_inst.r_data": {
"hide_name": 0,
"bits": [ 350, 348, 346, 344, 342, 340, 338, 336, 334, 332, 328, 326, 324, 322, 320, 318, 316, 314, 312, 310, 366, 364, 362, 360, 358, 356, 352, 330, 308, 305, "x", "x" ],
"attributes": {
"hdlname": "lvds_rx_24_inst r_data",
"src": "top.v:297.12-317.5|lvds_rx.v:27.17-27.23"
}
},
"lvds_rx_24_inst.r_data_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 372 ],
"attributes": {
}
},
"lvds_rx_24_inst.r_phase_count": {
"hide_name": 0,
"bits": [ 373, 375, 1075 ],
"attributes": {
"hdlname": "lvds_rx_24_inst r_phase_count",
"src": "top.v:297.12-317.5|lvds_rx.v:26.17-26.30",
"unused_bits": "2"
}
},
"lvds_rx_24_inst.r_phase_count_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ "1", 373, 374 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22"
}
},
"lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 378, 376, 385 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27"
}
},
"lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 379 ],
"attributes": {
}
},
"lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 378, 377, 384 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_1_D": {
"hide_name": 0,
"bits": [ 387 ],
"attributes": {
}
},
"lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 386 ],
"attributes": {
}
},
"lvds_rx_24_inst.r_push": {
"hide_name": 0,
"bits": [ 368 ],
"attributes": {
"hdlname": "lvds_rx_24_inst r_push",
"src": "top.v:297.12-317.5|lvds_rx.v:28.17-28.23"
}
},
"lvds_rx_24_inst.r_push_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 388 ],
"attributes": {
}
},
"lvds_rx_24_inst.r_push_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 389 ],
"attributes": {
}
},
"lvds_rx_24_inst.r_push_SB_DFFESR_Q_E_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 381, 382, 53, 383 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_24_inst.r_push_SB_DFFESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 306 ],
"attributes": {
}
},
"lvds_rx_24_inst.r_state_if": {
"hide_name": 0,
"bits": [ 383, 381 ],
"attributes": {
"hdlname": "lvds_rx_24_inst r_state_if",
"src": "top.v:297.12-317.5|lvds_rx.v:25.17-25.27"
}
},
"lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 393, 391 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:297.12-317.5|lvds_rx.v:58.13-94.20|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22"
}
},
"lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 392 ],
"attributes": {
}
},
"lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 382, 383, 394 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 381, 383, 395, 53 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"o_address_error": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "top.v:125.23-125.38"
}
},
"o_iq_tx_clk_n": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "top.v:24.14-24.27"
}
},
"o_iq_tx_clk_p": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "top.v:23.14-23.27"
}
},
"o_iq_tx_n": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "top.v:22.14-22.23"
}
},
"o_iq_tx_p": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "top.v:21.14-21.23"
}
},
"o_led0": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "top.v:42.14-42.20"
}
},
"o_led1": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "top.v:43.14-43.20"
}
},
"o_miso": {
"hide_name": 0,
"bits": [ 46 ],
"attributes": {
"src": "top.v:60.14-60.20"
}
},
"o_mixer_en": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"src": "top.v:36.14-36.24"
}
},
"o_mixer_fm": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"src": "top.v:35.14-35.24"
}
},
"o_rx_h_tx_l": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "top.v:12.14-12.25"
}
},
"o_rx_h_tx_l_b": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "top.v:13.14-13.27"
}
},
"o_shdn_rx_lna": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "top.v:17.14-17.27"
}
},
"o_shdn_tx_lna": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "top.v:18.14-18.27"
}
},
"o_smi_read_req": {
"hide_name": 0,
"bits": [ 42 ],
"attributes": {
"src": "top.v:54.14-54.28"
}
},
"o_smi_write_req": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "top.v:53.14-53.29"
}
},
"o_tr_vc1": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "top.v:14.14-14.22"
}
},
"o_tr_vc1_b": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "top.v:15.14-15.24"
}
},
"o_tr_vc2": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "top.v:16.14-16.22"
}
},
"r_counter": {
"hide_name": 0,
"bits": [ 58 ],
"attributes": {
"src": "top.v:65.16-65.25"
}
},
"r_counter_SB_DFF_Q_D": {
"hide_name": 0,
"bits": [ 398 ],
"attributes": {
"src": "top.v:172.4-183.7"
}
},
"r_reset": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"src": "top.v:74.16-74.23"
}
},
"r_tx_data": {
"hide_name": 0,
"bits": [ 417, 401, 404, 406, 408, 410, 412, 415 ],
"attributes": {
"src": "top.v:70.16-70.25"
}
},
"r_tx_data_SB_DFFESR_Q_5_D": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", 1076, "0", 1076, "0", "0", 1076, "0", 1076, 1077, 1078, 1079, 1080, "0", "0", "0", "0", 1081, 1082, 1083, 1084, 407, 1085, 411, 1086 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:0.0-0.0|top.v:176.7-182.14|/usr/local/bin/../share/yosys/techmap.v:583.28-583.35",
"unused_bits": "8 10 13 15 16 17 18 19 24 25 26 27 29 31"
}
},
"r_tx_data_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 416, 1087, 1088, 1089, 1090, 1091, 1092, 1093 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:0.0-0.0|top.v:176.7-182.14|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22",
"unused_bits": "1 2 3 4 5 6 7"
}
},
"rx_09_fifo.empty_o": {
"hide_name": 0,
"bits": [ 419 ],
"attributes": {
"hdlname": "rx_09_fifo empty_o",
"src": "top.v:284.17-295.5|complex_fifo.v:17.19-17.26"
}
},
"rx_09_fifo.empty_o_SB_DFFSS_Q_D": {
"hide_name": 0,
"bits": [ 418 ],
"attributes": {
}
},
"rx_09_fifo.full_o": {
"hide_name": 0,
"bits": [ 300 ],
"attributes": {
"hdlname": "rx_09_fifo full_o",
"src": "top.v:284.17-295.5|complex_fifo.v:16.19-16.25"
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D": {
"hide_name": 0,
"bits": [ 424 ],
"attributes": {
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 425, 426, 427, 428 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 898, 419, 428, 897 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_I0_I3": {
"hide_name": 0,
"bits": [ 428, 279, 260, 431 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_I0_O": {
"hide_name": 0,
"bits": [ 419, 432, 437, 435 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_I0_O_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 241, 247, 436 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_I0_O_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 275, 255, 433, 434 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2": {
"hide_name": 0,
"bits": [ 456, 429, 455, 453, 451, 449, 447, 440, 444, 430 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27"
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ "0", 438, 454, 452, 450, 448, 446, 445, 443, 442 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22"
}
},
"rx_09_fifo.full_o_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 457, 458, 459, 441 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_09_fifo.mem_i.0.0.0_RDATA": {
"hide_name": 0,
"bits": [ 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_09_fifo.mem_i.1.0.0_RDATA": {
"hide_name": 0,
"bits": [ 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_09_fifo.mem_i.2.0.0_RDATA": {
"hide_name": 0,
"bits": [ 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_09_fifo.mem_i.3.0.0_RDATA": {
"hide_name": 0,
"bits": [ 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_09_fifo.mem_q.0.0.0_RDATA": {
"hide_name": 0,
"bits": [ 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_09_fifo.mem_q.1.0.0_RDATA": {
"hide_name": 0,
"bits": [ 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_09_fifo.mem_q.2.0.0_RDATA": {
"hide_name": 0,
"bits": [ 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_09_fifo.mem_q.3.0.0_RDATA": {
"hide_name": 0,
"bits": [ 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_09_fifo.rd_addr": {
"hide_name": 0,
"bits": [ 439, 279, 269, 281, 278, 275, 276, 272, 273, 241 ],
"attributes": {
"hdlname": "rx_09_fifo rd_addr",
"src": "top.v:284.17-295.5|complex_fifo.v:21.22-21.29"
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 599, 598, 597, 596, 595, 594, 593, 592, 591, 589 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27"
}
},
"rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ "0", 439, 607, 606, 605, 604, 603, 602, 601, 600 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22"
}
},
"rx_09_fifo.rd_clk_i": {
"hide_name": 0,
"bits": [ 58 ],
"attributes": {
"hdlname": "rx_09_fifo rd_clk_i",
"src": "top.v:284.17-295.5|complex_fifo.v:12.31-12.39"
}
},
"rx_09_fifo.rd_data_o": {
"hide_name": 0,
"bits": [ 494, 498, 502, 506, 510, 514, 518, 522, 462, 466, 470, 474, 478, 482, 486, 490, 558, 562, 566, 570, 574, 578, 582, 586, 526, 530, 534, 538, 542, 546, 550, 554 ],
"attributes": {
"hdlname": "rx_09_fifo rd_data_o",
"src": "top.v:284.17-295.5|complex_fifo.v:14.35-14.44"
}
},
"rx_09_fifo.rd_rst_i": {
"hide_name": 0,
"bits": [ 53 ],
"attributes": {
"hdlname": "rx_09_fifo rd_rst_i",
"src": "top.v:284.17-295.5|complex_fifo.v:11.31-11.39"
}
},
"rx_09_fifo.wr_addr": {
"hide_name": 0,
"bits": [ 438, 260, 261, 259, 257, 255, 253, 251, 249, 247 ],
"attributes": {
"hdlname": "rx_09_fifo wr_addr",
"src": "top.v:284.17-295.5|complex_fifo.v:20.22-20.29"
}
},
"rx_09_fifo.wr_clk_i": {
"hide_name": 0,
"bits": [ 172 ],
"attributes": {
"hdlname": "rx_09_fifo wr_clk_i",
"src": "top.v:284.17-295.5|complex_fifo.v:7.31-7.39"
}
},
"rx_09_fifo.wr_data_i": {
"hide_name": 0,
"bits": [ 225, 224, 221, 219, 217, 215, 213, 211, 209, 207, 205, 203, 199, 197, 195, 193, 191, 189, 187, 185, 183, 181, 237, 235, 233, 231, 229, 227, 223, 201, 179, 177 ],
"attributes": {
"hdlname": "rx_09_fifo wr_data_i",
"src": "top.v:284.17-295.5|complex_fifo.v:9.35-9.44"
}
},
"rx_09_fifo.wr_en_i": {
"hide_name": 0,
"bits": [ 239 ],
"attributes": {
"hdlname": "rx_09_fifo wr_en_i",
"src": "top.v:284.17-295.5|complex_fifo.v:8.31-8.38"
}
},
"rx_09_fifo.wr_rst_i": {
"hide_name": 0,
"bits": [ 53 ],
"attributes": {
"hdlname": "rx_09_fifo wr_rst_i",
"src": "top.v:284.17-295.5|complex_fifo.v:6.31-6.39"
}
},
"rx_24_fifo.empty_o": {
"hide_name": 0,
"bits": [ 609 ],
"attributes": {
"hdlname": "rx_24_fifo empty_o",
"src": "top.v:321.17-332.5|complex_fifo.v:17.19-17.26"
}
},
"rx_24_fifo.empty_o_SB_DFFSS_Q_D": {
"hide_name": 0,
"bits": [ 608 ],
"attributes": {
}
},
"rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 610, 611, 609, 612 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 617, 618, 619 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_24_fifo.full_o": {
"hide_name": 0,
"bits": [ 390 ],
"attributes": {
"hdlname": "rx_24_fifo full_o",
"src": "top.v:321.17-332.5|complex_fifo.v:16.19-16.25"
}
},
"rx_24_fifo.full_o_SB_DFFSR_Q_D": {
"hide_name": 0,
"bits": [ 624 ],
"attributes": {
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0": {
"hide_name": 0,
"bits": [ 654, 648, 646, 644, 641, 629, 636, 633, 653, 650 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27"
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_I0_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ "0", 647, 645, 643, 640, 638, 635, 632, 652, 649 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22"
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 625, 626, 627, 628 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I2": {
"hide_name": 0,
"bits": [ 658, 644, 659, 660 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 621, 648, 664 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 613, 661, 662, 663 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0": {
"hide_name": 0,
"bits": [ 613, 614, 615, 616 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I3": {
"hide_name": 0,
"bits": [ 613, 620, 621, 669 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I3_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ "0", 620, 679, 678, 677, 676, 675, 674, 673, 672 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22"
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 1094, 655, 671, 685, 684, 683, 682, 681, 680, 672 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27",
"unused_bits": "0 "
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 617, 655, 656, 657 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 668, 685, 686, 687 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_24_fifo.mem_i.0.0.0_RDATA": {
"hide_name": 0,
"bits": [ 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_24_fifo.mem_i.1.0.0_RDATA": {
"hide_name": 0,
"bits": [ 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_24_fifo.mem_i.2.0.0_RDATA": {
"hide_name": 0,
"bits": [ 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_24_fifo.mem_i.3.0.0_RDATA": {
"hide_name": 0,
"bits": [ 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_24_fifo.mem_q.0.0.0_RDATA": {
"hide_name": 0,
"bits": [ 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_24_fifo.mem_q.1.0.0_RDATA": {
"hide_name": 0,
"bits": [ 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_24_fifo.mem_q.2.0.0_RDATA": {
"hide_name": 0,
"bits": [ 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_24_fifo.mem_q.3.0.0_RDATA": {
"hide_name": 0,
"bits": [ 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_24_fifo.rd_addr": {
"hide_name": 0,
"bits": [ 670, 621, 617, 658, 668, 630, 667, 665, 666, 622 ],
"attributes": {
"hdlname": "rx_24_fifo rd_addr",
"src": "top.v:321.17-332.5|complex_fifo.v:21.22-21.29"
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 827, 826, 825, 824, 823, 822, 821, 820, 819, 817 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27"
}
},
"rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ "0", 670, 835, 834, 833, 832, 831, 830, 829, 828 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22"
}
},
"rx_24_fifo.rd_clk_i": {
"hide_name": 0,
"bits": [ 58 ],
"attributes": {
"hdlname": "rx_24_fifo rd_clk_i",
"src": "top.v:321.17-332.5|complex_fifo.v:12.31-12.39"
}
},
"rx_24_fifo.rd_data_o": {
"hide_name": 0,
"bits": [ 722, 726, 730, 734, 738, 742, 746, 750, 690, 694, 698, 702, 706, 710, 714, 718, 786, 790, 794, 798, 802, 806, 810, 814, 754, 758, 762, 766, 770, 774, 778, 782 ],
"attributes": {
"hdlname": "rx_24_fifo rd_data_o",
"src": "top.v:321.17-332.5|complex_fifo.v:14.35-14.44"
}
},
"rx_24_fifo.rd_rst_i": {
"hide_name": 0,
"bits": [ 53 ],
"attributes": {
"hdlname": "rx_24_fifo rd_rst_i",
"src": "top.v:321.17-332.5|complex_fifo.v:11.31-11.39"
}
},
"rx_24_fifo.wr_addr": {
"hide_name": 0,
"bits": [ 647, 620, 618, 642, 639, 637, 634, 631, 651, 623 ],
"attributes": {
"hdlname": "rx_24_fifo wr_addr",
"src": "top.v:321.17-332.5|complex_fifo.v:20.22-20.29"
}
},
"rx_24_fifo.wr_clk_i": {
"hide_name": 0,
"bits": [ 172 ],
"attributes": {
"hdlname": "rx_24_fifo wr_clk_i",
"src": "top.v:321.17-332.5|complex_fifo.v:7.31-7.39"
}
},
"rx_24_fifo.wr_data_i": {
"hide_name": 0,
"bits": [ 355, 354, 351, 349, 347, 345, 343, 341, 339, 337, 335, 333, 329, 327, 325, 323, 321, 319, 317, 315, 313, 311, 367, 365, 363, 361, 359, 357, 353, 331, 309, 307 ],
"attributes": {
"hdlname": "rx_24_fifo wr_data_i",
"src": "top.v:321.17-332.5|complex_fifo.v:9.35-9.44"
}
},
"rx_24_fifo.wr_en_i": {
"hide_name": 0,
"bits": [ 369 ],
"attributes": {
"hdlname": "rx_24_fifo wr_en_i",
"src": "top.v:321.17-332.5|complex_fifo.v:8.31-8.38"
}
},
"rx_24_fifo.wr_rst_i": {
"hide_name": 0,
"bits": [ 53 ],
"attributes": {
"hdlname": "rx_24_fifo wr_rst_i",
"src": "top.v:321.17-332.5|complex_fifo.v:6.31-6.39"
}
},
"smi_ctrl_ins.i_cs": {
"hide_name": 0,
"bits": [ 837 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_cs",
"src": "top.v:334.13-365.5|smi_ctrl.v:9.29-9.33"
}
},
"smi_ctrl_ins.i_data_in": {
"hide_name": 0,
"bits": [ 62, 59, 139, 138, 137, 136, 135, 132 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_data_in",
"src": "top.v:334.13-365.5|smi_ctrl.v:7.29-7.38"
}
},
"smi_ctrl_ins.i_fetch_cmd": {
"hide_name": 0,
"bits": [ 968 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_fetch_cmd",
"src": "top.v:334.13-365.5|smi_ctrl.v:10.29-10.40"
}
},
"smi_ctrl_ins.i_fifo_09_empty": {
"hide_name": 0,
"bits": [ 419 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_fifo_09_empty",
"src": "top.v:334.13-365.5|smi_ctrl.v:17.29-17.44"
}
},
"smi_ctrl_ins.i_fifo_09_full": {
"hide_name": 0,
"bits": [ 300 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_fifo_09_full",
"src": "top.v:334.13-365.5|smi_ctrl.v:16.29-16.43"
}
},
"smi_ctrl_ins.i_fifo_09_pulled_data": {
"hide_name": 0,
"bits": [ 494, 498, 502, 506, 510, 514, 518, 522, 462, 466, 470, 474, 478, 482, 486, 490, 558, 562, 566, 570, 574, 578, 582, 586, 526, 530, 534, 538, 542, 546, 550, 554 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_fifo_09_pulled_data",
"src": "top.v:334.13-365.5|smi_ctrl.v:15.29-15.50"
}
},
"smi_ctrl_ins.i_fifo_24_empty": {
"hide_name": 0,
"bits": [ 609 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_fifo_24_empty",
"src": "top.v:334.13-365.5|smi_ctrl.v:23.29-23.44"
}
},
"smi_ctrl_ins.i_fifo_24_full": {
"hide_name": 0,
"bits": [ 390 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_fifo_24_full",
"src": "top.v:334.13-365.5|smi_ctrl.v:22.29-22.43"
}
},
"smi_ctrl_ins.i_fifo_24_pulled_data": {
"hide_name": 0,
"bits": [ 722, 726, 730, 734, 738, 742, 746, 750, 690, 694, 698, 702, 706, 710, 714, 718, 786, 790, 794, 798, 802, 806, 810, 814, 754, 758, 762, 766, 770, 774, 778, 782 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_fifo_24_pulled_data",
"src": "top.v:334.13-365.5|smi_ctrl.v:21.29-21.50"
}
},
"smi_ctrl_ins.i_ioc": {
"hide_name": 0,
"bits": [ 50, 52, 158, 157, 156 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_ioc",
"src": "top.v:334.13-365.5|smi_ctrl.v:6.29-6.34"
}
},
"smi_ctrl_ins.i_load_cmd": {
"hide_name": 0,
"bits": [ 975 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_load_cmd",
"src": "top.v:334.13-365.5|smi_ctrl.v:11.29-11.39"
}
},
"smi_ctrl_ins.i_reset": {
"hide_name": 0,
"bits": [ 53 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_reset",
"src": "top.v:334.13-365.5|smi_ctrl.v:3.29-3.36"
}
},
"smi_ctrl_ins.i_smi_a": {
"hide_name": 0,
"bits": [ 28, 29, 30 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_smi_a",
"src": "top.v:334.13-365.5|smi_ctrl.v:26.29-26.36"
}
},
"smi_ctrl_ins.i_smi_data_in": {
"hide_name": 0,
"bits": [ 33, 34, 35, 36, 37, 38, 39, 40 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_smi_data_in",
"src": "top.v:334.13-365.5|smi_ctrl.v:30.29-30.42"
}
},
"smi_ctrl_ins.i_smi_soe_se": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_smi_soe_se",
"src": "top.v:334.13-365.5|smi_ctrl.v:27.29-27.41"
}
},
"smi_ctrl_ins.i_smi_swe_srw": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_smi_swe_srw",
"src": "top.v:334.13-365.5|smi_ctrl.v:28.29-28.42",
"unused_bits": "0 "
}
},
"smi_ctrl_ins.i_smi_test": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "smi_ctrl_ins i_smi_test",
"src": "top.v:334.13-365.5|smi_ctrl.v:34.29-34.39"
}
},
"smi_ctrl_ins.i_sys_clk": {
"hide_name": 0,
"bits": [ 58 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_sys_clk",
"src": "top.v:334.13-365.5|smi_ctrl.v:4.29-4.38"
}
},
"smi_ctrl_ins.int_cnt_09": {
"hide_name": 0,
"bits": [ "1", "1", "1", 843, 841 ],
"attributes": {
"hdlname": "smi_ctrl_ins int_cnt_09",
"src": "top.v:334.13-365.5|smi_ctrl.v:92.15-92.25"
}
},
"smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_D": {
"hide_name": 0,
"bits": [ 842, 839 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:122.35-122.49|/usr/local/bin/../share/yosys/techmap.v:270.26-270.27"
}
},
"smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_E": {
"hide_name": 0,
"bits": [ 840 ],
"attributes": {
}
},
"smi_ctrl_ins.int_cnt_24": {
"hide_name": 0,
"bits": [ "1", "1", "1", 847, 845 ],
"attributes": {
"hdlname": "smi_ctrl_ins int_cnt_24",
"src": "top.v:334.13-365.5|smi_ctrl.v:93.15-93.25"
}
},
"smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_D": {
"hide_name": 0,
"bits": [ 846, 844 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:334.13-365.5|smi_ctrl.v:131.35-131.49|/usr/local/bin/../share/yosys/techmap.v:270.26-270.27"
}
},
"smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_E": {
"hide_name": 0,
"bits": [ 54 ],
"attributes": {
}
},
"smi_ctrl_ins.o_data_out": {
"hide_name": 0,
"bits": [ 852, 851, 850, 849, "0", "0", "0", "0" ],
"attributes": {
"hdlname": "smi_ctrl_ins o_data_out",
"src": "top.v:334.13-365.5|smi_ctrl.v:8.29-8.39"
}
},
"smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E": {
"hide_name": 0,
"bits": [ 848 ],
"attributes": {
}
},
"smi_ctrl_ins.o_data_out_SB_LUT4_I1_2_O": {
"hide_name": 0,
"bits": [ 856, 855 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_data_out_SB_LUT4_I1_3_O": {
"hide_name": 0,
"bits": [ 1095, 399, 403, 405, 407, 409, 411, 414 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:0.0-0.0|top.v:176.7-182.14|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22",
"unused_bits": "0 "
}
},
"smi_ctrl_ins.o_smi_data_out": {
"hide_name": 0,
"bits": [ 33, 169, 168, 167, 166, 165, 164, 163 ],
"attributes": {
"hdlname": "smi_ctrl_ins o_smi_data_out",
"src": "top.v:334.13-365.5|smi_ctrl.v:29.29-29.43"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D": {
"hide_name": 0,
"bits": [ 858 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 859, 860, 861, 56 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 746, 810, 847, 862 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D": {
"hide_name": 0,
"bits": [ 863 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 864, 865, 866, 56 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 742, 806, 847, 867 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D": {
"hide_name": 0,
"bits": [ 868 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 869, 870, 871, 56 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 738, 802, 847, 872 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D": {
"hide_name": 0,
"bits": [ 873 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 874, 875, 876, 56 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 734, 798, 847, 877 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D": {
"hide_name": 0,
"bits": [ 878 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 879, 880, 881, 56 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 730, 794, 847, 882 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D": {
"hide_name": 0,
"bits": [ 883 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 884, 885, 886, 56 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 726, 790, 847, 887 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D": {
"hide_name": 0,
"bits": [ 888 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 889, 890, 891, 56 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 722, 786, 847, 892 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D": {
"hide_name": 0,
"bits": [ 857 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 893, 894, 895, 56 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 750, 814, 847, 896 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_read_req": {
"hide_name": 0,
"bits": [ 397 ],
"attributes": {
"hdlname": "smi_ctrl_ins o_smi_read_req",
"src": "top.v:334.13-365.5|smi_ctrl.v:31.29-31.43"
}
},
"smi_ctrl_ins.o_smi_write_req": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"hdlname": "smi_ctrl_ins o_smi_write_req",
"src": "top.v:334.13-365.5|smi_ctrl.v:32.29-32.44"
}
},
"smi_ctrl_ins.o_smi_writing": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"hdlname": "smi_ctrl_ins o_smi_writing",
"src": "top.v:334.13-365.5|smi_ctrl.v:33.29-33.42"
}
},
"smi_ctrl_ins.r_fifo_09_pull": {
"hide_name": 0,
"bits": [ 897 ],
"attributes": {
"hdlname": "smi_ctrl_ins r_fifo_09_pull",
"src": "top.v:334.13-365.5|smi_ctrl.v:94.9-94.23"
}
},
"smi_ctrl_ins.r_fifo_09_pull_1": {
"hide_name": 0,
"bits": [ 898 ],
"attributes": {
"hdlname": "smi_ctrl_ins r_fifo_09_pull_1",
"src": "top.v:334.13-365.5|smi_ctrl.v:95.9-95.25"
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_1_O": {
"hide_name": 0,
"bits": [ 590 ],
"attributes": {
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O": {
"hide_name": 0,
"bits": [ 420, 421, 422, 423 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I0": {
"hide_name": 0,
"bits": [ "0", 439, 912, 911, 910, 909, 908, 907, 906, 905, 902 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22"
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I2": {
"hide_name": 0,
"bits": [ 599, 920, 919, 903, 918, 917, 916, 915, 914, 913, 902 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:284.17-295.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27"
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 902, 259, 903, 904 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I0_O_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 899, 900, 901 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 460 ],
"attributes": {
}
},
"smi_ctrl_ins.r_fifo_24_pull": {
"hide_name": 0,
"bits": [ 922 ],
"attributes": {
"hdlname": "smi_ctrl_ins r_fifo_24_pull",
"src": "top.v:334.13-365.5|smi_ctrl.v:97.9-97.23"
}
},
"smi_ctrl_ins.r_fifo_24_pull_1": {
"hide_name": 0,
"bits": [ 923 ],
"attributes": {
"hdlname": "smi_ctrl_ins r_fifo_24_pull_1",
"src": "top.v:334.13-365.5|smi_ctrl.v:98.9-98.25"
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 925, 620, 926, 924 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 818 ],
"attributes": {
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_1_O": {
"hide_name": 0,
"bits": [ 688 ],
"attributes": {
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I0": {
"hide_name": 0,
"bits": [ 827, 925, 941, 1096, 939, 937, 935, 933, 931, 929, 926 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27",
"unused_bits": "3"
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_I2": {
"hide_name": 0,
"bits": [ "0", 670, 940, 942, 938, 936, 934, 932, 930, 928, 926 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:321.17-332.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22"
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 943, 927, 944, 945 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2": {
"hide_name": 0,
"bits": [ 618, 941, 946, 947 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_2_I2": {
"hide_name": 0,
"bits": [ 637, 937, 948, 949 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.soe_and_reset": {
"hide_name": 0,
"bits": [ 838 ],
"attributes": {
"hdlname": "smi_ctrl_ins soe_and_reset",
"src": "top.v:334.13-365.5|smi_ctrl.v:103.10-103.23"
}
},
"smi_ctrl_ins.w_fifo_09_pull_trigger": {
"hide_name": 0,
"bits": [ 921 ],
"attributes": {
"hdlname": "smi_ctrl_ins w_fifo_09_pull_trigger",
"src": "top.v:334.13-365.5|smi_ctrl.v:96.10-96.32"
}
},
"smi_ctrl_ins.w_fifo_09_pull_trigger_SB_DFFNE_Q_D": {
"hide_name": 0,
"bits": [ 951 ],
"attributes": {
"src": "top.v:334.13-365.5|smi_ctrl.v:114.39-114.79"
}
},
"smi_ctrl_ins.w_fifo_24_pull_trigger": {
"hide_name": 0,
"bits": [ 950 ],
"attributes": {
"hdlname": "smi_ctrl_ins w_fifo_24_pull_trigger",
"src": "top.v:334.13-365.5|smi_ctrl.v:99.10-99.32"
}
},
"smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_D": {
"hide_name": 0,
"bits": [ 953 ],
"attributes": {
"src": "top.v:334.13-365.5|smi_ctrl.v:115.39-115.79"
}
},
"smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_E": {
"hide_name": 0,
"bits": [ 952 ],
"attributes": {
}
},
"spi_if_ins.i_data_out": {
"hide_name": 0,
"bits": [ 417, 401, 404, 406, 408, 410, 412, 415 ],
"attributes": {
"hdlname": "spi_if_ins i_data_out",
"src": "top.v:92.11-108.5|spi_if.v:10.29-10.39"
}
},
"spi_if_ins.i_rst_b": {
"hide_name": 0,
"bits": [ 53 ],
"attributes": {
"hdlname": "spi_if_ins i_rst_b",
"src": "top.v:92.11-108.5|spi_if.v:5.29-5.36"
}
},
"spi_if_ins.i_spi_cs_b": {
"hide_name": 0,
"bits": [ 45 ],
"attributes": {
"hdlname": "spi_if_ins i_spi_cs_b",
"src": "top.v:92.11-108.5|spi_if.v:19.29-19.39"
}
},
"spi_if_ins.i_spi_mosi": {
"hide_name": 0,
"bits": [ 43 ],
"attributes": {
"hdlname": "spi_if_ins i_spi_mosi",
"src": "top.v:92.11-108.5|spi_if.v:18.29-18.39"
}
},
"spi_if_ins.i_spi_sck": {
"hide_name": 0,
"bits": [ 44 ],
"attributes": {
"hdlname": "spi_if_ins i_spi_sck",
"src": "top.v:92.11-108.5|spi_if.v:16.29-16.38"
}
},
"spi_if_ins.i_sys_clk": {
"hide_name": 0,
"bits": [ 58 ],
"attributes": {
"hdlname": "spi_if_ins i_sys_clk",
"src": "top.v:92.11-108.5|spi_if.v:6.29-6.38"
}
},
"spi_if_ins.o_cs": {
"hide_name": 0,
"bits": [ 958, 70, 837, 955 ],
"attributes": {
"hdlname": "spi_if_ins o_cs",
"src": "top.v:92.11-108.5|spi_if.v:11.29-11.33"
}
},
"spi_if_ins.o_cs_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ "0", "0", "0", 954, "0", "0", 836, "0", "0", 68, "0", "0" ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:65.21-70.28|spi_if.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:583.28-583.35"
}
},
"spi_if_ins.o_cs_SB_DFFESR_Q_R": {
"hide_name": 0,
"bits": [ 71, 1097, 1098, 1099 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_if.v:65.21-70.28|spi_if.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22",
"unused_bits": "1 2 3"
}
},
"spi_if_ins.o_cs_SB_LUT4_I2_1_O": {
"hide_name": 0,
"bits": [ 413, 99 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.o_cs_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 853, 852, 413, 130 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.o_cs_SB_LUT4_I3_1_O": {
"hide_name": 0,
"bits": [ 400 ],
"attributes": {
}
},
"spi_if_ins.o_cs_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 1052, 402, 856, 854 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.o_data_in": {
"hide_name": 0,
"bits": [ 62, 59, 139, 138, 137, 136, 135, 132 ],
"attributes": {
"hdlname": "spi_if_ins o_data_in",
"src": "top.v:92.11-108.5|spi_if.v:9.29-9.38"
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_E": {
"hide_name": 0,
"bits": [ 960 ],
"attributes": {
}
},
"spi_if_ins.o_fetch_cmd": {
"hide_name": 0,
"bits": [ 968 ],
"attributes": {
"hdlname": "spi_if_ins o_fetch_cmd",
"src": "top.v:92.11-108.5|spi_if.v:12.29-12.40"
}
},
"spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 966 ],
"attributes": {
}
},
"spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 967 ],
"attributes": {
}
},
"spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 124, 122, 125 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.o_ioc": {
"hide_name": 0,
"bits": [ 50, 52, 158, 157, 156 ],
"attributes": {
"hdlname": "spi_if_ins o_ioc",
"src": "top.v:92.11-108.5|spi_if.v:8.29-8.34"
}
},
"spi_if_ins.o_ioc_SB_DFFE_Q_E": {
"hide_name": 0,
"bits": [ 69 ],
"attributes": {
}
},
"spi_if_ins.o_load_cmd": {
"hide_name": 0,
"bits": [ 975 ],
"attributes": {
"hdlname": "spi_if_ins o_load_cmd",
"src": "top.v:92.11-108.5|spi_if.v:13.29-13.39"
}
},
"spi_if_ins.o_load_cmd_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 974 ],
"attributes": {
}
},
"spi_if_ins.o_load_cmd_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 73, 75, 53 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 50, 51, 52 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.o_spi_miso": {
"hide_name": 0,
"bits": [ 396 ],
"attributes": {
"hdlname": "spi_if_ins o_spi_miso",
"src": "top.v:92.11-108.5|spi_if.v:17.29-17.39"
}
},
"spi_if_ins.r_tx_byte": {
"hide_name": 0,
"bits": [ 986, 985, 984, 983, 982, 981, 980, 979 ],
"attributes": {
"hdlname": "spi_if_ins r_tx_byte",
"src": "top.v:92.11-108.5|spi_if.v:32.17-32.26"
}
},
"spi_if_ins.r_tx_data_valid": {
"hide_name": 0,
"bits": [ 989 ],
"attributes": {
"hdlname": "spi_if_ins r_tx_data_valid",
"src": "top.v:92.11-108.5|spi_if.v:31.17-31.32"
}
},
"spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 973, 987 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 978 ],
"attributes": {
}
},
"spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 988 ],
"attributes": {
}
},
"spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 990 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.spi.SCKr": {
"hide_name": 0,
"bits": [ 996, 994, 995 ],
"attributes": {
"hdlname": "spi_if_ins spi SCKr",
"src": "top.v:92.11-108.5|spi_slave.v:80.13-80.17|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.SCKr_SB_LUT4_I0_O": {
"hide_name": 0,
"bits": [ 991, 992, 990 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.spi.i_spi_cs_b": {
"hide_name": 0,
"bits": [ 45 ],
"attributes": {
"hdlname": "spi_if_ins spi i_spi_cs_b",
"src": "top.v:92.11-108.5|spi_slave.v:15.23-15.33|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.i_spi_mosi": {
"hide_name": 0,
"bits": [ 43 ],
"attributes": {
"hdlname": "spi_if_ins spi i_spi_mosi",
"src": "top.v:92.11-108.5|spi_slave.v:14.23-14.33|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.i_spi_sck": {
"hide_name": 0,
"bits": [ 44 ],
"attributes": {
"hdlname": "spi_if_ins spi i_spi_sck",
"src": "top.v:92.11-108.5|spi_slave.v:12.23-12.32|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.i_sys_clk": {
"hide_name": 0,
"bits": [ 58 ],
"attributes": {
"hdlname": "spi_if_ins spi i_sys_clk",
"src": "top.v:92.11-108.5|spi_slave.v:5.23-5.32|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.i_tx_byte": {
"hide_name": 0,
"bits": [ 986, 985, 984, 983, 982, 981, 980, 979 ],
"attributes": {
"hdlname": "spi_if_ins spi i_tx_byte",
"src": "top.v:92.11-108.5|spi_slave.v:9.23-9.32|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.i_tx_data_valid": {
"hide_name": 0,
"bits": [ 989 ],
"attributes": {
"hdlname": "spi_if_ins spi i_tx_data_valid",
"src": "top.v:92.11-108.5|spi_slave.v:8.23-8.38|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.o_rx_byte": {
"hide_name": 0,
"bits": [ 965, 964, 963, 962, 961, 957, 956, 959 ],
"attributes": {
"hdlname": "spi_if_ins spi o_rx_byte",
"src": "top.v:92.11-108.5|spi_slave.v:7.23-7.32|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.o_rx_data_valid": {
"hide_name": 0,
"bits": [ 973 ],
"attributes": {
"hdlname": "spi_if_ins spi o_rx_data_valid",
"src": "top.v:92.11-108.5|spi_slave.v:6.23-6.38|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.o_spi_miso": {
"hide_name": 0,
"bits": [ 396 ],
"attributes": {
"hdlname": "spi_if_ins spi o_spi_miso",
"src": "top.v:92.11-108.5|spi_slave.v:13.23-13.33|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 1008 ],
"attributes": {
"src": "top.v:92.11-108.5|spi_slave.v:87.3-104.6|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 979, 1010, 990 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 1011, 1012, 1013, 991 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 1014, 1015, 997, 1016 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E": {
"hide_name": 0,
"bits": [ 1009 ],
"attributes": {
}
},
"spi_if_ins.spi.r2_rx_done": {
"hide_name": 0,
"bits": [ 1024 ],
"attributes": {
"hdlname": "spi_if_ins spi r2_rx_done",
"src": "top.v:92.11-108.5|spi_slave.v:22.7-22.17|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.r3_rx_done": {
"hide_name": 0,
"bits": [ 1025 ],
"attributes": {
"hdlname": "spi_if_ins spi r3_rx_done",
"src": "top.v:92.11-108.5|spi_slave.v:23.7-23.17|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 1000 ],
"attributes": {
"src": "top.v:92.11-108.5|spi_slave.v:66.3-78.6|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.r_rx_bit_count": {
"hide_name": 0,
"bits": [ 1031, 1029, 1027 ],
"attributes": {
"hdlname": "spi_if_ins spi r_rx_bit_count",
"src": "top.v:92.11-108.5|spi_slave.v:17.13-17.27|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_2_D": {
"hide_name": 0,
"bits": [ 1030, 1029, 1027 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:34.25-34.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.23-33.24"
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D": {
"hide_name": 0,
"bits": [ 1030, 1028, 1026 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:34.25-34.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27"
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ "0", 1031, 1032 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:34.25-34.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22"
}
},
"spi_if_ins.spi.r_rx_byte": {
"hide_name": 0,
"bits": [ 1007, 1006, 1005, 1004, 1003, 1002, 1001, 999 ],
"attributes": {
"hdlname": "spi_if_ins spi r_rx_byte",
"src": "top.v:92.11-108.5|spi_slave.v:20.13-20.22|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.r_rx_done": {
"hide_name": 0,
"bits": [ 1023 ],
"attributes": {
"hdlname": "spi_if_ins spi r_rx_done",
"src": "top.v:92.11-108.5|spi_slave.v:21.7-21.16|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 45, 1041 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 1034 ],
"attributes": {
}
},
"spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 1042 ],
"attributes": {
}
},
"spi_if_ins.spi.r_temp_rx_byte": {
"hide_name": 0,
"bits": [ 1040, 1039, 1038, 1037, 1036, 1035, 1033, "x" ],
"attributes": {
"hdlname": "spi_if_ins spi r_temp_rx_byte",
"src": "top.v:92.11-108.5|spi_slave.v:19.13-19.27|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.r_tx_bit_count": {
"hide_name": 0,
"bits": [ 997, 991, 998 ],
"attributes": {
"hdlname": "spi_if_ins spi r_tx_bit_count",
"src": "top.v:92.11-108.5|spi_slave.v:18.13-18.27|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 1043, 1047, 1046 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:95.27-95.45|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27"
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ "1", 997, 1045 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:92.11-108.5|spi_slave.v:95.27-95.45|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22"
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R": {
"hide_name": 0,
"bits": [ 1044 ],
"attributes": {
}
},
"spi_if_ins.spi.r_tx_byte": {
"hide_name": 0,
"bits": [ 1014, 1021, 1017, 1019, 1015, 1022, 1018, 1020 ],
"attributes": {
"hdlname": "spi_if_ins spi r_tx_byte",
"src": "top.v:92.11-108.5|spi_slave.v:24.13-24.22|spi_if.v:42.15-54.6"
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 993 ],
"attributes": {
}
},
"spi_if_ins.state_if": {
"hide_name": 0,
"bits": [ 976, 977, 971 ],
"attributes": {
"hdlname": "spi_if_ins state_if",
"src": "top.v:92.11-108.5|spi_if.v:28.17-28.25"
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 1048, 959, 1050, 973 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_R": {
"hide_name": 0,
"bits": [ 969 ],
"attributes": {
}
},
"spi_if_ins.state_if_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 970, 972, 973 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.state_if_SB_DFFE_Q_E": {
"hide_name": 0,
"bits": [ 1049 ],
"attributes": {
}
},
"spi_if_ins.w_rx_data": {
"hide_name": 0,
"bits": [ 965, 964, 963, 962, 961, 957, 956, 959 ],
"attributes": {
"hdlname": "spi_if_ins w_rx_data",
"src": "top.v:92.11-108.5|spi_if.v:30.17-30.26"
}
},
"spi_if_ins.w_rx_data_valid": {
"hide_name": 0,
"bits": [ 973 ],
"attributes": {
"hdlname": "spi_if_ins w_rx_data_valid",
"src": "top.v:92.11-108.5|spi_if.v:29.17-29.32"
}
},
"sys_ctrl_ins.i_cs": {
"hide_name": 0,
"bits": [ 958 ],
"attributes": {
"hdlname": "sys_ctrl_ins i_cs",
"src": "top.v:113.13-126.5|sys_ctrl.v:9.29-9.33"
}
},
"sys_ctrl_ins.i_data_in": {
"hide_name": 0,
"bits": [ 62, 59, 139, 138, 137, 136, 135, 132 ],
"attributes": {
"hdlname": "sys_ctrl_ins i_data_in",
"src": "top.v:113.13-126.5|sys_ctrl.v:7.29-7.38"
}
},
"sys_ctrl_ins.i_error_list": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "x" ],
"attributes": {
"hdlname": "sys_ctrl_ins i_error_list",
"src": "top.v:113.13-126.5|sys_ctrl.v:14.29-14.41"
}
},
"sys_ctrl_ins.i_fetch_cmd": {
"hide_name": 0,
"bits": [ 968 ],
"attributes": {
"hdlname": "sys_ctrl_ins i_fetch_cmd",
"src": "top.v:113.13-126.5|sys_ctrl.v:10.29-10.40"
}
},
"sys_ctrl_ins.i_ioc": {
"hide_name": 0,
"bits": [ 50, 52, 158, 157, 156 ],
"attributes": {
"hdlname": "sys_ctrl_ins i_ioc",
"src": "top.v:113.13-126.5|sys_ctrl.v:6.29-6.34"
}
},
"sys_ctrl_ins.i_load_cmd": {
"hide_name": 0,
"bits": [ 975 ],
"attributes": {
"hdlname": "sys_ctrl_ins i_load_cmd",
"src": "top.v:113.13-126.5|sys_ctrl.v:11.29-11.39"
}
},
"sys_ctrl_ins.i_reset": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "sys_ctrl_ins i_reset",
"src": "top.v:113.13-126.5|sys_ctrl.v:3.29-3.36"
}
},
"sys_ctrl_ins.i_sys_clk": {
"hide_name": 0,
"bits": [ 58 ],
"attributes": {
"hdlname": "sys_ctrl_ins i_sys_clk",
"src": "top.v:113.13-126.5|sys_ctrl.v:4.29-4.38"
}
},
"sys_ctrl_ins.o_data_out": {
"hide_name": 0,
"bits": [ 1052, "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "sys_ctrl_ins o_data_out",
"src": "top.v:113.13-126.5|sys_ctrl.v:8.29-8.39"
}
},
"sys_ctrl_ins.o_data_out_SB_DFFE_Q_E": {
"hide_name": 0,
"bits": [ 1051 ],
"attributes": {
}
},
"sys_ctrl_ins.o_soft_reset": {
"hide_name": 0,
"bits": [ 53 ],
"attributes": {
"hdlname": "sys_ctrl_ins o_soft_reset",
"src": "top.v:113.13-126.5|sys_ctrl.v:13.29-13.41"
}
},
"sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E": {
"hide_name": 0,
"bits": [ 1053 ],
"attributes": {
}
},
"sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S": {
"hide_name": 0,
"bits": [ 1054 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:113.13-126.5|sys_ctrl.v:72.17-72.36|/usr/local/bin/../share/yosys/cmp2lut.v:24.22-24.23"
}
},
"sys_ctrl_ins.reset_cmd": {
"hide_name": 0,
"bits": [ 1055 ],
"attributes": {
"hdlname": "sys_ctrl_ins reset_cmd",
"src": "top.v:113.13-126.5|sys_ctrl.v:35.9-35.18"
}
},
"sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 1060 ],
"attributes": {
}
},
"sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 968, 1062, 975, 958 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_I3": {
"hide_name": 0,
"bits": [ 1062, 1063 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_I3_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 146 ],
"attributes": {
}
},
"sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_I3_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 133 ],
"attributes": {
}
},
"sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 140 ],
"attributes": {
}
},
"sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R": {
"hide_name": 0,
"bits": [ 1061 ],
"attributes": {
}
},
"sys_ctrl_ins.reset_count": {
"hide_name": 0,
"bits": [ 1059, 1058, 1057, 1056 ],
"attributes": {
"hdlname": "sys_ctrl_ins reset_count",
"src": "top.v:113.13-126.5|sys_ctrl.v:34.15-34.26"
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q_1_D": {
"hide_name": 0,
"bits": [ 1066 ],
"attributes": {
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q_2_D": {
"hide_name": 0,
"bits": [ 1068 ],
"attributes": {
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q_3_D": {
"hide_name": 0,
"bits": [ 1069 ],
"attributes": {
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 1065 ],
"attributes": {
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ "0", 1059, 1067, 1070 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "top.v:113.13-126.5|sys_ctrl.v:73.32-73.50|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22"
}
},
"sys_ctrl_ins.reset_count_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 1064 ],
"attributes": {
}
},
"w_clock_sys": {
"hide_name": 0,
"bits": [ 58 ],
"attributes": {
"src": "top.v:67.16-67.27"
}
},
"w_cs": {
"hide_name": 0,
"bits": [ 958, 70, 837, 955 ],
"attributes": {
"src": "top.v:71.16-71.20"
}
},
"w_fetch": {
"hide_name": 0,
"bits": [ 968 ],
"attributes": {
"src": "top.v:72.16-72.23"
}
},
"w_ioc": {
"hide_name": 0,
"bits": [ 50, 52, 158, 157, 156 ],
"attributes": {
"src": "top.v:68.16-68.21"
}
},
"w_load": {
"hide_name": 0,
"bits": [ 975 ],
"attributes": {
"src": "top.v:73.16-73.22"
}
},
"w_lvds_rx_09_d0": {
"hide_name": 0,
"bits": [ 170 ],
"attributes": {
"src": "top.v:238.9-238.24"
}
},
"w_lvds_rx_09_d1": {
"hide_name": 0,
"bits": [ 171 ],
"attributes": {
"src": "top.v:239.9-239.24"
}
},
"w_lvds_rx_09_d1_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 291, 292, 293, 1071 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"w_lvds_rx_24_d0": {
"hide_name": 0,
"bits": [ 173 ],
"attributes": {
"src": "top.v:240.9-240.24"
}
},
"w_lvds_rx_24_d1": {
"hide_name": 0,
"bits": [ 174 ],
"attributes": {
"src": "top.v:241.9-241.24"
}
},
"w_lvds_rx_24_d1_SB_LUT4_I1_I3": {
"hide_name": 0,
"bits": [ 381, 174, 173, 1072 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"w_lvds_rx_24_d1_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 380 ],
"attributes": {
}
},
"w_rx_09_fifo_data": {
"hide_name": 0,
"bits": [ 225, 224, 221, 219, 217, 215, 213, 211, 209, 207, 205, 203, 199, 197, 195, 193, 191, 189, 187, 185, 183, 181, 237, 235, 233, 231, 229, 227, 223, 201, 179, 177 ],
"attributes": {
"src": "top.v:247.16-247.33"
}
},
"w_rx_09_fifo_empty": {
"hide_name": 0,
"bits": [ 419 ],
"attributes": {
"src": "top.v:244.9-244.27"
}
},
"w_rx_09_fifo_full": {
"hide_name": 0,
"bits": [ 300 ],
"attributes": {
"src": "top.v:243.9-243.26"
}
},
"w_rx_09_fifo_pulled_data": {
"hide_name": 0,
"bits": [ 494, 498, 502, 506, 510, 514, 518, 522, 462, 466, 470, 474, 478, 482, 486, 490, 558, 562, 566, 570, 574, 578, 582, 586, 526, 530, 534, 538, 542, 546, 550, 554 ],
"attributes": {
"src": "top.v:249.16-249.40"
}
},
"w_rx_09_fifo_push": {
"hide_name": 0,
"bits": [ 239 ],
"attributes": {
"src": "top.v:246.9-246.26"
}
},
"w_rx_09_fifo_write_clk": {
"hide_name": 0,
"bits": [ 172 ],
"attributes": {
"src": "top.v:245.9-245.31"
}
},
"w_rx_24_fifo_data": {
"hide_name": 0,
"bits": [ 355, 354, 351, 349, 347, 345, 343, 341, 339, 337, 335, 333, 329, 327, 325, 323, 321, 319, 317, 315, 313, 311, 367, 365, 363, 361, 359, 357, 353, 331, 309, 307 ],
"attributes": {
"src": "top.v:255.16-255.33"
}
},
"w_rx_24_fifo_empty": {
"hide_name": 0,
"bits": [ 609 ],
"attributes": {
"src": "top.v:252.9-252.27"
}
},
"w_rx_24_fifo_full": {
"hide_name": 0,
"bits": [ 390 ],
"attributes": {
"src": "top.v:251.9-251.26"
}
},
"w_rx_24_fifo_pulled_data": {
"hide_name": 0,
"bits": [ 722, 726, 730, 734, 738, 742, 746, 750, 690, 694, 698, 702, 706, 710, 714, 718, 786, 790, 794, 798, 802, 806, 810, 814, 754, 758, 762, 766, 770, 774, 778, 782 ],
"attributes": {
"src": "top.v:257.16-257.40"
}
},
"w_rx_24_fifo_push": {
"hide_name": 0,
"bits": [ 369 ],
"attributes": {
"src": "top.v:254.9-254.26"
}
},
"w_rx_24_fifo_write_clk": {
"hide_name": 0,
"bits": [ 172 ],
"attributes": {
"src": "top.v:253.9-253.31"
}
},
"w_rx_data": {
"hide_name": 0,
"bits": [ 62, 59, 139, 138, 137, 136, 135, 132 ],
"attributes": {
"src": "top.v:69.16-69.25"
}
},
"w_smi_addr": {
"hide_name": 0,
"bits": [ 28, 29, 30 ],
"attributes": {
"src": "top.v:367.15-367.25"
}
},
"w_smi_data_input": {
"hide_name": 0,
"bits": [ 33, 34, 35, 36, 37, 38, 39, 40 ],
"attributes": {
"src": "top.v:369.15-369.31"
}
},
"w_smi_data_output": {
"hide_name": 0,
"bits": [ 33, 169, 168, 167, 166, 165, 164, 163 ],
"attributes": {
"src": "top.v:368.15-368.32"
}
},
"w_smi_read_req": {
"hide_name": 0,
"bits": [ 397 ],
"attributes": {
"src": "top.v:370.9-370.23"
}
},
"w_smi_test": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"src": "top.v:373.9-373.19"
}
},
"w_smi_write_req": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "top.v:371.9-371.24"
}
},
"w_smi_writing": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "top.v:372.9-372.22"
}
},
"w_soft_reset": {
"hide_name": 0,
"bits": [ 53 ],
"attributes": {
"src": "top.v:75.16-75.28"
}
},
"w_tx_data_io": {
"hide_name": 0,
"bits": [ 130, 106, 121, 110, 115, 96, 99, 103 ],
"attributes": {
"src": "top.v:78.16-78.28"
}
},
"w_tx_data_smi": {
"hide_name": 0,
"bits": [ 852, 851, 850, 849 ],
"attributes": {
}
},
"w_tx_data_sys": {
"hide_name": 0,
"bits": [ 1052, "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"src": "top.v:77.16-77.29"
}
}
}
}
}
}