{ "creator": "Yosys 0.29+42 (git sha1 43b807fe6, gcc 10.2.1-6 -fPIC -Os)", "modules": { "ICESTORM_LC": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2136.1-2420.10" }, "parameter_default_values": { "ASYNC_SR": "0", "CARRY_ENABLE": "0", "CIN_CONST": "0", "CIN_SET": "0", "DFF_ENABLE": "0", "LUT_INIT": "0000000000000000", "NEG_CLK": "0", "SET_NORESET": "0" }, "ports": { "I0": { "direction": "input", "bits": [ 2 ] }, "I1": { "direction": "input", "bits": [ 3 ] }, "I2": { "direction": "input", "bits": [ 4 ] }, "I3": { "direction": "input", "bits": [ 5 ] }, "CIN": { "direction": "input", "bits": [ 6 ] }, "CLK": { "direction": "input", "bits": [ 7 ] }, "CEN": { "direction": "input", "bits": [ 8 ] }, "SR": { "direction": "input", "bits": [ 9 ] }, "LO": { "direction": "output", "bits": [ 10 ] }, "O": { "direction": "output", "bits": [ 11 ] }, "COUT": { "direction": "output", "bits": [ 12 ] } }, "cells": { "$specify$126": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000001101001", "T_FALL_MIN": "00000000000000000000000001010101", "T_FALL_TYP": "00000000000000000000000001011110", "T_RISE_MAX": "00000000000000000000000001111110", "T_RISE_MIN": "00000000000000000000000001100101", "T_RISE_TYP": "00000000000000000000000001110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2231.2-2231.43" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 12 ], "EN": [ "1" ], "SRC": [ 6 ] } }, "$specify$127": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000110000010", "T_FALL_MIN": "00000000000000000000000100110110", "T_FALL_TYP": "00000000000000000000000101010111", "T_RISE_MAX": "00000000000000000000000111000001", "T_RISE_MIN": "00000000000000000000000101101001", "T_RISE_TYP": "00000000000000000000000110001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2233.2-2233.41" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 11 ], "EN": [ "1" ], "SRC": [ 2 ] } }, "$specify$128": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000110000010", "T_FALL_MIN": "00000000000000000000000100110110", "T_FALL_TYP": "00000000000000000000000101010111", "T_RISE_MAX": "00000000000000000000000101101101", "T_RISE_MIN": "00000000000000000000000100100101", "T_RISE_TYP": "00000000000000000000000101000100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2235.2-2235.42" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 10 ], "EN": [ "1" ], "SRC": [ 2 ] } }, "$specify$129": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000011110101", "T_FALL_MIN": "00000000000000000000000011000101", "T_FALL_TYP": "00000000000000000000000011011010", "T_RISE_MAX": "00000000000000000000000100000011", "T_RISE_MIN": "00000000000000000000000011010001", "T_RISE_TYP": "00000000000000000000000011100111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2237.2-2237.44" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 12 ], "EN": [ "1" ], "SRC": [ 3 ] } }, "$specify$130": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000101111011", "T_FALL_MIN": "00000000000000000000000100110000", "T_FALL_TYP": "00000000000000000000000101010001", "T_RISE_MAX": "00000000000000000000000110010000", "T_RISE_MIN": "00000000000000000000000101000001", "T_RISE_TYP": "00000000000000000000000101100011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2239.2-2239.41" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 11 ], "EN": [ "1" ], "SRC": [ 3 ] } }, "$specify$131": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000101111011", "T_FALL_MIN": "00000000000000000000000100110000", "T_FALL_TYP": "00000000000000000000000101010001", "T_RISE_MAX": "00000000000000000000000101000011", "T_RISE_MIN": "00000000000000000000000100000011", "T_RISE_TYP": "00000000000000000000000100011111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2241.2-2241.42" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 10 ], "EN": [ "1" ], "SRC": [ 3 ] } }, "$specify$132": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000010000101", "T_FALL_MIN": "00000000000000000000000001101011", "T_FALL_TYP": "00000000000000000000000001110110", "T_RISE_MAX": "00000000000000000000000011100111", "T_RISE_MIN": "00000000000000000000000010111010", "T_RISE_TYP": "00000000000000000000000011001110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2243.2-2243.44" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 12 ], "EN": [ "1" ], "SRC": [ 4 ] } }, "$specify$133": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000101011111", "T_FALL_MIN": "00000000000000000000000100011010", "T_FALL_TYP": "00000000000000000000000100111000", "T_RISE_MAX": "00000000000000000000000101111011", "T_RISE_MIN": "00000000000000000000000100110000", "T_RISE_TYP": "00000000000000000000000101010001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2245.2-2245.41" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 11 ], "EN": [ "1" ], "SRC": [ 4 ] } }, "$specify$134": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000100100000", "T_FALL_MIN": "00000000000000000000000011100111", "T_FALL_TYP": "00000000000000000000000100000000", "T_RISE_MAX": "00000000000000000000000100111100", "T_RISE_MIN": "00000000000000000000000011111110", "T_RISE_TYP": "00000000000000000000000100011001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2247.2-2247.42" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 10 ], "EN": [ "1" ], "SRC": [ 4 ] } }, "$specify$135": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000100100000", "T_FALL_MIN": "00000000000000000000000011100111", "T_FALL_TYP": "00000000000000000000000100000000", "T_RISE_MAX": "00000000000000000000000100111100", "T_RISE_MIN": "00000000000000000000000011111110", "T_RISE_TYP": "00000000000000000000000100011001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2249.2-2249.41" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 11 ], "EN": [ "1" ], "SRC": [ 5 ] } }, "$specify$136": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000100010010", "T_FALL_MIN": "00000000000000000000000011011100", "T_FALL_TYP": "00000000000000000000000011110011", "T_RISE_MAX": "00000000000000000000000100001011", "T_RISE_MIN": "00000000000000000000000011010110", "T_RISE_TYP": "00000000000000000000000011101101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2251.2-2251.42" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 10 ], "EN": [ "1" ], "SRC": [ 5 ] } }, "$specify$137": { "hide_name": 1, "type": "$specify3", "parameters": { "DAT_DST_PEN": "0", "DAT_DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "EDGE_EN": "1", "EDGE_POL": "1", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000001000011100", "T_FALL_MIN": "00000000000000000000000110110010", "T_FALL_TYP": "00000000000000000000000111100000", "T_RISE_MAX": "00000000000000000000001000011100", "T_RISE_MIN": "00000000000000000000000110110010", "T_RISE_TYP": "00000000000000000000000111100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2253.2-2253.59" }, "port_directions": { "DAT": "input", "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DAT": [ "x" ], "DST": [ 11 ], "EN": [ "1" ], "SRC": [ 7 ] } }, "$specify$138": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000001001010111", "T_FALL_MIN": "00000000000000000000000111100010", "T_FALL_TYP": "00000000000000000000001000010101", "T_RISE_MAX": "00000000000000000000001001010111", "T_RISE_MIN": "00000000000000000000000111100010", "T_RISE_TYP": "00000000000000000000001000010111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2255.2-2255.41" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 11 ], "EN": [ "1" ], "SRC": [ 9 ] } }, "$specify$139": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000111010110", "T_LIMIT_MIN": "00000000000000000000000101111010", "T_LIMIT_TYP": "00000000000000000000000110100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2257.2-2257.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 2 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$140": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000110010000", "T_LIMIT_MIN": "00000000000000000000000101000001", "T_LIMIT_TYP": "00000000000000000000000101100011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2259.2-2259.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 2 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$141": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000111010110", "T_LIMIT_MIN": "00000000000000000000000101111010", "T_LIMIT_TYP": "00000000000000000000000110100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2260.2-2260.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 2 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$142": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000110010000", "T_LIMIT_MIN": "00000000000000000000000101000001", "T_LIMIT_TYP": "00000000000000000000000101100011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2261.2-2261.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 2 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$143": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000110010000", "T_LIMIT_MIN": "00000000000000000000000101000001", "T_LIMIT_TYP": "00000000000000000000000101100011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2263.2-2263.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 3 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$144": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000101111011", "T_LIMIT_MIN": "00000000000000000000000100110000", "T_LIMIT_TYP": "00000000000000000000000101010001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2265.2-2265.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 3 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$145": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000110010000", "T_LIMIT_MIN": "00000000000000000000000101000001", "T_LIMIT_TYP": "00000000000000000000000101100011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2266.2-2266.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 3 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$146": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000101111011", "T_LIMIT_MIN": "00000000000000000000000100110000", "T_LIMIT_TYP": "00000000000000000000000101010001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2267.2-2267.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 3 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$147": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000101110100", "T_LIMIT_MIN": "00000000000000000000000100101011", "T_LIMIT_TYP": "00000000000000000000000101001010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2269.2-2269.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 4 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$148": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000101000011", "T_LIMIT_MIN": "00000000000000000000000100000011", "T_LIMIT_TYP": "00000000000000000000000100011111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2271.2-2271.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 4 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$149": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000101110100", "T_LIMIT_MIN": "00000000000000000000000100101011", "T_LIMIT_TYP": "00000000000000000000000101001010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2272.2-2272.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 4 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$150": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000101000011", "T_LIMIT_MIN": "00000000000000000000000100000011", "T_LIMIT_TYP": "00000000000000000000000100011111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2273.2-2273.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 4 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$151": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100010010", "T_LIMIT_MIN": "00000000000000000000000011011100", "T_LIMIT_TYP": "00000000000000000000000011110011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2275.2-2275.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 5 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$152": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011011001", "T_LIMIT_MIN": "00000000000000000000000010101111", "T_LIMIT_TYP": "00000000000000000000000010110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2277.2-2277.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 5 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$153": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100010010", "T_LIMIT_MIN": "00000000000000000000000011011100", "T_LIMIT_TYP": "00000000000000000000000011110011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2278.2-2278.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 5 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$154": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011011001", "T_LIMIT_MIN": "00000000000000000000000010101111", "T_LIMIT_TYP": "00000000000000000000000010110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2279.2-2279.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 5 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$155": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000000000000", "T_LIMIT_MIN": "00000000000000000000000000000000", "T_LIMIT_TYP": "00000000000000000000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2281.2-2281.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 8 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$156": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000000000000", "T_LIMIT_MIN": "00000000000000000000000000000000", "T_LIMIT_TYP": "00000000000000000000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2283.2-2283.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 8 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$157": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011001011", "T_LIMIT_MIN": "00000000000000000000000010100011", "T_LIMIT_TYP": "00000000000000000000000010110101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2285.2-2285.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 9 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$158": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010001100", "T_LIMIT_MIN": "00000000000000000000000001110001", "T_LIMIT_TYP": "00000000000000000000000001111101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2287.2-2287.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 9 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$159": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011001011", "T_LIMIT_MIN": "00000000000000000000000010100011", "T_LIMIT_TYP": "00000000000000000000000010110101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2288.2-2288.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 9 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$160": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010001100", "T_LIMIT_MIN": "00000000000000000000000001110001", "T_LIMIT_TYP": "00000000000000000000000001111101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2289.2-2289.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 9 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } } }, "netnames": { "CEN": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.34-2137.37" } }, "CIN": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.24-2137.27" } }, "CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.29-2137.32" } }, "COUT": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2140.9-2140.13" } }, "I0": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.8-2137.10" } }, "I1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.12-2137.14" } }, "I2": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.16-2137.18" } }, "I3": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.20-2137.22" } }, "LO": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2138.9-2138.11" } }, "O": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2139.9-2139.10" } }, "SR": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.39-2137.41" } } } }, "ICESTORM_RAM": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3167.1-3502.10" }, "parameter_default_values": { "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "NEG_CLK_R": "0", "NEG_CLK_W": "0", "READ_MODE": "00000000000000000000000000000000", "WRITE_MODE": "00000000000000000000000000000000" }, "ports": { "RDATA_15": { "direction": "output", "bits": [ 2 ] }, "RDATA_14": { "direction": "output", "bits": [ 3 ] }, "RDATA_13": { "direction": "output", "bits": [ 4 ] }, "RDATA_12": { "direction": "output", "bits": [ 5 ] }, "RDATA_11": { "direction": "output", "bits": [ 6 ] }, "RDATA_10": { "direction": "output", "bits": [ 7 ] }, "RDATA_9": { "direction": "output", "bits": [ 8 ] }, "RDATA_8": { "direction": "output", "bits": [ 9 ] }, "RDATA_7": { "direction": "output", "bits": [ 10 ] }, "RDATA_6": { "direction": "output", "bits": [ 11 ] }, "RDATA_5": { "direction": "output", "bits": [ 12 ] }, "RDATA_4": { "direction": "output", "bits": [ 13 ] }, "RDATA_3": { "direction": "output", "bits": [ 14 ] }, "RDATA_2": { "direction": "output", "bits": [ 15 ] }, "RDATA_1": { "direction": "output", "bits": [ 16 ] }, "RDATA_0": { "direction": "output", "bits": [ 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR_10": { "direction": "input", "bits": [ 21 ] }, "RADDR_9": { "direction": "input", "bits": [ 22 ] }, "RADDR_8": { "direction": "input", "bits": [ 23 ] }, "RADDR_7": { "direction": "input", "bits": [ 24 ] }, "RADDR_6": { "direction": "input", "bits": [ 25 ] }, "RADDR_5": { "direction": "input", "bits": [ 26 ] }, "RADDR_4": { "direction": "input", "bits": [ 27 ] }, "RADDR_3": { "direction": "input", "bits": [ 28 ] }, "RADDR_2": { "direction": "input", "bits": [ 29 ] }, "RADDR_1": { "direction": "input", "bits": [ 30 ] }, "RADDR_0": { "direction": "input", "bits": [ 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR_10": { "direction": "input", "bits": [ 35 ] }, "WADDR_9": { "direction": "input", "bits": [ 36 ] }, "WADDR_8": { "direction": "input", "bits": [ 37 ] }, "WADDR_7": { "direction": "input", "bits": [ 38 ] }, "WADDR_6": { "direction": "input", "bits": [ 39 ] }, "WADDR_5": { "direction": "input", "bits": [ 40 ] }, "WADDR_4": { "direction": "input", "bits": [ 41 ] }, "WADDR_3": { "direction": "input", "bits": [ 42 ] }, "WADDR_2": { "direction": "input", "bits": [ 43 ] }, "WADDR_1": { "direction": "input", "bits": [ 44 ] }, "WADDR_0": { "direction": "input", "bits": [ 45 ] }, "MASK_15": { "direction": "input", "bits": [ 46 ] }, "MASK_14": { "direction": "input", "bits": [ 47 ] }, "MASK_13": { "direction": "input", "bits": [ 48 ] }, "MASK_12": { "direction": "input", "bits": [ 49 ] }, "MASK_11": { "direction": "input", "bits": [ 50 ] }, "MASK_10": { "direction": "input", "bits": [ 51 ] }, "MASK_9": { "direction": "input", "bits": [ 52 ] }, "MASK_8": { "direction": "input", "bits": [ 53 ] }, "MASK_7": { "direction": "input", "bits": [ 54 ] }, "MASK_6": { "direction": "input", "bits": [ 55 ] }, "MASK_5": { "direction": "input", "bits": [ 56 ] }, "MASK_4": { "direction": "input", "bits": [ 57 ] }, "MASK_3": { "direction": "input", "bits": [ 58 ] }, "MASK_2": { "direction": "input", "bits": [ 59 ] }, "MASK_1": { "direction": "input", "bits": [ 60 ] }, "MASK_0": { "direction": "input", "bits": [ 61 ] }, "WDATA_15": { "direction": "input", "bits": [ 62 ] }, "WDATA_14": { "direction": "input", "bits": [ 63 ] }, "WDATA_13": { "direction": "input", "bits": [ 64 ] }, "WDATA_12": { "direction": "input", "bits": [ 65 ] }, "WDATA_11": { "direction": "input", "bits": [ 66 ] }, "WDATA_10": { "direction": "input", "bits": [ 67 ] }, "WDATA_9": { "direction": "input", "bits": [ 68 ] }, "WDATA_8": { "direction": "input", "bits": [ 69 ] }, "WDATA_7": { "direction": "input", "bits": [ 70 ] }, "WDATA_6": { "direction": "input", "bits": [ 71 ] }, "WDATA_5": { "direction": "input", "bits": [ 72 ] }, "WDATA_4": { "direction": "input", "bits": [ 73 ] }, "WDATA_3": { "direction": "input", "bits": [ 74 ] }, "WDATA_2": { "direction": "input", "bits": [ 75 ] }, "WDATA_1": { "direction": "input", "bits": [ 76 ] }, "WDATA_0": { "direction": "input", "bits": [ 77 ] } }, "cells": { }, "netnames": { "MASK_0": { "hide_name": 0, "bits": [ 61 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.135-3173.141" } }, "MASK_1": { "hide_name": 0, "bits": [ 60 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.127-3173.133" } }, "MASK_10": { "hide_name": 0, "bits": [ 51 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.54-3173.61" } }, "MASK_11": { "hide_name": 0, "bits": [ 50 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.45-3173.52" } }, "MASK_12": { "hide_name": 0, "bits": [ 49 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.36-3173.43" } }, "MASK_13": { "hide_name": 0, "bits": [ 48 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.27-3173.34" } }, "MASK_14": { "hide_name": 0, "bits": [ 47 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.18-3173.25" } }, "MASK_15": { "hide_name": 0, "bits": [ 46 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.9-3173.16" } }, "MASK_2": { "hide_name": 0, "bits": [ 59 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.119-3173.125" } }, "MASK_3": { "hide_name": 0, "bits": [ 58 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.111-3173.117" } }, "MASK_4": { "hide_name": 0, "bits": [ 57 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.103-3173.109" } }, "MASK_5": { "hide_name": 0, "bits": [ 56 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.95-3173.101" } }, "MASK_6": { "hide_name": 0, "bits": [ 55 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.87-3173.93" } }, "MASK_7": { "hide_name": 0, "bits": [ 54 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.79-3173.85" } }, "MASK_8": { "hide_name": 0, "bits": [ 53 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.71-3173.77" } }, "MASK_9": { "hide_name": 0, "bits": [ 52 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.63-3173.69" } }, "RADDR_0": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.100-3170.107" } }, "RADDR_1": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.91-3170.98" } }, "RADDR_10": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.9-3170.17" } }, "RADDR_2": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.82-3170.89" } }, "RADDR_3": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.73-3170.80" } }, "RADDR_4": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.64-3170.71" } }, "RADDR_5": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.55-3170.62" } }, "RADDR_6": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.46-3170.53" } }, "RADDR_7": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.37-3170.44" } }, "RADDR_8": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.28-3170.35" } }, "RADDR_9": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.19-3170.26" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3169.9-3169.13" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3169.15-3169.20" } }, "RDATA_0": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.150-3168.157" } }, "RDATA_1": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.141-3168.148" } }, "RDATA_10": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.59-3168.67" } }, "RDATA_11": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.49-3168.57" } }, "RDATA_12": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.39-3168.47" } }, "RDATA_13": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.29-3168.37" } }, "RDATA_14": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.19-3168.27" } }, "RDATA_15": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.9-3168.17" } }, "RDATA_2": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.132-3168.139" } }, "RDATA_3": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.123-3168.130" } }, "RDATA_4": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.114-3168.121" } }, "RDATA_5": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.105-3168.112" } }, "RDATA_6": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.96-3168.103" } }, "RDATA_7": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.87-3168.94" } }, "RDATA_8": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.78-3168.85" } }, "RDATA_9": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.69-3168.76" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3169.22-3169.24" } }, "WADDR_0": { "hide_name": 0, "bits": [ 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.100-3172.107" } }, "WADDR_1": { "hide_name": 0, "bits": [ 44 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.91-3172.98" } }, "WADDR_10": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.9-3172.17" } }, "WADDR_2": { "hide_name": 0, "bits": [ 43 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.82-3172.89" } }, "WADDR_3": { "hide_name": 0, "bits": [ 42 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.73-3172.80" } }, "WADDR_4": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.64-3172.71" } }, "WADDR_5": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.55-3172.62" } }, "WADDR_6": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.46-3172.53" } }, "WADDR_7": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.37-3172.44" } }, "WADDR_8": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.28-3172.35" } }, "WADDR_9": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.19-3172.26" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3171.9-3171.13" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3171.15-3171.20" } }, "WDATA_0": { "hide_name": 0, "bits": [ 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.150-3174.157" } }, "WDATA_1": { "hide_name": 0, "bits": [ 76 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.141-3174.148" } }, "WDATA_10": { "hide_name": 0, "bits": [ 67 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.59-3174.67" } }, "WDATA_11": { "hide_name": 0, "bits": [ 66 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.49-3174.57" } }, "WDATA_12": { "hide_name": 0, "bits": [ 65 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.39-3174.47" } }, "WDATA_13": { "hide_name": 0, "bits": [ 64 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.29-3174.37" } }, "WDATA_14": { "hide_name": 0, "bits": [ 63 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.19-3174.27" } }, "WDATA_15": { "hide_name": 0, "bits": [ 62 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.9-3174.17" } }, "WDATA_2": { "hide_name": 0, "bits": [ 75 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.132-3174.139" } }, "WDATA_3": { "hide_name": 0, "bits": [ 74 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.123-3174.130" } }, "WDATA_4": { "hide_name": 0, "bits": [ 73 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.114-3174.121" } }, "WDATA_5": { "hide_name": 0, "bits": [ 72 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.105-3174.112" } }, "WDATA_6": { "hide_name": 0, "bits": [ 71 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.96-3174.103" } }, "WDATA_7": { "hide_name": 0, "bits": [ 70 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.87-3174.94" } }, "WDATA_8": { "hide_name": 0, "bits": [ 69 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.78-3174.85" } }, "WDATA_9": { "hide_name": 0, "bits": [ 68 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.69-3174.76" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3171.22-3171.24" } } } }, "SB_CARRY": { "attributes": { "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.1-260.10" }, "ports": { "CO": { "direction": "output", "bits": [ 2 ] }, "I0": { "direction": "input", "bits": [ 3 ] }, "I1": { "direction": "input", "bits": [ 4 ] }, "CI": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "CI": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.43-228.45" } }, "CO": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.25-228.27" } }, "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.35-228.37" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.39-228.41" } } } }, "SB_DFF": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:265.1-300.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "D": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:267.8-267.9" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:267.11-267.12" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:266.13-266.14" } } } }, "SB_DFFE": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303.1-347.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:305.8-305.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:307.8-307.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:306.8-306.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:304.13-304.14" } } } }, "SB_DFFER": { "attributes": { "abc9_box": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:653.1-730.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:655.8-655.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:658.8-658.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:654.13-654.14" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:657.8-657.9" } } } }, "SB_DFFES": { "attributes": { "blackbox": "00000000000000000000000000000001", "abc9_box": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:794.1-871.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:796.8-796.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:799.8-799.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:797.8-797.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:795.13-795.14" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:798.8-798.9" } } } }, "SB_DFFESR": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:592.1-650.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:594.8-594.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:597.8-597.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:595.8-595.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:593.13-593.14" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:596.8-596.9" } } } }, "SB_DFFESS": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:733.1-791.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:735.8-735.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:738.8-738.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:736.8-736.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:734.13-734.14" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:737.8-737.9" } } } }, "SB_DFFN": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:876.1-911.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "D": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878.8-878.9" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878.11-878.12" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:877.13-877.14" } } } }, "SB_DFFNE": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914.1-958.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916.8-916.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918.8-918.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917.8-917.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915.13-915.14" } } } }, "SB_DFFNER": { "attributes": { "blackbox": "00000000000000000000000000000001", "abc9_box": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1264.1-1341.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1266.8-1266.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1269.8-1269.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1267.8-1267.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1265.13-1265.14" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1268.8-1268.9" } } } }, "SB_DFFNES": { "attributes": { "blackbox": "00000000000000000000000000000001", "abc9_box": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1405.1-1483.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1407.8-1407.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1410.8-1410.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1408.8-1408.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1406.13-1406.14" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1409.8-1409.9" } } } }, "SB_DFFNESR": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1203.1-1261.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1205.8-1205.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1208.8-1208.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1206.8-1206.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1204.13-1204.14" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1207.8-1207.9" } } } }, "SB_DFFNESS": { "attributes": { "blackbox": "00000000000000000000000000000001", "abc9_flop": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1344.1-1402.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1346.8-1346.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1349.8-1349.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1347.8-1347.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1345.13-1345.14" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1348.8-1348.9" } } } }, "SB_DFFNR": { "attributes": { "blackbox": "00000000000000000000000000000001", "abc9_flop": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1011.1-1079.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013.8-1013.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013.14-1013.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1012.13-1012.14" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013.11-1013.12" } } } }, "SB_DFFNS": { "attributes": { "blackbox": "00000000000000000000000000000001", "abc9_box": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132.1-1200.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134.8-1134.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134.14-1134.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133.13-1133.14" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134.11-1134.12" } } } }, "SB_DFFNSR": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:961.1-1008.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963.8-963.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963.14-963.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:962.13-962.14" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963.11-963.12" } } } }, "SB_DFFNSS": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082.1-1129.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084.8-1084.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084.14-1084.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083.13-1083.14" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084.11-1084.12" } } } }, "SB_DFFR": { "attributes": { "blackbox": "00000000000000000000000000000001", "abc9_box": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:400.1-468.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:402.8-402.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:402.14-402.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:401.13-401.14" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:402.11-402.12" } } } }, "SB_DFFS": { "attributes": { "blackbox": "00000000000000000000000000000001", "abc9_box": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:521.1-589.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523.8-523.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523.14-523.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:522.13-522.14" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523.11-523.12" } } } }, "SB_DFFSR": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:350.1-397.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:352.8-352.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:352.14-352.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:351.13-351.14" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:352.11-352.12" } } } }, "SB_DFFSS": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:471.1-518.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:473.8-473.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:473.14-473.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:472.13-472.14" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:473.11-473.12" } } } }, "SB_FILTER_50NS": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2856.1-2860.10" }, "ports": { "FILTERIN": { "direction": "input", "bits": [ 2 ] }, "FILTEROUT": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "FILTERIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2857.8-2857.16" } }, "FILTEROUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2858.9-2858.18" } } } }, "SB_GB": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162.1-172.10" }, "ports": { "USER_SIGNAL_TO_GLOBAL_BUFFER": { "direction": "input", "bits": [ 2 ] }, "GLOBAL_BUFFER_OUTPUT": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:164.9-164.29" } }, "USER_SIGNAL_TO_GLOBAL_BUFFER": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:163.9-163.37" } } } }, "SB_GB_IO": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:123.1-160.10" }, "parameter_default_values": { "IO_STANDARD": "SB_LVCMOS", "NEG_TRIGGER": "0", "PIN_TYPE": "000000", "PULLUP": "0" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "GLOBAL_BUFFER_OUTPUT": { "direction": "output", "bits": [ 3 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 4 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 5 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 7 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 8 ] }, "D_OUT_0": { "direction": "input", "bits": [ 9 ] }, "D_OUT_1": { "direction": "input", "bits": [ 10 ] }, "D_IN_0": { "direction": "output", "bits": [ 11 ] }, "D_IN_1": { "direction": "output", "bits": [ 12 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 5 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:127.9-127.21" } }, "D_IN_0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:133.9-133.15" } }, "D_IN_1": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:134.9-134.15" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:131.9-131.16" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:132.9-132.16" } }, "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:125.9-125.29" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:128.9-128.18" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:126.9-126.26" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129.9-129.19" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:130.9-130.22" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:124.9-124.20" } } } }, "SB_HFOSC": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2664.1-2681.10" }, "parameter_default_values": { "CLKHF_DIV": "0b00", "TRIM_EN": "0b0" }, "ports": { "TRIM0": { "direction": "input", "bits": [ 2 ] }, "TRIM1": { "direction": "input", "bits": [ 3 ] }, "TRIM2": { "direction": "input", "bits": [ 4 ] }, "TRIM3": { "direction": "input", "bits": [ 5 ] }, "TRIM4": { "direction": "input", "bits": [ 6 ] }, "TRIM5": { "direction": "input", "bits": [ 7 ] }, "TRIM6": { "direction": "input", "bits": [ 8 ] }, "TRIM7": { "direction": "input", "bits": [ 9 ] }, "TRIM8": { "direction": "input", "bits": [ 10 ] }, "TRIM9": { "direction": "input", "bits": [ 11 ] }, "CLKHFPU": { "direction": "input", "bits": [ 12 ] }, "CLKHFEN": { "direction": "input", "bits": [ 13 ] }, "CLKHF": { "direction": "output", "bits": [ 14 ] } }, "cells": { }, "netnames": { "CLKHF": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2677.9-2677.14" } }, "CLKHFEN": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2676.8-2676.15" } }, "CLKHFPU": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2675.8-2675.15" } }, "TRIM0": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2665.8-2665.13" } }, "TRIM1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2666.8-2666.13" } }, "TRIM2": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2667.8-2667.13" } }, "TRIM3": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2668.8-2668.13" } }, "TRIM4": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2669.8-2669.13" } }, "TRIM5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2670.8-2670.13" } }, "TRIM6": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2671.8-2671.13" } }, "TRIM7": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2672.8-2672.13" } }, "TRIM8": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2673.8-2673.13" } }, "TRIM9": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2674.8-2674.13" } } } }, "SB_I2C": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2733.1-2773.10" }, "parameter_default_values": { "BUS_ADDR74": "0b0001", "I2C_SLAVE_INIT_ADDR": "0b1111100001" }, "ports": { "SBCLKI": { "direction": "input", "bits": [ 2 ] }, "SBRWI": { "direction": "input", "bits": [ 3 ] }, "SBSTBI": { "direction": "input", "bits": [ 4 ] }, "SBADRI7": { "direction": "input", "bits": [ 5 ] }, "SBADRI6": { "direction": "input", "bits": [ 6 ] }, "SBADRI5": { "direction": "input", "bits": [ 7 ] }, "SBADRI4": { "direction": "input", "bits": [ 8 ] }, "SBADRI3": { "direction": "input", "bits": [ 9 ] }, "SBADRI2": { "direction": "input", "bits": [ 10 ] }, "SBADRI1": { "direction": "input", "bits": [ 11 ] }, "SBADRI0": { "direction": "input", "bits": [ 12 ] }, "SBDATI7": { "direction": "input", "bits": [ 13 ] }, "SBDATI6": { "direction": "input", "bits": [ 14 ] }, "SBDATI5": { "direction": "input", "bits": [ 15 ] }, "SBDATI4": { "direction": "input", "bits": [ 16 ] }, "SBDATI3": { "direction": "input", "bits": [ 17 ] }, "SBDATI2": { "direction": "input", "bits": [ 18 ] }, "SBDATI1": { "direction": "input", "bits": [ 19 ] }, "SBDATI0": { "direction": "input", "bits": [ 20 ] }, "SCLI": { "direction": "input", "bits": [ 21 ] }, "SDAI": { "direction": "input", "bits": [ 22 ] }, "SBDATO7": { "direction": "output", "bits": [ 23 ] }, "SBDATO6": { "direction": "output", "bits": [ 24 ] }, "SBDATO5": { "direction": "output", "bits": [ 25 ] }, "SBDATO4": { "direction": "output", "bits": [ 26 ] }, "SBDATO3": { "direction": "output", "bits": [ 27 ] }, "SBDATO2": { "direction": "output", "bits": [ 28 ] }, "SBDATO1": { "direction": "output", "bits": [ 29 ] }, "SBDATO0": { "direction": "output", "bits": [ 30 ] }, "SBACKO": { "direction": "output", "bits": [ 31 ] }, "I2CIRQ": { "direction": "output", "bits": [ 32 ] }, "I2CWKUP": { "direction": "output", "bits": [ 33 ] }, "SCLO": { "direction": "output", "bits": [ 34 ] }, "SCLOE": { "direction": "output", "bits": [ 35 ] }, "SDAO": { "direction": "output", "bits": [ 36 ] }, "SDAOE": { "direction": "output", "bits": [ 37 ] } }, "cells": { }, "netnames": { "I2CIRQ": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2764.9-2764.15" } }, "I2CWKUP": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2765.9-2765.16" } }, "SBACKO": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2763.9-2763.15" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2744.9-2744.16" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2743.9-2743.16" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2742.9-2742.16" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2741.9-2741.16" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2740.9-2740.16" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2739.9-2739.16" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2738.9-2738.16" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2737.9-2737.16" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2734.9-2734.15" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2752.9-2752.16" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2751.9-2751.16" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2750.9-2750.16" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2749.9-2749.16" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2748.9-2748.16" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2747.9-2747.16" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2746.9-2746.16" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2745.9-2745.16" } }, "SBDATO0": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2762.9-2762.16" } }, "SBDATO1": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2761.9-2761.16" } }, "SBDATO2": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2760.9-2760.16" } }, "SBDATO3": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2759.9-2759.16" } }, "SBDATO4": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2758.9-2758.16" } }, "SBDATO5": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2757.9-2757.16" } }, "SBDATO6": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2756.9-2756.16" } }, "SBDATO7": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2755.9-2755.16" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2735.9-2735.14" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2736.9-2736.15" } }, "SCLI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2753.9-2753.13" } }, "SCLO": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2766.9-2766.13" } }, "SCLOE": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2767.9-2767.14" } }, "SDAI": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2754.9-2754.13" } }, "SDAO": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2768.9-2768.13" } }, "SDAOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2769.9-2769.14" } } } }, "SB_IO": { "attributes": { "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17.1-121.10" }, "parameter_default_values": { "IO_STANDARD": "SB_LVCMOS", "NEG_TRIGGER": "0", "PIN_TYPE": "000000", "PULLUP": "0" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 7 ] }, "D_OUT_0": { "direction": "input", "bits": [ 8 ] }, "D_OUT_1": { "direction": "input", "bits": [ 9 ] }, "D_IN_0": { "direction": "output", "bits": [ 10 ] }, "D_IN_1": { "direction": "output", "bits": [ 11 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:20.9-20.21" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:26.9-26.15" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:27.9-27.15" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:24.9-24.16" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:25.9-25.16" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:21.9-21.18" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:19.9-19.26" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:22.9-22.19" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:23.9-23.22" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:18.9-18.20" } } } }, "SB_IO_I3C": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2862.1-2929.10" }, "parameter_default_values": { "IO_STANDARD": "SB_LVCMOS", "NEG_TRIGGER": "0", "PIN_TYPE": "000000", "PULLUP": "0", "WEAK_PULLUP": "0" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 7 ] }, "D_OUT_0": { "direction": "input", "bits": [ 8 ] }, "D_OUT_1": { "direction": "input", "bits": [ 9 ] }, "D_IN_0": { "direction": "output", "bits": [ 10 ] }, "D_IN_1": { "direction": "output", "bits": [ 11 ] }, "PU_ENB": { "direction": "input", "bits": [ 12 ] }, "WEAK_PU_ENB": { "direction": "input", "bits": [ 13 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2865.9-2865.21" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2871.9-2871.15" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2872.9-2872.15" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2869.9-2869.16" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2870.9-2870.16" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2866.9-2866.18" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2864.9-2864.26" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2867.9-2867.19" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2868.9-2868.22" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2863.9-2863.20" } }, "PU_ENB": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2873.9-2873.15" } }, "WEAK_PU_ENB": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2874.9-2874.20" } } } }, "SB_IO_OD": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2931.1-2993.10" }, "parameter_default_values": { "NEG_TRIGGER": "0", "PIN_TYPE": "000000" }, "ports": { "PACKAGEPIN": { "direction": "inout", "bits": [ 2 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCKENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUTCLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUTCLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUTENABLE": { "direction": "input", "bits": [ 7 ] }, "DOUT1": { "direction": "input", "bits": [ 8 ] }, "DOUT0": { "direction": "input", "bits": [ 9 ] }, "DIN1": { "direction": "output", "bits": [ 10 ] }, "DIN0": { "direction": "output", "bits": [ 11 ] } }, "cells": { }, "netnames": { "CLOCKENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2934.9-2934.20" } }, "DIN0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2941.9-2941.13" } }, "DIN1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2940.9-2940.13" } }, "DOUT0": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2939.9-2939.14" } }, "DOUT1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2938.9-2938.14" } }, "INPUTCLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2935.9-2935.17" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2933.9-2933.24" } }, "OUTPUTCLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2936.9-2936.18" } }, "OUTPUTENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2937.9-2937.21" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2932.9-2932.19" } } } }, "SB_LEDDA_IP": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2830.1-2853.10" }, "ports": { "LEDDCS": { "direction": "input", "bits": [ 2 ] }, "LEDDCLK": { "direction": "input", "bits": [ 3 ] }, "LEDDDAT7": { "direction": "input", "bits": [ 4 ] }, "LEDDDAT6": { "direction": "input", "bits": [ 5 ] }, "LEDDDAT5": { "direction": "input", "bits": [ 6 ] }, "LEDDDAT4": { "direction": "input", "bits": [ 7 ] }, "LEDDDAT3": { "direction": "input", "bits": [ 8 ] }, "LEDDDAT2": { "direction": "input", "bits": [ 9 ] }, "LEDDDAT1": { "direction": "input", "bits": [ 10 ] }, "LEDDDAT0": { "direction": "input", "bits": [ 11 ] }, "LEDDADDR3": { "direction": "input", "bits": [ 12 ] }, "LEDDADDR2": { "direction": "input", "bits": [ 13 ] }, "LEDDADDR1": { "direction": "input", "bits": [ 14 ] }, "LEDDADDR0": { "direction": "input", "bits": [ 15 ] }, "LEDDDEN": { "direction": "input", "bits": [ 16 ] }, "LEDDEXE": { "direction": "input", "bits": [ 17 ] }, "LEDDRST": { "direction": "input", "bits": [ 18 ] }, "PWMOUT0": { "direction": "output", "bits": [ 19 ] }, "PWMOUT1": { "direction": "output", "bits": [ 20 ] }, "PWMOUT2": { "direction": "output", "bits": [ 21 ] }, "LEDDON": { "direction": "output", "bits": [ 22 ] } }, "cells": { }, "netnames": { "LEDDADDR0": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2844.8-2844.17" } }, "LEDDADDR1": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2843.8-2843.17" } }, "LEDDADDR2": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2842.8-2842.17" } }, "LEDDADDR3": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2841.8-2841.17" } }, "LEDDCLK": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2832.8-2832.15" } }, "LEDDCS": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2831.8-2831.14" } }, "LEDDDAT0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2840.8-2840.16" } }, "LEDDDAT1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2839.8-2839.16" } }, "LEDDDAT2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2838.8-2838.16" } }, "LEDDDAT3": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2837.8-2837.16" } }, "LEDDDAT4": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2836.8-2836.16" } }, "LEDDDAT5": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2835.8-2835.16" } }, "LEDDDAT6": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2834.8-2834.16" } }, "LEDDDAT7": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2833.8-2833.16" } }, "LEDDDEN": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2845.8-2845.15" } }, "LEDDEXE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2846.8-2846.15" } }, "LEDDON": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2851.9-2851.15" } }, "LEDDRST": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2847.8-2847.15" } }, "PWMOUT0": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2848.9-2848.16" } }, "PWMOUT1": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2849.9-2849.16" } }, "PWMOUT2": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2850.9-2850.16" } } } }, "SB_LED_DRV_CUR": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2709.1-2713.10" }, "ports": { "EN": { "direction": "input", "bits": [ 2 ] }, "LEDPU": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "EN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2710.8-2710.10" } }, "LEDPU": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2711.9-2711.14" } } } }, "SB_LFOSC": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2684.1-2689.10" }, "ports": { "CLKLFPU": { "direction": "input", "bits": [ 2 ] }, "CLKLFEN": { "direction": "input", "bits": [ 3 ] }, "CLKLF": { "direction": "output", "bits": [ 4 ] } }, "cells": { }, "netnames": { "CLKLF": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2687.9-2687.14" } }, "CLKLFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2686.8-2686.15" } }, "CLKLFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2685.8-2685.15" } } } }, "SB_LUT4": { "attributes": { "abc9_lut": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:177.1-225.10" }, "parameter_default_values": { "LUT_INIT": "0000000000000000" }, "ports": { "O": { "direction": "output", "bits": [ 2 ] }, "I0": { "direction": "input", "bits": [ 3 ] }, "I1": { "direction": "input", "bits": [ 4 ] }, "I2": { "direction": "input", "bits": [ 5 ] }, "I3": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:179.8-179.10" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:180.8-180.10" } }, "I2": { "hide_name": 0, "bits": [ 5 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:181.8-181.10" } }, "I3": { "hide_name": 0, "bits": [ 6 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:182.8-182.10" } }, "O": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178.9-178.10" } } } }, "SB_MAC16": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2996.1-3164.10" }, "parameter_default_values": { "A_REG": "0", "A_SIGNED": "0", "BOTADDSUB_CARRYSELECT": "00", "BOTADDSUB_LOWERINPUT": "00", "BOTADDSUB_UPPERINPUT": "0", "BOTOUTPUT_SELECT": "00", "BOT_8x8_MULT_REG": "0", "B_REG": "0", "B_SIGNED": "0", "C_REG": "0", "D_REG": "0", "MODE_8x8": "0", "NEG_TRIGGER": "0", "PIPELINE_16x16_MULT_REG1": "0", "PIPELINE_16x16_MULT_REG2": "0", "TOPADDSUB_CARRYSELECT": "00", "TOPADDSUB_LOWERINPUT": "00", "TOPADDSUB_UPPERINPUT": "0", "TOPOUTPUT_SELECT": "00", "TOP_8x8_MULT_REG": "0" }, "ports": { "CLK": { "direction": "input", "bits": [ 2 ] }, "CE": { "direction": "input", "bits": [ 3 ] }, "C": { "direction": "input", "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] }, "A": { "direction": "input", "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] }, "B": { "direction": "input", "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] }, "D": { "direction": "input", "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] }, "AHOLD": { "direction": "input", "bits": [ 68 ] }, "BHOLD": { "direction": "input", "bits": [ 69 ] }, "CHOLD": { "direction": "input", "bits": [ 70 ] }, "DHOLD": { "direction": "input", "bits": [ 71 ] }, "IRSTTOP": { "direction": "input", "bits": [ 72 ] }, "IRSTBOT": { "direction": "input", "bits": [ 73 ] }, "ORSTTOP": { "direction": "input", "bits": [ 74 ] }, "ORSTBOT": { "direction": "input", "bits": [ 75 ] }, "OLOADTOP": { "direction": "input", "bits": [ 76 ] }, "OLOADBOT": { "direction": "input", "bits": [ 77 ] }, "ADDSUBTOP": { "direction": "input", "bits": [ 78 ] }, "ADDSUBBOT": { "direction": "input", "bits": [ 79 ] }, "OHOLDTOP": { "direction": "input", "bits": [ 80 ] }, "OHOLDBOT": { "direction": "input", "bits": [ 81 ] }, "CI": { "direction": "input", "bits": [ 82 ] }, "ACCUMCI": { "direction": "input", "bits": [ 83 ] }, "SIGNEXTIN": { "direction": "input", "bits": [ 84 ] }, "O": { "direction": "output", "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ] }, "CO": { "direction": "output", "bits": [ 117 ] }, "ACCUMCO": { "direction": "output", "bits": [ 118 ] }, "SIGNEXTOUT": { "direction": "output", "bits": [ 119 ] } }, "cells": { }, "netnames": { "A": { "hide_name": 0, "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2998.18-2998.19" } }, "ACCUMCI": { "hide_name": 0, "bits": [ 83 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3005.12-3005.19" } }, "ACCUMCO": { "hide_name": 0, "bits": [ 118 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3007.13-3007.20" } }, "ADDSUBBOT": { "hide_name": 0, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3003.19-3003.28" } }, "ADDSUBTOP": { "hide_name": 0, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3003.8-3003.17" } }, "AHOLD": { "hide_name": 0, "bits": [ 68 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2999.8-2999.13" } }, "B": { "hide_name": 0, "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2998.21-2998.22" } }, "BHOLD": { "hide_name": 0, "bits": [ 69 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2999.15-2999.20" } }, "C": { "hide_name": 0, "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2998.15-2998.16" } }, "CE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2997.13-2997.15" } }, "CHOLD": { "hide_name": 0, "bits": [ 70 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2999.22-2999.27" } }, "CI": { "hide_name": 0, "bits": [ 82 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3005.8-3005.10" } }, "CLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2997.8-2997.11" } }, "CO": { "hide_name": 0, "bits": [ 117 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3007.9-3007.11" } }, "D": { "hide_name": 0, "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2998.24-2998.25" } }, "DHOLD": { "hide_name": 0, "bits": [ 71 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2999.29-2999.34" } }, "IRSTBOT": { "hide_name": 0, "bits": [ 73 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3000.17-3000.24" } }, "IRSTTOP": { "hide_name": 0, "bits": [ 72 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3000.8-3000.15" } }, "O": { "hide_name": 0, "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3006.16-3006.17" } }, "OHOLDBOT": { "hide_name": 0, "bits": [ 81 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3004.18-3004.26" } }, "OHOLDTOP": { "hide_name": 0, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3004.8-3004.16" } }, "OLOADBOT": { "hide_name": 0, "bits": [ 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3002.18-3002.26" } }, "OLOADTOP": { "hide_name": 0, "bits": [ 76 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3002.8-3002.16" } }, "ORSTBOT": { "hide_name": 0, "bits": [ 75 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3001.17-3001.24" } }, "ORSTTOP": { "hide_name": 0, "bits": [ 74 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3001.8-3001.15" } }, "SIGNEXTIN": { "hide_name": 0, "bits": [ 84 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3005.21-3005.30" } }, "SIGNEXTOUT": { "hide_name": 0, "bits": [ 119 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3007.22-3007.32" } } } }, "SB_PLL40_2F_CORE": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2521.1-2553.10" }, "parameter_default_values": { "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED", "DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED", "DIVF": "0000000", "DIVQ": "000", "DIVR": "0000", "ENABLE_ICEGATE_PORTA": "0", "ENABLE_ICEGATE_PORTB": "0", "EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001", "FDA_FEEDBACK": "0000", "FDA_RELATIVE": "0000", "FEEDBACK_PATH": "SIMPLE", "FILTER_RANGE": "000", "PLLOUT_SELECT_PORTA": "GENCLK", "PLLOUT_SELECT_PORTB": "GENCLK", "SHIFTREG_DIV_MODE": "0", "TEST_MODE": "0" }, "ports": { "REFERENCECLK": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2530.10-2530.16" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2528.16-2528.28" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2527.10-2527.21" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2532.10-2532.25" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2529.10-2529.14" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2523.10-2523.21" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2525.10-2525.21" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2524.10-2524.23" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2526.10-2526.23" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2522.10-2522.22" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2531.10-2531.16" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2535.10-2535.14" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2534.10-2534.13" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2533.10-2533.13" } } } }, "SB_PLL40_2F_PAD": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2556.1-2588.10" }, "parameter_default_values": { "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED", "DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED", "DIVF": "0000000", "DIVQ": "000", "DIVR": "0000", "ENABLE_ICEGATE_PORTA": "0", "ENABLE_ICEGATE_PORTB": "0", "EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001", "FDA_FEEDBACK": "0000", "FDA_RELATIVE": "0000", "FEEDBACK_PATH": "SIMPLE", "FILTER_RANGE": "000", "PLLOUT_SELECT_PORTA": "GENCLK", "PLLOUT_SELECT_PORTB": "GENCLK", "SHIFTREG_DIV_MODE": "00", "TEST_MODE": "0" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2565.10-2565.16" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2563.16-2563.28" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2562.10-2562.21" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2567.10-2567.25" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2564.10-2564.14" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2557.10-2557.20" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2558.10-2558.21" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2560.10-2560.21" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2559.10-2559.23" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2561.10-2561.23" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2566.10-2566.16" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2570.10-2570.14" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2569.10-2569.13" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2568.10-2568.13" } } } }, "SB_PLL40_2_PAD": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2487.1-2518.10" }, "parameter_default_values": { "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED", "DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED", "DIVF": "0000000", "DIVQ": "000", "DIVR": "0000", "ENABLE_ICEGATE_PORTA": "0", "ENABLE_ICEGATE_PORTB": "0", "EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001", "FDA_FEEDBACK": "0000", "FDA_RELATIVE": "0000", "FEEDBACK_PATH": "SIMPLE", "FILTER_RANGE": "000", "PLLOUT_SELECT_PORTB": "GENCLK", "SHIFTREG_DIV_MODE": "0", "TEST_MODE": "0" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2496.10-2496.16" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2494.16-2494.28" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2493.10-2493.21" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2498.10-2498.25" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2495.10-2495.14" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2488.10-2488.20" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2489.10-2489.21" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2491.10-2491.21" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2490.10-2490.23" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2492.10-2492.23" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2497.10-2497.16" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2501.10-2501.14" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2500.10-2500.13" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2499.10-2499.13" } } } }, "SB_PLL40_CORE": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2425.1-2453.10" }, "parameter_default_values": { "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED", "DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED", "DIVF": "0000000", "DIVQ": "000", "DIVR": "0000", "ENABLE_ICEGATE": "0", "EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001", "FDA_FEEDBACK": "0000", "FDA_RELATIVE": "0000", "FEEDBACK_PATH": "SIMPLE", "FILTER_RANGE": "000", "PLLOUT_SELECT": "GENCLK", "SHIFTREG_DIV_MODE": "0", "TEST_MODE": "0" }, "ports": { "REFERENCECLK": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCORE": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBAL": { "direction": "output", "bits": [ 4 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 5 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] }, "LOCK": { "direction": "output", "bits": [ 14 ] }, "BYPASS": { "direction": "input", "bits": [ 15 ] }, "RESETB": { "direction": "input", "bits": [ 16 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 17 ] }, "SDO": { "direction": "output", "bits": [ 18 ] }, "SDI": { "direction": "input", "bits": [ 19 ] }, "SCLK": { "direction": "input", "bits": [ 20 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2432.10-2432.16" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2430.16-2430.28" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2429.10-2429.21" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2434.10-2434.25" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2431.10-2431.14" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2427.10-2427.20" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2428.10-2428.22" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2426.10-2426.22" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2433.10-2433.16" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2437.10-2437.14" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2436.10-2436.13" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2435.10-2435.13" } } } }, "SB_PLL40_PAD": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2456.1-2484.10" }, "parameter_default_values": { "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED", "DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED", "DIVF": "0000000", "DIVQ": "000", "DIVR": "0000", "ENABLE_ICEGATE": "0", "EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001", "FDA_FEEDBACK": "0000", "FDA_RELATIVE": "0000", "FEEDBACK_PATH": "SIMPLE", "FILTER_RANGE": "000", "PLLOUT_SELECT": "GENCLK", "SHIFTREG_DIV_MODE": "0", "TEST_MODE": "0" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCORE": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBAL": { "direction": "output", "bits": [ 4 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 5 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] }, "LOCK": { "direction": "output", "bits": [ 14 ] }, "BYPASS": { "direction": "input", "bits": [ 15 ] }, "RESETB": { "direction": "input", "bits": [ 16 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 17 ] }, "SDO": { "direction": "output", "bits": [ 18 ] }, "SDI": { "direction": "input", "bits": [ 19 ] }, "SCLK": { "direction": "input", "bits": [ 20 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2463.10-2463.16" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2461.16-2461.28" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2460.10-2460.21" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2465.10-2465.25" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2462.10-2462.14" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2457.10-2457.20" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2458.10-2458.20" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2459.10-2459.22" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2464.10-2464.16" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2468.10-2468.14" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2467.10-2467.13" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2466.10-2466.13" } } } }, "SB_RAM40_4K": { "attributes": { "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1487.1-1724.10" }, "parameter_default_values": { "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_FILE": " ", "READ_MODE": "00000000000000000000000000000000", "WRITE_MODE": "00000000000000000000000000000000" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661$245": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.33-1661.44" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 78 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663$246": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.34-1663.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 20 ], "B": [ 19 ], "Y": [ 79 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669$247": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.34-1669.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 80 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673$248": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673.34-1673.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 81 ] } }, "$specify$90": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100010010", "T_LIMIT_MIN": "00000000000000000000000100010010", "T_LIMIT_TYP": "00000000000000000000000100010010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.3-1661.51" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 78 ], "SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "SRC_EN": [ "1" ] } }, "$specify$91": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011001011", "T_LIMIT_MIN": "00000000000000000000000011001011", "T_LIMIT_TYP": "00000000000000000000000011001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.3-1663.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ 79 ], "SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "SRC_EN": [ "1" ] } }, "$specify$92": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1665.3-1665.36" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 19 ], "SRC_EN": [ "1" ] } }, "$specify$93": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000001100010", "T_LIMIT_MIN": "00000000000000000000000001100010", "T_LIMIT_TYP": "00000000000000000000000001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1667.3-1667.32" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 20 ], "SRC_EN": [ "1" ] } }, "$specify$94": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011100000", "T_LIMIT_MIN": "00000000000000000000000011100000", "T_LIMIT_TYP": "00000000000000000000000011100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.3-1669.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 80 ], "SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "SRC_EN": [ "1" ] } }, "$specify$95": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1671.3-1671.36" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 33 ], "SRC_EN": [ "1" ] } }, "$specify$96": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010100001", "T_LIMIT_MIN": "00000000000000000000000010100001", "T_LIMIT_TYP": "00000000000000000000000010100001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673.3-1673.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 81 ], "SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "SRC_EN": [ "1" ] } }, "$specify$97": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010000101", "T_LIMIT_MIN": "00000000000000000000000010000101", "T_LIMIT_TYP": "00000000000000000000000010000101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1675.3-1675.33" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 34 ], "SRC_EN": [ "1" ] } }, "$specify$98": { "hide_name": 1, "type": "$specify3", "parameters": { "DAT_DST_PEN": "0", "DAT_DST_POL": "0", "DST_WIDTH": "00000000000000000000000000010000", "EDGE_EN": "1", "EDGE_POL": "1", "FULL": "1", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000100001100010", "T_FALL_MIN": "00000000000000000000100001100010", "T_FALL_TYP": "00000000000000000000100001100010", "T_RISE_MAX": "00000000000000000000100001100010", "T_RISE_MIN": "00000000000000000000100001100010", "T_RISE_TYP": "00000000000000000000100001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1677.3-1677.44" }, "port_directions": { "DAT": "input", "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "EN": [ "1" ], "SRC": [ 18 ] } } }, "netnames": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661$245_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.33-1661.44" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663$246_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.34-1663.45" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669$247_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.34-1669.45" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673$248_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673.34-1673.45" } }, "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "defaultvalue": "0000000000000000", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1497.16-1497.20" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1492.16-1492.21" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1489.16-1489.20" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1490.16-1490.21" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1491.16-1491.18" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1496.16-1496.21" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1493.16-1493.20" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1494.16-1494.21" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1498.16-1498.21" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1495.16-1495.18" } } } }, "SB_RAM40_4KNR": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1726.1-1860.10" }, "parameter_default_values": { "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_FILE": " ", "READ_MODE": "00000000000000000000000000000000", "WRITE_MODE": "00000000000000000000000000000000" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLKN": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797$249": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797.33-1797.44" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 78 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799$250": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799.35-1799.46" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 20 ], "B": [ 19 ], "Y": [ 79 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805$251": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805.34-1805.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 80 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1809$252": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1809.34-1809.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 81 ] } }, "$specify$100": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011001011", "T_LIMIT_MIN": "00000000000000000000000011001011", "T_LIMIT_TYP": "00000000000000000000000011001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799.3-1799.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ 79 ], "SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "SRC_EN": [ "1" ] } }, "$specify$101": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1801.3-1801.37" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 19 ], "SRC_EN": [ "1" ] } }, "$specify$102": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000001100010", "T_LIMIT_MIN": "00000000000000000000000001100010", "T_LIMIT_TYP": "00000000000000000000000001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1803.3-1803.33" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 20 ], "SRC_EN": [ "1" ] } }, "$specify$103": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011100000", "T_LIMIT_MIN": "00000000000000000000000011100000", "T_LIMIT_TYP": "00000000000000000000000011100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805.3-1805.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 80 ], "SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "SRC_EN": [ "1" ] } }, "$specify$104": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1807.3-1807.36" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 33 ], "SRC_EN": [ "1" ] } }, "$specify$105": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010100001", "T_LIMIT_MIN": "00000000000000000000000010100001", "T_LIMIT_TYP": "00000000000000000000000010100001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1809.3-1809.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 81 ], "SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "SRC_EN": [ "1" ] } }, "$specify$106": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010000101", "T_LIMIT_MIN": "00000000000000000000000010000101", "T_LIMIT_TYP": "00000000000000000000000010000101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1811.3-1811.33" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 34 ], "SRC_EN": [ "1" ] } }, "$specify$107": { "hide_name": 1, "type": "$specify3", "parameters": { "DAT_DST_PEN": "0", "DAT_DST_POL": "0", "DST_WIDTH": "00000000000000000000000000010000", "EDGE_EN": "1", "EDGE_POL": "1", "FULL": "1", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000100001100010", "T_FALL_MIN": "00000000000000000000100001100010", "T_FALL_TYP": "00000000000000000000100001100010", "T_RISE_MAX": "00000000000000000000100001100010", "T_RISE_MIN": "00000000000000000000100001100010", "T_RISE_TYP": "00000000000000000000100001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1813.3-1813.45" }, "port_directions": { "DAT": "input", "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "EN": [ "1" ], "SRC": [ 18 ] } }, "$specify$99": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100010010", "T_LIMIT_MIN": "00000000000000000000000100010010", "T_LIMIT_TYP": "00000000000000000000000100010010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797.3-1797.51" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 78 ], "SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "SRC_EN": [ "1" ] } } }, "netnames": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797$249_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797.33-1797.44" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799$250_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799.35-1799.46" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805$251_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805.34-1805.45" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1809$252_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1809.34-1809.45" } }, "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "defaultvalue": "0000000000000000", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1736.16-1736.20" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731.16-1731.21" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729.16-1729.21" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1728.16-1728.21" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1727.16-1727.21" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1730.16-1730.18" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1735.16-1735.21" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1732.16-1732.20" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1733.16-1733.21" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737.16-1737.21" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1734.16-1734.18" } } } }, "SB_RAM40_4KNRNW": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1998.1-2132.10" }, "parameter_default_values": { "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_FILE": " ", "READ_MODE": "00000000000000000000000000000000", "WRITE_MODE": "00000000000000000000000000000000" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLKN": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLKN": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069$257": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.34-2069.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 78 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071$258": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071.35-2071.46" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 20 ], "B": [ 19 ], "Y": [ 79 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2077$259": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2077.35-2077.46" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 80 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2081$260": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2081.35-2081.46" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 81 ] } }, "$specify$117": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100010010", "T_LIMIT_MIN": "00000000000000000000000100010010", "T_LIMIT_TYP": "00000000000000000000000100010010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.3-2069.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 78 ], "SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "SRC_EN": [ "1" ] } }, "$specify$118": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011001011", "T_LIMIT_MIN": "00000000000000000000000011001011", "T_LIMIT_TYP": "00000000000000000000000011001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071.3-2071.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ 79 ], "SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "SRC_EN": [ "1" ] } }, "$specify$119": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2073.3-2073.37" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 19 ], "SRC_EN": [ "1" ] } }, "$specify$120": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000001100010", "T_LIMIT_MIN": "00000000000000000000000001100010", "T_LIMIT_TYP": "00000000000000000000000001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2075.3-2075.33" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 20 ], "SRC_EN": [ "1" ] } }, "$specify$121": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011100000", "T_LIMIT_MIN": "00000000000000000000000011100000", "T_LIMIT_TYP": "00000000000000000000000011100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2077.3-2077.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 80 ], "SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "SRC_EN": [ "1" ] } }, "$specify$122": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2079.3-2079.37" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 33 ], "SRC_EN": [ "1" ] } }, "$specify$123": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010100001", "T_LIMIT_MIN": "00000000000000000000000010100001", "T_LIMIT_TYP": "00000000000000000000000010100001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2081.3-2081.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 81 ], "SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "SRC_EN": [ "1" ] } }, "$specify$124": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010000101", "T_LIMIT_MIN": "00000000000000000000000010000101", "T_LIMIT_TYP": "00000000000000000000000010000101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2083.3-2083.34" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 34 ], "SRC_EN": [ "1" ] } }, "$specify$125": { "hide_name": 1, "type": "$specify3", "parameters": { "DAT_DST_PEN": "0", "DAT_DST_POL": "0", "DST_WIDTH": "00000000000000000000000000010000", "EDGE_EN": "1", "EDGE_POL": "1", "FULL": "1", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000100001100010", "T_FALL_MIN": "00000000000000000000100001100010", "T_FALL_TYP": "00000000000000000000100001100010", "T_RISE_MAX": "00000000000000000000100001100010", "T_RISE_MIN": "00000000000000000000100001100010", "T_RISE_TYP": "00000000000000000000100001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2085.3-2085.45" }, "port_directions": { "DAT": "input", "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "EN": [ "1" ], "SRC": [ 18 ] } } }, "netnames": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069$257_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.34-2069.45" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071$258_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071.35-2071.46" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2077$259_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2077.35-2077.46" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2081$260_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2081.35-2081.46" } }, "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "defaultvalue": "0000000000000000", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2008.16-2008.20" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003.16-2003.21" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001.16-2001.21" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2000.16-2000.21" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1999.16-1999.21" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2002.16-2002.18" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2007.16-2007.21" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2005.16-2005.21" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2004.16-2004.21" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009.16-2009.21" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2006.16-2006.18" } } } }, "SB_RAM40_4KNW": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1862.1-1996.10" }, "parameter_default_values": { "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_FILE": " ", "READ_MODE": "00000000000000000000000000000000", "WRITE_MODE": "00000000000000000000000000000000" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLKN": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933$253": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933.34-1933.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 78 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935$254": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935.34-1935.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 20 ], "B": [ 19 ], "Y": [ 79 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941$255": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941.35-1941.46" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 80 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1945$256": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1945.35-1945.46" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 81 ] } }, "$specify$108": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100010010", "T_LIMIT_MIN": "00000000000000000000000100010010", "T_LIMIT_TYP": "00000000000000000000000100010010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933.3-1933.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 78 ], "SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "SRC_EN": [ "1" ] } }, "$specify$109": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011001011", "T_LIMIT_MIN": "00000000000000000000000011001011", "T_LIMIT_TYP": "00000000000000000000000011001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935.3-1935.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ 79 ], "SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "SRC_EN": [ "1" ] } }, "$specify$110": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1937.3-1937.36" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 19 ], "SRC_EN": [ "1" ] } }, "$specify$111": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000001100010", "T_LIMIT_MIN": "00000000000000000000000001100010", "T_LIMIT_TYP": "00000000000000000000000001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1939.3-1939.32" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 20 ], "SRC_EN": [ "1" ] } }, "$specify$112": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011100000", "T_LIMIT_MIN": "00000000000000000000000011100000", "T_LIMIT_TYP": "00000000000000000000000011100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941.3-1941.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 80 ], "SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "SRC_EN": [ "1" ] } }, "$specify$113": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1943.3-1943.37" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 33 ], "SRC_EN": [ "1" ] } }, "$specify$114": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010100001", "T_LIMIT_MIN": "00000000000000000000000010100001", "T_LIMIT_TYP": "00000000000000000000000010100001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1945.3-1945.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 81 ], "SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "SRC_EN": [ "1" ] } }, "$specify$115": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010000101", "T_LIMIT_MIN": "00000000000000000000000010000101", "T_LIMIT_TYP": "00000000000000000000000010000101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1947.3-1947.34" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 34 ], "SRC_EN": [ "1" ] } }, "$specify$116": { "hide_name": 1, "type": "$specify3", "parameters": { "DAT_DST_PEN": "0", "DAT_DST_POL": "0", "DST_WIDTH": "00000000000000000000000000010000", "EDGE_EN": "1", "EDGE_POL": "1", "FULL": "1", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000100001100010", "T_FALL_MIN": "00000000000000000000100001100010", "T_FALL_TYP": "00000000000000000000100001100010", "T_RISE_MAX": "00000000000000000000100001100010", "T_RISE_MIN": "00000000000000000000100001100010", "T_RISE_TYP": "00000000000000000000100001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1949.3-1949.44" }, "port_directions": { "DAT": "input", "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "EN": [ "1" ], "SRC": [ 18 ] } } }, "netnames": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933$253_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933.34-1933.45" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935$254_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935.34-1935.45" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941$255_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941.35-1941.46" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1945$256_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1945.35-1945.46" } }, "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "defaultvalue": "0000000000000000", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1872.16-1872.20" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867.16-1867.21" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1864.16-1864.20" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865.16-1865.21" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1863.16-1863.21" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1866.16-1866.18" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1871.16-1871.21" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1869.16-1869.21" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1868.16-1868.21" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873.16-1873.21" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1870.16-1870.18" } } } }, "SB_RGBA_DRV": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2692.1-2706.10" }, "parameter_default_values": { "CURRENT_MODE": "0b0", "RGB0_CURRENT": "0b000000", "RGB1_CURRENT": "0b000000", "RGB2_CURRENT": "0b000000" }, "ports": { "CURREN": { "direction": "input", "bits": [ 2 ] }, "RGBLEDEN": { "direction": "input", "bits": [ 3 ] }, "RGB0PWM": { "direction": "input", "bits": [ 4 ] }, "RGB1PWM": { "direction": "input", "bits": [ 5 ] }, "RGB2PWM": { "direction": "input", "bits": [ 6 ] }, "RGB0": { "direction": "output", "bits": [ 7 ] }, "RGB1": { "direction": "output", "bits": [ 8 ] }, "RGB2": { "direction": "output", "bits": [ 9 ] } }, "cells": { }, "netnames": { "CURREN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2693.8-2693.14" } }, "RGB0": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2698.9-2698.13" } }, "RGB0PWM": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2695.8-2695.15" } }, "RGB1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2699.9-2699.13" } }, "RGB1PWM": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2696.8-2696.15" } }, "RGB2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2700.9-2700.13" } }, "RGB2PWM": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2697.8-2697.15" } }, "RGBLEDEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2694.8-2694.16" } } } }, "SB_RGB_DRV": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2716.1-2730.10" }, "parameter_default_values": { "CURRENT_MODE": "0b0", "RGB0_CURRENT": "0b000000", "RGB1_CURRENT": "0b000000", "RGB2_CURRENT": "0b000000" }, "ports": { "RGBLEDEN": { "direction": "input", "bits": [ 2 ] }, "RGB0PWM": { "direction": "input", "bits": [ 3 ] }, "RGB1PWM": { "direction": "input", "bits": [ 4 ] }, "RGB2PWM": { "direction": "input", "bits": [ 5 ] }, "RGBPU": { "direction": "input", "bits": [ 6 ] }, "RGB0": { "direction": "output", "bits": [ 7 ] }, "RGB1": { "direction": "output", "bits": [ 8 ] }, "RGB2": { "direction": "output", "bits": [ 9 ] } }, "cells": { }, "netnames": { "RGB0": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2722.9-2722.13" } }, "RGB0PWM": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2718.8-2718.15" } }, "RGB1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2723.9-2723.13" } }, "RGB1PWM": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2719.8-2719.15" } }, "RGB2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2724.9-2724.13" } }, "RGB2PWM": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2720.8-2720.15" } }, "RGBLEDEN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2717.8-2717.16" } }, "RGBPU": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2721.8-2721.13" } } } }, "SB_SPI": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2776.1-2827.10" }, "parameter_default_values": { "BUS_ADDR74": "0b0000" }, "ports": { "SBCLKI": { "direction": "input", "bits": [ 2 ] }, "SBRWI": { "direction": "input", "bits": [ 3 ] }, "SBSTBI": { "direction": "input", "bits": [ 4 ] }, "SBADRI7": { "direction": "input", "bits": [ 5 ] }, "SBADRI6": { "direction": "input", "bits": [ 6 ] }, "SBADRI5": { "direction": "input", "bits": [ 7 ] }, "SBADRI4": { "direction": "input", "bits": [ 8 ] }, "SBADRI3": { "direction": "input", "bits": [ 9 ] }, "SBADRI2": { "direction": "input", "bits": [ 10 ] }, "SBADRI1": { "direction": "input", "bits": [ 11 ] }, "SBADRI0": { "direction": "input", "bits": [ 12 ] }, "SBDATI7": { "direction": "input", "bits": [ 13 ] }, "SBDATI6": { "direction": "input", "bits": [ 14 ] }, "SBDATI5": { "direction": "input", "bits": [ 15 ] }, "SBDATI4": { "direction": "input", "bits": [ 16 ] }, "SBDATI3": { "direction": "input", "bits": [ 17 ] }, "SBDATI2": { "direction": "input", "bits": [ 18 ] }, "SBDATI1": { "direction": "input", "bits": [ 19 ] }, "SBDATI0": { "direction": "input", "bits": [ 20 ] }, "MI": { "direction": "input", "bits": [ 21 ] }, "SI": { "direction": "input", "bits": [ 22 ] }, "SCKI": { "direction": "input", "bits": [ 23 ] }, "SCSNI": { "direction": "input", "bits": [ 24 ] }, "SBDATO7": { "direction": "output", "bits": [ 25 ] }, "SBDATO6": { "direction": "output", "bits": [ 26 ] }, "SBDATO5": { "direction": "output", "bits": [ 27 ] }, "SBDATO4": { "direction": "output", "bits": [ 28 ] }, "SBDATO3": { "direction": "output", "bits": [ 29 ] }, "SBDATO2": { "direction": "output", "bits": [ 30 ] }, "SBDATO1": { "direction": "output", "bits": [ 31 ] }, "SBDATO0": { "direction": "output", "bits": [ 32 ] }, "SBACKO": { "direction": "output", "bits": [ 33 ] }, "SPIIRQ": { "direction": "output", "bits": [ 34 ] }, "SPIWKUP": { "direction": "output", "bits": [ 35 ] }, "SO": { "direction": "output", "bits": [ 36 ] }, "SOE": { "direction": "output", "bits": [ 37 ] }, "MO": { "direction": "output", "bits": [ 38 ] }, "MOE": { "direction": "output", "bits": [ 39 ] }, "SCKO": { "direction": "output", "bits": [ 40 ] }, "SCKOE": { "direction": "output", "bits": [ 41 ] }, "MCSNO3": { "direction": "output", "bits": [ 42 ] }, "MCSNO2": { "direction": "output", "bits": [ 43 ] }, "MCSNO1": { "direction": "output", "bits": [ 44 ] }, "MCSNO0": { "direction": "output", "bits": [ 45 ] }, "MCSNOE3": { "direction": "output", "bits": [ 46 ] }, "MCSNOE2": { "direction": "output", "bits": [ 47 ] }, "MCSNOE1": { "direction": "output", "bits": [ 48 ] }, "MCSNOE0": { "direction": "output", "bits": [ 49 ] } }, "cells": { }, "netnames": { "MCSNO0": { "hide_name": 0, "bits": [ 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2820.9-2820.15" } }, "MCSNO1": { "hide_name": 0, "bits": [ 44 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2819.9-2819.15" } }, "MCSNO2": { "hide_name": 0, "bits": [ 43 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2818.9-2818.15" } }, "MCSNO3": { "hide_name": 0, "bits": [ 42 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2817.9-2817.15" } }, "MCSNOE0": { "hide_name": 0, "bits": [ 49 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2824.9-2824.16" } }, "MCSNOE1": { "hide_name": 0, "bits": [ 48 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2823.9-2823.16" } }, "MCSNOE2": { "hide_name": 0, "bits": [ 47 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2822.9-2822.16" } }, "MCSNOE3": { "hide_name": 0, "bits": [ 46 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2821.9-2821.16" } }, "MI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2796.9-2796.11" } }, "MO": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2813.9-2813.11" } }, "MOE": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2814.9-2814.12" } }, "SBACKO": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2808.9-2808.15" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2787.9-2787.16" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2786.9-2786.16" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2785.9-2785.16" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2784.9-2784.16" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2783.9-2783.16" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2782.9-2782.16" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2781.9-2781.16" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2780.9-2780.16" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2777.9-2777.15" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2795.9-2795.16" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2794.9-2794.16" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2793.9-2793.16" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2792.9-2792.16" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2791.9-2791.16" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2790.9-2790.16" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2789.9-2789.16" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2788.9-2788.16" } }, "SBDATO0": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2807.9-2807.16" } }, "SBDATO1": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2806.9-2806.16" } }, "SBDATO2": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2805.9-2805.16" } }, "SBDATO3": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2804.9-2804.16" } }, "SBDATO4": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2803.9-2803.16" } }, "SBDATO5": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2802.9-2802.16" } }, "SBDATO6": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2801.9-2801.16" } }, "SBDATO7": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2800.9-2800.16" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2778.9-2778.14" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2779.9-2779.15" } }, "SCKI": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2798.9-2798.13" } }, "SCKO": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2815.9-2815.13" } }, "SCKOE": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2816.9-2816.14" } }, "SCSNI": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2799.9-2799.14" } }, "SI": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2797.9-2797.11" } }, "SO": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2811.9-2811.11" } }, "SOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2812.9-2812.12" } }, "SPIIRQ": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2809.9-2809.15" } }, "SPIWKUP": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2810.9-2810.16" } } } }, "SB_SPRAM256KA": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2600.1-2661.10" }, "ports": { "ADDRESS": { "direction": "input", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ] }, "DATAIN": { "direction": "input", "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "MASKWREN": { "direction": "input", "bits": [ 32, 33, 34, 35 ] }, "WREN": { "direction": "input", "bits": [ 36 ] }, "CHIPSELECT": { "direction": "input", "bits": [ 37 ] }, "CLOCK": { "direction": "input", "bits": [ 38 ] }, "STANDBY": { "direction": "input", "bits": [ 39 ] }, "SLEEP": { "direction": "input", "bits": [ 40 ] }, "POWEROFF": { "direction": "input", "bits": [ 41 ] }, "DATAOUT": { "direction": "output", "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ] } }, "cells": { }, "netnames": { "ADDRESS": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2601.15-2601.22" } }, "CHIPSELECT": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.14-2604.24" } }, "CLOCK": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.26-2604.31" } }, "DATAIN": { "hide_name": 0, "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2602.15-2602.21" } }, "DATAOUT": { "hide_name": 0, "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2605.20-2605.27" } }, "MASKWREN": { "hide_name": 0, "bits": [ 32, 33, 34, 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2603.14-2603.22" } }, "POWEROFF": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.49-2604.57" } }, "SLEEP": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.42-2604.47" } }, "STANDBY": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.33-2604.40" } }, "WREN": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.8-2604.12" } } } }, "SB_WARMBOOT": { "attributes": { "keep": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2593.1-2598.10" }, "ports": { "BOOT": { "direction": "input", "bits": [ 2 ] }, "S1": { "direction": "input", "bits": [ 3 ] }, "S0": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "BOOT": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2594.8-2594.12" } }, "S0": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2596.8-2596.10" } }, "S1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2595.8-2595.10" } } } }, "top": { "attributes": { "hdlname": "\\top", "top": "00000000000000000000000000000001", "src": "top.v:9.1-549.10" }, "ports": { "i_glob_clock": { "direction": "input", "bits": [ 2 ] }, "i_rst_b": { "direction": "input", "bits": [ 3 ] }, "o_rx_h_tx_l": { "direction": "output", "bits": [ 4 ] }, "o_rx_h_tx_l_b": { "direction": "output", "bits": [ 5 ] }, "o_tr_vc1": { "direction": "output", "bits": [ 6 ] }, "o_tr_vc1_b": { "direction": "output", "bits": [ 7 ] }, "o_tr_vc2": { "direction": "output", "bits": [ 8 ] }, "o_shdn_rx_lna": { "direction": "output", "bits": [ 9 ] }, "o_shdn_tx_lna": { "direction": "output", "bits": [ 10 ] }, "o_iq_tx_p": { "direction": "output", "bits": [ 11 ] }, "o_iq_tx_n": { "direction": "output", "bits": [ 12 ] }, "o_iq_tx_clk_p": { "direction": "output", "bits": [ 13 ] }, "o_iq_tx_clk_n": { "direction": "output", "bits": [ 14 ] }, "i_iq_rx_09_p": { "direction": "input", "bits": [ 15 ] }, "i_iq_rx_24_n": { "direction": "input", "bits": [ 16 ] }, "i_iq_rx_clk_p": { "direction": "input", "bits": [ 17 ] }, "o_mixer_fm": { "direction": "output", "bits": [ "x" ] }, "o_mixer_en": { "direction": "output", "bits": [ "x" ] }, "i_config": { "direction": "input", "bits": [ 18, 19, 20, 21 ] }, "i_button": { "direction": "input", "bits": [ 22 ] }, "io_pmod": { "direction": "input", "bits": [ 23, 24, 25, 26, 27, 28, 29, 30 ] }, "o_led0": { "direction": "output", "bits": [ 31 ] }, "o_led1": { "direction": "output", "bits": [ 32 ] }, "i_smi_a2": { "direction": "input", "bits": [ 31 ] }, "i_smi_a3": { "direction": "input", "bits": [ 32 ] }, "i_smi_soe_se": { "direction": "input", "bits": [ 33 ] }, "i_smi_swe_srw": { "direction": "input", "bits": [ 34 ] }, "io_smi_data": { "direction": "inout", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42 ] }, "o_smi_write_req": { "direction": "output", "bits": [ "z" ] }, "o_smi_read_req": { "direction": "output", "bits": [ 43 ] }, "i_mosi": { "direction": "input", "bits": [ 44 ] }, "i_sck": { "direction": "input", "bits": [ 45 ] }, "i_ss": { "direction": "input", "bits": [ 46 ] }, "o_miso": { "direction": "output", "bits": [ 47 ] } }, "cells": { "i_button_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000011101110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 48 ], "I1": [ 22 ], "I2": [ 49 ], "I3": [ 50 ], "O": [ 51 ] } }, "i_button_SB_LUT4_I1_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 52 ], "I3": [ 53 ], "O": [ 48 ] } }, "i_button_SB_LUT4_I1_I0_SB_LUT4_O_I3_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 53 ], "I3": [ 52 ], "O": [ 54 ] } }, "i_button_SB_LUT4_I1_I0_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 55 ], "I1": [ 56 ], "I2": [ 57 ], "I3": [ 58 ], "O": [ 53 ] } }, "i_rst_b_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 3 ], "O": [ 59 ] } }, "i_ss_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 46 ], "O": [ 60 ] } }, "io_ctrl_ins.debug_mode_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 62 ], "E": [ 63 ], "Q": [ 64 ], "R": [ 59 ] } }, "io_ctrl_ins.debug_mode_SB_DFFER_Q_1": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 65 ], "E": [ 63 ], "Q": [ 66 ], "R": [ 59 ] } }, "io_ctrl_ins.debug_mode_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1011111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 66 ], "I1": [ 67 ], "I2": [ 68 ], "I3": [ 3 ], "O": [ 69 ] } }, "io_ctrl_ins.debug_mode_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 66 ], "I3": [ 64 ], "O": [ 70 ] } }, "io_ctrl_ins.debug_mode_SB_LUT4_I2_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 64 ], "I3": [ 69 ], "O": [ 71 ] } }, "io_ctrl_ins.i_cs_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 72 ], "E": [ 73 ], "Q": [ 74 ], "R": [ 75 ] } }, "io_ctrl_ins.led0_state_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 65 ], "E": [ 76 ], "Q": [ 77 ], "R": [ 59 ] } }, "io_ctrl_ins.led0_state_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011010111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 77 ], "I1": [ 78 ], "I2": [ 52 ], "I3": [ 53 ], "O": [ 79 ] } }, "io_ctrl_ins.led1_state_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 62 ], "E": [ 76 ], "Q": [ 80 ], "R": [ 59 ] } }, "io_ctrl_ins.led1_state_SB_DFFER_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 81 ], "I1": [ 74 ], "I2": [ 82 ], "I3": [ 48 ], "O": [ 76 ] } }, "io_ctrl_ins.led1_state_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011010111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 80 ], "I1": [ 83 ], "I2": [ 52 ], "I3": [ 53 ], "O": [ 84 ] } }, "io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011010111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 64 ], "I1": [ 9 ], "I2": [ 55 ], "I3": [ 85 ], "O": [ 86 ] } }, "io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 87 ], "E": [ 71 ], "Q": [ 9 ] } }, "io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 88 ], "I2": [ 67 ], "I3": [ 70 ], "O": [ 87 ] } }, "io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 89 ], "E": [ 71 ], "Q": [ 10 ] } }, "io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1011101111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 67 ], "I1": [ 68 ], "I2": [ 90 ], "I3": [ 70 ], "O": [ 89 ] } }, "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000011101110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 10 ], "I1": [ 91 ], "I2": [ 49 ], "I3": [ 92 ], "O": [ 93 ] } }, "io_ctrl_ins.mixer_en_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 94 ], "E": [ 71 ], "Q": [ 95 ] } }, "io_ctrl_ins.mixer_en_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110010101010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 96 ], "I1": [ 68 ], "I2": [ 67 ], "I3": [ 70 ], "O": [ 94 ] } }, "io_ctrl_ins.mixer_en_state_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011010111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 66 ], "I1": [ 95 ], "I2": [ 55 ], "I3": [ 85 ], "O": [ 97 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 98 ], "E": [ 99 ], "Q": [ 100 ], "R": [ 101 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 102 ], "E": [ 99 ], "Q": [ 103 ], "R": [ 101 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000111111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 104 ], "I1": [ 49 ], "I2": [ 105 ], "I3": [ 106 ], "O": [ 102 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 107 ], "E": [ 99 ], "Q": [ 108 ], "R": [ 101 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000111111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 109 ], "I1": [ 49 ], "I2": [ 110 ], "I3": [ 111 ], "O": [ 107 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 112 ], "E": [ 113 ], "Q": [ 114 ], "R": [ 115 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111100011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 116 ], "I1": [ 54 ], "I2": [ 117 ], "I3": [ 93 ], "O": [ 112 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 118 ], "I3": [ 119 ], "O": [ 117 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 120 ], "I3": [ 121 ], "O": [ 113 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1011000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 55 ], "I1": [ 52 ], "I2": [ 58 ], "I3": [ 122 ], "O": [ 120 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111001100111011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 52 ], "I1": [ 122 ], "I2": [ 55 ], "I3": [ 58 ], "O": [ 123 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 124 ], "I2": [ 125 ], "I3": [ 121 ], "O": [ 99 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3 ], "I2": [ 74 ], "I3": [ 81 ], "O": [ 121 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 58 ], "I2": [ 55 ], "I3": [ 122 ], "O": [ 124 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 58 ], "I2": [ 126 ], "I3": [ 53 ], "O": [ 125 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 52 ], "I2": [ 58 ], "I3": [ 126 ], "O": [ 127 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_I3_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 58 ], "I2": [ 52 ], "I3": [ 126 ], "O": [ 49 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 56 ], "I2": [ 57 ], "I3": [ 55 ], "O": [ 126 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 56 ], "I3": [ 57 ], "O": [ 122 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_R_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 49 ], "I2": [ 54 ], "I3": [ 85 ], "O": [ 115 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 128 ], "E": [ 129 ], "Q": [ 130 ], "R": [ 125 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_4_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 131 ], "I3": [ 132 ], "O": [ 128 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 133 ], "E": [ 129 ], "Q": [ 134 ], "R": [ 125 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 135 ], "I3": [ 136 ], "O": [ 133 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 137 ], "E": [ 129 ], "Q": [ 138 ], "R": [ 125 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 139 ], "I3": [ 51 ], "O": [ 137 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 123 ], "I3": [ 121 ], "O": [ 129 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000111111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 140 ], "I1": [ 49 ], "I2": [ 84 ], "I3": [ 86 ], "O": [ 98 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 141 ], "E": [ 142 ], "Q": [ 143 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 144 ], "E": [ 142 ], "Q": [ 145 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 146 ], "E": [ 142 ], "Q": [ 147 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 148 ], "E": [ 142 ], "Q": [ 149 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 150 ], "E": [ 142 ], "Q": [ 151 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 152 ], "E": [ 142 ], "Q": [ 116 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 62 ], "E": [ 142 ], "Q": [ 83 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_7": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 65 ], "E": [ 142 ], "Q": [ 78 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 54 ], "I3": [ 153 ], "O": [ 142 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 141 ], "E": [ 154 ], "Q": [ 50 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 144 ], "E": [ 154 ], "Q": [ 155 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 146 ], "E": [ 154 ], "Q": [ 156 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 148 ], "E": [ 154 ], "Q": [ 109 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 150 ], "E": [ 154 ], "Q": [ 104 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 152 ], "E": [ 154 ], "Q": [ 92 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 62 ], "E": [ 154 ], "Q": [ 140 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_7": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 65 ], "E": [ 154 ], "Q": [ 157 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 49 ], "I3": [ 153 ], "O": [ 154 ] } }, "io_ctrl_ins.rf_mode_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 148 ], "E": [ 63 ], "Q": [ 68 ], "R": [ 59 ] } }, "io_ctrl_ins.rf_mode_SB_DFFER_Q_1": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 150 ], "E": [ 63 ], "Q": [ 67 ], "R": [ 59 ] } }, "io_ctrl_ins.rf_mode_SB_DFFER_Q_2": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 152 ], "E": [ 63 ], "Q": [ 119 ], "R": [ 59 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 141 ], "E": [ 158 ], "Q": [ 159 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 144 ], "E": [ 158 ], "Q": [ 160 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 146 ], "E": [ 158 ], "Q": [ 161 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 148 ], "E": [ 158 ], "Q": [ 162 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 150 ], "E": [ 158 ], "Q": [ 163 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 152 ], "E": [ 158 ], "Q": [ 90 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 62 ], "E": [ 158 ], "Q": [ 88 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_7": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 65 ], "E": [ 158 ], "Q": [ 96 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 91 ], "I3": [ 153 ], "O": [ 158 ] } }, "io_ctrl_ins.rx_h_b_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 164 ], "E": [ 71 ], "Q": [ 5 ] } }, "io_ctrl_ins.rx_h_b_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111100110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 70 ], "I2": [ 160 ], "I3": [ 165 ], "O": [ 164 ] } }, "io_ctrl_ins.rx_h_b_state_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000011101110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 54 ], "I1": [ 145 ], "I2": [ 91 ], "I3": [ 5 ], "O": [ 136 ] } }, "io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000011101110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 48 ], "I1": [ 21 ], "I2": [ 49 ], "I3": [ 155 ], "O": [ 135 ] } }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 166 ], "E": [ 71 ], "Q": [ 4 ] } }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 159 ], "I2": [ 70 ], "I3": [ 165 ], "O": [ 166 ] } }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1010100000101010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 70 ], "I1": [ 119 ], "I2": [ 68 ], "I3": [ 67 ], "O": [ 165 ] } }, "io_ctrl_ins.rx_h_state_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000011101110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 54 ], "I1": [ 143 ], "I2": [ 91 ], "I3": [ 4 ], "O": [ 139 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 167 ], "E": [ 71 ], "Q": [ 7 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1110111011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 168 ], "I1": [ 67 ], "I2": [ 162 ], "I3": [ 70 ], "O": [ 167 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0101001111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 7 ], "I1": [ 68 ], "I2": [ 55 ], "I3": [ 85 ], "O": [ 111 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011010111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 19 ], "I1": [ 149 ], "I2": [ 52 ], "I3": [ 53 ], "O": [ 110 ] } }, "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 169 ], "E": [ 71 ], "Q": [ 6 ] } }, "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001000111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 168 ], "I1": [ 67 ], "I2": [ 161 ], "I3": [ 70 ], "O": [ 169 ] } }, "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 119 ], "I3": [ 68 ], "O": [ 168 ] } }, "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000011101110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 54 ], "I1": [ 147 ], "I2": [ 91 ], "I3": [ 6 ], "O": [ 131 ] } }, "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000011101110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 48 ], "I1": [ 20 ], "I2": [ 49 ], "I3": [ 156 ], "O": [ 132 ] } }, "io_ctrl_ins.tr_vc_2_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 170 ], "E": [ 71 ], "Q": [ 8 ] } }, "io_ctrl_ins.tr_vc_2_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110010101010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 163 ], "I1": [ 68 ], "I2": [ 67 ], "I3": [ 70 ], "O": [ 170 ] } }, "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0101001111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 8 ], "I1": [ 67 ], "I2": [ 55 ], "I3": [ 85 ], "O": [ 106 ] } }, "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_I3_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 85 ], "I3": [ 55 ], "O": [ 91 ] } }, "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 58 ], "I1": [ 56 ], "I2": [ 57 ], "I3": [ 52 ], "O": [ 85 ] } }, "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011010111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 18 ], "I1": [ 151 ], "I2": [ 52 ], "I3": [ 53 ], "O": [ 105 ] } }, "iq_rx_09": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVDS_INPUT", "NEG_TRIGGER": "0", "PIN_TYPE": "000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:256.7-261.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_IN_1": "output", "INPUT_CLK": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 171 ], "D_IN_1": [ 172 ], "INPUT_CLK": [ 13 ], "PACKAGE_PIN": [ 15 ] } }, "iq_rx_24": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVDS_INPUT", "NEG_TRIGGER": "0", "PIN_TYPE": "000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:241.7-247.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_IN_1": "output", "INPUT_CLK": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 173 ], "D_IN_1": [ 174 ], "INPUT_CLK": [ 13 ], "PACKAGE_PIN": [ 16 ] } }, "iq_rx_clk": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVDS_INPUT", "PIN_TYPE": "000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:223.7-226.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 13 ], "PACKAGE_PIN": [ 17 ] } }, "iq_tx_n": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVCMOS", "PIN_TYPE": "010000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:282.5-287.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_OUT_0": "input", "D_OUT_1": "input", "OUTPUT_CLK": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_OUT_0": [ "0" ], "D_OUT_1": [ "0" ], "OUTPUT_CLK": [ 13 ], "PACKAGE_PIN": [ 12 ] } }, "iq_tx_p": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVCMOS", "PIN_TYPE": "010000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:271.5-276.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_OUT_0": "input", "D_OUT_1": "input", "OUTPUT_CLK": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_OUT_0": [ "1" ], "D_OUT_1": [ "1" ], "OUTPUT_CLK": [ 13 ], "PACKAGE_PIN": [ 11 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 175 ], "E": [ 176 ], "Q": [ 177 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 179 ], "E": [ 176 ], "Q": [ 180 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_10": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 181 ], "E": [ 176 ], "Q": [ 182 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_11": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 183 ], "E": [ 176 ], "Q": [ 184 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_12": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 185 ], "E": [ 176 ], "Q": [ 186 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_13": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 187 ], "E": [ 176 ], "Q": [ 188 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_14": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 189 ], "E": [ 176 ], "Q": [ 190 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_15": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 191 ], "E": [ 176 ], "Q": [ 192 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_16": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 193 ], "E": [ 176 ], "Q": [ 194 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_17": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 195 ], "E": [ 176 ], "Q": [ 196 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_18": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 197 ], "E": [ 176 ], "Q": [ 198 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_19": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 199 ], "E": [ 176 ], "Q": [ 200 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 201 ], "E": [ 176 ], "Q": [ 202 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_20": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 203 ], "E": [ 176 ], "Q": [ 204 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_21": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 205 ], "E": [ 176 ], "Q": [ 206 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_22": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 207 ], "E": [ 176 ], "Q": [ 208 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_23": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 209 ], "E": [ 176 ], "Q": [ 210 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_24": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 211 ], "E": [ 176 ], "Q": [ 212 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_25": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 213 ], "E": [ 176 ], "Q": [ 214 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_26": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 215 ], "E": [ 176 ], "Q": [ 216 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_27": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 217 ], "E": [ 176 ], "Q": [ 218 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_28": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 219 ], "E": [ 176 ], "Q": [ 220 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_29": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 221 ], "E": [ 176 ], "Q": [ 222 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 223 ], "E": [ 176 ], "Q": [ 224 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 225 ], "E": [ 176 ], "Q": [ 226 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 227 ], "E": [ 176 ], "Q": [ 228 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 229 ], "E": [ 176 ], "Q": [ 230 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 231 ], "E": [ 176 ], "Q": [ 232 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 233 ], "E": [ 176 ], "Q": [ 234 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_9": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 235 ], "E": [ 176 ], "Q": [ 236 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_R_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 237 ], "O": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 171 ], "E": [ 176 ], "Q": [ 238 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 239 ], "E": [ 176 ], "Q": [ 240 ] } }, "lvds_rx_09_inst.o_fifo_push_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 241 ], "E": [ 242 ], "Q": [ 243 ], "R": [ 59 ] } }, "lvds_rx_09_inst.o_fifo_push_SB_DFFER_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 244 ], "O": [ 242 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 245 ], "O": [ 246 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 247 ], "O": [ 248 ] } }, "lvds_rx_09_inst.r_state_if_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 249 ], "E": [ 250 ], "Q": [ 244 ], "R": [ 59 ] } }, "lvds_rx_09_inst.r_state_if_SB_DFFER_Q_1": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 251 ], "E": [ 250 ], "Q": [ 237 ], "R": [ 59 ] } }, "lvds_rx_09_inst.r_state_if_SB_DFFER_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 244 ], "I2": [ 237 ], "I3": [ 252 ], "O": [ 249 ] } }, "lvds_rx_09_inst.r_state_if_SB_DFFER_Q_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 244 ], "I2": [ 252 ], "I3": [ 237 ], "O": [ 251 ] } }, "lvds_rx_09_inst.r_state_if_SB_DFFER_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 237 ], "I2": [ 253 ], "I3": [ 254 ], "O": [ 250 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 218 ], "O": [ 221 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 216 ], "O": [ 219 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_10": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 196 ], "O": [ 199 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_11": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 194 ], "O": [ 197 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_12": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 192 ], "O": [ 195 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_13": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 190 ], "O": [ 193 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_14": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 188 ], "O": [ 191 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_15": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 186 ], "O": [ 189 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_16": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 184 ], "O": [ 187 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_17": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 182 ], "O": [ 185 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_18": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 236 ], "O": [ 183 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_19": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 234 ], "O": [ 181 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 214 ], "O": [ 217 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_20": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 232 ], "O": [ 235 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_21": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 230 ], "O": [ 233 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_22": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 228 ], "O": [ 231 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_23": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 226 ], "O": [ 229 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_24": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 224 ], "O": [ 227 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_25": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 202 ], "O": [ 225 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_26": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 180 ], "O": [ 223 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_27": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 177 ], "O": [ 201 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_28": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 238 ], "O": [ 179 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_29": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 240 ], "O": [ 175 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 212 ], "O": [ 215 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_4": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 210 ], "O": [ 213 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_5": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 208 ], "O": [ 211 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_6": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 206 ], "O": [ 209 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_7": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 204 ], "O": [ 207 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_8": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 200 ], "O": [ 205 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_9": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 237 ], "I3": [ 198 ], "O": [ 203 ] } }, "lvds_rx_24_inst.i_ddr_data_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 173 ], "O": [ 255 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 256 ], "E": [ 257 ], "Q": [ 258 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 260 ], "E": [ 257 ], "Q": [ 261 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_10": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 262 ], "E": [ 257 ], "Q": [ 263 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_11": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 264 ], "E": [ 257 ], "Q": [ 265 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_12": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 266 ], "E": [ 257 ], "Q": [ 267 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_13": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 268 ], "E": [ 257 ], "Q": [ 269 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_14": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 270 ], "E": [ 257 ], "Q": [ 271 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_15": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 272 ], "E": [ 257 ], "Q": [ 273 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_16": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 274 ], "E": [ 257 ], "Q": [ 275 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_17": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 276 ], "E": [ 257 ], "Q": [ 277 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_18": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 278 ], "E": [ 257 ], "Q": [ 279 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_19": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 280 ], "E": [ 257 ], "Q": [ 281 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 282 ], "E": [ 257 ], "Q": [ 283 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_20": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 284 ], "E": [ 257 ], "Q": [ 285 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_21": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 286 ], "E": [ 257 ], "Q": [ 287 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_22": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 288 ], "E": [ 257 ], "Q": [ 289 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_23": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 290 ], "E": [ 257 ], "Q": [ 291 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_24": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 292 ], "E": [ 257 ], "Q": [ 293 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_25": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 294 ], "E": [ 257 ], "Q": [ 295 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_26": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 296 ], "E": [ 257 ], "Q": [ 297 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_27": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 298 ], "E": [ 257 ], "Q": [ 299 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_28": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 300 ], "E": [ 257 ], "Q": [ 301 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_29": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 302 ], "E": [ 257 ], "Q": [ 303 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 304 ], "E": [ 257 ], "Q": [ 305 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 306 ], "E": [ 257 ], "Q": [ 307 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 308 ], "E": [ 257 ], "Q": [ 309 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 310 ], "E": [ 257 ], "Q": [ 311 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 312 ], "E": [ 257 ], "Q": [ 313 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 314 ], "E": [ 257 ], "Q": [ 315 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_9": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 316 ], "E": [ 257 ], "Q": [ 317 ], "R": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_R_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 318 ], "O": [ 259 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 255 ], "E": [ 257 ], "Q": [ 319 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 320 ], "E": [ 257 ], "Q": [ 321 ] } }, "lvds_rx_24_inst.o_fifo_push_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 322 ], "E": [ 323 ], "Q": [ 324 ], "R": [ 59 ] } }, "lvds_rx_24_inst.o_fifo_push_SB_DFFER_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 325 ], "O": [ 323 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 326 ], "O": [ 327 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 328 ], "O": [ 329 ] } }, "lvds_rx_24_inst.r_state_if_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 330 ], "E": [ 331 ], "Q": [ 325 ], "R": [ 59 ] } }, "lvds_rx_24_inst.r_state_if_SB_DFFER_Q_1": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 332 ], "E": [ 331 ], "Q": [ 318 ], "R": [ 59 ] } }, "lvds_rx_24_inst.r_state_if_SB_DFFER_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 325 ], "I2": [ 318 ], "I3": [ 333 ], "O": [ 330 ] } }, "lvds_rx_24_inst.r_state_if_SB_DFFER_Q_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 325 ], "I2": [ 333 ], "I3": [ 318 ], "O": [ 332 ] } }, "lvds_rx_24_inst.r_state_if_SB_DFFER_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 318 ], "I2": [ 334 ], "I3": [ 335 ], "O": [ 331 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 299 ], "O": [ 302 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 297 ], "O": [ 300 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_10": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 277 ], "O": [ 280 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_11": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 275 ], "O": [ 278 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_12": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 273 ], "O": [ 276 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_13": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 271 ], "O": [ 274 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_14": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 269 ], "O": [ 272 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_15": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 267 ], "O": [ 270 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_16": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 265 ], "O": [ 268 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_17": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 263 ], "O": [ 266 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_18": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 317 ], "O": [ 264 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_19": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 315 ], "O": [ 262 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 295 ], "O": [ 298 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_20": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 313 ], "O": [ 316 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_21": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 311 ], "O": [ 314 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_22": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 309 ], "O": [ 312 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_23": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 307 ], "O": [ 310 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_24": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 305 ], "O": [ 308 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_25": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 283 ], "O": [ 306 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_26": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 261 ], "O": [ 304 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_27": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 258 ], "O": [ 282 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_28": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 319 ], "O": [ 260 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_29": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 321 ], "O": [ 256 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 293 ], "O": [ 296 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_4": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 291 ], "O": [ 294 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_5": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 289 ], "O": [ 292 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_6": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 287 ], "O": [ 290 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_7": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 285 ], "O": [ 288 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_8": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 281 ], "O": [ 286 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_9": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 318 ], "I3": [ 279 ], "O": [ 284 ] } }, "lvds_tx_inst.r_pulled_SB_DFFNESR_Q": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:375.11-389.4|lvds_tx.v:56.3-123.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 336 ], "E": [ 337 ], "Q": [ 338 ], "R": [ 59 ] } }, "lvds_tx_inst.r_pulled_SB_DFFNESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 339 ], "O": [ 336 ] } }, "lvds_tx_inst.r_pulled_SB_DFFNESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 3 ], "O": [ 337 ] } }, "lvds_tx_inst.r_pulled_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 338 ], "I3": [ 3 ], "O": [ 340 ] } }, "lvds_tx_inst.r_pulled_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 341 ], "I2": [ 342 ], "I3": [ 338 ], "O": [ 343 ] } }, "lvds_tx_inst.r_pulled_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 344 ], "I2": [ 345 ], "I3": [ 346 ], "O": [ 347 ] } }, "lvds_tx_inst.r_pulled_SB_LUT4_I3_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 342 ], "I3": [ 348 ], "O": [ 349 ] } }, "o_iq_tx_clk_n_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 13 ], "O": [ 14 ] } }, "o_miso_$_TBUF__Y": { "hide_name": 0, "type": "$_TBUF_", "parameters": { }, "attributes": { "src": "top.v:129.19-129.43" }, "port_directions": { "A": "input", "E": "input", "Y": "output" }, "connections": { "A": [ 350 ], "E": [ 60 ], "Y": [ 47 ] } }, "o_smi_read_req_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011001100001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 351 ], "I2": [ 352 ], "I3": [ 31 ], "O": [ 43 ] } }, "r_counter_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:192.3-206.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 353 ], "Q": [ 61 ], "R": [ 59 ] } }, "r_counter_SB_DFFSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 61 ], "O": [ 353 ] } }, "r_tx_data_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:192.3-206.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 354 ], "E": [ 355 ], "Q": [ 356 ], "R": [ 357 ] } }, "r_tx_data_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:192.3-206.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 358 ], "E": [ 355 ], "Q": [ 359 ], "R": [ 357 ] } }, "r_tx_data_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:192.3-206.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 360 ], "E": [ 355 ], "Q": [ 361 ], "R": [ 357 ] } }, "r_tx_data_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:192.3-206.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 362 ], "E": [ 355 ], "Q": [ 363 ], "R": [ 357 ] } }, "r_tx_data_SB_DFFESR_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 364 ], "I2": [ 130 ], "I3": [ 365 ], "O": [ 358 ] } }, "r_tx_data_SB_DFFESR_Q_3_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 364 ], "I2": [ 138 ], "I3": [ 365 ], "O": [ 362 ] } }, "r_tx_data_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 364 ], "I3": [ 134 ], "O": [ 360 ] } }, "r_tx_data_SB_DFFESR_Q_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 364 ], "I3": [ 108 ], "O": [ 354 ] } }, "r_tx_data_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:192.3-206.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 366 ], "E": [ 355 ], "Q": [ 367 ] } }, "r_tx_data_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:192.3-206.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 368 ], "E": [ 355 ], "Q": [ 369 ] } }, "r_tx_data_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:192.3-206.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 370 ], "E": [ 355 ], "Q": [ 371 ] } }, "r_tx_data_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:192.3-206.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 372 ], "E": [ 355 ], "Q": [ 373 ] } }, "rx_fifo.empty_o_SB_DFFSS_Q": { "hide_name": 0, "type": "SB_DFFSS", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:84.2-92.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:20.59-20.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 61 ], "D": [ 374 ], "Q": [ 351 ], "S": [ 59 ] } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111100010001000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 375 ], "I1": [ 376 ], "I2": [ 377 ], "I3": [ 378 ], "O": [ 374 ] } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 379 ], "I1": [ 380 ], "I2": [ 381 ], "I3": [ 382 ], "O": [ 378 ] } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 383 ], "I1": [ 384 ], "I2": [ 385 ], "I3": [ 386 ], "O": [ 376 ] } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 387 ], "I1": [ 388 ], "I2": [ 389 ], "I3": [ 390 ], "O": [ 383 ] } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 391 ], "I1": [ 392 ], "I2": [ 393 ], "I3": [ 394 ], "O": [ 386 ] } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0_SB_LUT4_O_1_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100001010000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 395 ], "I1": [ 396 ], "I2": [ 397 ], "I3": [ 398 ], "O": [ 394 ] } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1001000000001001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 399 ], "I1": [ 400 ], "I2": [ 401 ], "I3": [ 402 ], "O": [ 375 ] } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 402 ], "I1": [ 403 ], "I2": [ 404 ], "I3": [ 405 ], "O": [ 380 ] } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1110000000001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 387 ], "I1": [ 406 ], "I2": [ 407 ], "I3": [ 408 ], "O": [ 381 ] } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0111000000001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 408 ], "I1": [ 387 ], "I2": [ 409 ], "I3": [ 406 ], "O": [ 382 ] } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 400 ], "I3": [ 410 ], "O": [ 408 ] } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 411 ], "I3": [ 412 ], "O": [ 409 ] } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 413 ], "I2": [ 412 ], "I3": [ 403 ], "O": [ 379 ] } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100001010000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 395 ], "I1": [ 414 ], "I2": [ 396 ], "I3": [ 404 ], "O": [ 405 ] } }, "rx_fifo.empty_o_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 415 ], "I2": [ 416 ], "I3": [ 351 ], "O": [ 377 ] } }, "rx_fifo.empty_o_SB_LUT4_I3_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100001010000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 417 ], "I1": [ 418 ], "I2": [ 419 ], "I3": [ 420 ], "O": [ 416 ] } }, "rx_fifo.empty_o_SB_LUT4_I3_I1_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 391 ], "I2": [ 420 ], "I3": [ 410 ], "O": [ 415 ] } }, "rx_fifo.empty_o_SB_LUT4_I3_I1_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 421 ], "I3": [ 422 ], "O": [ 419 ] } }, "rx_fifo.full_o_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:57.2-65.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 423 ], "Q": [ 424 ], "R": [ 59 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111100010001000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 425 ], "I1": [ 426 ], "I2": [ 427 ], "I3": [ 428 ], "O": [ 423 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 429 ], "I2": [ 430 ], "I3": [ 431 ], "O": [ 428 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 432 ], "I2": [ 433 ], "I3": [ 434 ], "O": [ 427 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001100010000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 435 ], "I1": [ 436 ], "I2": [ 437 ], "I3": [ 438 ], "O": [ 432 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001010001000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 439 ], "I1": [ 440 ], "I2": [ 438 ], "I3": [ 441 ], "O": [ 434 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_1_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 442 ], "I2": [ 443 ], "I3": [ 444 ], "O": [ 439 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 445 ], "I3": [ 446 ], "O": [ 435 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1001000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 447 ], "I1": [ 448 ], "I2": [ 449 ], "I3": [ 450 ], "O": [ 425 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001100010000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 451 ], "I1": [ 452 ], "I2": [ 453 ], "I3": [ 443 ], "O": [ 429 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100000100101000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 454 ], "I1": [ 455 ], "I2": [ 446 ], "I3": [ 456 ], "O": [ 431 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_1_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 457 ], "I3": [ 448 ], "O": [ 454 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100001010000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 458 ], "I1": [ 459 ], "I2": [ 460 ], "I3": [ 444 ], "O": [ 430 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 461 ], "I3": [ 441 ], "O": [ 451 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 462 ], "I3": [ 463 ], "O": [ 444 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 464 ], "I3": [ 465 ], "O": [ 443 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 466 ], "I3": [ 467 ], "O": [ 452 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 468 ], "I3": [ 469 ], "O": [ 441 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_4": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 470 ], "I3": [ 471 ], "O": [ 438 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_5": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 472 ], "I3": [ 473 ], "O": [ 436 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_6": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 474 ], "I3": [ 456 ], "O": [ 446 ] } }, "rx_fifo.full_o_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 424 ], "I1": [ 318 ], "I2": [ 325 ], "I3": [ 334 ], "O": [ 322 ] } }, "rx_fifo.full_o_SB_LUT4_I0_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 424 ], "I1": [ 237 ], "I2": [ 244 ], "I3": [ 253 ], "O": [ 241 ] } }, "rx_fifo.full_o_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 475 ], "I2": [ 459 ], "I3": [ 424 ], "O": [ 476 ] } }, "rx_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100000100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 433 ], "I1": [ 477 ], "I2": [ 458 ], "I3": [ 476 ], "O": [ 450 ] } }, "rx_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 475 ], "I3": [ 478 ], "O": [ 477 ] } }, "rx_fifo.mem_i.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 420, 410, 407, 406, 412, 403, 404, 414, 418, 421, "0" ], "RCLK": [ 61 ], "RCLKE": [ 390 ], "RDATA": [ 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494 ], "RE": [ "1" ], "WADDR": [ 474, 472, 470, 468, 466, 464, 462, 495, 456, 457, "0" ], "WCLK": [ 13 ], "WCLKE": [ 433 ], "WDATA": [ "x", 496, "x", "x", "x", 497, "x", "x", "x", 498, "x", "x", "x", 499, "x", "x" ], "WE": [ "1" ] } }, "rx_fifo.mem_i.0.1": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 420, 410, 407, 406, 412, 403, 404, 414, 418, 421, "0" ], "RCLK": [ 61 ], "RCLKE": [ 390 ], "RDATA": [ 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515 ], "RE": [ "1" ], "WADDR": [ 474, 472, 470, 468, 466, 464, 462, 495, 456, 457, "0" ], "WCLK": [ 13 ], "WCLKE": [ 433 ], "WDATA": [ "x", 516, "x", "x", "x", 517, "x", "x", "x", 518, "x", "x", "x", 519, "x", "x" ], "WE": [ "1" ] } }, "rx_fifo.mem_i.0.2": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 420, 410, 407, 406, 412, 403, 404, 414, 418, 421, "0" ], "RCLK": [ 61 ], "RCLKE": [ 390 ], "RDATA": [ 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535 ], "RE": [ "1" ], "WADDR": [ 474, 472, 470, 468, 466, 464, 462, 495, 456, 457, "0" ], "WCLK": [ 13 ], "WCLKE": [ 433 ], "WDATA": [ "x", 536, "x", "x", "x", 537, "x", "x", "x", 538, "x", "x", "x", 539, "x", "x" ], "WE": [ "1" ] } }, "rx_fifo.mem_i.0.3": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 420, 410, 407, 406, 412, 403, 404, 414, 418, 421, "0" ], "RCLK": [ 61 ], "RCLKE": [ 390 ], "RDATA": [ 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555 ], "RE": [ "1" ], "WADDR": [ 474, 472, 470, 468, 466, 464, 462, 495, 456, 457, "0" ], "WCLK": [ 13 ], "WCLKE": [ 433 ], "WDATA": [ "x", 556, "x", "x", "x", 557, "x", "x", "x", 558, "x", "x", "x", 559, "x", "x" ], "WE": [ "1" ] } }, "rx_fifo.mem_q.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 420, 410, 407, 406, 412, 403, 404, 414, 418, 421, "0" ], "RCLK": [ 61 ], "RCLKE": [ 390 ], "RDATA": [ 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575 ], "RE": [ "1" ], "WADDR": [ 474, 472, 470, 468, 466, 464, 462, 495, 456, 457, "0" ], "WCLK": [ 13 ], "WCLKE": [ 433 ], "WDATA": [ "x", 576, "x", "x", "x", 577, "x", "x", "x", 578, "x", "x", "x", 579, "x", "x" ], "WE": [ "1" ] } }, "rx_fifo.mem_q.0.1": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 420, 410, 407, 406, 412, 403, 404, 414, 418, 421, "0" ], "RCLK": [ 61 ], "RCLKE": [ 390 ], "RDATA": [ 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595 ], "RE": [ "1" ], "WADDR": [ 474, 472, 470, 468, 466, 464, 462, 495, 456, 457, "0" ], "WCLK": [ 13 ], "WCLKE": [ 433 ], "WDATA": [ "x", 596, "x", "x", "x", 597, "x", "x", "x", 598, "x", "x", "x", 599, "x", "x" ], "WE": [ "1" ] } }, "rx_fifo.mem_q.0.2": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 420, 410, 407, 406, 412, 403, 404, 414, 418, 421, "0" ], "RCLK": [ 61 ], "RCLKE": [ 390 ], "RDATA": [ 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615 ], "RE": [ "1" ], "WADDR": [ 474, 472, 470, 468, 466, 464, 462, 495, 456, 457, "0" ], "WCLK": [ 13 ], "WCLKE": [ 433 ], "WDATA": [ "x", 616, "x", "x", "x", 617, "x", "x", "x", 618, "x", "x", "x", 619, "x", "x" ], "WE": [ "1" ] } }, "rx_fifo.mem_q.0.3": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 420, 410, 407, 406, 412, 403, 404, 414, 418, 421, "0" ], "RCLK": [ 61 ], "RCLKE": [ 390 ], "RDATA": [ 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635 ], "RE": [ "1" ], "WADDR": [ 474, 472, 470, 468, 466, 464, 462, 495, 456, 457, "0" ], "WCLK": [ 13 ], "WCLKE": [ 433 ], "WDATA": [ "x", 636, "x", "x", "x", 637, "x", "x", "x", 638, "x", "x", "x", 639, "x", "x" ], "WE": [ "1" ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 398 ], "E": [ 640 ], "Q": [ 404 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 641 ], "E": [ 640 ], "Q": [ 403 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 642 ], "E": [ 640 ], "Q": [ 412 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 389 ], "E": [ 640 ], "Q": [ 406 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 388 ], "E": [ 640 ], "Q": [ 407 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 393 ], "E": [ 640 ], "Q": [ 410 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 392 ], "E": [ 640 ], "Q": [ 420 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 643 ], "E": [ 640 ], "Q": [ 418 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 644 ], "E": [ 640 ], "Q": [ 421 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 397 ], "E": [ 640 ], "Q": [ 414 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 645 ], "E": [ 640 ], "Q": [ 646 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 397 ], "I3": [ 398 ], "O": [ 645 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 401 ], "E": [ 640 ], "Q": [ 647 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 648 ], "E": [ 640 ], "Q": [ 649 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 650 ], "E": [ 640 ], "Q": [ 651 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1001000000001001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 411 ], "I1": [ 650 ], "I2": [ 648 ], "I3": [ 413 ], "O": [ 384 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 389 ], "I3": [ 642 ], "O": [ 650 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 642 ], "I3": [ 641 ], "O": [ 648 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 652 ], "E": [ 640 ], "Q": [ 653 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_5_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 388 ], "I3": [ 389 ], "O": [ 652 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 399 ], "E": [ 640 ], "Q": [ 654 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 641 ], "I3": [ 398 ], "O": [ 401 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 393 ], "I3": [ 388 ], "O": [ 399 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 655 ], "E": [ 640 ], "Q": [ 656 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 392 ], "I3": [ 393 ], "O": [ 655 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 657 ], "E": [ 640 ], "Q": [ 658 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_9": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 659 ], "E": [ 640 ], "Q": [ 660 ], "R": [ 59 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_9_D_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1001000000001001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 657 ], "I1": [ 417 ], "I2": [ 422 ], "I3": [ 659 ], "O": [ 385 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_9_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 421 ], "I3": [ 643 ], "O": [ 659 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_9_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 643 ], "I3": [ 392 ], "O": [ 657 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 414 ], "I3": [ 661 ], "O": [ 397 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 662 ], "CO": [ 661 ], "I0": [ "0" ], "I1": [ 404 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_1": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 663 ], "CO": [ 662 ], "I0": [ "0" ], "I1": [ 403 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_2": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 664 ], "CO": [ 663 ], "I0": [ "0" ], "I1": [ 412 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_3": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 665 ], "CO": [ 664 ], "I0": [ "0" ], "I1": [ 406 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_4": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 666 ], "CO": [ 665 ], "I0": [ "0" ], "I1": [ 407 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_5": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 667 ], "CO": [ 666 ], "I0": [ "0" ], "I1": [ 410 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_6": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 668 ], "CO": [ 667 ], "I0": [ "0" ], "I1": [ 420 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_7": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 421 ], "CO": [ 668 ], "I0": [ "0" ], "I1": [ 418 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 404 ], "I3": [ 662 ], "O": [ 398 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 403 ], "I3": [ 663 ], "O": [ 641 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 412 ], "I3": [ 664 ], "O": [ 642 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 406 ], "I3": [ 665 ], "O": [ 389 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_4": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 407 ], "I3": [ 666 ], "O": [ 388 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_5": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 410 ], "I3": [ 667 ], "O": [ 393 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_6": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 420 ], "I3": [ 668 ], "O": [ 392 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_7": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 418 ], "I3": [ 421 ], "O": [ 643 ] } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_8": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 421 ], "O": [ 644 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 414 ], "Q": [ 669 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_1": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 646 ], "Q": [ 670 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_2": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 647 ], "Q": [ 671 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_3": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 649 ], "Q": [ 672 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_4": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 651 ], "Q": [ 673 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_5": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 653 ], "Q": [ 674 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_6": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 654 ], "Q": [ 675 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_7": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 656 ], "Q": [ 676 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_8": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 658 ], "Q": [ 677 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_9": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 660 ], "Q": [ 678 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 669 ], "Q": [ 459 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_1": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 670 ], "Q": [ 458 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_2": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 671 ], "Q": [ 442 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_3": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 672 ], "Q": [ 453 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_4": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 673 ], "Q": [ 461 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_5": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 674 ], "Q": [ 440 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_6": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 675 ], "Q": [ 437 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_7": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 676 ], "Q": [ 445 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_8": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 677 ], "Q": [ 455 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_9": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 678 ], "Q": [ 448 ] } }, "rx_fifo.rd_en_i_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 390 ], "I3": [ 3 ], "O": [ 640 ] } }, "rx_fifo.rd_en_i_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 679 ], "I2": [ 351 ], "I3": [ 680 ], "O": [ 390 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 478 ], "E": [ 681 ], "Q": [ 462 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 682 ], "E": [ 681 ], "Q": [ 464 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 683 ], "E": [ 681 ], "Q": [ 466 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 684 ], "E": [ 681 ], "Q": [ 468 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 685 ], "E": [ 681 ], "Q": [ 470 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 686 ], "E": [ 681 ], "Q": [ 472 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 687 ], "E": [ 681 ], "Q": [ 474 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 688 ], "E": [ 681 ], "Q": [ 456 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 689 ], "E": [ 681 ], "Q": [ 457 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 475 ], "E": [ 681 ], "Q": [ 495 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 477 ], "E": [ 681 ], "Q": [ 690 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 691 ], "E": [ 681 ], "Q": [ 692 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 682 ], "I3": [ 478 ], "O": [ 691 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 693 ], "E": [ 681 ], "Q": [ 694 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 453 ], "I3": [ 693 ], "O": [ 695 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_I3_O_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 696 ], "I1": [ 695 ], "I2": [ 697 ], "I3": [ 698 ], "O": [ 426 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1110000000001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 440 ], "I1": [ 685 ], "I2": [ 699 ], "I3": [ 684 ], "O": [ 696 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_I3_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001010001000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 700 ], "I1": [ 442 ], "I2": [ 682 ], "I3": [ 478 ], "O": [ 698 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_I3_O_SB_LUT4_O_1_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 688 ], "I2": [ 687 ], "I3": [ 455 ], "O": [ 700 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_I3_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001100010000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 701 ], "I1": [ 686 ], "I2": [ 437 ], "I3": [ 685 ], "O": [ 697 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 445 ], "I3": [ 687 ], "O": [ 701 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 461 ], "I3": [ 683 ], "O": [ 699 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 683 ], "I3": [ 682 ], "O": [ 693 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 702 ], "E": [ 681 ], "Q": [ 703 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 684 ], "I3": [ 683 ], "O": [ 702 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 704 ], "E": [ 681 ], "Q": [ 705 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 706 ], "E": [ 681 ], "Q": [ 707 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 686 ], "I3": [ 685 ], "O": [ 706 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 708 ], "E": [ 681 ], "Q": [ 709 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 687 ], "I3": [ 686 ], "O": [ 708 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 710 ], "E": [ 681 ], "Q": [ 711 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_8_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 688 ], "I3": [ 687 ], "O": [ 710 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_8_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 685 ], "I3": [ 684 ], "O": [ 704 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_9": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 447 ], "E": [ 681 ], "Q": [ 712 ], "R": [ 59 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_9_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 457 ], "I3": [ 688 ], "O": [ 447 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_9_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1011000010111011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 455 ], "I1": [ 710 ], "I2": [ 704 ], "I3": [ 440 ], "O": [ 449 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 495 ], "I3": [ 713 ], "O": [ 460 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 495 ], "I3": [ 714 ], "O": [ 475 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 715 ], "CO": [ 714 ], "I0": [ "0" ], "I1": [ 462 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_1": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 716 ], "CO": [ 715 ], "I0": [ "0" ], "I1": [ 464 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_2": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 717 ], "CO": [ 716 ], "I0": [ "0" ], "I1": [ 466 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_3": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 718 ], "CO": [ 717 ], "I0": [ "0" ], "I1": [ 468 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_4": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 719 ], "CO": [ 718 ], "I0": [ "0" ], "I1": [ 470 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_5": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 720 ], "CO": [ 719 ], "I0": [ "0" ], "I1": [ 472 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_6": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 721 ], "CO": [ 720 ], "I0": [ "0" ], "I1": [ 474 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_7": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 457 ], "CO": [ 721 ], "I0": [ "0" ], "I1": [ 456 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 462 ], "I3": [ 715 ], "O": [ 478 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 464 ], "I3": [ 716 ], "O": [ 682 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 466 ], "I3": [ 717 ], "O": [ 683 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 468 ], "I3": [ 718 ], "O": [ 684 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_4": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 470 ], "I3": [ 719 ], "O": [ 685 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_5": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 472 ], "I3": [ 720 ], "O": [ 686 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_6": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 474 ], "I3": [ 721 ], "O": [ 687 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_7": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 456 ], "I3": [ 457 ], "O": [ 688 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_8": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 457 ], "O": [ 689 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 463 ], "CO": [ 713 ], "I0": [ "0" ], "I1": [ 462 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_1": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 465 ], "CO": [ 463 ], "I0": [ "0" ], "I1": [ 464 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_2": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 467 ], "CO": [ 465 ], "I0": [ "0" ], "I1": [ 466 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_3": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 469 ], "CO": [ 467 ], "I0": [ "0" ], "I1": [ 468 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_4": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 471 ], "CO": [ 469 ], "I0": [ "0" ], "I1": [ 470 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_5": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 473 ], "CO": [ 471 ], "I0": [ "0" ], "I1": [ 472 ] } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_6": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 456 ], "CO": [ 473 ], "I0": [ "0" ], "I1": [ 474 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 495 ], "Q": [ 722 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_1": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 690 ], "Q": [ 723 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_2": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 692 ], "Q": [ 724 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_3": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 694 ], "Q": [ 725 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_4": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 703 ], "Q": [ 726 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_5": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 705 ], "Q": [ 727 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_6": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 707 ], "Q": [ 728 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_7": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 709 ], "Q": [ 729 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_8": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 711 ], "Q": [ 730 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_9": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 712 ], "Q": [ 731 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 722 ], "Q": [ 396 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_1": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 723 ], "Q": [ 395 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_2": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 724 ], "Q": [ 402 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_3": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 725 ], "Q": [ 413 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_4": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 726 ], "Q": [ 411 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_5": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 727 ], "Q": [ 387 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_6": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 728 ], "Q": [ 400 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_7": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 729 ], "Q": [ 391 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_8": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 730 ], "Q": [ 417 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_9": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 731 ], "Q": [ 422 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 222 ], "I2": [ 303 ], "I3": [ 32 ], "O": [ 559 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 220 ], "I2": [ 301 ], "I3": [ 32 ], "O": [ 557 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_10": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 200 ], "I2": [ 281 ], "I3": [ 32 ], "O": [ 518 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_11": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 198 ], "I2": [ 279 ], "I3": [ 32 ], "O": [ 516 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_12": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 196 ], "I2": [ 277 ], "I3": [ 32 ], "O": [ 499 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_13": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 194 ], "I2": [ 275 ], "I3": [ 32 ], "O": [ 497 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_14": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 192 ], "I2": [ 273 ], "I3": [ 32 ], "O": [ 498 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_15": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 190 ], "I2": [ 271 ], "I3": [ 32 ], "O": [ 496 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_16": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 188 ], "I2": [ 269 ], "I3": [ 32 ], "O": [ 639 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_17": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 186 ], "I2": [ 267 ], "I3": [ 32 ], "O": [ 637 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_18": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 184 ], "I2": [ 265 ], "I3": [ 32 ], "O": [ 638 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_19": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 182 ], "I2": [ 263 ], "I3": [ 32 ], "O": [ 636 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 218 ], "I2": [ 299 ], "I3": [ 32 ], "O": [ 558 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_20": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 236 ], "I2": [ 317 ], "I3": [ 32 ], "O": [ 619 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_21": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 234 ], "I2": [ 315 ], "I3": [ 32 ], "O": [ 617 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_22": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 232 ], "I2": [ 313 ], "I3": [ 32 ], "O": [ 618 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_23": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 230 ], "I2": [ 311 ], "I3": [ 32 ], "O": [ 616 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_24": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 228 ], "I2": [ 309 ], "I3": [ 32 ], "O": [ 599 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_25": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 226 ], "I2": [ 307 ], "I3": [ 32 ], "O": [ 597 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_26": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 224 ], "I2": [ 305 ], "I3": [ 32 ], "O": [ 598 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_27": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 202 ], "I2": [ 283 ], "I3": [ 32 ], "O": [ 596 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_28": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 180 ], "I2": [ 261 ], "I3": [ 32 ], "O": [ 579 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_29": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 177 ], "I2": [ 258 ], "I3": [ 32 ], "O": [ 577 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 216 ], "I2": [ 297 ], "I3": [ 32 ], "O": [ 556 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_30": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 238 ], "I2": [ 319 ], "I3": [ 32 ], "O": [ 578 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_31": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 240 ], "I2": [ 321 ], "I3": [ 32 ], "O": [ 576 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_4": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 214 ], "I2": [ 295 ], "I3": [ 32 ], "O": [ 539 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_5": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 212 ], "I2": [ 293 ], "I3": [ 32 ], "O": [ 537 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_6": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 210 ], "I2": [ 291 ], "I3": [ 32 ], "O": [ 538 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_7": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 208 ], "I2": [ 289 ], "I3": [ 32 ], "O": [ 536 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_8": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 206 ], "I2": [ 287 ], "I3": [ 32 ], "O": [ 519 ] } }, "rx_fifo.wr_data_i_SB_LUT4_O_9": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 204 ], "I2": [ 285 ], "I3": [ 32 ], "O": [ 517 ] } }, "rx_fifo.wr_en_i_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 433 ], "I3": [ 3 ], "O": [ 681 ] } }, "rx_fifo.wr_en_i_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 324 ], "I2": [ 243 ], "I3": [ 32 ], "O": [ 433 ] } }, "smi_ctrl_ins.i_cs_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 732 ], "E": [ 73 ], "Q": [ 733 ], "R": [ 75 ] } }, "smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q": { "hide_name": 0, "type": "SB_DFFNSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:17.59-17.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 735 ], "Q": [ 736 ], "R": [ 59 ] } }, "smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q_1": { "hide_name": 0, "type": "SB_DFFNSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:17.59-17.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 737 ], "Q": [ 738 ], "R": [ 59 ] } }, "smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 738 ], "O": [ 737 ] } }, "smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 736 ], "I3": [ 738 ], "O": [ 735 ] } }, "smi_ctrl_ins.int_cnt_rx_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 736 ], "I2": [ 738 ], "I3": [ 3 ], "O": [ 739 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:60.5-104.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 740 ], "E": [ 741 ], "Q": [ 742 ], "R": [ 118 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:60.5-104.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 352 ], "E": [ 741 ], "Q": [ 743 ], "R": [ 118 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q": { "hide_name": 0, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:60.5-104.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 61 ], "D": [ 351 ], "E": [ 741 ], "Q": [ 744 ], "S": [ 118 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 124 ], "I1": [ 3 ], "I2": [ 733 ], "I3": [ 81 ], "O": [ 741 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q_S_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 55 ], "I3": [ 85 ], "O": [ 118 ] } }, "smi_ctrl_ins.o_data_out_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000011101110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 745 ], "I1": [ 742 ], "I2": [ 364 ], "I3": [ 114 ], "O": [ 746 ] } }, "smi_ctrl_ins.o_data_out_SB_LUT4_I1_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000011101110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 745 ], "I1": [ 743 ], "I2": [ 364 ], "I3": [ 100 ], "O": [ 747 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 734 ], "D": [ 748 ], "E": [ 3 ], "Q": [ 749 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 734 ], "D": [ 750 ], "E": [ 3 ], "Q": [ 751 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 734 ], "D": [ 752 ], "E": [ 3 ], "Q": [ 753 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 734 ], "D": [ 754 ], "E": [ 3 ], "Q": [ 755 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 734 ], "D": [ 756 ], "E": [ 3 ], "Q": [ 757 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 734 ], "D": [ 758 ], "E": [ 3 ], "Q": [ 759 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 734 ], "D": [ 760 ], "E": [ 3 ], "Q": [ 761 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 734 ], "D": [ 762 ], "E": [ 3 ], "Q": [ 763 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111110000001010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 764 ], "I1": [ 765 ], "I2": [ 736 ], "I3": [ 766 ], "O": [ 748 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111110000001010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 767 ], "I1": [ 768 ], "I2": [ 736 ], "I3": [ 769 ], "O": [ 750 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_1_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100111110100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 770 ], "I1": [ 771 ], "I2": [ 736 ], "I3": [ 738 ], "O": [ 769 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111110000001010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 772 ], "I1": [ 773 ], "I2": [ 736 ], "I3": [ 774 ], "O": [ 752 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_2_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100111110100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 775 ], "I1": [ 776 ], "I2": [ 736 ], "I3": [ 738 ], "O": [ 774 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111110000001010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 777 ], "I1": [ 778 ], "I2": [ 736 ], "I3": [ 779 ], "O": [ 754 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_3_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100111110100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 780 ], "I1": [ 781 ], "I2": [ 736 ], "I3": [ 738 ], "O": [ 779 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_4": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111110000001010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 782 ], "I1": [ 783 ], "I2": [ 736 ], "I3": [ 784 ], "O": [ 756 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_4_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100111110100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 785 ], "I1": [ 786 ], "I2": [ 736 ], "I3": [ 738 ], "O": [ 784 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_5": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111110000001010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 787 ], "I1": [ 788 ], "I2": [ 736 ], "I3": [ 789 ], "O": [ 758 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_5_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100111110100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 790 ], "I1": [ 791 ], "I2": [ 736 ], "I3": [ 738 ], "O": [ 789 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_6": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111110000001010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 792 ], "I1": [ 793 ], "I2": [ 736 ], "I3": [ 794 ], "O": [ 760 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_6_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100111110100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 795 ], "I1": [ 796 ], "I2": [ 736 ], "I3": [ 738 ], "O": [ 794 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_7": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111110000001010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 797 ], "I1": [ 798 ], "I2": [ 736 ], "I3": [ 799 ], "O": [ 762 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_7_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100111110100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 800 ], "I1": [ 801 ], "I2": [ 736 ], "I3": [ 738 ], "O": [ 799 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100111110100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 802 ], "I1": [ 803 ], "I2": [ 736 ], "I3": [ 738 ], "O": [ 766 ] } }, "smi_ctrl_ins.r_channel_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:60.5-104.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 65 ], "E": [ 804 ], "Q": [ 740 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_channel_SB_DFFER_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 81 ], "I1": [ 733 ], "I2": [ 82 ], "I3": [ 48 ], "O": [ 804 ] } }, "smi_ctrl_ins.r_fifo_pull_1_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:154.5-163.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 680 ], "Q": [ 679 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pull_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:154.5-163.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 805 ], "Q": [ 680 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 553 ], "E": [ 739 ], "Q": [ 803 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_1": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 545 ], "E": [ 739 ], "Q": [ 771 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_10": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 509 ], "E": [ 739 ], "Q": [ 775 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_11": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 501 ], "E": [ 739 ], "Q": [ 780 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_12": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 492 ], "E": [ 739 ], "Q": [ 785 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_13": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 484 ], "E": [ 739 ], "Q": [ 790 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_14": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 488 ], "E": [ 739 ], "Q": [ 795 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_15": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 480 ], "E": [ 739 ], "Q": [ 800 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_16": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 633 ], "E": [ 739 ], "Q": [ 765 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_17": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 625 ], "E": [ 739 ], "Q": [ 768 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_18": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 629 ], "E": [ 739 ], "Q": [ 773 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_19": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 621 ], "E": [ 739 ], "Q": [ 778 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_2": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 549 ], "E": [ 739 ], "Q": [ 776 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_20": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 613 ], "E": [ 739 ], "Q": [ 783 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_21": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 605 ], "E": [ 739 ], "Q": [ 788 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_22": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 609 ], "E": [ 739 ], "Q": [ 793 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_23": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 601 ], "E": [ 739 ], "Q": [ 798 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_24": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 593 ], "E": [ 739 ], "Q": [ 764 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_25": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 585 ], "E": [ 739 ], "Q": [ 767 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_26": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 589 ], "E": [ 739 ], "Q": [ 772 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_27": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 581 ], "E": [ 739 ], "Q": [ 777 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_28": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 573 ], "E": [ 739 ], "Q": [ 782 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_29": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 565 ], "E": [ 739 ], "Q": [ 787 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_3": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 541 ], "E": [ 739 ], "Q": [ 781 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_30": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 569 ], "E": [ 739 ], "Q": [ 792 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_31": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 561 ], "E": [ 739 ], "Q": [ 797 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_4": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 533 ], "E": [ 739 ], "Q": [ 786 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_5": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 525 ], "E": [ 739 ], "Q": [ 791 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_6": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 529 ], "E": [ 739 ], "Q": [ 796 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_7": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 521 ], "E": [ 739 ], "Q": [ 801 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_8": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 513 ], "E": [ 739 ], "Q": [ 802 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_9": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 734 ], "D": [ 505 ], "E": [ 739 ], "Q": [ 770 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:266.5-275.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 806 ], "Q": [ 807 ], "R": [ 59 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 807 ], "I2": [ 352 ], "I3": [ 806 ], "O": [ 808 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 808 ], "I3": [ 3 ], "O": [ 809 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 810 ], "I3": [ 808 ], "O": [ 811 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001010010000010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 812 ], "I1": [ 813 ], "I2": [ 814 ], "I3": [ 815 ], "O": [ 810 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 816 ], "I3": [ 817 ], "O": [ 818 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I2_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 819 ], "I3": [ 820 ], "O": [ 821 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I2_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 822 ], "I3": [ 823 ], "O": [ 824 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I2_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 825 ], "I3": [ 826 ], "O": [ 827 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I2_SB_LUT4_O_4": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 828 ], "I3": [ 829 ], "O": [ 830 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I2_SB_LUT4_O_5": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 831 ], "I3": [ 832 ], "O": [ 833 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I2_SB_LUT4_O_6": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 834 ], "I3": [ 812 ], "O": [ 814 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 835 ], "I3": [ 836 ], "O": [ 815 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 837 ], "I1": [ 838 ], "I2": [ 839 ], "I3": [ 840 ], "O": [ 841 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 842 ], "I1": [ 843 ], "I2": [ 844 ], "I3": [ 845 ], "O": [ 846 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1001000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 836 ], "I1": [ 847 ], "I2": [ 848 ], "I3": [ 849 ], "O": [ 850 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100001010000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 851 ], "I1": [ 852 ], "I2": [ 853 ], "I3": [ 818 ], "O": [ 840 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001100010000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 854 ], "I1": [ 821 ], "I2": [ 855 ], "I3": [ 818 ], "O": [ 839 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 856 ], "I3": [ 824 ], "O": [ 854 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001010001000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 857 ], "I1": [ 858 ], "I2": [ 827 ], "I3": [ 824 ], "O": [ 838 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 859 ], "I2": [ 830 ], "I3": [ 827 ], "O": [ 857 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001100010000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 860 ], "I1": [ 833 ], "I2": [ 861 ], "I3": [ 830 ], "O": [ 837 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_3_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 862 ], "I3": [ 814 ], "O": [ 860 ] } }, "smi_ctrl_ins.r_fifo_push_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:266.5-275.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 863 ], "Q": [ 806 ], "R": [ 59 ] } }, "smi_ctrl_ins.soe_and_reset_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3 ], "I3": [ 33 ], "O": [ 734 ] } }, "smi_ctrl_ins.swe_and_reset_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3 ], "I3": [ 34 ], "O": [ 864 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 864 ], "D": [ 865 ], "Q": [ 866 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_1": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 864 ], "D": [ 867 ], "Q": [ 868 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3 ], "I2": [ 869 ], "I3": [ 870 ], "O": [ 867 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_2": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 864 ], "D": [ 871 ], "Q": [ 872 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 869 ], "I2": [ 3 ], "I3": [ 868 ], "O": [ 871 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 864 ], "D": [ 873 ], "Q": [ 870 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 869 ], "I2": [ 870 ], "I3": [ 874 ], "O": [ 873 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 869 ], "I1": [ 875 ], "I2": [ 866 ], "I3": [ 3 ], "O": [ 874 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 872 ], "I3": [ 868 ], "O": [ 875 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 869 ], "I2": [ 3 ], "I3": [ 872 ], "O": [ 865 ] } }, "smi_ctrl_ins.w_fifo_pull_trigger_SB_DFFNE_Q": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 734 ], "D": [ 876 ], "E": [ 3 ], "Q": [ 805 ] } }, "smi_ctrl_ins.w_fifo_pull_trigger_SB_DFFNE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 736 ], "I3": [ 738 ], "O": [ 876 ] } }, "smi_ctrl_ins.w_fifo_push_trigger_SB_DFFNSR_Q": { "hide_name": 0, "type": "SB_DFFNSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:189.5-264.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:17.59-17.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 864 ], "D": [ 877 ], "Q": [ 863 ], "R": [ 878 ] } }, "smi_ctrl_ins.w_fifo_push_trigger_SB_DFFNSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 869 ], "O": [ 877 ] } }, "smi_ctrl_ins.w_fifo_push_trigger_SB_DFFNSR_Q_R_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 870 ], "I2": [ 866 ], "I3": [ 3 ], "O": [ 878 ] } }, "smi_io0": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:471.5-476.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 879 ], "D_OUT_0": [ 763 ], "OUTPUT_ENABLE": [ 31 ], "PACKAGE_PIN": [ 35 ] } }, "smi_io1": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:480.5-485.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 880 ], "D_OUT_0": [ 761 ], "OUTPUT_ENABLE": [ 31 ], "PACKAGE_PIN": [ 36 ] } }, "smi_io2": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:489.5-494.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 881 ], "D_OUT_0": [ 759 ], "OUTPUT_ENABLE": [ 31 ], "PACKAGE_PIN": [ 37 ] } }, "smi_io3": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:498.5-503.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 882 ], "D_OUT_0": [ 757 ], "OUTPUT_ENABLE": [ 31 ], "PACKAGE_PIN": [ 38 ] } }, "smi_io4": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:507.5-512.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 883 ], "D_OUT_0": [ 755 ], "OUTPUT_ENABLE": [ 31 ], "PACKAGE_PIN": [ 39 ] } }, "smi_io5": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:516.5-521.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 884 ], "D_OUT_0": [ 753 ], "OUTPUT_ENABLE": [ 31 ], "PACKAGE_PIN": [ 40 ] } }, "smi_io6": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:525.5-530.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 885 ], "D_OUT_0": [ 751 ], "OUTPUT_ENABLE": [ 31 ], "PACKAGE_PIN": [ 41 ] } }, "smi_io7": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:534.5-539.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 869 ], "D_OUT_0": [ 749 ], "OUTPUT_ENABLE": [ 31 ], "PACKAGE_PIN": [ 42 ] } }, "spi_if_ins.o_cs_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 886 ], "E": [ 73 ], "Q": [ 887 ], "R": [ 75 ] } }, "spi_if_ins.o_cs_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 888 ], "I3": [ 889 ], "O": [ 72 ] } }, "spi_if_ins.o_cs_SB_DFFESR_Q_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 889 ], "I3": [ 888 ], "O": [ 732 ] } }, "spi_if_ins.o_cs_SB_DFFESR_Q_D_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 889 ], "I3": [ 888 ], "O": [ 886 ] } }, "spi_if_ins.o_cs_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 74 ], "I1": [ 887 ], "I2": [ 890 ], "I3": [ 733 ], "O": [ 745 ] } }, "spi_if_ins.o_cs_SB_LUT4_I1_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 733 ], "I1": [ 887 ], "I2": [ 890 ], "I3": [ 74 ], "O": [ 364 ] } }, "spi_if_ins.o_cs_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111011101000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 74 ], "I1": [ 733 ], "I2": [ 887 ], "I3": [ 890 ], "O": [ 891 ] } }, "spi_if_ins.o_cs_SB_LUT4_I2_O_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 891 ], "I3": [ 3 ], "O": [ 355 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 892 ], "E": [ 893 ], "Q": [ 141 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 888 ], "E": [ 893 ], "Q": [ 144 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 889 ], "E": [ 893 ], "Q": [ 146 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 894 ], "E": [ 893 ], "Q": [ 148 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 895 ], "E": [ 893 ], "Q": [ 150 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 896 ], "E": [ 893 ], "Q": [ 152 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 897 ], "E": [ 893 ], "Q": [ 62 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_7": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 898 ], "E": [ 893 ], "Q": [ 65 ] } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 899 ], "E": [ 900 ], "Q": [ 81 ], "R": [ 901 ] } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 902 ], "I3": [ 903 ], "O": [ 899 ] } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 904 ], "I1": [ 905 ], "I2": [ 906 ], "I3": [ 3 ], "O": [ 900 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 894 ], "E": [ 73 ], "Q": [ 56 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 895 ], "E": [ 73 ], "Q": [ 57 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 896 ], "E": [ 73 ], "Q": [ 55 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 897 ], "E": [ 73 ], "Q": [ 58 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 898 ], "E": [ 73 ], "Q": [ 52 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 902 ], "E": [ 907 ], "Q": [ 82 ], "R": [ 901 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 902 ], "I1": [ 903 ], "I2": [ 904 ], "I3": [ 3 ], "O": [ 73 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 908 ], "I3": [ 909 ], "O": [ 902 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 910 ], "I2": [ 911 ], "I3": [ 912 ], "O": [ 907 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_R_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 904 ], "O": [ 901 ] } }, "spi_if_ins.o_load_cmd_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 81 ], "I1": [ 74 ], "I2": [ 82 ], "I3": [ 3 ], "O": [ 153 ] } }, "spi_if_ins.o_load_cmd_SB_LUT4_I2_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 118 ], "I1": [ 81 ], "I2": [ 82 ], "I3": [ 74 ], "O": [ 63 ] } }, "spi_if_ins.o_load_cmd_SB_LUT4_I2_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 81 ], "I1": [ 890 ], "I2": [ 82 ], "I3": [ 127 ], "O": [ 913 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 363 ], "E": [ 914 ], "Q": [ 915 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 361 ], "E": [ 914 ], "Q": [ 916 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 359 ], "E": [ 914 ], "Q": [ 917 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 356 ], "E": [ 914 ], "Q": [ 918 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 367 ], "E": [ 914 ], "Q": [ 919 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 369 ], "E": [ 914 ], "Q": [ 920 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 371 ], "E": [ 914 ], "Q": [ 921 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_7": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 373 ], "E": [ 914 ], "Q": [ 922 ] } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 910 ], "E": [ 923 ], "Q": [ 924 ], "R": [ 904 ] } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 903 ], "I2": [ 908 ], "I3": [ 909 ], "O": [ 910 ] } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 902 ], "I1": [ 903 ], "I2": [ 904 ], "I3": [ 912 ], "O": [ 923 ] } }, "spi_if_ins.r_tx_data_valid_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 46 ], "I3": [ 924 ], "O": [ 925 ] } }, "spi_if_ins.spi.SCKr_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:62.3-62.62|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 926 ], "Q": [ 927 ] } }, "spi_if_ins.spi.SCKr_SB_DFF_Q_1": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:62.3-62.62|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 928 ], "Q": [ 926 ] } }, "spi_if_ins.spi.SCKr_SB_DFF_Q_2": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:62.3-62.62|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 45 ], "Q": [ 928 ] } }, "spi_if_ins.spi.SCKr_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 927 ], "I1": [ 929 ], "I2": [ 930 ], "I3": [ 926 ], "O": [ 931 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:48.3-59.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 932 ], "E": [ 933 ], "Q": [ 892 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:48.3-59.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 934 ], "E": [ 933 ], "Q": [ 888 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:48.3-59.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 935 ], "E": [ 933 ], "Q": [ 889 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:48.3-59.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 936 ], "E": [ 933 ], "Q": [ 894 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:48.3-59.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 937 ], "E": [ 933 ], "Q": [ 895 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:48.3-59.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 938 ], "E": [ 933 ], "Q": [ 896 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:48.3-59.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 939 ], "E": [ 933 ], "Q": [ 897 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_7": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:48.3-59.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 940 ], "E": [ 933 ], "Q": [ 898 ] } }, "spi_if_ins.spi.o_rx_data_valid_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:48.3-59.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 933 ], "Q": [ 904 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:68.3-84.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 941 ], "E": [ 942 ], "Q": [ 350 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 915 ], "I2": [ 943 ], "I3": [ 925 ], "O": [ 941 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 944 ], "I1": [ 945 ], "I2": [ 946 ], "I3": [ 947 ], "O": [ 943 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100101000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 948 ], "I1": [ 949 ], "I2": [ 930 ], "I3": [ 929 ], "O": [ 946 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110000001010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 950 ], "I1": [ 951 ], "I2": [ 929 ], "I3": [ 930 ], "O": [ 945 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100101000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 952 ], "I1": [ 953 ], "I2": [ 929 ], "I3": [ 944 ], "O": [ 947 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100110011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 954 ], "I2": [ 955 ], "I3": [ 930 ], "O": [ 952 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100110011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 956 ], "I2": [ 957 ], "I3": [ 930 ], "O": [ 953 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 927 ], "I2": [ 926 ], "I3": [ 925 ], "O": [ 942 ] } }, "spi_if_ins.spi.r2_rx_done_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:48.3-59.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 958 ], "Q": [ 959 ] } }, "spi_if_ins.spi.r3_rx_done_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:48.3-59.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 959 ], "Q": [ 960 ] } }, "spi_if_ins.spi.r3_rx_done_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 960 ], "I3": [ 959 ], "O": [ 933 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 45 ], "D": [ 961 ], "Q": [ 962 ], "R": [ 46 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_1": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 45 ], "D": [ 963 ], "Q": [ 964 ], "R": [ 46 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_2": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 45 ], "D": [ 965 ], "Q": [ 966 ], "R": [ 46 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 966 ], "O": [ 965 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:32.25-32.43|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 962 ], "I3": [ 967 ], "O": [ 961 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:32.25-32.43|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 964 ], "I3": [ 966 ], "O": [ 963 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:111.10-126.4|spi_slave.v:32.25-32.43|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 966 ], "CO": [ 967 ], "I0": [ "0" ], "I1": [ 964 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 45 ], "D": [ 968 ], "E": [ 969 ], "Q": [ 932 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 45 ], "D": [ 970 ], "E": [ 969 ], "Q": [ 934 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 45 ], "D": [ 971 ], "E": [ 969 ], "Q": [ 935 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 45 ], "D": [ 972 ], "E": [ 969 ], "Q": [ 936 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 45 ], "D": [ 973 ], "E": [ 969 ], "Q": [ 937 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 45 ], "D": [ 974 ], "E": [ 969 ], "Q": [ 938 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 45 ], "D": [ 975 ], "E": [ 969 ], "Q": [ 939 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_7": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 45 ], "D": [ 44 ], "E": [ 969 ], "Q": [ 940 ] } }, "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 45 ], "D": [ 976 ], "E": [ 977 ], "Q": [ 958 ], "R": [ 46 ] } }, "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 46 ], "I3": [ 976 ], "O": [ 969 ] } }, "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 966 ], "I2": [ 962 ], "I3": [ 964 ], "O": [ 976 ] } }, "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1110101110101010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 46 ], "I1": [ 966 ], "I2": [ 962 ], "I3": [ 964 ], "O": [ 977 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 45 ], "D": [ 970 ], "E": [ 60 ], "Q": [ 968 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 45 ], "D": [ 971 ], "E": [ 60 ], "Q": [ 970 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 45 ], "D": [ 972 ], "E": [ 60 ], "Q": [ 971 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 45 ], "D": [ 973 ], "E": [ 60 ], "Q": [ 972 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 45 ], "D": [ 974 ], "E": [ 60 ], "Q": [ 973 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 45 ], "D": [ 975 ], "E": [ 60 ], "Q": [ 974 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:27.3-42.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 45 ], "D": [ 44 ], "E": [ 60 ], "Q": [ 975 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:68.3-84.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 978 ], "E": [ 942 ], "Q": [ 929 ], "R": [ 979 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:75.27-75.45|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 930 ], "I2": [ "1" ], "I3": [ 980 ], "O": [ 981 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:75.27-75.45|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 944 ], "I2": [ "1" ], "I3": [ 929 ], "O": [ 982 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 929 ], "O": [ 978 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:111.10-126.4|spi_slave.v:75.27-75.45|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 929 ], "CO": [ 980 ], "I0": [ 944 ], "I1": [ "1" ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 925 ], "O": [ 979 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q": { "hide_name": 0, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:68.3-84.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 61 ], "D": [ 981 ], "E": [ 942 ], "Q": [ 930 ], "S": [ 979 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_1": { "hide_name": 0, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:68.3-84.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 61 ], "D": [ 982 ], "E": [ 942 ], "Q": [ 944 ], "S": [ 979 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:68.3-84.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 915 ], "E": [ 983 ], "Q": [ 957 ], "R": [ 925 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:68.3-84.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 916 ], "E": [ 983 ], "Q": [ 955 ], "R": [ 925 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:68.3-84.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 917 ], "E": [ 983 ], "Q": [ 949 ], "R": [ 925 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:68.3-84.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 918 ], "E": [ 983 ], "Q": [ 951 ], "R": [ 925 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:68.3-84.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 919 ], "E": [ 983 ], "Q": [ 956 ], "R": [ 925 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:68.3-84.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 920 ], "E": [ 983 ], "Q": [ 954 ], "R": [ 925 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:68.3-84.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 921 ], "E": [ 983 ], "Q": [ 948 ], "R": [ 925 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:68.3-84.6|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 922 ], "E": [ 983 ], "Q": [ 950 ], "R": [ 925 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 944 ], "I2": [ 931 ], "I3": [ 925 ], "O": [ 983 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 906 ], "E": [ 984 ], "Q": [ 903 ], "R": [ 59 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 985 ], "E": [ 984 ], "Q": [ 909 ], "R": [ 59 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 986 ], "I2": [ 892 ], "I3": [ 987 ], "O": [ 985 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I1_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 986 ], "I3": [ 3 ], "O": [ 912 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I1_SB_LUT4_I2_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 986 ], "I3": [ 904 ], "O": [ 906 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I1_SB_LUT4_I2_1_O_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 906 ], "I2": [ 910 ], "I3": [ 3 ], "O": [ 914 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I1_SB_LUT4_I2_1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011110011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 892 ], "I2": [ 903 ], "I3": [ 902 ], "O": [ 905 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1011110000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 903 ], "I1": [ 908 ], "I2": [ 909 ], "I3": [ 904 ], "O": [ 911 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 903 ], "I2": [ 909 ], "I3": [ 908 ], "O": [ 986 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 987 ], "E": [ 984 ], "Q": [ 908 ], "R": [ 59 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 988 ], "I2": [ 899 ], "I3": [ 904 ], "O": [ 987 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_2_D_SB_LUT4_O_I1_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 988 ], "I2": [ 3 ], "I3": [ 904 ], "O": [ 893 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 903 ], "I2": [ 908 ], "I3": [ 909 ], "O": [ 988 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000101111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 910 ], "I1": [ 906 ], "I2": [ 911 ], "I3": [ 3 ], "O": [ 984 ] } }, "sys_ctrl_ins.i_cs_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 75 ], "E": [ 73 ], "Q": [ 890 ] } }, "sys_ctrl_ins.i_cs_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 889 ], "I3": [ 888 ], "O": [ 75 ] } }, "sys_ctrl_ins.i_cs_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 989 ], "I2": [ 890 ], "I3": [ 81 ], "O": [ 990 ] } }, "sys_ctrl_ins.i_cs_SB_LUT4_I2_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 127 ], "I2": [ 48 ], "I3": [ 124 ], "O": [ 989 ] } }, "sys_ctrl_ins.o_data_out_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:132.12-146.4|sys_ctrl.v:52.5-96.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 991 ], "E": [ 990 ], "Q": [ 992 ], "R": [ 59 ] } }, "sys_ctrl_ins.o_data_out_SB_DFFER_Q_1": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:132.12-146.4|sys_ctrl.v:52.5-96.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 993 ], "E": [ 990 ], "Q": [ 994 ], "R": [ 59 ] } }, "sys_ctrl_ins.o_data_out_SB_DFFER_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 127 ], "I3": [ 995 ], "O": [ 993 ] } }, "sys_ctrl_ins.o_data_out_SB_DFFER_Q_2": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:132.12-146.4|sys_ctrl.v:52.5-96.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 996 ], "E": [ 990 ], "Q": [ 997 ], "R": [ 59 ] } }, "sys_ctrl_ins.o_data_out_SB_DFFER_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 127 ], "I3": [ 998 ], "O": [ 996 ] } }, "sys_ctrl_ins.o_data_out_SB_DFFER_Q_3": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:132.12-146.4|sys_ctrl.v:52.5-96.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 999 ], "E": [ 990 ], "Q": [ 1000 ], "R": [ 59 ] } }, "sys_ctrl_ins.o_data_out_SB_DFFER_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1001 ], "I3": [ 127 ], "O": [ 999 ] } }, "sys_ctrl_ins.o_data_out_SB_DFFER_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 127 ], "I3": [ 1002 ], "O": [ 991 ] } }, "sys_ctrl_ins.o_data_out_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111100010001000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 992 ], "I1": [ 357 ], "I2": [ 364 ], "I3": [ 103 ], "O": [ 366 ] } }, "sys_ctrl_ins.o_data_out_SB_LUT4_I0_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111100011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 994 ], "I1": [ 357 ], "I2": [ 365 ], "I3": [ 746 ], "O": [ 368 ] } }, "sys_ctrl_ins.o_data_out_SB_LUT4_I0_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111100011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1000 ], "I1": [ 357 ], "I2": [ 365 ], "I3": [ 1003 ], "O": [ 372 ] } }, "sys_ctrl_ins.o_data_out_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 357 ], "I2": [ 997 ], "I3": [ 747 ], "O": [ 370 ] } }, "sys_ctrl_ins.tx_sample_gap_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:132.12-146.4|sys_ctrl.v:52.5-96.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 150 ], "E": [ 913 ], "Q": [ 1002 ], "R": [ 59 ] } }, "sys_ctrl_ins.tx_sample_gap_SB_DFFER_Q_1": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:132.12-146.4|sys_ctrl.v:52.5-96.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 152 ], "E": [ 913 ], "Q": [ 995 ], "R": [ 59 ] } }, "sys_ctrl_ins.tx_sample_gap_SB_DFFER_Q_2": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:132.12-146.4|sys_ctrl.v:52.5-96.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 62 ], "E": [ 913 ], "Q": [ 998 ], "R": [ 59 ] } }, "sys_ctrl_ins.tx_sample_gap_SB_DFFER_Q_3": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:132.12-146.4|sys_ctrl.v:52.5-96.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 65 ], "E": [ 913 ], "Q": [ 1001 ], "R": [ 59 ] } }, "tx_fifo.empty_o_SB_DFFNSS_Q": { "hide_name": 0, "type": "SB_DFFNSS", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:84.2-92.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:18.59-18.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 13 ], "D": [ 1004 ], "Q": [ 339 ], "S": [ 59 ] } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111100010001000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1005 ], "I1": [ 1006 ], "I2": [ 1007 ], "I3": [ 1008 ], "O": [ 1004 ] } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1009 ], "I2": [ 1010 ], "I3": [ 1011 ], "O": [ 1007 ] } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1012 ], "I3": [ 1013 ], "O": [ 1005 ] } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001010001000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1014 ], "I1": [ 1015 ], "I2": [ 1016 ], "I3": [ 1017 ], "O": [ 1013 ] } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001010001000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1018 ], "I1": [ 346 ], "I2": [ 1017 ], "I3": [ 1019 ], "O": [ 1012 ] } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1020 ], "I2": [ 1021 ], "I3": [ 1022 ], "O": [ 1018 ] } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1023 ], "I2": [ 1024 ], "I3": [ 1021 ], "O": [ 1014 ] } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001010001000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1025 ], "I1": [ 1026 ], "I2": [ 1027 ], "I3": [ 348 ], "O": [ 1010 ] } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 346 ], "I2": [ 344 ], "I3": [ 345 ], "O": [ 1025 ] } }, "tx_fifo.empty_o_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 341 ], "I1": [ 1028 ], "I2": [ 338 ], "I3": [ 339 ], "O": [ 1029 ] } }, "tx_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1030 ], "I1": [ 1031 ], "I2": [ 1016 ], "I3": [ 1029 ], "O": [ 1032 ] } }, "tx_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1033 ], "I1": [ 1034 ], "I2": [ 1032 ], "I3": [ 1035 ], "O": [ 1006 ] } }, "tx_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1036 ], "I3": [ 1037 ], "O": [ 1034 ] } }, "tx_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1038 ], "I1": [ 1039 ], "I2": [ 1031 ], "I3": [ 1040 ], "O": [ 1033 ] } }, "tx_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110011000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1041 ], "I2": [ 1019 ], "I3": [ 1024 ], "O": [ 1040 ] } }, "tx_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011101010100011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 341 ], "I1": [ 1028 ], "I2": [ 1037 ], "I3": [ 1042 ], "O": [ 1035 ] } }, "tx_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1026 ], "I3": [ 1022 ], "O": [ 1037 ] } }, "tx_fifo.full_o_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:57.2-65.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1043 ], "Q": [ 352 ], "R": [ 59 ] } }, "tx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111100010001000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 846 ], "I1": [ 850 ], "I2": [ 811 ], "I3": [ 841 ], "O": [ 1043 ] } }, "tx_fifo.full_o_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1044 ], "I2": [ 852 ], "I3": [ 352 ], "O": [ 1045 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 348 ], "E": [ 340 ], "Q": [ 1036 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_1": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1027 ], "E": [ 340 ], "Q": [ 1022 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_2": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1046 ], "E": [ 340 ], "Q": [ 1021 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1047 ], "E": [ 340 ], "Q": [ 1024 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_4": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 345 ], "E": [ 340 ], "Q": [ 1019 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_5": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 344 ], "E": [ 340 ], "Q": [ 1017 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_6": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1048 ], "E": [ 340 ], "Q": [ 1016 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1049 ], "E": [ 340 ], "Q": [ 1031 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_8": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1050 ], "E": [ 340 ], "Q": [ 1038 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 342 ], "E": [ 340 ], "Q": [ 1028 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_1": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 349 ], "E": [ 340 ], "Q": [ 1051 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_2": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1052 ], "E": [ 340 ], "Q": [ 1053 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1027 ], "I3": [ 348 ], "O": [ 1052 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_3": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1054 ], "E": [ 340 ], "Q": [ 1055 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_3_D_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1020 ], "I2": [ 1054 ], "I3": [ 1056 ], "O": [ 1009 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110011000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1015 ], "I2": [ 1048 ], "I3": [ 344 ], "O": [ 1056 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_3_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1046 ], "I3": [ 1027 ], "O": [ 1054 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_4": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1057 ], "E": [ 340 ], "Q": [ 1058 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1059 ], "E": [ 340 ], "Q": [ 1060 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1059 ], "I3": [ 1041 ], "O": [ 1061 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D_SB_LUT4_I2_O_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100000100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1061 ], "I1": [ 1057 ], "I2": [ 1023 ], "I3": [ 1062 ], "O": [ 1008 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D_SB_LUT4_I2_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1047 ], "I3": [ 1046 ], "O": [ 1057 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D_SB_LUT4_I2_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100000100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 349 ], "I2": [ 1042 ], "I3": [ 343 ], "O": [ 1062 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 345 ], "I3": [ 1047 ], "O": [ 1059 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_6": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1063 ], "E": [ 340 ], "Q": [ 1064 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 344 ], "I3": [ 345 ], "O": [ 1063 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_7": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1065 ], "E": [ 340 ], "Q": [ 1066 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_7_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1048 ], "I3": [ 344 ], "O": [ 1065 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_8": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1067 ], "E": [ 340 ], "Q": [ 1068 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_9": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1069 ], "E": [ 340 ], "Q": [ 1070 ], "R": [ 59 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_9_D_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1001000000001001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1039 ], "I1": [ 1069 ], "I2": [ 1030 ], "I3": [ 1067 ], "O": [ 1011 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_9_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1049 ], "I3": [ 1048 ], "O": [ 1067 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_9_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1038 ], "I3": [ 1049 ], "O": [ 1069 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1028 ], "I3": [ 1071 ], "O": [ 342 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1072 ], "CO": [ 1071 ], "I0": [ "0" ], "I1": [ 1036 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_1": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1073 ], "CO": [ 1072 ], "I0": [ "0" ], "I1": [ 1022 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_2": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1074 ], "CO": [ 1073 ], "I0": [ "0" ], "I1": [ 1021 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_3": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1075 ], "CO": [ 1074 ], "I0": [ "0" ], "I1": [ 1024 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_4": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1076 ], "CO": [ 1075 ], "I0": [ "0" ], "I1": [ 1019 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_5": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1077 ], "CO": [ 1076 ], "I0": [ "0" ], "I1": [ 1017 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_6": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1078 ], "CO": [ 1077 ], "I0": [ "0" ], "I1": [ 1016 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_7": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1038 ], "CO": [ 1078 ], "I0": [ "0" ], "I1": [ 1031 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1036 ], "I3": [ 1072 ], "O": [ 348 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1022 ], "I3": [ 1073 ], "O": [ 1027 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1021 ], "I3": [ 1074 ], "O": [ 1046 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1024 ], "I3": [ 1075 ], "O": [ 1047 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_4": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1019 ], "I3": [ 1076 ], "O": [ 345 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_5": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1017 ], "I3": [ 1077 ], "O": [ 344 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_6": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1016 ], "I3": [ 1078 ], "O": [ 1048 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_7": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1031 ], "I3": [ 1038 ], "O": [ 1049 ] } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_8": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 1038 ], "O": [ 1050 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1028 ], "Q": [ 1079 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_1": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1051 ], "Q": [ 1080 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_2": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1053 ], "Q": [ 1081 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_3": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1055 ], "Q": [ 1082 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_4": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1058 ], "Q": [ 1083 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_5": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1060 ], "Q": [ 1084 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_6": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1064 ], "Q": [ 1085 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_7": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1066 ], "Q": [ 1086 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_8": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1068 ], "Q": [ 1087 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_9": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1070 ], "Q": [ 1088 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1079 ], "Q": [ 852 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_1": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1080 ], "Q": [ 851 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_2": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1081 ], "Q": [ 855 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_3": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1082 ], "Q": [ 856 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_4": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1083 ], "Q": [ 858 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_5": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1084 ], "Q": [ 859 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_6": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1085 ], "Q": [ 861 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_7": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1086 ], "Q": [ 862 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_8": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1087 ], "Q": [ 813 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_9": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 61 ], "D": [ 1088 ], "Q": [ 836 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1089 ], "E": [ 809 ], "Q": [ 816 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1090 ], "E": [ 809 ], "Q": [ 819 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1091 ], "E": [ 809 ], "Q": [ 822 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1092 ], "E": [ 809 ], "Q": [ 825 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1093 ], "E": [ 809 ], "Q": [ 828 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1094 ], "E": [ 809 ], "Q": [ 831 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1095 ], "E": [ 809 ], "Q": [ 834 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1096 ], "E": [ 809 ], "Q": [ 812 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1097 ], "E": [ 809 ], "Q": [ 835 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1044 ], "E": [ 809 ], "Q": [ 1098 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1099 ], "E": [ 809 ], "Q": [ 1100 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1101 ], "E": [ 809 ], "Q": [ 1102 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1103 ], "E": [ 809 ], "Q": [ 1104 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1044 ], "I3": [ 1089 ], "O": [ 1099 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1091 ], "I3": [ 1090 ], "O": [ 1103 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1105 ], "E": [ 809 ], "Q": [ 1106 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1092 ], "I3": [ 1091 ], "O": [ 1105 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1107 ], "E": [ 809 ], "Q": [ 1108 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_5_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1090 ], "I3": [ 1089 ], "O": [ 1101 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_5_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1093 ], "I3": [ 1092 ], "O": [ 1107 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1109 ], "E": [ 809 ], "Q": [ 1110 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1011000010111011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1109 ], "I1": [ 861 ], "I2": [ 856 ], "I3": [ 1103 ], "O": [ 844 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_I0_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001010001000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1111 ], "I1": [ 858 ], "I2": [ 1092 ], "I3": [ 1091 ], "O": [ 843 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_I0_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1001000000001001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 859 ], "I1": [ 1107 ], "I2": [ 855 ], "I3": [ 1101 ], "O": [ 842 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_I0_O_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 861 ], "I2": [ 1094 ], "I3": [ 1093 ], "O": [ 1111 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1094 ], "I3": [ 1093 ], "O": [ 1109 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1112 ], "E": [ 809 ], "Q": [ 1113 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1112 ], "I3": [ 862 ], "O": [ 845 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1095 ], "I3": [ 1094 ], "O": [ 1112 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 1114 ], "E": [ 809 ], "Q": [ 1115 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_8_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1096 ], "I3": [ 1095 ], "O": [ 1114 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_9": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 61 ], "D": [ 847 ], "E": [ 809 ], "Q": [ 1116 ], "R": [ 59 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_9_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1011000000001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1103 ], "I1": [ 856 ], "I2": [ 851 ], "I3": [ 1099 ], "O": [ 848 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_9_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 813 ], "I1": [ 1096 ], "I2": [ 1095 ], "I3": [ 1045 ], "O": [ 849 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_9_D_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 835 ], "I3": [ 1096 ], "O": [ 847 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1098 ], "I3": [ 1117 ], "O": [ 853 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1098 ], "I3": [ 1118 ], "O": [ 1044 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1119 ], "CO": [ 1118 ], "I0": [ "0" ], "I1": [ 816 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_1": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1120 ], "CO": [ 1119 ], "I0": [ "0" ], "I1": [ 819 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_2": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1121 ], "CO": [ 1120 ], "I0": [ "0" ], "I1": [ 822 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_3": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1122 ], "CO": [ 1121 ], "I0": [ "0" ], "I1": [ 825 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_4": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1123 ], "CO": [ 1122 ], "I0": [ "0" ], "I1": [ 828 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_5": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1124 ], "CO": [ 1123 ], "I0": [ "0" ], "I1": [ 831 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_6": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1125 ], "CO": [ 1124 ], "I0": [ "0" ], "I1": [ 834 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_7": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 835 ], "CO": [ 1125 ], "I0": [ "0" ], "I1": [ 812 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 819 ], "I3": [ 1120 ], "O": [ 1090 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 822 ], "I3": [ 1121 ], "O": [ 1091 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 825 ], "I3": [ 1122 ], "O": [ 1092 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 828 ], "I3": [ 1123 ], "O": [ 1093 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_4": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 831 ], "I3": [ 1124 ], "O": [ 1094 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_5": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 834 ], "I3": [ 1125 ], "O": [ 1095 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_6": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 812 ], "I3": [ 835 ], "O": [ 1096 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_7": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 816 ], "I3": [ 1119 ], "O": [ 1089 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_8": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 835 ], "O": [ 1097 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 817 ], "CO": [ 1117 ], "I0": [ "0" ], "I1": [ 816 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_1": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 820 ], "CO": [ 817 ], "I0": [ "0" ], "I1": [ 819 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_2": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 823 ], "CO": [ 820 ], "I0": [ "0" ], "I1": [ 822 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_3": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 826 ], "CO": [ 823 ], "I0": [ "0" ], "I1": [ 825 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_4": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 829 ], "CO": [ 826 ], "I0": [ "0" ], "I1": [ 828 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_5": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 832 ], "CO": [ 829 ], "I0": [ "0" ], "I1": [ 831 ] } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_6": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 812 ], "CO": [ 832 ], "I0": [ "0" ], "I1": [ 834 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1098 ], "Q": [ 1126 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_1": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1100 ], "Q": [ 1127 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_2": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1102 ], "Q": [ 1128 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_3": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1104 ], "Q": [ 1129 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_4": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1106 ], "Q": [ 1130 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_5": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1108 ], "Q": [ 1131 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_6": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1110 ], "Q": [ 1132 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_7": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1113 ], "Q": [ 1133 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_8": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1115 ], "Q": [ 1134 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_9": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1116 ], "Q": [ 1135 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1126 ], "Q": [ 341 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_1": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1127 ], "Q": [ 1042 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_2": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1128 ], "Q": [ 1026 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_3": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1129 ], "Q": [ 1020 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_4": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1130 ], "Q": [ 1023 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_5": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1131 ], "Q": [ 1041 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_6": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1132 ], "Q": [ 346 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_7": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1133 ], "Q": [ 1015 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_8": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1134 ], "Q": [ 1030 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_9": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 1135 ], "Q": [ 1039 ] } }, "w_lvds_rx_09_d0_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 171 ], "I3": [ 172 ], "O": [ 252 ] } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000010111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 252 ], "I1": [ 253 ], "I2": [ 237 ], "I3": [ 244 ], "O": [ 1136 ] } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1137 ], "E": [ 1136 ], "Q": [ 1138 ], "R": [ 59 ] } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_1": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1139 ], "E": [ 1136 ], "Q": [ 247 ], "R": [ 59 ] } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000101100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 244 ], "I1": [ 253 ], "I2": [ 1140 ], "I3": [ 237 ], "O": [ 1139 ] } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1141 ], "E": [ 1136 ], "Q": [ 245 ], "R": [ 59 ] } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 244 ], "I1": [ 253 ], "I2": [ 245 ], "I3": [ 237 ], "O": [ 1141 ] } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2_Q_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1001011001101001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1138 ], "I1": [ "0" ], "I2": [ "1" ], "I3": [ 1142 ], "O": [ 1143 ] } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2_Q_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 248 ], "I2": [ "1" ], "I3": [ 246 ], "O": [ 1140 ] } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2_Q_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:312.11-323.4|lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 246 ], "CO": [ 1142 ], "I0": [ 248 ], "I1": [ "1" ] } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000101100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 244 ], "I1": [ 253 ], "I2": [ 1143 ], "I3": [ 237 ], "O": [ 1137 ] } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_Q_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 247 ], "I2": [ 1138 ], "I3": [ 245 ], "O": [ 253 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111100010000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 244 ], "I1": [ 172 ], "I2": [ 171 ], "I3": [ 237 ], "O": [ 254 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I1_O_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 254 ], "I3": [ 3 ], "O": [ 176 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0111111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 253 ], "I1": [ 237 ], "I2": [ 244 ], "I3": [ 172 ], "O": [ 239 ] } }, "w_lvds_rx_24_d0_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111100010000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 325 ], "I1": [ 173 ], "I2": [ 174 ], "I3": [ 318 ], "O": [ 335 ] } }, "w_lvds_rx_24_d0_SB_LUT4_I1_O_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 335 ], "I3": [ 3 ], "O": [ 257 ] } }, "w_lvds_rx_24_d1_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 174 ], "I3": [ 173 ], "O": [ 333 ] } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000010111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 333 ], "I1": [ 334 ], "I2": [ 318 ], "I3": [ 325 ], "O": [ 1144 ] } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1145 ], "E": [ 1144 ], "Q": [ 1146 ], "R": [ 59 ] } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_1": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1147 ], "E": [ 1144 ], "Q": [ 328 ], "R": [ 59 ] } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000101100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 325 ], "I1": [ 334 ], "I2": [ 1148 ], "I3": [ 318 ], "O": [ 1147 ] } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 13 ], "D": [ 1149 ], "E": [ 1144 ], "Q": [ 326 ], "R": [ 59 ] } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 325 ], "I1": [ 334 ], "I2": [ 326 ], "I3": [ 318 ], "O": [ 1149 ] } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2_Q_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1001011001101001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1146 ], "I1": [ "0" ], "I2": [ "1" ], "I3": [ 1150 ], "O": [ 1151 ] } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2_Q_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 329 ], "I2": [ "1" ], "I3": [ 327 ], "O": [ 1148 ] } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2_Q_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:325.11-338.4|lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 327 ], "CO": [ 1150 ], "I0": [ 329 ], "I1": [ "1" ] } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000101100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 325 ], "I1": [ 334 ], "I2": [ 1151 ], "I3": [ 318 ], "O": [ 1145 ] } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_Q_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 328 ], "I2": [ 1146 ], "I3": [ 326 ], "O": [ 334 ] } }, "w_lvds_rx_24_d1_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000001111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 334 ], "I1": [ 318 ], "I2": [ 325 ], "I3": [ 174 ], "O": [ 320 ] } }, "w_tx_data_io_SB_DFFESS_Q": { "hide_name": 0, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:111.5-206.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 61 ], "D": [ 1152 ], "E": [ 99 ], "Q": [ 1153 ], "S": [ 101 ] } }, "w_tx_data_io_SB_DFFESS_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000111111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 157 ], "I1": [ 49 ], "I2": [ 79 ], "I3": [ 97 ], "O": [ 1152 ] } }, "w_tx_data_io_SB_DFFESS_Q_S_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 49 ], "I2": [ 53 ], "I3": [ 85 ], "O": [ 101 ] } }, "w_tx_data_io_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000011101110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 745 ], "I1": [ 744 ], "I2": [ 364 ], "I3": [ 1153 ], "O": [ 1003 ] } }, "w_tx_data_io_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 74 ], "I1": [ 733 ], "I2": [ 890 ], "I3": [ 887 ], "O": [ 365 ] } }, "w_tx_data_io_SB_LUT4_I3_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111101000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 74 ], "I1": [ 733 ], "I2": [ 887 ], "I3": [ 890 ], "O": [ 357 ] } } }, "netnames": { "channel": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "top.v:347.8-347.15" } }, "i_button": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "top.v:42.11-42.19" } }, "i_button_SB_LUT4_I1_I0": { "hide_name": 0, "bits": [ 48, 20, 49, 156 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "i_button_SB_LUT4_I1_I0_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 52, 53 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "i_button_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 139, 51 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "i_config": { "hide_name": 0, "bits": [ 18, 19, 20, 21 ], "attributes": { "src": "top.v:41.17-41.25" } }, "i_glob_clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "top.v:10.11-10.23" } }, "i_iq_rx_09_p": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "top.v:27.12-27.24" } }, "i_iq_rx_24_n": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "top.v:28.12-28.24" } }, "i_iq_rx_clk_p": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "top.v:29.12-29.25" } }, "i_mosi": { "hide_name": 0, "bits": [ 44 ], "attributes": { "src": "top.v:84.12-84.18" } }, "i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "top.v:11.11-11.18" } }, "i_rst_b_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 59 ], "attributes": { } }, "i_sck": { "hide_name": 0, "bits": [ 45 ], "attributes": { "src": "top.v:85.12-85.17" } }, "i_smi_a2": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "top.v:74.11-74.19" } }, "i_smi_a3": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "top.v:75.11-75.19" } }, "i_smi_soe_se": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "top.v:77.11-77.23" } }, "i_smi_swe_srw": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "top.v:78.11-78.24" } }, "i_ss": { "hide_name": 0, "bits": [ 46 ], "attributes": { "src": "top.v:86.12-86.16" } }, "i_ss_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 60 ], "attributes": { } }, "int_miso": { "hide_name": 0, "bits": [ 350 ], "attributes": { "src": "top.v:128.8-128.16" } }, "io_ctrl_ins.debug_mode": { "hide_name": 0, "bits": [ 66, 64 ], "attributes": { "hdlname": "io_ctrl_ins debug_mode", "src": "top.v:155.11-182.4|io_ctrl.v:69.17-69.27" } }, "io_ctrl_ins.debug_mode_SB_LUT4_I0_O": { "hide_name": 0, "bits": [ 64, 69 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.debug_mode_SB_LUT4_I2_1_O": { "hide_name": 0, "bits": [ 71 ], "attributes": { } }, "io_ctrl_ins.debug_mode_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 70, 119, 68, 67 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.i_button": { "hide_name": 0, "bits": [ 22 ], "attributes": { "hdlname": "io_ctrl_ins i_button", "src": "top.v:155.11-182.4|io_ctrl.v:14.22-14.30" } }, "io_ctrl_ins.i_config": { "hide_name": 0, "bits": [ 18, 19, 20, 21 ], "attributes": { "hdlname": "io_ctrl_ins i_config", "src": "top.v:155.11-182.4|io_ctrl.v:15.22-15.30" } }, "io_ctrl_ins.i_cs": { "hide_name": 0, "bits": [ 74 ], "attributes": { "hdlname": "io_ctrl_ins i_cs", "src": "top.v:155.11-182.4|io_ctrl.v:9.22-9.26" } }, "io_ctrl_ins.i_data_in": { "hide_name": 0, "bits": [ 65, 62, 152, 150, 148, 146, 144, 141 ], "attributes": { "hdlname": "io_ctrl_ins i_data_in", "src": "top.v:155.11-182.4|io_ctrl.v:7.22-7.31" } }, "io_ctrl_ins.i_fetch_cmd": { "hide_name": 0, "bits": [ 81 ], "attributes": { "hdlname": "io_ctrl_ins i_fetch_cmd", "src": "top.v:155.11-182.4|io_ctrl.v:10.22-10.33" } }, "io_ctrl_ins.i_ioc": { "hide_name": 0, "bits": [ 52, 58, 55, 57, 56 ], "attributes": { "hdlname": "io_ctrl_ins i_ioc", "src": "top.v:155.11-182.4|io_ctrl.v:6.22-6.27" } }, "io_ctrl_ins.i_load_cmd": { "hide_name": 0, "bits": [ 82 ], "attributes": { "hdlname": "io_ctrl_ins i_load_cmd", "src": "top.v:155.11-182.4|io_ctrl.v:11.22-11.32" } }, "io_ctrl_ins.i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "io_ctrl_ins i_rst_b", "src": "top.v:155.11-182.4|io_ctrl.v:3.25-3.32" } }, "io_ctrl_ins.i_sys_clk": { "hide_name": 0, "bits": [ 61 ], "attributes": { "hdlname": "io_ctrl_ins i_sys_clk", "src": "top.v:155.11-182.4|io_ctrl.v:4.22-4.31" } }, "io_ctrl_ins.led0_state": { "hide_name": 0, "bits": [ 77 ], "attributes": { "hdlname": "io_ctrl_ins led0_state", "src": "top.v:155.11-182.4|io_ctrl.v:73.17-73.27" } }, "io_ctrl_ins.led0_state_SB_LUT4_I0_O": { "hide_name": 0, "bits": [ 157, 49, 79, 97 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.led1_state": { "hide_name": 0, "bits": [ 80 ], "attributes": { "hdlname": "io_ctrl_ins led1_state", "src": "top.v:155.11-182.4|io_ctrl.v:74.17-74.27" } }, "io_ctrl_ins.led1_state_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 76 ], "attributes": { } }, "io_ctrl_ins.led1_state_SB_LUT4_I0_O": { "hide_name": 0, "bits": [ 140, 49, 84, 86 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.lna_rx_shutdown_state": { "hide_name": 0, "bits": [ 9 ], "attributes": { "hdlname": "io_ctrl_ins lna_rx_shutdown_state", "src": "top.v:155.11-182.4|io_ctrl.v:81.17-81.38" } }, "io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 87 ], "attributes": { "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8" } }, "io_ctrl_ins.lna_tx_shutdown_state": { "hide_name": 0, "bits": [ 10 ], "attributes": { "hdlname": "io_ctrl_ins lna_tx_shutdown_state", "src": "top.v:155.11-182.4|io_ctrl.v:82.17-82.38" } }, "io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 89 ], "attributes": { "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8" } }, "io_ctrl_ins.mixer_en_state": { "hide_name": 0, "bits": [ 95 ], "attributes": { "hdlname": "io_ctrl_ins mixer_en_state", "src": "top.v:155.11-182.4|io_ctrl.v:78.17-78.31" } }, "io_ctrl_ins.mixer_en_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 94 ], "attributes": { "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8" } }, "io_ctrl_ins.o_data_out": { "hide_name": 0, "bits": [ 1153, 100, 114, 103, 108, 130, 134, 138 ], "attributes": { "hdlname": "io_ctrl_ins o_data_out", "src": "top.v:155.11-182.4|io_ctrl.v:8.22-8.32" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_1_D": { "hide_name": 0, "bits": [ 102 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:124.21-163.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D": { "hide_name": 0, "bits": [ 107 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:124.21-163.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D": { "hide_name": 0, "bits": [ 112 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:124.21-163.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 116, 54, 117, 93 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E": { "hide_name": 0, "bits": [ 113 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 120, 121 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 52, 122, 55, 58 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 123, 121 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 124, 125, 121 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 58, 52, 126 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_R": { "hide_name": 0, "bits": [ 115 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_4_D": { "hide_name": 0, "bits": [ 128 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:124.21-163.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_D": { "hide_name": 0, "bits": [ 133 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:124.21-163.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D": { "hide_name": 0, "bits": [ 137 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:124.21-163.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E": { "hide_name": 0, "bits": [ 129 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 98 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:124.21-163.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" } }, "io_ctrl_ins.o_led0": { "hide_name": 0, "bits": [ 77 ], "attributes": { "hdlname": "io_ctrl_ins o_led0", "src": "top.v:155.11-182.4|io_ctrl.v:16.22-16.28" } }, "io_ctrl_ins.o_led1": { "hide_name": 0, "bits": [ 80 ], "attributes": { "hdlname": "io_ctrl_ins o_led1", "src": "top.v:155.11-182.4|io_ctrl.v:17.22-17.28" } }, "io_ctrl_ins.o_mixer_en": { "hide_name": 0, "bits": [ "1" ], "attributes": { "hdlname": "io_ctrl_ins o_mixer_en", "src": "top.v:155.11-182.4|io_ctrl.v:29.22-29.32" } }, "io_ctrl_ins.o_mixer_fm": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "io_ctrl_ins o_mixer_fm", "src": "top.v:155.11-182.4|io_ctrl.v:21.22-21.32" } }, "io_ctrl_ins.o_pmod": { "hide_name": 0, "bits": [ 157, 140, 92, 104, 109, 156, 155, 50 ], "attributes": { "hdlname": "io_ctrl_ins o_pmod", "src": "top.v:155.11-182.4|io_ctrl.v:18.22-18.28" } }, "io_ctrl_ins.o_rx_h_tx_l": { "hide_name": 0, "bits": [ 4 ], "attributes": { "hdlname": "io_ctrl_ins o_rx_h_tx_l", "src": "top.v:155.11-182.4|io_ctrl.v:22.22-22.33" } }, "io_ctrl_ins.o_rx_h_tx_l_b": { "hide_name": 0, "bits": [ 5 ], "attributes": { "hdlname": "io_ctrl_ins o_rx_h_tx_l_b", "src": "top.v:155.11-182.4|io_ctrl.v:23.22-23.35" } }, "io_ctrl_ins.o_shdn_rx_lna": { "hide_name": 0, "bits": [ 9 ], "attributes": { "hdlname": "io_ctrl_ins o_shdn_rx_lna", "src": "top.v:155.11-182.4|io_ctrl.v:28.22-28.35" } }, "io_ctrl_ins.o_shdn_tx_lna": { "hide_name": 0, "bits": [ 10 ], "attributes": { "hdlname": "io_ctrl_ins o_shdn_tx_lna", "src": "top.v:155.11-182.4|io_ctrl.v:27.22-27.35" } }, "io_ctrl_ins.o_tr_vc1": { "hide_name": 0, "bits": [ 6 ], "attributes": { "hdlname": "io_ctrl_ins o_tr_vc1", "src": "top.v:155.11-182.4|io_ctrl.v:24.22-24.30" } }, "io_ctrl_ins.o_tr_vc1_b": { "hide_name": 0, "bits": [ 7 ], "attributes": { "hdlname": "io_ctrl_ins o_tr_vc1_b", "src": "top.v:155.11-182.4|io_ctrl.v:25.22-25.32" } }, "io_ctrl_ins.o_tr_vc2": { "hide_name": 0, "bits": [ 8 ], "attributes": { "hdlname": "io_ctrl_ins o_tr_vc2", "src": "top.v:155.11-182.4|io_ctrl.v:26.22-26.30" } }, "io_ctrl_ins.pmod_dir_state": { "hide_name": 0, "bits": [ 78, 83, 116, 151, 149, 147, 145, 143 ], "attributes": { "hdlname": "io_ctrl_ins pmod_dir_state", "src": "top.v:155.11-182.4|io_ctrl.v:75.17-75.31" } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E": { "hide_name": 0, "bits": [ 142 ], "attributes": { } }, "io_ctrl_ins.pmod_state": { "hide_name": 0, "bits": [ 157, 140, 92, 104, 109, 156, 155, 50 ], "attributes": { "hdlname": "io_ctrl_ins pmod_state", "src": "top.v:155.11-182.4|io_ctrl.v:76.17-76.27" } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_E": { "hide_name": 0, "bits": [ 154 ], "attributes": { } }, "io_ctrl_ins.rf_mode": { "hide_name": 0, "bits": [ 119, 67, 68 ], "attributes": { "hdlname": "io_ctrl_ins rf_mode", "src": "top.v:155.11-182.4|io_ctrl.v:70.17-70.24" } }, "io_ctrl_ins.rf_mode_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 63 ], "attributes": { } }, "io_ctrl_ins.rf_pin_state": { "hide_name": 0, "bits": [ 96, 88, 90, 163, 162, 161, 160, 159 ], "attributes": { "hdlname": "io_ctrl_ins rf_pin_state", "src": "top.v:155.11-182.4|io_ctrl.v:77.17-77.29" } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E": { "hide_name": 0, "bits": [ 158 ], "attributes": { } }, "io_ctrl_ins.rx_h_b_state": { "hide_name": 0, "bits": [ 5 ], "attributes": { "hdlname": "io_ctrl_ins rx_h_b_state", "src": "top.v:155.11-182.4|io_ctrl.v:84.17-84.29" } }, "io_ctrl_ins.rx_h_b_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 164 ], "attributes": { "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8" } }, "io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 135, 136 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.rx_h_state": { "hide_name": 0, "bits": [ 4 ], "attributes": { "hdlname": "io_ctrl_ins rx_h_state", "src": "top.v:155.11-182.4|io_ctrl.v:83.17-83.27" } }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 166 ], "attributes": { "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8" } }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 70, 160, 165 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.rx_h_state_SB_LUT4_I3_I0": { "hide_name": 0, "bits": [ 54, 147, 91, 6 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.tr_vc_1_b_state": { "hide_name": 0, "bits": [ 7 ], "attributes": { "hdlname": "io_ctrl_ins tr_vc_1_b_state", "src": "top.v:155.11-182.4|io_ctrl.v:86.17-86.32" } }, "io_ctrl_ins.tr_vc_1_b_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 167 ], "attributes": { "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8" } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O": { "hide_name": 0, "bits": [ 109, 49, 110, 111 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.tr_vc_1_state": { "hide_name": 0, "bits": [ 6 ], "attributes": { "hdlname": "io_ctrl_ins tr_vc_1_state", "src": "top.v:155.11-182.4|io_ctrl.v:85.17-85.30" } }, "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 169 ], "attributes": { "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8" } }, "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0": { "hide_name": 0, "bits": [ 168, 67, 161, 70 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 131, 132 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.tr_vc_2_state": { "hide_name": 0, "bits": [ 8 ], "attributes": { "hdlname": "io_ctrl_ins tr_vc_2_state", "src": "top.v:155.11-182.4|io_ctrl.v:87.17-87.30" } }, "io_ctrl_ins.tr_vc_2_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 170 ], "attributes": { "src": "top.v:155.11-182.4|io_ctrl.v:209.5-307.8" } }, "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_I3": { "hide_name": 0, "bits": [ 55, 85 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O": { "hide_name": 0, "bits": [ 104, 49, 105, 106 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_pmod": { "hide_name": 0, "bits": [ 23, 24, 25, 26, 27, 28, 29, 30 ], "attributes": { "src": "top.v:43.17-43.24" } }, "io_smi_data": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42 ], "attributes": { "src": "top.v:79.17-79.28" } }, "lvds_clock": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "top.v:216.8-216.18" } }, "lvds_clock_buf": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "top.v:217.8-217.22" } }, "lvds_rx_09_inst.i_ddr_clk": { "hide_name": 0, "bits": [ 13 ], "attributes": { "hdlname": "lvds_rx_09_inst i_ddr_clk", "src": "top.v:312.11-323.4|lvds_rx.v:3.17-3.26" } }, "lvds_rx_09_inst.i_ddr_data": { "hide_name": 0, "bits": [ 172, 171 ], "attributes": { "hdlname": "lvds_rx_09_inst i_ddr_data", "src": "top.v:312.11-323.4|lvds_rx.v:4.17-4.27" } }, "lvds_rx_09_inst.i_fifo_full": { "hide_name": 0, "bits": [ 424 ], "attributes": { "hdlname": "lvds_rx_09_inst i_fifo_full", "src": "top.v:312.11-323.4|lvds_rx.v:6.23-6.34" } }, "lvds_rx_09_inst.i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "lvds_rx_09_inst i_rst_b", "src": "top.v:312.11-323.4|lvds_rx.v:2.17-2.24" } }, "lvds_rx_09_inst.i_sync_input": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "lvds_rx_09_inst i_sync_input", "src": "top.v:312.11-323.4|lvds_rx.v:10.23-10.35" } }, "lvds_rx_09_inst.o_debug_state": { "hide_name": 0, "bits": [ 237, 244 ], "attributes": { "hdlname": "lvds_rx_09_inst o_debug_state", "src": "top.v:312.11-323.4|lvds_rx.v:11.23-11.36" } }, "lvds_rx_09_inst.o_fifo_data": { "hide_name": 0, "bits": [ 240, 238, 177, 180, 202, 224, 226, 228, 230, 232, 234, 236, 182, 184, 186, 188, 190, 192, 194, 196, 198, 200, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222 ], "attributes": { "hdlname": "lvds_rx_09_inst o_fifo_data", "src": "top.v:312.11-323.4|lvds_rx.v:9.23-9.34" } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 1154, 175, 179, 201, 223, 225, 227, 229, 231, 233, 235, 181, 183, 185, 187, 189, 191, 193, 195, 197, 199, 203, 205, 207, 209, 211, 213, 215, 217, 219, 221 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:43.7-82.14|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22", "unused_bits": "0 " } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_R": { "hide_name": 0, "bits": [ 178 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_1_D": { "hide_name": 0, "bits": [ 239, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:43.7-82.14|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22", "unused_bits": "1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30" } }, "lvds_rx_09_inst.o_fifo_push": { "hide_name": 0, "bits": [ 243 ], "attributes": { "hdlname": "lvds_rx_09_inst o_fifo_push", "src": "top.v:312.11-323.4|lvds_rx.v:8.23-8.34" } }, "lvds_rx_09_inst.o_fifo_push_SB_DFFER_Q_D": { "hide_name": 0, "bits": [ 241 ], "attributes": { "src": "top.v:312.11-323.4|lvds_rx.v:36.3-84.6" } }, "lvds_rx_09_inst.o_fifo_push_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 242 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_write_clk": { "hide_name": 0, "bits": [ 13 ], "attributes": { "hdlname": "lvds_rx_09_inst o_fifo_write_clk", "src": "top.v:312.11-323.4|lvds_rx.v:7.23-7.39" } }, "lvds_rx_09_inst.r_phase_count": { "hide_name": 0, "bits": [ 246, 248, 1185 ], "attributes": { "hdlname": "lvds_rx_09_inst r_phase_count", "src": "top.v:312.11-323.4|lvds_rx.v:22.13-22.26", "unused_bits": "2" } }, "lvds_rx_09_inst.r_state_if": { "hide_name": 0, "bits": [ 237, 244 ], "attributes": { "hdlname": "lvds_rx_09_inst r_state_if", "src": "top.v:312.11-323.4|lvds_rx.v:21.13-21.23" } }, "lvds_rx_09_inst.r_state_if_SB_DFFER_Q_D": { "hide_name": 0, "bits": [ 251, 249 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:43.7-82.14|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" } }, "lvds_rx_09_inst.r_state_if_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 250 ], "attributes": { } }, "lvds_rx_09_inst.r_sync_input": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "lvds_rx_09_inst r_sync_input", "src": "top.v:312.11-323.4|lvds_rx.v:23.7-23.19" } }, "lvds_rx_24_inst.i_ddr_clk": { "hide_name": 0, "bits": [ 13 ], "attributes": { "hdlname": "lvds_rx_24_inst i_ddr_clk", "src": "top.v:325.11-338.4|lvds_rx.v:3.17-3.26" } }, "lvds_rx_24_inst.i_ddr_data": { "hide_name": 0, "bits": [ 1186, 255 ], "attributes": { "hdlname": "lvds_rx_24_inst i_ddr_data", "src": "top.v:325.11-338.4|lvds_rx.v:4.17-4.27", "unused_bits": "0 " } }, "lvds_rx_24_inst.i_fifo_full": { "hide_name": 0, "bits": [ 424 ], "attributes": { "hdlname": "lvds_rx_24_inst i_fifo_full", "src": "top.v:325.11-338.4|lvds_rx.v:6.23-6.34" } }, "lvds_rx_24_inst.i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "lvds_rx_24_inst i_rst_b", "src": "top.v:325.11-338.4|lvds_rx.v:2.17-2.24" } }, "lvds_rx_24_inst.i_sync_input": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "lvds_rx_24_inst i_sync_input", "src": "top.v:325.11-338.4|lvds_rx.v:10.23-10.35" } }, "lvds_rx_24_inst.o_debug_state": { "hide_name": 0, "bits": [ 318, 325 ], "attributes": { "hdlname": "lvds_rx_24_inst o_debug_state", "src": "top.v:325.11-338.4|lvds_rx.v:11.23-11.36" } }, "lvds_rx_24_inst.o_fifo_data": { "hide_name": 0, "bits": [ 321, 319, 258, 261, 283, 305, 307, 309, 311, 313, 315, 317, 263, 265, 267, 269, 271, 273, 275, 277, 279, 281, 285, 287, 289, 291, 293, 295, 297, 299, 301, 303 ], "attributes": { "hdlname": "lvds_rx_24_inst o_fifo_data", "src": "top.v:325.11-338.4|lvds_rx.v:9.23-9.34" } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 1187, 256, 260, 282, 304, 306, 308, 310, 312, 314, 316, 262, 264, 266, 268, 270, 272, 274, 276, 278, 280, 284, 286, 288, 290, 292, 294, 296, 298, 300, 302 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:43.7-82.14|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22", "unused_bits": "0 " } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_R": { "hide_name": 0, "bits": [ 259 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_1_D": { "hide_name": 0, "bits": [ 320, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:43.7-82.14|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22", "unused_bits": "1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30" } }, "lvds_rx_24_inst.o_fifo_push": { "hide_name": 0, "bits": [ 324 ], "attributes": { "hdlname": "lvds_rx_24_inst o_fifo_push", "src": "top.v:325.11-338.4|lvds_rx.v:8.23-8.34" } }, "lvds_rx_24_inst.o_fifo_push_SB_DFFER_Q_D": { "hide_name": 0, "bits": [ 322 ], "attributes": { "src": "top.v:325.11-338.4|lvds_rx.v:36.3-84.6" } }, "lvds_rx_24_inst.o_fifo_push_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 323 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_write_clk": { "hide_name": 0, "bits": [ 13 ], "attributes": { "hdlname": "lvds_rx_24_inst o_fifo_write_clk", "src": "top.v:325.11-338.4|lvds_rx.v:7.23-7.39" } }, "lvds_rx_24_inst.r_phase_count": { "hide_name": 0, "bits": [ 327, 329, 1218 ], "attributes": { "hdlname": "lvds_rx_24_inst r_phase_count", "src": "top.v:325.11-338.4|lvds_rx.v:22.13-22.26", "unused_bits": "2" } }, "lvds_rx_24_inst.r_state_if": { "hide_name": 0, "bits": [ 318, 325 ], "attributes": { "hdlname": "lvds_rx_24_inst r_state_if", "src": "top.v:325.11-338.4|lvds_rx.v:21.13-21.23" } }, "lvds_rx_24_inst.r_state_if_SB_DFFER_Q_D": { "hide_name": 0, "bits": [ 332, 330 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:43.7-82.14|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" } }, "lvds_rx_24_inst.r_state_if_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 331 ], "attributes": { } }, "lvds_rx_24_inst.r_sync_input": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "lvds_rx_24_inst r_sync_input", "src": "top.v:325.11-338.4|lvds_rx.v:23.7-23.19" } }, "lvds_tx_inst.i_debug_lb": { "hide_name": 0, "bits": [ "x" ], "attributes": { "hdlname": "lvds_tx_inst i_debug_lb", "src": "top.v:375.11-389.4|lvds_tx.v:13.21-13.31" } }, "lvds_tx_inst.i_fifo_empty": { "hide_name": 0, "bits": [ 339 ], "attributes": { "hdlname": "lvds_tx_inst i_fifo_empty", "src": "top.v:375.11-389.4|lvds_tx.v:6.23-6.35" } }, "lvds_tx_inst.i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "lvds_tx_inst i_rst_b", "src": "top.v:375.11-389.4|lvds_tx.v:2.18-2.25" } }, "lvds_tx_inst.i_sample_gap": { "hide_name": 0, "bits": [ "x", "x", "x", "x" ], "attributes": { "hdlname": "lvds_tx_inst i_sample_gap", "src": "top.v:375.11-389.4|lvds_tx.v:10.21-10.33" } }, "lvds_tx_inst.i_sync_input": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "lvds_tx_inst i_sync_input", "src": "top.v:375.11-389.4|lvds_tx.v:12.23-12.35" } }, "lvds_tx_inst.o_ddr_data": { "hide_name": 0, "bits": [ "0", "0" ], "attributes": { "hdlname": "lvds_tx_inst o_ddr_data", "src": "top.v:375.11-389.4|lvds_tx.v:4.21-4.31" } }, "lvds_tx_inst.o_fifo_pull": { "hide_name": 0, "bits": [ 338 ], "attributes": { "hdlname": "lvds_tx_inst o_fifo_pull", "src": "top.v:375.11-389.4|lvds_tx.v:8.21-8.32" } }, "lvds_tx_inst.o_sync_state_bit": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "lvds_tx_inst o_sync_state_bit", "src": "top.v:375.11-389.4|lvds_tx.v:15.21-15.37" } }, "lvds_tx_inst.o_tx_state_bit": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "lvds_tx_inst o_tx_state_bit", "src": "top.v:375.11-389.4|lvds_tx.v:14.21-14.35" } }, "lvds_tx_inst.r_fifo_data": { "hide_name": 0, "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "attributes": { "hdlname": "lvds_tx_inst r_fifo_data", "src": "top.v:375.11-389.4|lvds_tx.v:31.14-31.25" } }, "lvds_tx_inst.r_pulled": { "hide_name": 0, "bits": [ 338 ], "attributes": { "hdlname": "lvds_tx_inst r_pulled", "src": "top.v:375.11-389.4|lvds_tx.v:32.9-32.17" } }, "lvds_tx_inst.r_pulled_SB_DFFNESR_Q_D": { "hide_name": 0, "bits": [ 336 ], "attributes": { "src": "top.v:375.11-389.4|lvds_tx.v:102.37-102.50" } }, "lvds_tx_inst.r_pulled_SB_DFFNESR_Q_E": { "hide_name": 0, "bits": [ 337 ], "attributes": { } }, "lvds_tx_inst.r_pulled_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 340 ], "attributes": { } }, "lvds_tx_inst.r_pulled_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 347, 349, 1042, 343 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_tx_inst.r_state": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "lvds_tx_inst r_state", "src": "top.v:375.11-389.4|lvds_tx.v:29.9-29.16" } }, "o_iq_tx_clk_n": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "top.v:26.12-26.25" } }, "o_iq_tx_clk_p": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "top.v:25.12-25.25" } }, "o_iq_tx_n": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "top.v:24.12-24.21" } }, "o_iq_tx_p": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "top.v:23.12-23.21" } }, "o_led0": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "top.v:44.12-44.18" } }, "o_led1": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "top.v:45.12-45.18" } }, "o_miso": { "hide_name": 0, "bits": [ 47 ], "attributes": { "src": "top.v:87.12-87.18" } }, "o_mixer_en": { "hide_name": 0, "bits": [ "x" ], "attributes": { "src": "top.v:38.12-38.22" } }, "o_mixer_fm": { "hide_name": 0, "bits": [ "x" ], "attributes": { "src": "top.v:37.12-37.22" } }, "o_rx_h_tx_l": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "top.v:14.12-14.23" } }, "o_rx_h_tx_l_b": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "top.v:15.12-15.25" } }, "o_shdn_rx_lna": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "top.v:19.12-19.25" } }, "o_shdn_tx_lna": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "top.v:20.12-20.25" } }, "o_smi_read_req": { "hide_name": 0, "bits": [ 43 ], "attributes": { "src": "top.v:81.12-81.26" } }, "o_smi_write_req": { "hide_name": 0, "bits": [ "z" ], "attributes": { "src": "top.v:80.12-80.27" } }, "o_tr_vc1": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "top.v:16.12-16.20" } }, "o_tr_vc1_b": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "top.v:17.12-17.22" } }, "o_tr_vc2": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "top.v:18.12-18.20" } }, "r_counter": { "hide_name": 0, "bits": [ 61 ], "attributes": { "src": "top.v:94.14-94.23" } }, "r_counter_SB_DFFSR_Q_D": { "hide_name": 0, "bits": [ 353 ], "attributes": { "src": "top.v:196.20-196.30" } }, "r_tx_data": { "hide_name": 0, "bits": [ 373, 371, 369, 367, 356, 359, 361, 363 ], "attributes": { "src": "top.v:99.14-99.23" } }, "r_tx_data_SB_DFFESR_Q_3_D": { "hide_name": 0, "bits": [ 1219, 1220, 1221, 1222, 354, 358, 360, 362 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:0.0-0.0|top.v:198.7-204.14|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22", "unused_bits": "0 1 2 3" } }, "r_tx_data_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", 1223, "0", 1223, "0", "0", 1223, "0", 1223, 1224, 1225, 1226, "0", "0", "0", "0", "0", 1227, 1228, 1229, 1222, 354, 1230, 360, 1231 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:0.0-0.0|top.v:198.7-204.14|/usr/local/bin/../share/yosys/techmap.v:583.28-583.35", "unused_bits": "8 10 13 15 16 17 18 24 25 26 27 29 31" } }, "r_tx_data_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 372, 370, 368, 366, 1232, 1233, 1234, 1235 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:0.0-0.0|top.v:198.7-204.14|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22", "unused_bits": "4 5 6 7" } }, "rx_fifo.debug_pull": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "rx_fifo debug_pull", "src": "top.v:354.5-367.4|complex_fifo.v:19.18-19.28" } }, "rx_fifo.debug_push": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "rx_fifo debug_push", "src": "top.v:354.5-367.4|complex_fifo.v:20.18-20.28" } }, "rx_fifo.empty_o": { "hide_name": 0, "bits": [ 351 ], "attributes": { "hdlname": "rx_fifo empty_o", "src": "top.v:354.5-367.4|complex_fifo.v:17.19-17.26" } }, "rx_fifo.empty_o_SB_DFFSS_Q_D": { "hide_name": 0, "bits": [ 374 ], "attributes": { } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0": { "hide_name": 0, "bits": [ 375, 376, 377, 378 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0": { "hide_name": 0, "bits": [ 383, 384, 385, 386 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0_SB_LUT4_O_1_I3": { "hide_name": 0, "bits": [ 391, 392, 393, 394 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0": { "hide_name": 0, "bits": [ 379, 380, 381, 382 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I0": { "hide_name": 0, "bits": [ 387, 406, 407, 408 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I2": { "hide_name": 0, "bits": [ 408, 387, 409, 406 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 402, 403, 404, 405 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.empty_o_SB_LUT4_I3_I1": { "hide_name": 0, "bits": [ 415, 416, 351 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.empty_o_SB_LUT4_I3_I1_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 417, 418, 419, 420 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o": { "hide_name": 0, "bits": [ 424 ], "attributes": { "hdlname": "rx_fifo full_o", "src": "top.v:354.5-367.4|complex_fifo.v:16.19-16.25" } }, "rx_fifo.full_o_SB_DFFSR_Q_D": { "hide_name": 0, "bits": [ 423 ], "attributes": { } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0": { "hide_name": 0, "bits": [ 425, 426, 427, 428 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1": { "hide_name": 0, "bits": [ 432, 433, 434 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_1_I0": { "hide_name": 0, "bits": [ 439, 440, 438, 441 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0": { "hide_name": 0, "bits": [ 435, 436, 437, 438 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 429, 430, 431 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_1_I0": { "hide_name": 0, "bits": [ 454, 455, 446, 456 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_I0": { "hide_name": 0, "bits": [ 451, 452, 453, 443 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 1236, 446, 436, 438, 441, 452, 443, 444, 460 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27", "unused_bits": "0 " } }, "rx_fifo.full_o_SB_LUT4_I0_I3": { "hide_name": 0, "bits": [ 325, 334, 326, 318 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 433, 477, 458, 476 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.mem_i.0.0_RDATA": { "hide_name": 0, "bits": [ 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:22.13-22.18", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.mem_i.0.1_RDATA": { "hide_name": 0, "bits": [ 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:22.13-22.18", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.mem_i.0.2_RDATA": { "hide_name": 0, "bits": [ 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:22.13-22.18", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.mem_i.0.3_RDATA": { "hide_name": 0, "bits": [ 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:22.13-22.18", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.mem_q.0.0_RDATA": { "hide_name": 0, "bits": [ 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:22.13-22.18", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.mem_q.0.1_RDATA": { "hide_name": 0, "bits": [ 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:22.13-22.18", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.mem_q.0.2_RDATA": { "hide_name": 0, "bits": [ 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:22.13-22.18", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.mem_q.0.3_RDATA": { "hide_name": 0, "bits": [ 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:22.13-22.18", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.rd_addr": { "hide_name": 0, "bits": [ 421, 418, 420, 410, 407, 406, 412, 403, 404, 414 ], "attributes": { "hdlname": "rx_fifo rd_addr", "src": "top.v:354.5-367.4|complex_fifo.v:27.23-27.30" } }, "rx_fifo.rd_addr_gray": { "hide_name": 0, "bits": [ 660, 658, 656, 654, 653, 651, 649, 647, 646, 414 ], "attributes": { "hdlname": "rx_fifo rd_addr_gray", "src": "top.v:354.5-367.4|complex_fifo.v:28.23-28.35" } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_1_D": { "hide_name": 0, "bits": [ 645 ], "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:37.35-37.74" } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_4_D": { "hide_name": 0, "bits": [ 411, 650, 648, 413 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_5_D": { "hide_name": 0, "bits": [ 652 ], "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:37.35-37.74" } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_6_D": { "hide_name": 0, "bits": [ 399, 400, 401, 402 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_7_D": { "hide_name": 0, "bits": [ 655 ], "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:37.35-37.74" } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_9_D": { "hide_name": 0, "bits": [ 657, 417, 422, 659 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_I3": { "hide_name": 0, "bits": [ "0", 421, 668, 667, 666, 665, 664, 663, 662, 661 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "rx_fifo.rd_addr_gray_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 644, 643, 392, 393, 388, 389, 642, 641, 398, 397 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, "rx_fifo.rd_addr_gray_wr": { "hide_name": 0, "bits": [ 678, 677, 676, 675, 674, 673, 672, 671, 670, 669 ], "attributes": { "hdlname": "rx_fifo rd_addr_gray_wr", "src": "top.v:354.5-367.4|complex_fifo.v:29.23-29.38" } }, "rx_fifo.rd_addr_gray_wr_r": { "hide_name": 0, "bits": [ 448, 455, 445, 437, 440, 461, 453, 442, 458, 459 ], "attributes": { "hdlname": "rx_fifo rd_addr_gray_wr_r", "src": "top.v:354.5-367.4|complex_fifo.v:30.23-30.40" } }, "rx_fifo.rd_clk_i": { "hide_name": 0, "bits": [ 61 ], "attributes": { "hdlname": "rx_fifo rd_clk_i", "src": "top.v:354.5-367.4|complex_fifo.v:12.28-12.36" } }, "rx_fifo.rd_data_o": { "hide_name": 0, "bits": [ 561, 569, 565, 573, 581, 589, 585, 593, 601, 609, 605, 613, 621, 629, 625, 633, 480, 488, 484, 492, 501, 509, 505, 513, 521, 529, 525, 533, 541, 549, 545, 553 ], "attributes": { "hdlname": "rx_fifo rd_data_o", "src": "top.v:354.5-367.4|complex_fifo.v:14.32-14.41" } }, "rx_fifo.rd_en_i": { "hide_name": 0, "bits": [ 390 ], "attributes": { "hdlname": "rx_fifo rd_en_i", "src": "top.v:354.5-367.4|complex_fifo.v:13.28-13.35" } }, "rx_fifo.rd_en_i_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 640 ], "attributes": { } }, "rx_fifo.rd_rst_b_i": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "rx_fifo rd_rst_b_i", "src": "top.v:354.5-367.4|complex_fifo.v:11.28-11.38" } }, "rx_fifo.wr_addr": { "hide_name": 0, "bits": [ 457, 456, 474, 472, 470, 468, 466, 464, 462, 495 ], "attributes": { "hdlname": "rx_fifo wr_addr", "src": "top.v:354.5-367.4|complex_fifo.v:23.23-23.30" } }, "rx_fifo.wr_addr_gray": { "hide_name": 0, "bits": [ 712, 711, 709, 707, 705, 703, 694, 692, 690, 495 ], "attributes": { "hdlname": "rx_fifo wr_addr_gray", "src": "top.v:354.5-367.4|complex_fifo.v:24.23-24.35" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_2_D": { "hide_name": 0, "bits": [ 691 ], "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:37.35-37.74" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D": { "hide_name": 0, "bits": [ 453, 693 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 696, 695, 697, 698 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_I3_O_SB_LUT4_O_1_I0": { "hide_name": 0, "bits": [ 700, 442, 682, 478 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0": { "hide_name": 0, "bits": [ 701, 686, 437, 685 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_I3_O_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 440, 685, 699, 684 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D": { "hide_name": 0, "bits": [ 702 ], "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:37.35-37.74" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D": { "hide_name": 0, "bits": [ 706 ], "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:37.35-37.74" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D": { "hide_name": 0, "bits": [ 708 ], "attributes": { "src": "top.v:354.5-367.4|complex_fifo.v:37.35-37.74" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_8_D": { "hide_name": 0, "bits": [ 455, 710, 704, 440 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_9_D": { "hide_name": 0, "bits": [ 447, 448, 449, 450 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3": { "hide_name": 0, "bits": [ "0", 457, 721, 720, 719, 718, 717, 716, 715, 714 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_1_O": { "hide_name": 0, "bits": [ 689, 688, 687, 686, 685, 684, 683, 682, 478, 475 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, "rx_fifo.wr_addr_gray_SB_LUT4_I2_I3": { "hide_name": 0, "bits": [ "0", 456, 473, 471, 469, 467, 465, 463, 713 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:354.5-367.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "rx_fifo.wr_addr_gray_rd": { "hide_name": 0, "bits": [ 731, 730, 729, 728, 727, 726, 725, 724, 723, 722 ], "attributes": { "hdlname": "rx_fifo wr_addr_gray_rd", "src": "top.v:354.5-367.4|complex_fifo.v:25.23-25.38" } }, "rx_fifo.wr_addr_gray_rd_r": { "hide_name": 0, "bits": [ 422, 417, 391, 400, 387, 411, 413, 402, 395, 396 ], "attributes": { "hdlname": "rx_fifo wr_addr_gray_rd_r", "src": "top.v:354.5-367.4|complex_fifo.v:26.23-26.40" } }, "rx_fifo.wr_clk_i": { "hide_name": 0, "bits": [ 13 ], "attributes": { "hdlname": "rx_fifo wr_clk_i", "src": "top.v:354.5-367.4|complex_fifo.v:7.28-7.36" } }, "rx_fifo.wr_data_i": { "hide_name": 0, "bits": [ 576, 578, 577, 579, 596, 598, 597, 599, 616, 618, 617, 619, 636, 638, 637, 639, 496, 498, 497, 499, 516, 518, 517, 519, 536, 538, 537, 539, 556, 558, 557, 559 ], "attributes": { "hdlname": "rx_fifo wr_data_i", "src": "top.v:354.5-367.4|complex_fifo.v:9.32-9.41" } }, "rx_fifo.wr_en_i": { "hide_name": 0, "bits": [ 433 ], "attributes": { "hdlname": "rx_fifo wr_en_i", "src": "top.v:354.5-367.4|complex_fifo.v:8.28-8.35" } }, "rx_fifo.wr_en_i_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 681 ], "attributes": { } }, "rx_fifo.wr_rst_b_i": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "rx_fifo wr_rst_b_i", "src": "top.v:354.5-367.4|complex_fifo.v:6.28-6.38" } }, "smi_ctrl_ins.i_cs": { "hide_name": 0, "bits": [ 733 ], "attributes": { "hdlname": "smi_ctrl_ins i_cs", "src": "top.v:421.12-453.4|smi_ctrl.v:9.25-9.29" } }, "smi_ctrl_ins.i_data_in": { "hide_name": 0, "bits": [ 65, 62, 152, 150, 148, 146, 144, 141 ], "attributes": { "hdlname": "smi_ctrl_ins i_data_in", "src": "top.v:421.12-453.4|smi_ctrl.v:7.25-7.34" } }, "smi_ctrl_ins.i_fetch_cmd": { "hide_name": 0, "bits": [ 81 ], "attributes": { "hdlname": "smi_ctrl_ins i_fetch_cmd", "src": "top.v:421.12-453.4|smi_ctrl.v:10.25-10.36" } }, "smi_ctrl_ins.i_ioc": { "hide_name": 0, "bits": [ 52, 58, 55, 57, 56 ], "attributes": { "hdlname": "smi_ctrl_ins i_ioc", "src": "top.v:421.12-453.4|smi_ctrl.v:6.25-6.30" } }, "smi_ctrl_ins.i_load_cmd": { "hide_name": 0, "bits": [ 82 ], "attributes": { "hdlname": "smi_ctrl_ins i_load_cmd", "src": "top.v:421.12-453.4|smi_ctrl.v:11.25-11.35" } }, "smi_ctrl_ins.i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "smi_ctrl_ins i_rst_b", "src": "top.v:421.12-453.4|smi_ctrl.v:3.25-3.32" } }, "smi_ctrl_ins.i_rx_fifo_empty": { "hide_name": 0, "bits": [ 351 ], "attributes": { "hdlname": "smi_ctrl_ins i_rx_fifo_empty", "src": "top.v:421.12-453.4|smi_ctrl.v:16.25-16.40" } }, "smi_ctrl_ins.i_rx_fifo_pulled_data": { "hide_name": 0, "bits": [ 561, 569, 565, 573, 581, 589, 585, 593, 601, 609, 605, 613, 621, 629, 625, 633, 480, 488, 484, 492, 501, 509, 505, 513, 521, 529, 525, 533, 541, 549, 545, 553 ], "attributes": { "hdlname": "smi_ctrl_ins i_rx_fifo_pulled_data", "src": "top.v:421.12-453.4|smi_ctrl.v:15.25-15.46" } }, "smi_ctrl_ins.i_smi_data_in": { "hide_name": 0, "bits": [ 879, 880, 881, 882, 883, 884, 885, 869 ], "attributes": { "hdlname": "smi_ctrl_ins i_smi_data_in", "src": "top.v:421.12-453.4|smi_ctrl.v:27.25-27.38", "unused_bits": "0 1 2 3 4 5 6" } }, "smi_ctrl_ins.i_smi_soe_se": { "hide_name": 0, "bits": [ 33 ], "attributes": { "hdlname": "smi_ctrl_ins i_smi_soe_se", "src": "top.v:421.12-453.4|smi_ctrl.v:24.25-24.37" } }, "smi_ctrl_ins.i_smi_swe_srw": { "hide_name": 0, "bits": [ 34 ], "attributes": { "hdlname": "smi_ctrl_ins i_smi_swe_srw", "src": "top.v:421.12-453.4|smi_ctrl.v:25.25-25.38" } }, "smi_ctrl_ins.i_smi_test": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "smi_ctrl_ins i_smi_test", "src": "top.v:421.12-453.4|smi_ctrl.v:30.25-30.35" } }, "smi_ctrl_ins.i_sys_clk": { "hide_name": 0, "bits": [ 61 ], "attributes": { "hdlname": "smi_ctrl_ins i_sys_clk", "src": "top.v:421.12-453.4|smi_ctrl.v:4.25-4.34" } }, "smi_ctrl_ins.i_tx_fifo_full": { "hide_name": 0, "bits": [ 352 ], "attributes": { "hdlname": "smi_ctrl_ins i_tx_fifo_full", "src": "top.v:421.12-453.4|smi_ctrl.v:20.25-20.39" } }, "smi_ctrl_ins.int_cnt_rx": { "hide_name": 0, "bits": [ "0", "0", "0", 738, 736 ], "attributes": { "hdlname": "smi_ctrl_ins int_cnt_rx", "src": "top.v:421.12-453.4|smi_ctrl.v:110.15-110.25" } }, "smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q_1_D": { "hide_name": 0, "bits": [ 737, 736 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:142.31-142.45|/usr/local/bin/../share/yosys/techmap.v:270.23-270.24" } }, "smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q_D": { "hide_name": 0, "bits": [ 737, 735 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:142.31-142.45|/usr/local/bin/../share/yosys/techmap.v:270.26-270.27" } }, "smi_ctrl_ins.o_channel": { "hide_name": 0, "bits": [ 740 ], "attributes": { "hdlname": "smi_ctrl_ins o_channel", "src": "top.v:421.12-453.4|smi_ctrl.v:31.25-31.34" } }, "smi_ctrl_ins.o_data_out": { "hide_name": 0, "bits": [ 744, 743, 742, "0", "0", "0", "0", "0" ], "attributes": { "hdlname": "smi_ctrl_ins o_data_out", "src": "top.v:421.12-453.4|smi_ctrl.v:8.25-8.35" } }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E": { "hide_name": 0, "bits": [ 741 ], "attributes": { } }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q_S": { "hide_name": 0, "bits": [ 118, 119 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_data_out_SB_LUT4_I1_1_O": { "hide_name": 0, "bits": [ 357, 997, 747 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_data_out_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 994, 357, 365, 746 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_rx_fifo_pull": { "hide_name": 0, "bits": [ 390 ], "attributes": { "hdlname": "smi_ctrl_ins o_rx_fifo_pull", "src": "top.v:421.12-453.4|smi_ctrl.v:14.25-14.39" } }, "smi_ctrl_ins.o_smi_data_out": { "hide_name": 0, "bits": [ 763, 761, 759, 757, 755, 753, 751, 749 ], "attributes": { "hdlname": "smi_ctrl_ins o_smi_data_out", "src": "top.v:421.12-453.4|smi_ctrl.v:26.25-26.39" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D": { "hide_name": 0, "bits": [ 762, 760, 758, 756, 754, 752, 750, 748 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:421.12-453.4|smi_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:137.23-137.24" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_1_I3": { "hide_name": 0, "bits": [ 767, 768, 736, 769 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_2_I3": { "hide_name": 0, "bits": [ 772, 773, 736, 774 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_3_I3": { "hide_name": 0, "bits": [ 777, 778, 736, 779 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_4_I3": { "hide_name": 0, "bits": [ 782, 783, 736, 784 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_5_I3": { "hide_name": 0, "bits": [ 787, 788, 736, 789 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_6_I3": { "hide_name": 0, "bits": [ 792, 793, 736, 794 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_7_I3": { "hide_name": 0, "bits": [ 797, 798, 736, 799 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 764, 765, 736, 766 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_tx_fifo_clock": { "hide_name": 0, "bits": [ 61 ], "attributes": { "hdlname": "smi_ctrl_ins o_tx_fifo_clock", "src": "top.v:421.12-453.4|smi_ctrl.v:21.25-21.40" } }, "smi_ctrl_ins.o_tx_fifo_pushed_data": { "hide_name": 0, "bits": [ "0", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "0", "x" ], "attributes": { "hdlname": "smi_ctrl_ins o_tx_fifo_pushed_data", "src": "top.v:421.12-453.4|smi_ctrl.v:19.25-19.46" } }, "smi_ctrl_ins.r_channel": { "hide_name": 0, "bits": [ 740 ], "attributes": { "hdlname": "smi_ctrl_ins r_channel", "src": "top.v:421.12-453.4|smi_ctrl.v:115.9-115.18" } }, "smi_ctrl_ins.r_channel_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 804 ], "attributes": { } }, "smi_ctrl_ins.r_fifo_pull": { "hide_name": 0, "bits": [ 680 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_pull", "src": "top.v:421.12-453.4|smi_ctrl.v:112.9-112.20" } }, "smi_ctrl_ins.r_fifo_pull_1": { "hide_name": 0, "bits": [ 679 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_pull_1", "src": "top.v:421.12-453.4|smi_ctrl.v:113.9-113.22" } }, "smi_ctrl_ins.r_fifo_pulled_data": { "hide_name": 0, "bits": [ 797, 792, 787, 782, 777, 772, 767, 764, 798, 793, 788, 783, 778, 773, 768, 765, 800, 795, 790, 785, 780, 775, 770, 802, 801, 796, 791, 786, 781, 776, 771, 803 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_pulled_data", "src": "top.v:421.12-453.4|smi_ctrl.v:117.16-117.34" } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_E": { "hide_name": 0, "bits": [ 739 ], "attributes": { } }, "smi_ctrl_ins.r_fifo_push": { "hide_name": 0, "bits": [ 806 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_push", "src": "top.v:421.12-453.4|smi_ctrl.v:179.9-179.20" } }, "smi_ctrl_ins.r_fifo_push_1": { "hide_name": 0, "bits": [ 807 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_push_1", "src": "top.v:421.12-453.4|smi_ctrl.v:180.9-180.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 808, 3 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 809 ], "attributes": { } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_I2": { "hide_name": 0, "bits": [ 810, 808 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 1237, 814, 833, 830, 827, 824, 821, 818, 853 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27", "unused_bits": "0 " } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 812, 813, 814, 815 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 846, 850, 811, 841 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I0": { "hide_name": 0, "bits": [ 837, 838, 839, 840 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I0": { "hide_name": 0, "bits": [ 854, 821, 855, 818 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0": { "hide_name": 0, "bits": [ 857, 858, 827, 824 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_3_I0": { "hide_name": 0, "bits": [ 860, 833, 861, 830 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_pushed_data": { "hide_name": 0, "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "0", "x" ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_pushed_data", "src": "top.v:421.12-453.4|smi_ctrl.v:175.16-175.34" } }, "smi_ctrl_ins.soe_and_reset": { "hide_name": 0, "bits": [ 734 ], "attributes": { "hdlname": "smi_ctrl_ins soe_and_reset", "src": "top.v:421.12-453.4|smi_ctrl.v:119.10-119.23" } }, "smi_ctrl_ins.swe_and_reset": { "hide_name": 0, "bits": [ 864 ], "attributes": { "hdlname": "smi_ctrl_ins swe_and_reset", "src": "top.v:421.12-453.4|smi_ctrl.v:182.10-182.23" } }, "smi_ctrl_ins.tx_reg_state": { "hide_name": 0, "bits": [ 870, 872, 868, 866 ], "attributes": { "onehot": "00000000000000000000000000000001" } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_1_D": { "hide_name": 0, "bits": [ 867 ], "attributes": { } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_2_D": { "hide_name": 0, "bits": [ 871 ], "attributes": { } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D": { "hide_name": 0, "bits": [ 873 ], "attributes": { } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 869, 870, 874 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D_SB_LUT4_O_I3_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 869, 875, 866, 3 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_D": { "hide_name": 0, "bits": [ 865 ], "attributes": { } }, "smi_ctrl_ins.w_fifo_pull_trigger": { "hide_name": 0, "bits": [ 805 ], "attributes": { "hdlname": "smi_ctrl_ins w_fifo_pull_trigger", "src": "top.v:421.12-453.4|smi_ctrl.v:114.10-114.29" } }, "smi_ctrl_ins.w_fifo_pull_trigger_SB_DFFNE_Q_D": { "hide_name": 0, "bits": [ 876 ], "attributes": { "src": "top.v:421.12-453.4|smi_ctrl.v:132.37-132.55" } }, "smi_ctrl_ins.w_fifo_push_trigger": { "hide_name": 0, "bits": [ 863 ], "attributes": { "hdlname": "smi_ctrl_ins w_fifo_push_trigger", "src": "top.v:421.12-453.4|smi_ctrl.v:181.10-181.29" } }, "smi_ctrl_ins.w_fifo_push_trigger_SB_DFFNSR_Q_D": { "hide_name": 0, "bits": [ 877 ], "attributes": { } }, "smi_ctrl_ins.w_fifo_push_trigger_SB_DFFNSR_Q_R": { "hide_name": 0, "bits": [ 878 ], "attributes": { } }, "spi_if_ins.i_data_out": { "hide_name": 0, "bits": [ 373, 371, 369, 367, 356, 359, 361, 363 ], "attributes": { "hdlname": "spi_if_ins i_data_out", "src": "top.v:111.10-126.4|spi_if.v:9.22-9.32" } }, "spi_if_ins.i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "spi_if_ins i_rst_b", "src": "top.v:111.10-126.4|spi_if.v:4.11-4.18" } }, "spi_if_ins.i_spi_cs_b": { "hide_name": 0, "bits": [ 46 ], "attributes": { "hdlname": "spi_if_ins i_spi_cs_b", "src": "top.v:111.10-126.4|spi_if.v:18.12-18.22" } }, "spi_if_ins.i_spi_mosi": { "hide_name": 0, "bits": [ 44 ], "attributes": { "hdlname": "spi_if_ins i_spi_mosi", "src": "top.v:111.10-126.4|spi_if.v:17.12-17.22" } }, "spi_if_ins.i_spi_sck": { "hide_name": 0, "bits": [ 45 ], "attributes": { "hdlname": "spi_if_ins i_spi_sck", "src": "top.v:111.10-126.4|spi_if.v:15.12-15.21" } }, "spi_if_ins.i_sys_clk": { "hide_name": 0, "bits": [ 61 ], "attributes": { "hdlname": "spi_if_ins i_sys_clk", "src": "top.v:111.10-126.4|spi_if.v:5.11-5.20" } }, "spi_if_ins.o_cs": { "hide_name": 0, "bits": [ 890, 74, 733, 887 ], "attributes": { "hdlname": "spi_if_ins o_cs", "src": "top.v:111.10-126.4|spi_if.v:10.22-10.26" } }, "spi_if_ins.o_cs_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ "0", "0", "0", 886, "0", "0", 732, "0", "0", 72, "0", "0" ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:65.11-70.18|spi_if.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:583.28-583.35" } }, "spi_if_ins.o_cs_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 745, 742, 364, 114 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.o_cs_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 891, 3 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.o_cs_SB_LUT4_I2_O_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 355 ], "attributes": { } }, "spi_if_ins.o_data_in": { "hide_name": 0, "bits": [ 65, 62, 152, 150, 148, 146, 144, 141 ], "attributes": { "hdlname": "spi_if_ins o_data_in", "src": "top.v:111.10-126.4|spi_if.v:8.22-8.31" } }, "spi_if_ins.o_fetch_cmd": { "hide_name": 0, "bits": [ 81 ], "attributes": { "hdlname": "spi_if_ins o_fetch_cmd", "src": "top.v:111.10-126.4|spi_if.v:11.22-11.33" } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 988, 899, 904 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E": { "hide_name": 0, "bits": [ 900 ], "attributes": { } }, "spi_if_ins.o_ioc": { "hide_name": 0, "bits": [ 52, 58, 55, 57, 56 ], "attributes": { "hdlname": "spi_if_ins o_ioc", "src": "top.v:111.10-126.4|spi_if.v:7.22-7.27" } }, "spi_if_ins.o_ioc_SB_DFFE_Q_E": { "hide_name": 0, "bits": [ 73 ], "attributes": { } }, "spi_if_ins.o_load_cmd": { "hide_name": 0, "bits": [ 82 ], "attributes": { "hdlname": "spi_if_ins o_load_cmd", "src": "top.v:111.10-126.4|spi_if.v:12.22-12.32" } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 902, 903, 904, 3 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_E": { "hide_name": 0, "bits": [ 907 ], "attributes": { } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_R": { "hide_name": 0, "bits": [ 901 ], "attributes": { } }, "spi_if_ins.o_load_cmd_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 49, 153 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.o_spi_miso": { "hide_name": 0, "bits": [ 350 ], "attributes": { "hdlname": "spi_if_ins o_spi_miso", "src": "top.v:111.10-126.4|spi_if.v:16.12-16.22" } }, "spi_if_ins.r_tx_byte": { "hide_name": 0, "bits": [ 922, 921, 920, 919, 918, 917, 916, 915 ], "attributes": { "hdlname": "spi_if_ins r_tx_byte", "src": "top.v:111.10-126.4|spi_if.v:33.14-33.23" } }, "spi_if_ins.r_tx_data_valid": { "hide_name": 0, "bits": [ 924 ], "attributes": { "hdlname": "spi_if_ins r_tx_data_valid", "src": "top.v:111.10-126.4|spi_if.v:32.14-32.29" } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 906, 910, 3 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E": { "hide_name": 0, "bits": [ 923 ], "attributes": { } }, "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 927, 926, 925 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.spi.SCKr": { "hide_name": 0, "bits": [ 928, 926, 927 ], "attributes": { "hdlname": "spi_if_ins spi SCKr", "src": "top.v:111.10-126.4|spi_slave.v:61.13-61.17|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.SCKr_SB_LUT4_I0_O": { "hide_name": 0, "bits": [ 944, 931, 925 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.spi.i_spi_cs_b": { "hide_name": 0, "bits": [ 46 ], "attributes": { "hdlname": "spi_if_ins spi i_spi_cs_b", "src": "top.v:111.10-126.4|spi_slave.v:13.16-13.26|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.i_spi_mosi": { "hide_name": 0, "bits": [ 44 ], "attributes": { "hdlname": "spi_if_ins spi i_spi_mosi", "src": "top.v:111.10-126.4|spi_slave.v:12.16-12.26|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.i_spi_sck": { "hide_name": 0, "bits": [ 45 ], "attributes": { "hdlname": "spi_if_ins spi i_spi_sck", "src": "top.v:111.10-126.4|spi_slave.v:10.16-10.25|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.i_sys_clk": { "hide_name": 0, "bits": [ 61 ], "attributes": { "hdlname": "spi_if_ins spi i_sys_clk", "src": "top.v:111.10-126.4|spi_slave.v:3.22-3.31|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.i_tx_byte": { "hide_name": 0, "bits": [ 922, 921, 920, 919, 918, 917, 916, 915 ], "attributes": { "hdlname": "spi_if_ins spi i_tx_byte", "src": "top.v:111.10-126.4|spi_slave.v:7.22-7.31|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.i_tx_data_valid": { "hide_name": 0, "bits": [ 924 ], "attributes": { "hdlname": "spi_if_ins spi i_tx_data_valid", "src": "top.v:111.10-126.4|spi_slave.v:6.22-6.37|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.o_rx_byte": { "hide_name": 0, "bits": [ 898, 897, 896, 895, 894, 889, 888, 892 ], "attributes": { "hdlname": "spi_if_ins spi o_rx_byte", "src": "top.v:111.10-126.4|spi_slave.v:5.22-5.31|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.o_rx_data_valid": { "hide_name": 0, "bits": [ 904 ], "attributes": { "hdlname": "spi_if_ins spi o_rx_data_valid", "src": "top.v:111.10-126.4|spi_slave.v:4.22-4.37|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.o_spi_miso": { "hide_name": 0, "bits": [ 350 ], "attributes": { "hdlname": "spi_if_ins spi o_spi_miso", "src": "top.v:111.10-126.4|spi_slave.v:11.16-11.26|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 941 ], "attributes": { "src": "top.v:111.10-126.4|spi_slave.v:68.3-84.6|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 915, 943, 925 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 944, 945, 946, 947 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0": { "hide_name": 0, "bits": [ 952, 953, 929, 944 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E": { "hide_name": 0, "bits": [ 942 ], "attributes": { } }, "spi_if_ins.spi.r2_rx_done": { "hide_name": 0, "bits": [ 959 ], "attributes": { "hdlname": "spi_if_ins spi r2_rx_done", "src": "top.v:111.10-126.4|spi_slave.v:21.7-21.17|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.r3_rx_done": { "hide_name": 0, "bits": [ 960 ], "attributes": { "hdlname": "spi_if_ins spi r3_rx_done", "src": "top.v:111.10-126.4|spi_slave.v:22.7-22.17|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 933 ], "attributes": { "src": "top.v:111.10-126.4|spi_slave.v:48.3-59.6|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.r_rx_bit_count": { "hide_name": 0, "bits": [ 966, 964, 962 ], "attributes": { "hdlname": "spi_if_ins spi r_rx_bit_count", "src": "top.v:111.10-126.4|spi_slave.v:16.13-16.27|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_2_D": { "hide_name": 0, "bits": [ 965, 964, 962 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:32.25-32.43|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.23-33.24" } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D": { "hide_name": 0, "bits": [ 965, 963, 961 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:32.25-32.43|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ "0", 966, 967 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:32.25-32.43|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "spi_if_ins.spi.r_rx_byte": { "hide_name": 0, "bits": [ 940, 939, 938, 937, 936, 935, 934, 932 ], "attributes": { "hdlname": "spi_if_ins spi r_rx_byte", "src": "top.v:111.10-126.4|spi_slave.v:19.13-19.22|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.r_rx_done": { "hide_name": 0, "bits": [ 958 ], "attributes": { "hdlname": "spi_if_ins spi r_rx_done", "src": "top.v:111.10-126.4|spi_slave.v:20.7-20.16|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 46, 976 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 969 ], "attributes": { } }, "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E": { "hide_name": 0, "bits": [ 977 ], "attributes": { } }, "spi_if_ins.spi.r_temp_rx_byte": { "hide_name": 0, "bits": [ 975, 974, 973, 972, 971, 970, 968, "x" ], "attributes": { "hdlname": "spi_if_ins spi r_temp_rx_byte", "src": "top.v:111.10-126.4|spi_slave.v:18.13-18.27|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.r_tx_bit_count": { "hide_name": 0, "bits": [ 929, 944, 930 ], "attributes": { "hdlname": "spi_if_ins spi r_tx_bit_count", "src": "top.v:111.10-126.4|spi_slave.v:17.13-17.27|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 978, 982, 981 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:75.27-75.45|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ "1", 929, 980 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_slave.v:75.27-75.45|spi_if.v:43.13-54.4|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R": { "hide_name": 0, "bits": [ 979 ], "attributes": { } }, "spi_if_ins.spi.r_tx_byte": { "hide_name": 0, "bits": [ 950, 948, 954, 956, 951, 949, 955, 957 ], "attributes": { "hdlname": "spi_if_ins spi r_tx_byte", "src": "top.v:111.10-126.4|spi_slave.v:23.13-23.22|spi_if.v:43.13-54.4" } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E": { "hide_name": 0, "bits": [ 983 ], "attributes": { } }, "spi_if_ins.state_if": { "hide_name": 0, "bits": [ 908, 909, 903 ], "attributes": { "hdlname": "spi_if_ins state_if", "src": "top.v:111.10-126.4|spi_if.v:29.14-29.22" } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D": { "hide_name": 0, "bits": [ 985 ], "attributes": { } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 986, 3 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I1_SB_LUT4_I2_1_O": { "hide_name": 0, "bits": [ 904, 905, 906, 3 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I1_SB_LUT4_I2_1_O_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 914 ], "attributes": { } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I1_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 910, 911, 912 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.state_if_SB_DFFESR_Q_2_D": { "hide_name": 0, "bits": [ 986, 892, 987 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.state_if_SB_DFFESR_Q_2_D_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 988, 3, 904 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.state_if_SB_DFFESR_Q_2_D_SB_LUT4_O_I1_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 893 ], "attributes": { } }, "spi_if_ins.state_if_SB_DFFESR_Q_E": { "hide_name": 0, "bits": [ 984 ], "attributes": { } }, "spi_if_ins.w_rx_data": { "hide_name": 0, "bits": [ 898, 897, 896, 895, 894, 889, 888, 892 ], "attributes": { "hdlname": "spi_if_ins w_rx_data", "src": "top.v:111.10-126.4|spi_if.v:31.14-31.23" } }, "spi_if_ins.w_rx_data_valid": { "hide_name": 0, "bits": [ 904 ], "attributes": { "hdlname": "spi_if_ins w_rx_data_valid", "src": "top.v:111.10-126.4|spi_if.v:30.14-30.29" } }, "sys_ctrl_ins.i_cs": { "hide_name": 0, "bits": [ 890 ], "attributes": { "hdlname": "sys_ctrl_ins i_cs", "src": "top.v:132.12-146.4|sys_ctrl.v:9.29-9.33" } }, "sys_ctrl_ins.i_cs_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 75, 1238, 1239, 1240 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:111.10-126.4|spi_if.v:65.11-70.18|spi_if.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22", "unused_bits": "1 2 3" } }, "sys_ctrl_ins.i_cs_SB_LUT4_I2_I1": { "hide_name": 0, "bits": [ 989, 890, 81 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "sys_ctrl_ins.i_data_in": { "hide_name": 0, "bits": [ 65, 62, 152, 150, 148, 146, 144, 141 ], "attributes": { "hdlname": "sys_ctrl_ins i_data_in", "src": "top.v:132.12-146.4|sys_ctrl.v:7.29-7.38" } }, "sys_ctrl_ins.i_fetch_cmd": { "hide_name": 0, "bits": [ 81 ], "attributes": { "hdlname": "sys_ctrl_ins i_fetch_cmd", "src": "top.v:132.12-146.4|sys_ctrl.v:10.29-10.40" } }, "sys_ctrl_ins.i_ioc": { "hide_name": 0, "bits": [ 52, 58, 55, 57, 56 ], "attributes": { "hdlname": "sys_ctrl_ins i_ioc", "src": "top.v:132.12-146.4|sys_ctrl.v:6.29-6.34" } }, "sys_ctrl_ins.i_load_cmd": { "hide_name": 0, "bits": [ 82 ], "attributes": { "hdlname": "sys_ctrl_ins i_load_cmd", "src": "top.v:132.12-146.4|sys_ctrl.v:11.29-11.39" } }, "sys_ctrl_ins.i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "sys_ctrl_ins i_rst_b", "src": "top.v:132.12-146.4|sys_ctrl.v:3.29-3.36" } }, "sys_ctrl_ins.i_sys_clk": { "hide_name": 0, "bits": [ 61 ], "attributes": { "hdlname": "sys_ctrl_ins i_sys_clk", "src": "top.v:132.12-146.4|sys_ctrl.v:4.29-4.38" } }, "sys_ctrl_ins.o_data_out": { "hide_name": 0, "bits": [ 1000, 997, 994, 992, "0", "0", "0", "0" ], "attributes": { "hdlname": "sys_ctrl_ins o_data_out", "src": "top.v:132.12-146.4|sys_ctrl.v:8.29-8.39" } }, "sys_ctrl_ins.o_data_out_SB_DFFER_Q_1_D": { "hide_name": 0, "bits": [ 993 ], "attributes": { } }, "sys_ctrl_ins.o_data_out_SB_DFFER_Q_2_D": { "hide_name": 0, "bits": [ 996 ], "attributes": { } }, "sys_ctrl_ins.o_data_out_SB_DFFER_Q_3_D": { "hide_name": 0, "bits": [ 999 ], "attributes": { } }, "sys_ctrl_ins.o_data_out_SB_DFFER_Q_D": { "hide_name": 0, "bits": [ 991 ], "attributes": { } }, "sys_ctrl_ins.o_data_out_SB_DFFER_Q_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 81, 890, 82, 127 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "sys_ctrl_ins.o_data_out_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 990 ], "attributes": { } }, "sys_ctrl_ins.o_debug_loopback_tx": { "hide_name": 0, "bits": [ "x" ], "attributes": { "hdlname": "sys_ctrl_ins o_debug_loopback_tx", "src": "top.v:132.12-146.4|sys_ctrl.v:17.29-17.48" } }, "sys_ctrl_ins.o_tx_sample_gap": { "hide_name": 0, "bits": [ "x", "x", "x", "x" ], "attributes": { "hdlname": "sys_ctrl_ins o_tx_sample_gap", "src": "top.v:132.12-146.4|sys_ctrl.v:18.29-18.44" } }, "sys_ctrl_ins.tx_sample_gap": { "hide_name": 0, "bits": [ 1001, 998, 995, 1002 ], "attributes": { "hdlname": "sys_ctrl_ins tx_sample_gap", "src": "top.v:132.12-146.4|sys_ctrl.v:44.16-44.29" } }, "sys_ctrl_ins.tx_sample_gap_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 913 ], "attributes": { } }, "tx_fifo.debug_pull": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "tx_fifo debug_pull", "src": "top.v:403.5-419.4|complex_fifo.v:19.18-19.28" } }, "tx_fifo.debug_push": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "tx_fifo debug_push", "src": "top.v:403.5-419.4|complex_fifo.v:20.18-20.28" } }, "tx_fifo.empty_o": { "hide_name": 0, "bits": [ 339 ], "attributes": { "hdlname": "tx_fifo empty_o", "src": "top.v:403.5-419.4|complex_fifo.v:17.19-17.26" } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D": { "hide_name": 0, "bits": [ 1004 ], "attributes": { } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O_I0": { "hide_name": 0, "bits": [ 1005, 1006, 1007, 1008 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2": { "hide_name": 0, "bits": [ 1012, 1013 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0": { "hide_name": 0, "bits": [ 1018, 346, 1017, 1019 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2_SB_LUT4_O_I0": { "hide_name": 0, "bits": [ 1014, 1015, 1016, 1017 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 1009, 1010, 1011 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_LUT4_O_I0": { "hide_name": 0, "bits": [ 1025, 1026, 1027, 348 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.empty_o_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 1030, 1031, 1016, 1029 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 1033, 1034, 1032, 1035 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3": { "hide_name": 0, "bits": [ 1038, 1039, 1031, 1040 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 341, 1028, 1037, 1042 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.full_o": { "hide_name": 0, "bits": [ 352 ], "attributes": { "hdlname": "tx_fifo full_o", "src": "top.v:403.5-419.4|complex_fifo.v:16.19-16.25" } }, "tx_fifo.full_o_SB_DFFSR_Q_D": { "hide_name": 0, "bits": [ 1043 ], "attributes": { } }, "tx_fifo.full_o_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 813, 1096, 1095, 1045 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr": { "hide_name": 0, "bits": [ 1038, 1031, 1016, 1017, 1019, 1024, 1021, 1022, 1036, 1028 ], "attributes": { "hdlname": "tx_fifo rd_addr", "src": "top.v:403.5-419.4|complex_fifo.v:27.23-27.30" } }, "tx_fifo.rd_addr_gray": { "hide_name": 0, "bits": [ 1070, 1068, 1066, 1064, 1060, 1058, 1055, 1053, 1051, 1028 ], "attributes": { "hdlname": "tx_fifo rd_addr_gray", "src": "top.v:403.5-419.4|complex_fifo.v:28.23-28.35" } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_2_D": { "hide_name": 0, "bits": [ 1052 ], "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:37.35-37.74" } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_3_D": { "hide_name": 0, "bits": [ 1020, 1054, 1056 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D": { "hide_name": 0, "bits": [ 1059, 1041 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 1061, 1057, 1023, 1062 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_6_D": { "hide_name": 0, "bits": [ 1063 ], "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:37.35-37.74" } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_7_D": { "hide_name": 0, "bits": [ 1065 ], "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:37.35-37.74" } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_9_D": { "hide_name": 0, "bits": [ 1039, 1069, 1030, 1067 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_I3": { "hide_name": 0, "bits": [ "0", 1038, 1078, 1077, 1076, 1075, 1074, 1073, 1072, 1071 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "tx_fifo.rd_addr_gray_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 1050, 1049, 1048, 344, 345, 1047, 1046, 1027, 348, 342 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, "tx_fifo.rd_addr_gray_wr": { "hide_name": 0, "bits": [ 1088, 1087, 1086, 1085, 1084, 1083, 1082, 1081, 1080, 1079 ], "attributes": { "hdlname": "tx_fifo rd_addr_gray_wr", "src": "top.v:403.5-419.4|complex_fifo.v:29.23-29.38" } }, "tx_fifo.rd_addr_gray_wr_r": { "hide_name": 0, "bits": [ 836, 813, 862, 861, 859, 858, 856, 855, 851, 852 ], "attributes": { "hdlname": "tx_fifo rd_addr_gray_wr_r", "src": "top.v:403.5-419.4|complex_fifo.v:30.23-30.40" } }, "tx_fifo.rd_en_i": { "hide_name": 0, "bits": [ 338 ], "attributes": { "hdlname": "tx_fifo rd_en_i", "src": "top.v:403.5-419.4|complex_fifo.v:13.28-13.35" } }, "tx_fifo.rd_rst_b_i": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "tx_fifo rd_rst_b_i", "src": "top.v:403.5-419.4|complex_fifo.v:11.28-11.38" } }, "tx_fifo.wr_addr": { "hide_name": 0, "bits": [ 835, 812, 834, 831, 828, 825, 822, 819, 816, 1098 ], "attributes": { "hdlname": "tx_fifo wr_addr", "src": "top.v:403.5-419.4|complex_fifo.v:23.23-23.30" } }, "tx_fifo.wr_addr_gray": { "hide_name": 0, "bits": [ 1116, 1115, 1113, 1110, 1108, 1106, 1104, 1102, 1100, 1098 ], "attributes": { "hdlname": "tx_fifo wr_addr_gray", "src": "top.v:403.5-419.4|complex_fifo.v:24.23-24.35" } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D": { "hide_name": 0, "bits": [ 1103, 856, 851, 1099 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D": { "hide_name": 0, "bits": [ 1105 ], "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:37.35-37.74" } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_5_D": { "hide_name": 0, "bits": [ 859, 1107, 855, 1101 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D": { "hide_name": 0, "bits": [ 1109, 861, 856, 1103 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_I0_O": { "hide_name": 0, "bits": [ 842, 843, 844, 845 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_I0_O_SB_LUT4_O_I0": { "hide_name": 0, "bits": [ 1111, 858, 1092, 1091 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D": { "hide_name": 0, "bits": [ 1112, 862 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_8_D": { "hide_name": 0, "bits": [ 1114 ], "attributes": { "src": "top.v:403.5-419.4|complex_fifo.v:37.35-37.74" } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_9_D": { "hide_name": 0, "bits": [ 836, 847, 848, 849 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_I3": { "hide_name": 0, "bits": [ "0", 835, 1125, 1124, 1123, 1122, 1121, 1120, 1119, 1118 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_1_O": { "hide_name": 0, "bits": [ 1097, 1096, 1095, 1094, 1093, 1092, 1091, 1090, 1089, 1044 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, "tx_fifo.wr_addr_gray_SB_LUT4_I2_I3": { "hide_name": 0, "bits": [ "0", 812, 832, 829, 826, 823, 820, 817, 1117 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:403.5-419.4|complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "tx_fifo.wr_addr_gray_rd": { "hide_name": 0, "bits": [ 1135, 1134, 1133, 1132, 1131, 1130, 1129, 1128, 1127, 1126 ], "attributes": { "hdlname": "tx_fifo wr_addr_gray_rd", "src": "top.v:403.5-419.4|complex_fifo.v:25.23-25.38" } }, "tx_fifo.wr_addr_gray_rd_r": { "hide_name": 0, "bits": [ 1039, 1030, 1015, 346, 1041, 1023, 1020, 1026, 1042, 341 ], "attributes": { "hdlname": "tx_fifo wr_addr_gray_rd_r", "src": "top.v:403.5-419.4|complex_fifo.v:26.23-26.40" } }, "tx_fifo.wr_clk_i": { "hide_name": 0, "bits": [ 61 ], "attributes": { "hdlname": "tx_fifo wr_clk_i", "src": "top.v:403.5-419.4|complex_fifo.v:7.28-7.36" } }, "tx_fifo.wr_data_i": { "hide_name": 0, "bits": [ "0", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "0", "x" ], "attributes": { "hdlname": "tx_fifo wr_data_i", "src": "top.v:403.5-419.4|complex_fifo.v:9.32-9.41" } }, "tx_fifo.wr_rst_b_i": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "tx_fifo wr_rst_b_i", "src": "top.v:403.5-419.4|complex_fifo.v:6.28-6.38" } }, "tx_sample_gap": { "hide_name": 0, "bits": [ "x", "x", "x", "x" ], "attributes": { "src": "top.v:152.14-152.27" } }, "w_clock_sys": { "hide_name": 0, "bits": [ 61 ], "attributes": { "src": "top.v:96.14-96.25" } }, "w_cs": { "hide_name": 0, "bits": [ 890, 74, 733, 887 ], "attributes": { "src": "top.v:100.14-100.18" } }, "w_debug_lb_tx": { "hide_name": 0, "bits": [ "x" ], "attributes": { "src": "top.v:151.8-151.21" } }, "w_fetch": { "hide_name": 0, "bits": [ 81 ], "attributes": { "src": "top.v:101.14-101.21" } }, "w_ioc": { "hide_name": 0, "bits": [ 52, 58, 55, 57, 56 ], "attributes": { "src": "top.v:97.14-97.19" } }, "w_load": { "hide_name": 0, "bits": [ 82 ], "attributes": { "src": "top.v:102.14-102.20" } }, "w_lvds_rx_09_d0": { "hide_name": 0, "bits": [ 171 ], "attributes": { "src": "top.v:298.8-298.23" } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 244, 252, 237 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O": { "hide_name": 0, "bits": [ 1136 ], "attributes": { } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_1_D": { "hide_name": 0, "bits": [ 1139 ], "attributes": { } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2_D": { "hide_name": 0, "bits": [ 1141 ], "attributes": { } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2_Q": { "hide_name": 0, "bits": [ 245, 1140, 1143 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2_Q_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ "1", 246, 1142 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:312.11-323.4|lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_D": { "hide_name": 0, "bits": [ 1137 ], "attributes": { } }, "w_lvds_rx_09_d0_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_Q": { "hide_name": 0, "bits": [ 247, 1138, 245 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_lvds_rx_09_d1": { "hide_name": 0, "bits": [ 172 ], "attributes": { "src": "top.v:299.8-299.23" } }, "w_lvds_rx_09_d1_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 237, 253, 254 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_lvds_rx_09_d1_SB_LUT4_I1_O_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 176 ], "attributes": { } }, "w_lvds_rx_09_d1_SB_LUT4_I3_I0": { "hide_name": 0, "bits": [ 244, 253, 245, 237 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_lvds_rx_24_d0": { "hide_name": 0, "bits": [ 173 ], "attributes": { "src": "top.v:300.8-300.23" } }, "w_lvds_rx_24_d0_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 335, 3 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_lvds_rx_24_d0_SB_LUT4_I1_O_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 257 ], "attributes": { } }, "w_lvds_rx_24_d1": { "hide_name": 0, "bits": [ 174 ], "attributes": { "src": "top.v:301.8-301.23" } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 333, 334, 318, 325 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O": { "hide_name": 0, "bits": [ 1144 ], "attributes": { } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_1_D": { "hide_name": 0, "bits": [ 1147 ], "attributes": { } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2_D": { "hide_name": 0, "bits": [ 1149 ], "attributes": { } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2_Q": { "hide_name": 0, "bits": [ 326, 1148, 1151 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_2_Q_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ "1", 327, 1150 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:325.11-338.4|lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_D": { "hide_name": 0, "bits": [ 1145 ], "attributes": { } }, "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O_SB_DFFER_E_Q": { "hide_name": 0, "bits": [ 328, 1146, 326 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_lvds_tx_d0": { "hide_name": 0, "bits": [ "0" ], "attributes": { "src": "top.v:372.8-372.20" } }, "w_lvds_tx_d1": { "hide_name": 0, "bits": [ "0" ], "attributes": { "src": "top.v:373.8-373.20" } }, "w_rx_09_fifo_data": { "hide_name": 0, "bits": [ 240, 238, 177, 180, 202, 224, 226, 228, 230, 232, 234, 236, 182, 184, 186, 188, 190, 192, 194, 196, 198, 200, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222 ], "attributes": { "src": "top.v:306.15-306.32" } }, "w_rx_09_fifo_push": { "hide_name": 0, "bits": [ 243 ], "attributes": { "src": "top.v:305.8-305.25" } }, "w_rx_09_fifo_write_clk": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "top.v:304.8-304.30" } }, "w_rx_24_fifo_data": { "hide_name": 0, "bits": [ 321, 319, 258, 261, 283, 305, 307, 309, 311, 313, 315, 317, 263, 265, 267, 269, 271, 273, 275, 277, 279, 281, 285, 287, 289, 291, 293, 295, 297, 299, 301, 303 ], "attributes": { "src": "top.v:310.15-310.32" } }, "w_rx_24_fifo_push": { "hide_name": 0, "bits": [ 324 ], "attributes": { "src": "top.v:309.8-309.25" } }, "w_rx_24_fifo_write_clk": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "top.v:308.8-308.30" } }, "w_rx_data": { "hide_name": 0, "bits": [ 65, 62, 152, 150, 148, 146, 144, 141 ], "attributes": { "src": "top.v:98.14-98.23" } }, "w_rx_fifo_data": { "hide_name": 0, "bits": [ 576, 578, 577, 579, 596, 598, 597, 599, 616, 618, 617, 619, 636, 638, 637, 639, 496, 498, 497, 499, 516, 518, 517, 519, 536, 538, 537, 539, 556, 558, 557, 559 ], "attributes": { "src": "top.v:342.15-342.29" } }, "w_rx_fifo_empty": { "hide_name": 0, "bits": [ 351 ], "attributes": { "src": "top.v:346.8-346.23" } }, "w_rx_fifo_full": { "hide_name": 0, "bits": [ 424 ], "attributes": { "src": "top.v:345.8-345.22" } }, "w_rx_fifo_pull": { "hide_name": 0, "bits": [ 390 ], "attributes": { "src": "top.v:343.8-343.22" } }, "w_rx_fifo_pulled_data": { "hide_name": 0, "bits": [ 561, 569, 565, 573, 581, 589, 585, 593, 601, 609, 605, 613, 621, 629, 625, 633, 480, 488, 484, 492, 501, 509, 505, 513, 521, 529, 525, 533, 541, 549, 545, 553 ], "attributes": { "src": "top.v:344.15-344.36" } }, "w_rx_fifo_push": { "hide_name": 0, "bits": [ 433 ], "attributes": { "src": "top.v:341.8-341.22" } }, "w_rx_fifo_write_clk": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "top.v:340.8-340.27" } }, "w_smi_data_direction": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "top.v:459.8-459.28" } }, "w_smi_data_input": { "hide_name": 0, "bits": [ 879, 880, 881, 882, 883, 884, 885, 869 ], "attributes": { "src": "top.v:456.14-456.30", "unused_bits": "0 1 2 3 4 5 6" } }, "w_smi_data_output": { "hide_name": 0, "bits": [ 763, 761, 759, 757, 755, 753, 751, 749 ], "attributes": { "src": "top.v:455.14-455.31" } }, "w_tx_data_io": { "hide_name": 0, "bits": [ 1153 ], "attributes": { } }, "w_tx_data_io_SB_DFFESS_Q_D": { "hide_name": 0, "bits": [ 1152 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:155.11-182.4|io_ctrl.v:124.21-163.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" } }, "w_tx_data_io_SB_DFFESS_Q_E": { "hide_name": 0, "bits": [ 99 ], "attributes": { } }, "w_tx_data_io_SB_DFFESS_Q_S": { "hide_name": 0, "bits": [ 101 ], "attributes": { } }, "w_tx_data_io_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 1000, 357, 365, 1003 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_tx_data_smi": { "hide_name": 0, "bits": [ 744, 743, 742 ], "attributes": { } }, "w_tx_data_sys": { "hide_name": 0, "bits": [ 1000, 997, 994, 992 ], "attributes": { } }, "w_tx_fifo_clock": { "hide_name": 0, "bits": [ 61 ], "attributes": { "src": "top.v:395.8-395.23" } }, "w_tx_fifo_data": { "hide_name": 0, "bits": [ "0", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "0", "x" ], "attributes": { "src": "top.v:396.15-396.29" } }, "w_tx_fifo_empty": { "hide_name": 0, "bits": [ 339 ], "attributes": { "src": "top.v:392.8-392.23" } }, "w_tx_fifo_full": { "hide_name": 0, "bits": [ 352 ], "attributes": { "src": "top.v:391.8-391.22" } }, "w_tx_fifo_pull": { "hide_name": 0, "bits": [ 338 ], "attributes": { "src": "top.v:397.8-397.22" } } } } } }