From ffc110b6654a33d2fc0155a83f35a7d5e1da8690 Mon Sep 17 00:00:00 2001 From: meexmachina Date: Wed, 14 Jul 2021 13:58:21 +0300 Subject: [PATCH] fpga control testing --- firmware/complex_fifo.v | 20 +- firmware/smi_ctrl.v | 14 +- firmware/top.asc | 7119 +++++++++-------- firmware/top.bin | Bin 32220 -> 32220 bytes firmware/top.json | 5322 ++++++------ firmware/top.v | 5 +- .../src/caribou_fpga/build/CMakeCache.txt | 366 + .../CMakeFiles/3.18.4/CMakeCCompiler.cmake | 77 + .../CMakeFiles/3.18.4/CMakeCXXCompiler.cmake | 89 + .../3.18.4/CMakeDetermineCompilerABI_C.bin | Bin 0 -> 8016 bytes .../3.18.4/CMakeDetermineCompilerABI_CXX.bin | Bin 0 -> 8128 bytes .../build/CMakeFiles/3.18.4/CMakeSystem.cmake | 15 + .../3.18.4/CompilerIdC/CMakeCCompilerId.c | 674 ++ .../CompilerIdCXX/CMakeCXXCompilerId.cpp | 663 ++ .../CMakeDirectoryInformation.cmake | 16 + .../build/CMakeFiles/CMakeOutput.log | 403 + .../build/CMakeFiles/Makefile.cmake | 121 + .../caribou_fpga/build/CMakeFiles/Makefile2 | 154 + .../build/CMakeFiles/TargetDirectories.txt | 8 + .../caribou_fpga.dir/C.includecache | 76 + .../caribou_fpga.dir/DependInfo.cmake | 22 + .../CMakeFiles/caribou_fpga.dir/build.make | 118 + .../caribou_fpga.dir/cmake_clean.cmake | 10 + .../caribou_fpga.dir/cmake_clean_target.cmake | 3 + .../caribou_fpga.dir/depend.internal | 9 + .../CMakeFiles/caribou_fpga.dir/depend.make | 9 + .../CMakeFiles/caribou_fpga.dir/flags.make | 10 + .../CMakeFiles/caribou_fpga.dir/link.txt | 2 + .../CMakeFiles/caribou_fpga.dir/progress.make | 3 + .../build/CMakeFiles/cmake.check_cache | 1 + .../build/CMakeFiles/progress.marks | 1 + .../test_caribou_fpga.dir/C.includecache | 74 + .../test_caribou_fpga.dir/DependInfo.cmake | 23 + .../test_caribou_fpga.dir/build.make | 133 + .../test_caribou_fpga.dir/cmake_clean.cmake | 11 + .../test_caribou_fpga.dir/depend.internal | 15 + .../test_caribou_fpga.dir/depend.make | 15 + .../test_caribou_fpga.dir/flags.make | 10 + .../CMakeFiles/test_caribou_fpga.dir/link.txt | 1 + .../test_caribou_fpga.dir/progress.make | 4 + .../src/caribou_fpga/build/Makefile | 294 + .../caribou_fpga/build/cmake_install.cmake | 66 + .../src/caribou_fpga/build/test_caribou_fpga | Bin 0 -> 248636 bytes .../src/caribou_fpga/test_caribou_fpga.c | 67 + software/libcariboulite/test/fpga_comm_test.c | 1 - 45 files changed, 9883 insertions(+), 6161 deletions(-) create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeCache.txt create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CMakeCCompiler.cmake create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CMakeCXXCompiler.cmake create mode 100755 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CMakeDetermineCompilerABI_C.bin create mode 100755 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CMakeDetermineCompilerABI_CXX.bin create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CMakeSystem.cmake create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CompilerIdC/CMakeCCompilerId.c create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CompilerIdCXX/CMakeCXXCompilerId.cpp create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeDirectoryInformation.cmake create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeOutput.log create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/Makefile.cmake create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/Makefile2 create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/TargetDirectories.txt create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/C.includecache create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/DependInfo.cmake create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/build.make create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/cmake_clean.cmake create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/cmake_clean_target.cmake create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/depend.internal create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/depend.make create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/flags.make create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/link.txt create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/progress.make create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/cmake.check_cache create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/progress.marks create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/C.includecache create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/DependInfo.cmake create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/build.make create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/cmake_clean.cmake create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/depend.internal create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/depend.make create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/flags.make create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/link.txt create mode 100644 software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/progress.make create mode 100644 software/libcariboulite/src/caribou_fpga/build/Makefile create mode 100644 software/libcariboulite/src/caribou_fpga/build/cmake_install.cmake create mode 100755 software/libcariboulite/src/caribou_fpga/build/test_caribou_fpga diff --git a/firmware/complex_fifo.v b/firmware/complex_fifo.v index fbdb324..41b5d06 100644 --- a/firmware/complex_fifo.v +++ b/firmware/complex_fifo.v @@ -3,18 +3,18 @@ module complex_fifo #( parameter DATA_WIDTH = 16 ) ( - input wire wr_rst_i, - input wire wr_clk_i, - input wire wr_en_i, - input wire [2*DATA_WIDTH-1:0] wr_data_i, + input wire wr_rst_i, + input wire wr_clk_i, + input wire wr_en_i, + input wire [2*DATA_WIDTH-1:0] wr_data_i, - input wire rd_rst_i, - input wire rd_clk_i, - input wire rd_en_i, - output reg [2*DATA_WIDTH-1:0] rd_data_o, + input wire rd_rst_i, + input wire rd_clk_i, + input wire rd_en_i, + output reg [2*DATA_WIDTH-1:0] rd_data_o, - output reg full_o, - output reg empty_o + output reg full_o, + output reg empty_o ); reg [ADDR_WIDTH-1:0] wr_addr; diff --git a/firmware/smi_ctrl.v b/firmware/smi_ctrl.v index 46ffe93..2564e0d 100644 --- a/firmware/smi_ctrl.v +++ b/firmware/smi_ctrl.v @@ -29,8 +29,7 @@ module smi_ctrl output [7:0] o_smi_data_out, inout [7:0] i_smi_data_in, output o_smi_read_req, - output o_smi_write_req, - output o_smi_writing ); + output o_smi_write_req ); // MODULE SPECIFIC IOC LIST // ------------------------ @@ -69,11 +68,18 @@ module smi_ctrl end end + // Tell the RPI that data is pending in either of the two fifos + assign o_smi_read_req = !i_fifo_09_empty || !i_fifo_24_empty; + + reg [31:0] rx_data_buf_09; + reg [31:0] rx_data_buf_24; + always @(posedge i_sys_clk) - begin - if (i_fifo_09_empty == 1'b0) begin + if (!i_fifo_09_empty) begin end + begin + end diff --git a/firmware/top.asc b/firmware/top.asc index e69c397..e21e9ff 100644 --- a/firmware/top.asc +++ b/firmware/top.asc @@ -59,39 +59,39 @@ 000000000000000000 000000000000000000 000000000000000001 -000000000000010010 -000011010000010000 -001100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 - -.io_tile 5 0 -000000000000000010 -000100000000000000 -000010000000000000 -000010110000000001 -000000000000000010 +000000000000110010 000000000000110000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000010000000100010 -000010110000110000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000001010000000000 +000000001000000000 + +.io_tile 5 0 +000000000000000010 +000100000000000000 +000001011000000000 +000000000000000001 +000000000000100010 +000000000000110000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000001011000000010 +000000000000110000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 .io_tile 6 0 -000000000000000010 +000001110000000010 000100000000000000 000000000000000000 000000000000000001 @@ -101,28 +101,28 @@ 000000000000000000 000000000000000000 000100000000000000 -000000000000110010 +000000000000000010 000000000000010000 -000010000000000000 -000000110000000001 +000000000000000000 +000000000000000001 000000000000000010 000000000000000000 .io_tile 7 0 -000000000001100010 +000000000000000010 000000000000000000 000000000000000000 000000000000000001 000000000000100110 -000000000000011000 +000000000000111100 001100000000000000 000000000000000000 -000000111000000000 -000111010000000000 -000000000000000000 -000000000000000000 000000000000000000 +000100000000000000 +000001110000000000 000000000000000000 +000000000001100000 +000011010000011000 000000000000000000 000000000000000000 @@ -152,65 +152,65 @@ 000000000000100010 000000000000110000 001100000000000000 -000000000000100000 +000000000000000000 000000000000000000 000100000000000000 -000000111000000010 -000000001000000000 +000001011000000010 +000000000000000000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 .io_tile 10 0 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000001 -000000000000000000 -000000000000000000 -001100000000000000 -000000000000011000 -000000000000000000 -000100000000000000 -000010000000100010 -000010110000110000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000000000 - -.io_tile 11 0 000000000001000000 000100000000000000 000000000000000000 000000000000000001 000000000000000000 -000011010000000001 +000000000000000000 001100000000000000 000000000000000000 000000000000000000 -000000000000000000 -000000000010110010 -000000000001110000 +000100000000000000 +000000000000110010 +000000000000110000 000000000000000000 000000000000000001 +000000000000000010 +000001110000000000 + +.io_tile 11 0 +000000000000000000 +000100000000000000 +000000000000000000 +100000000000000001 +000000000000000000 000000000000000001 +001100000000000000 +000000000000000000 +000000000000000000 000011010000000000 +000000000011100010 +000000000001010000 +000000111000000000 +000000001000000001 +000000000000000001 +000000000000000000 .io_tile 12 0 -100000000000000000 +000000000000000000 000100000000000000 -100000000000000000 -000000000000000001 +010000000000000000 +100000000000000001 000000000000000000 000000000000000000 001000000000000000 000000000000000000 -100000000000000010 +100000000000000000 +001000000000000000 000000000000000000 -000000000000000000 -101000000000000000 +001000000000000000 000000000000000000 000000000000000001 000000000000000000 @@ -320,7 +320,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -329,8 +329,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -344,7 +344,7 @@ .logic_tile 7 1 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -391,7 +391,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -410,7 +410,7 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -582,7 +582,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -601,10 +601,10 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000010100001 +000000000000000000000000000000000000000000000000000100 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -623,12 +623,12 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000001010000000000000000000000000000000000000000 000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 9 2 000000000000000000000000000000000000000000000000000000 @@ -649,9 +649,7 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 10 2 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -663,12 +661,14 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -688,10 +688,10 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001110000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -704,7 +704,7 @@ .io_tile 13 2 000000000000000000 -000000000000000000 +000000000000010000 000000000000000000 000000000000000000 000000000000000000 @@ -869,7 +869,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -885,10 +885,10 @@ .logic_tile 9 3 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000011000000011110000000010 -000000000000000000000000000000000000000011110001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -901,7 +901,7 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 10 3 -010000001000000000000000000000000000000000 +010000000000000000000000000000000000000000 001000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -944,7 +944,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -956,7 +956,7 @@ .io_tile 13 3 000000000000000000 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -1114,13 +1114,13 @@ 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +001000001100000000000000000000000000000000000000000000 .logic_tile 8 4 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -1131,8 +1131,8 @@ 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -001001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000001100000000000000000000000000000000000000000000 .logic_tile 9 4 010000000000000000000000000000000000000000000000000000 @@ -1173,8 +1173,8 @@ .logic_tile 11 4 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -1185,14 +1185,14 @@ 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 .logic_tile 12 4 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -1203,7 +1203,7 @@ 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 .io_tile 13 4 @@ -1213,7 +1213,7 @@ 000000000100000001 000000000100000010 000000000100000000 -001100000100000000 +001100000101011000 000000000100000000 000000000000000000 000100000000000000 @@ -1299,36 +1299,36 @@ .logic_tile 4 5 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000001101000000000000000000000000000000000000 -010000000000000000000010000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000100000000 -011000000000000000000000001011000000000010000000000000 .logic_tile 5 5 -010000000000010001100000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -111000000000000000000000000111011100100010110100000000 -000000000000001101000000000101111011000001010000000000 -010010100000000000000111011001000001000000000000000000 -111000000000000111000011110001001011001001000000000001 -000000000000000000000110100001111010111111110000000000 -001000000000000000000000000001010000101010100000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -001000000000000000000010000000000000000000000000000000 -000000000000000000000110001001000001111111110000000001 -001000000000000000000000001101001000111001110000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 @@ -1338,14 +1338,14 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -001000000100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +001000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 @@ -1355,32 +1355,32 @@ 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +001000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000001001111000000000100 +001000000000000000000000000000001110001111000010100000 +000000000000000001000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 .logic_tile 8 5 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000001100000000000000000000011000000100000100000000 -001000000000000000000000000000010000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -1391,7 +1391,7 @@ 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 @@ -1431,8 +1431,8 @@ 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +001010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -1471,7 +1471,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000001100000 000000000000000000 000000000000000000 000000000000000000 @@ -1497,6 +1497,8 @@ .logic_tile 1 6 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -1505,30 +1507,28 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000111100000010110100100000001 +000000000000000000000000000000100000010110100000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 .logic_tile 2 6 +100000000000000101000000000001000000010000100100000000 +000000000000000000100000000000001010010000100010000000 +101000000000000000000000000000000001010000100100000000 +100000000000000000000000000001001100100000010010000000 +110000000000000001100000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +110000000000000101100000000000000000000000000000000000 +110000000000000000100000000000000000000000000000000000 .ramt_tile 3 6 000000000000000000000000000000000000000000 @@ -1544,75 +1544,75 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 6 -000000000000000000000000000000011110000100000100000001 -000000000000000000000000000000010000000000000000000101 -111000000000001111000010100000000000000000000000000000 -000000000000000001100000000000000000000000000000000000 -110000000000000001100000000001111001011010100000000000 -010000000000000000100000000101011100010101110000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000011000000011110000000000 -000000000000000000000010000000000000000011110000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000000010000000000000000000000000000 -010000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 5 6 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000001000101000000000000000000000000000000000000 -010000000000001111000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000000000101100000000000000100000000 -000000000000000000000000000000100000000001000000000000 -000010100000000000000000001111101010000100100000000100 -000001000000000000000000000111101010100001100010000000 -000000000000000000000000001000000000111001110000000000 -000000000000000000000000001111001010110110110000000000 -010000000000001000000110001111101010000000000000000000 -110000000000000001000100000111101010100000000000100001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 6 6 000000000000000000000000000000000000000000000000000000 +000000100000000000000010010000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000100000000000000000000001000000100100000000 +010000000000010000000000000000001100000000000000000101 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000001000000100100000000 -000000000000000000000000000000001101000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000111000000000010000000000000 -000000000000000000000010100111100000000000000100000000 -000000000000000000000100000000000000000001000000000000 -000000000000000101000111000000000000000000000000000000 -000000000000000000100010110000000000000000000000000000 -000000000000000101000000000000000000000000100100000000 -000000000000000000100000000000001111000000000000000000 .logic_tile 7 6 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -111000000000000000000000010000000000000000000000000000 -000000000000000000000011010000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000001000000100110000000 -000000000000000000000000000000001001000000000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -1623,51 +1623,51 @@ .logic_tile 8 6 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000101000000000000000001000000100100000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000111000000000000000100000000 -000000000000000000000000000000000000000001000000000000 -000000000000000000000110000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000001100000000000011110000011110000000000 -000000000000000000000000000000010000000011110000000010 -000000000000000000000000011000001110011110100000000000 -000000000000000000000011011111001101101101010010000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 9 6 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000000000000000001101100001101001010100000000 -000000000000000000000000000011001111011001100000000010 000000000000000000000000000000000000000000000000000000 -000000000000001101000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000000000111000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 10 6 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 +000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -1676,21 +1676,21 @@ .logic_tile 11 6 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -001000000000000111100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000111100000000000000000000000000000 -110000000000000000000100000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001100000000000000100000000 -000000000000000000000000000000000000000001000100000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 6 000000000000000000000000000000000000000000000000000000 @@ -1715,23 +1715,23 @@ 000100000000000000 000000000000000000 000000000000000001 -000000000000010010 -000000000000110000 -001110111000000000 -000001011000000000 +000000000000110010 +000000000000010000 +001100000000000000 000000000000000000 -000100000000000000 -000000000000010010 -000000000000110000 000000000000000000 -000000000000000001 +000111110000000000 +000000000000100010 +000000000000010000 +000001110000000000 +000000001000000001 000000000000000010 000000000000000000 .io_tile 0 7 +000000000000001000 000000000000000000 -000000000000000000 -000000000000000000 +000000000000001000 000000000000000000 000000000000000000 000000000000000000 @@ -1747,40 +1747,40 @@ 000000000000000000 .logic_tile 1 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000011110000011110100000000 -000000000000000000000000000000010000000011110000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 +101000000000001000000110000000000000000000000000000000 +100000000000000111000000000000000000000000000000000000 +110000000000000000000000010001100001100000010000000000 +110000000000000000000011110000101110100000010000000000 +000000000000000101000010101000000000000000000000000000 +000000000000000000100100001001000000000010000000000000 +000000000000001101100000001111101111101001010100000000 +000000000000000101000000000001001011000100000000000000 +000000000000001000000000001101101100110000010100000000 +000000000000000001000000000111101011010000100000000000 +000000000000001000000110001000001100000001010101000000 +000000000000000101000000000011000000000010100000000000 +010000000000001101100000000001111010010101010000000000 +110000000000000101000000000111011011101011100000000010 .logic_tile 2 7 -100000000000000000000000010000000000000000001000000000 -000000000000000000000011100000001011000000000000001000 -101000000000000101000000000001000001000000001000000000 -100000000000000000100000000000101110000000000000000000 -110000000000100000000110000001101001001011000100000000 -110000000000000000000000001011001011001101000000000000 -000000000000000000000010110000000001001111000000000000 -000000000000000000000110000000001011001111000000000000 -000000000000000000000000010000000001001111000000000000 -000000000000000000000010000000001111001111000000000000 +100000000000000000000000010000001100000100000100000000 +000000000000000000000010100000010000000000000000000000 +101000000000000000000000001000000000100110010000000100 +100000000000000000000010111011001010011001100010000010 +010000000000001000000000001011000001100000010000000000 +010000000000000001000000001001101011000000000000000000 +000000000000000000000010100000000001010000100000000000 +000000000000000000000100000101001101100000010000000000 +000000000000000000000110001011000001001001000000000000 +000000000000000000000000001001101011101001010000000000 +000000000001010000000010101101111000101110010000000000 +000000000000100000000100001011111010011001110000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000011000101100001110110110100000000 -000000000000000000000000000000101110110110110000000000 -110000000000001101000110001101011011000000110100000000 -110000000000000001100000000111111000000011110000000000 +110000000000000111100000000000011000000011110000000000 +110000000000000000100000000000010000000011110000000000 .ramb_tile 3 7 000000000000000000000000000000000000000000 @@ -1794,7 +1794,7 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -1803,97 +1803,97 @@ .logic_tile 4 7 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000001000000000010000000000000000000000000000 -000000000000001001000010010000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 +111000000000001101000000000000000000000000000000000000 +000000000000001011100000000000000000000000000000000000 +010000000000000000000010100000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000000001000000001110110110100000000 -010000000000000000000000000101001011111001110010000111 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001100000000000000100000110 +000000000000000000000000000000000000000001000000000001 +010010000000000000000000000000000000000000000000000000 +010001000000000000000000000000000000000000000000000000 .logic_tile 5 7 -000000000100001111000000001101111010001111110000000000 -000000000000000001100010001111011111001111010000000000 -111000000000000111100000000111011001101000010100000000 -100000000000000000100010110101011110111000100000000000 -010000000000000000000000000001101010101000000100000000 -010000000000001101000000000000000000101000000000000000 -000010000000000001000000000000000000000000000000000000 -000000000100000101000010100000000000000000000000000000 -000000000000000000000011101000001100110000100000000000 -000000000000000000000000000101011000110000010000000010 -000000000000000000000111000000000000100000010100000000 -000000000000000000000100000001001010010000100000000000 -000000000000000011100011100101111010000000100000000011 -000000000000000000100000000000011111000000100000000001 -110000000000000111100010001111011000101000010100000000 -110000000000001001100000001011011010111000100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 6 7 -000000000000000000000000010000000001000000100100000001 -000000000000000000000011100000001010000000000000000000 -111000000000001011100000000000000000000000000000000000 -000000000000011001000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000001011100000010000000000000000000000000000 -000000000000001001100010100000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000001001100001111001110000000000 -000000000001010000000000001101001000010110100010000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000110000000000000000000011011001100000000000000 -010000000000000000000000000000001010001100000000100010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 7 7 -000000000000000000000000010001100000000000000100000000 -000000000000000000000010000000000000000001000000000000 -111000000000000101000000000000000001000000100100000000 -000000000000000000100000000000001110000000000000000000 -110000000000000000000000001000000000000000000100000000 -110001000000000000000000000111000000000010000000000000 -000000000000000111000010101111001100100001010000000001 -000000000000000000000100001011011111010000000000000000 -000000000000000000000110010000000001000000100100000000 -000000000000000000000110100000001111000000000000000000 -000000000001000001100110100101100000000000000100000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000001000000100100000000 +000000000000000000000000000000001100000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001100000000111000000000000000100000000 000000000000000000000000000000100000000001000000000000 -000000000000000001100010110011100000100000010000000000 -000000000000000000000011011011001001111001110000000000 -010000000000001001100110000000000000000000100100000000 -110000000000001001100100000000001101000000000000000000 +000000000000000000000110100001011100010100000000000101 +000000000000000000000000000000000000010100000011000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 8 7 -000000000000000000000010100000000001000000001000000000 -000000000000001101000000000000001011000000000000001000 -111000000000000000000011100001100001000000001000000000 -000000000000000000000011110000101011000000000000000000 -010000000000000101000011111101001000001100110100000000 -110000000000000000000010001001100000110011001000000000 -000000000000000000000110010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000000001001111010001011000000000000 -000000000000000000000000001011111000001111000000000000 -000000000000100000000000000101101010000100000000000000 -000000000001000000000010111101101001000000000000000000 -000000000000000000000000001101100001001100110100000000 -000000000000000000000000001001101011110011001000000000 -110000000000000111100000000101100000010110100100000000 -110000000000000000000000000000100000010110100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000011110000000000000000000000000000 +001000000000001000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000111100000000000000100000000 +000000000000000000000000000000000000000001000100000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000101000000000000000000000000000000000000 +010000000000000000100000000000000000000000000000000000 .logic_tile 9 7 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -1918,8 +1918,8 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -1927,18 +1927,18 @@ 000000000000000000000000000000000000000000 .logic_tile 11 7 -000000000000000000000000000101100000000000000100000000 -000000000000000000000000000000000000000001000010000000 -111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000100000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -1955,26 +1955,26 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .io_tile 13 7 000000000000000010 000100000000000000 -000000000000000000 -000000000000000001 -000000000000100010 -000000000000010000 +000011011000000000 +000010111000000001 +000000000000000010 +000000000000110000 001100000000000000 000000000000000000 -000011011000000000 -000101110000000000 -000000000000100010 -000000000000010000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000110000 000000000000000000 000000000000000001 000000000000000010 @@ -1986,53 +1986,53 @@ 000000000000000000 000000000000000000 000000000000001100 -000000000000001100 +000000000000001000 000100000000000000 000000000000000000 000000000000000000 000100000000000000 +000010000000000000 +000001110000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -000001010000000000 .logic_tile 1 8 -100000000000000000000000000000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 +100000000000000001100000000000000000000000000000000000 +000000000000000000100010100000000000000000000000000000 101000000000000000000000000000000000000000000000000000 100000000000000000000000000000000000000000000000000000 -010000000000000000000000001000000000000000000100000000 -010000000000000000000000000111000000000010000000000000 +010000000000001000000000000000000000000000000000000000 +010000000000001001000000000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000000001011000000111111110000000000 -000000000000000000000000001111100000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000001100000010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000100000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000001000001010010111110000000000 +000000000000000000000000001101000000101011110001000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 .logic_tile 2 8 -100000000000000000000110010000011010000000110000000000 -000000000000000000000010100000011001000000110000000000 -101000000000000000000010101000011000000000010000000000 -100000000000000000000010101001011011000000100000000000 -110000000000000001100000000101011001001001010000000000 -110000000000000000000010100011111001010110100000000000 -000000000000000101100000010001001011000000100000000000 -000000000000000000000010000000101011000000100000000000 -000000000000001000000000000101100000011111100000000010 -000000000000000001000000000000101001011111100000000000 -000000000000000000000000000000001100000010100000000000 -000000000000000000000000001001000000000001010000000000 -000000000000000000000110100000000000000000100100000000 -000000000000000000000100000000001100000000000000000000 -010000000000000000000000000011011001100000000000000000 -110000000000000000000000001101011001000000000000000000 +100000000000000000000000000001100000000000001000000000 +000000000000000000000010100000100000000000000000001000 +101000000000000001100010100101100000000000001000000000 +100000000000000000000000000000001110000000000000000000 +010010100000000101000111000001101000010010000100000000 +110001000000000101000000001001001101101001010000000000 +000000000000000101000110110000000000000000000000000000 +000000000000000000100010000000000000000000000000000000 +000000000000000000000000011001111000001100000100000000 +000000000000000000000010000101101001001111000000000000 +000000000000000000000000000101111111111111110000000000 +000000000000000000000000000101111011000100000000000000 +000000000000000000000000000101100000110110110100000000 +000000000000000111000000000000101010110110110000000000 +110000000010001111000110001000001100101000000000000000 +110000000000000001000000000111010000010100000000000000 .ramt_tile 3 8 000000000000000000000000000000000000000000 @@ -2053,112 +2053,112 @@ 000000000000000000000000000000000000000000 .logic_tile 4 8 +000000000000000000000010000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +010000000000000000000110000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000011111001000000110000000000 +000000000000000000000000001001011100000111000000000000 +000000000000000000000000000000000000000000100100000000 +000000000000000000000000000000001110000000000000000000 +000000000000001101100000001011111000111111110000000000 +000000000000000001000000000011010000111110100000000000 +000000000000000101100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +110000000000000101000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 + +.logic_tile 5 8 +000000000000000000000000001011011001011010100000000000 +000000000000000000000000000001101101010101110000100000 +111000000000001001100000001001100001111111110000000000 +000000000000000101000000001111001000011001100000000000 +010000000000000000000110101001111110000000000000000000 +110000000000000000000000000001010000000001010010000000 +000000000000000101100000000011100000000000000000000000 +000000000000000000000000000000000000000001000000000000 +000000000000000001100111000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001001000000010101101111100010100100000000 +000000000000000101000010010011011100010010100000000000 +000000000000100000000111000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000010000000000000000000000000000 000000000000000000000010010000000000000000000000000000 -000000000000000000000000001000000000000000000000000000 -000000000000000000000000001001000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 5 8 -000001000000000000000000000111111111000000000000000000 -000010100000000000000000000111101000000001000000000000 -111000000000010000000000000000000000001001000100000000 -000000000000001101000000000111001101000110000000000000 -110000000000000000000000000111111111000100000000000000 -110000000000000000000000000111101000000000000000000000 -000000000001010000000000001001101111111001010000100000 -000000000000000000000000001111001110111101110000000001 -000000000000000000000010100011001110101000000100000000 -000000000000000000000000000000100000101000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000001100011000111111111000000000000000000 -000000000000000101000000000111011000000010000000000000 -110000000000001000000000001111011001000110100000000000 -010000000000000001000010101111001110000011000010000010 .logic_tile 6 8 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -111000000000000000000111101001000000101001010100000000 -100000000000000000000100001001101010111001110000000000 -110000000000001111100000000000000000000000000000000000 -110000000000000101100000000000000000000000000000000000 -000000000000000111100000000001001010101001010100000000 -000000000000000000000000000101100000111101010000000000 +000000000000001000000000001000000000000000000100000000 +000000000000000001000011101111000000000010000000000000 +001000000000000001100000000000000001000000100100000000 +000000000000000000000000000000001000000000000000000000 +010000000000000000000000000011000000000000000100100000 +010000000000000000000000000000000000000001000000000000 +000000000000001111100000000000000001000000100100000000 +000000000000001011100000000000001010000000000000000000 +000000000000000001100000000011100001001001000000000000 +000000000000000000000010000000001010001001000000000000 +000000000000000101100000000001000000000000000100000000 +000000000000000000000000000000000000000001000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000011100011100101000000101001010100000000 -000000001000000000000100001001001110110110110000000000 -010000000000000000000000001000001000111110100100000000 -110000001100000000000000001011010000111101011000000000 +000000000000000000000110000000001100000100000100000000 +000000000000000000000000000000010000000000000000000000 .logic_tile 7 8 -000000000000000101100110110001000000000000000100000000 -000000000000000000000011000000000000000001000000000001 -111000000000000000000110010000000000000000000000000000 -000000000000000101000110100000000000000000000000000000 -010000001100000101000000010000000000000000000000000000 -010000000000000000100010010000000000000000000000000000 -000000000000000000000110100000000000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001111000000000000001000 +001000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001011000000000000000000 +000000000000000000000000010101001000001100110100000000 +000000000000000000000010000000100000110011000100000000 +000000000000000111000000010000000000000000000000000000 +000000000000000000100010000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000001000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 -000000000000000000000000001001101010000000100000000000 -000000000000000000000000000001111010010100100000000000 -000010100000000000000000000000011010000100000100000000 -000001000000000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000011110100000000000000000 +000000000000000000000000001101011011010000000000000000 +000000000000000000000000001101100000001100110100000000 +000000000000000000000000001111100000110011000100000000 +010000000000000000000110000111100000010110100100000000 +110000000000000000000000000000100000010110100100000000 .logic_tile 8 8 -000000000000000011100000001111111001010010100000000000 -000000000000000000100010101001111000100000000000000000 -111000000000001101000010100111100000000000000100000000 -000000000000000001000010100000000000000001000001100000 -010000000000000111100111100000000000000000000000000000 -110000000000000000100100000000000000000000000000000000 -000000000000000000000110000001111011010011110000000000 -000000000000000000000000000000111000010011110000000000 -000000000000000000000000000111011100010011100000000000 -000000000000000000000000000000011001010011100000000000 -000000000000000000000110000000000000000000000000000000 -000000000000100000000100000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 -000000000000000101000000010101000000000110000000000000 -000000000000000000000010010000001000000110000010100010 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +111000000000000001100110001000001101010011110000000001 +000000000000000000000000001001001000100011110000100000 +000000000000000000000000010000001010000100000100000000 +000000000000000000000011010000010000000000000000000000 +000000000000010000000110110000000000000000000000000000 +000000000000100000000010100000000000000000000000000000 +000000000000001000000000010000000000001111000000000000 +000000000000000101000010000000001100001111000000000000 +000000000000000000000000000000001100000100000100000000 +000000000000000000000000000000010000000000000000000000 +000000000000000000000000000000011011100100000000000100 +000000000000000000000000001001011011011000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 .logic_tile 9 8 -000000000000000000000110000001000000000000001000000000 -000000000000000000000000000000100000000000000000001000 -001000000000000000000000000111100000000000001000000000 +000000000000000000000000001000000001000110000010000000 +000000000000000000000000000001001110001001000000000000 +111000000000001000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +110000001001010000000000000000000000000000000000000000 +010000000000100000000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +000000001000001111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000111001000001100110100000000 -000000000000000000000000000000100000110011000100000000 -000000001100000111100000000000000000001111000100000000 -000000000000000000000000000000001001001111000100000000 -000000000000000000000000001001001110101000000000000001 -000000000000000000000000000111110000000010100000000000 -000000000000000000000000000000011110001100110100000000 -000000000000000000000000000000001001110011000100000000 -000000000000000000000000010000000000000000000000000000 000000000000000000000010000000000000000000000000000000 -010000001100000001100000000000011110100000000000000001 -110000000000000000000000001001001111010000000000000000 +000000000000000000000000000000000001000000100100000000 +000000000001010000000000000000001010000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000001100000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 .ramt_tile 10 8 000000000000000000000000000000000000000000 @@ -2174,12 +2174,11 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 8 -000000000000000000010000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -2187,10 +2186,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -2199,7 +2199,7 @@ .logic_tile 12 8 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000010000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -2222,7 +2222,7 @@ 000000000000000100 000000000000001100 000000000000000000 -000000000000000000 +000000000000100000 000000000000000000 100100000000000000 000000000000000000 @@ -2233,10 +2233,10 @@ 000000000000000000 .io_tile 0 9 -000000000000001000 000000000000000000 000000000000000000 -000000000001000000 +000000000000000000 +000000000000000000 000000000000000100 000000000000001100 000100000000000000 @@ -2251,40 +2251,40 @@ 000000000000000000 .logic_tile 1 9 -100000000000001000000000000111001011101000000100000000 -000000000000000001000010101001111001101000010000000000 -101000000000000101000000010000000000000000000000000000 -100000000000000000000010010000000000000000000000000000 -110000000000000000000110010000000000000000000000000000 -010000000000000000000010010011000000000010000000000000 -000000000000001101000000000001011001110000010100000000 -000000000000000111000000001101111010010000100000000000 -000000000000001000000000010001100000000000000100000000 -000000000000000101000010100001000000010110100010000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000011000000000110100000001 -000000000000000000000011110000001011000000110000000000 -010000000000000000000000000001011001010101110010000000 -110000000000000000000000001111111010010101010000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 9 -100000000000000000000000000001100000000000000000000100 -000000000000000000000010101101101001001001000000000000 -101000000000001000000110100111111000010100000100000001 -100000000000000101000000000000000000010100000000000000 -110000000000000000000010100000011000000100000000000000 -110000000000000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000111100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -110000000000000001100000000000000000000000000000000000 -110000000000000000100000000000000000000000000000000000 +000000000000000000000000000000011000000011110000000000 +000000000000000000000000000000000000000011110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 .ramb_tile 3 9 000000000000000000000000000000000000000000 @@ -2305,110 +2305,110 @@ 000000000000000000000000000000000000000000 .logic_tile 4 9 -000000000000000001100000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 000000000000000000100000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000110100000011100000011110100000000 -110000000000000000000100000000000000000011110000000000 +111000000000000001100000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +010000000000000101000010000000000000001111000100000000 +110000000000000000100000000000001110001111000010000000 +000000000000000101000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000011100000000000000100000000 -000000100000000000000000000000000000000001000000000010 -000000000000000101000000000000000000001111000000000000 -000000000000000000000000000000001000001111000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000001100000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 +000000000000000000000000000101100000001001000000000000 +000000000000000000000000000000001000001001000000000000 +000000000000000001000000001101111000000000000010000000 +000000000000000000000000000001001010100000000011000000 +110000000000000111100000000000001110000100000100000000 +110000000000000000100000000000000000000000000000000001 .logic_tile 5 9 -000000000001000000000000000000000000000000000000000000 -000000000000000101000010110000000000000000000000000000 -111000000000000000000011100101100000000000000100000000 -000000001100000000000000000000000000000001000000000000 -110000001010000101000000010000000000000000000000000000 -010000000000000000000010100000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000000010000000001000000100100000000 -000000000000000000000010010000001100000000000000000000 -000010100000001000000000001000001111000000010000000000 -000000000000000101000000001001001111000000100000100000 -000000000000000101100000001111011000001111000001000000 -000000000000000000000010100111111010011111000000000000 -000000000000000101000000011001001000010111100000000000 -000000000000000000000010101101011011000111010000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000001000101100000001101100000101001010000000000 +000000000000000000000000000111000000111111110000000100 +010000100000000000000111100000000000000000000000000000 +110001000000000000000000000000000000000000000000000000 +000000000000000101000010100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000101000000000000000000000000100100000000 +000000000000000000100000000000001110000000000000000010 +000000000000100000000000000000000000001111000000000000 +000000000001000000000000000000001100001111000000000000 +000000000000100001100000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +110000000000001001100000000101100001111001110000000000 +110000000000001001000000000000101000111001110000000001 .logic_tile 6 9 -000000000000000101100000000111100000000000000000000000 -000000000000000000000010110000000000000001000000000000 -111000000000000000000000001001011000111110100000000000 -000000000000001101000000001011100000101001010000000000 -010000000000000101000111100000000000000000000010100000 -110000000000000000100000000000000000000000000010000010 -000000000000000000000000000111000000000000000000000000 -000000000000000000000000000000000000000001000000000000 -000000000000000000000000000111100000000110000000000000 -000000000000000000000000000000001110000110000000000000 -000000000000001000000110000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000100101000000000000011110000000110100000000 -000000000001010000000000000000001110000000110010000111 -110000000000000000000000000101000000000110000000000000 -010000000100000101000000000000001000000110000000000000 +000000100000000000000000000000000000000000100100000000 +000000000000000101000000000000001010000000000000000000 +001000000000000101000011000000000000000000100100000000 +000000000000000000000000000000001010000000000000000000 +110000000000000101000010100000000000000000100100000000 +110000000000000001000010100000001000000000000000000000 +000000000000010000000010100000001010000100000100000000 +000000000000100000000000000000010000000000000000000000 +000000000000000000000000000001100000000000000100000000 +000000000000000000000000000000100000000001000010000000 +000000000000000000000000000101100000000000000100000000 +000000000000000000000000000000100000000001000000000000 +000000000000000000000110000101000000000000000100000000 +000000000000000000000100000000100000000001000000000000 +000010000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 .logic_tile 7 9 +000000000000000000000110110001100000000000000110000000 +000000000000000000000011100000100000000001000000000100 +111000000000010000000110100000000000000000100110000001 +000000000000100000000000000000001001000000000010000000 +010000000000000101100000000011100000000000000000000000 +010000000000000000000000000000000000000001000000000000 +000000000000000000000000010000001010000100000100000000 +000000000000000000000010100000000000000000000000000100 +000000000000000000000000000000011010000100000100000000 +000000000000000000000000000000010000000000000000000001 +000000100000000101100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -111000000000000000000000001000000000000000000100000000 -000000000000000000000000000101000000000010000000000000 -010000000000000000000110000000000000000000000000000000 -110000000000000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001000000000000000100000000 -000000000000000111000000000000000000000001000000100000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 .logic_tile 8 9 -000000000000001000000000000111100000000000000100000000 -000000000000000001000010000000100000000001000000000000 -001000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000110010000011000000100000100000000 -010000000000000000000010000000010000000000000000000000 -000000000000000111100000000000000000000000100100000000 -000000000000000000000000000000001011000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000101000000000010000000000000 -000000000000001000000000000000001010000100000100000000 -000000000000000001000000000000010000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000011000000000010000000000000 -000000000001000000000000010001000000000000000100000000 -000000000000000000000010000000000000000001000000000000 +000000000000000000000000010000000001000000001000000000 +000000000000000000000010000000001100000000000000001000 +111000000000000101000010100111100000000000001000000000 +000000000110000101000000000000101110000000000000000000 +110000000000001101000000000111001000001100110100000000 +110000000000001001100010111001000000110011001000000000 +000000000000000000000000001011101001000000100000000000 +000000000000000000000000001001011000000000000000000000 +000000001100000000000110000000000001001111000100000000 +000000000000000000000000000000001100001111000000000000 +000000000000001000000000000000011110001111010000000000 +000000000000000001000000001011011010001111100000000000 +000000000000001000000111101101000000000110000000000000 +000000000000001011000100001111101010000000000000000000 +010000100000000000000110000011111110001100110100000000 +010000000000000000000000000111010000110011001000000000 .logic_tile 9 9 -000000000000000000000000010000000000000000000100000000 -000010100000000000000010000011000000000010000000000000 -001000000000000101100010100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010010100000000000000000010101011000010100000000000000 -010001000000000000000011110000110000010100000000000000 +000000000100000000000010110000000000000000000000000000 +000000000000000000000111110000000000000000000000000000 +111000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000010100101001001010100000100000000 +000000000000000000000100001111011001011101000000000010 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -2416,12 +2416,12 @@ 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -2433,8 +2433,8 @@ .logic_tile 11 9 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -2457,9 +2457,9 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -2467,19 +2467,19 @@ 000000000000000000000000000000000000000000000000000000 .io_tile 13 9 -000010000000000010 -000010110000000000 -000000000000100000 -000000000000010001 000000000000000010 -000000000000010000 +000000000000000000 +000000000000000000 +000000000000000001 +000010000000010010 +000001110000010000 001100000000000000 -000000000000010000 +000000000000000000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000001000000 000000000000000000 000000000000000000 000000000000000000 @@ -2553,116 +2553,116 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 .logic_tile 4 10 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000001100000000001100000000000000100000000 +000000000000000111100011100000000000000001000010000111 000000000000000000000000000000000000000000000000000000 -000000000000001101000000000000000000000000000000000000 -000000000000000000000000001111101100010111100000000000 -000000000000000000000000001101111100001011100000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000000000000011011000000110100000000000 -000000000000000000000000000111001101001111110000000000 -000000000000001000000000000000000000000000000000000000 -000000000000001011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000000000101100001010000100000000000 +000000001100000000000000000000001111010000100000000000 +000000000000000000000000000001100000000000000000000000 +000000000000000000000000000000000000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000001001111000000000000 +000000000000000000000000000000001001001111000000000001 000000000000000000000000010000000000000000000000000000 -000000000000000000000011010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 .logic_tile 5 10 -000000000000000101000000010001101101110111110100000000 -000000000000000001000010101101111101010111110000000010 -111010000000000101100010001101101101110111110100100000 -000001000000001001000111100101111111101011110000000000 -110000000000000111100110000111111001101111110100000000 -110000000000000000000000000001101111001111111000000000 -000000000000000111100010000000011110100000000010000000 -000000000000001101000000001111001110010000000000000001 -000000000000000101000110100011011111110110100100000000 -000000000000100000000010111001011010111111110000000010 -000000000000001000000000000111100000000000000000000000 -000000000000000101000010111111001110100000010000000001 -000001001100001101100011110111111111101111110100000000 -000000000000000101000010100101101001001111110000100000 -010000000000000101100110100111011100000100000000000000 -010000000000000101000010101111001000000000000010000100 +000000000000001101000111100000000000000000000000000000 +000000000000001011000100000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000001101100000000000000000000000000000000000 +010000001010001001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000001001000001111100000000000 +000000000000000000000000000001011010011111100000000000 +000000000000000000000000000001001010010100000000000000 +000000000000000000000000000001000000000000000000100110 .logic_tile 6 10 -000000000000100000000110100000001000000100000110000000 -000000000001010000000111110000010000000000000000000100 -111000000000000101100000000000000000000000100100000000 -000000000000000000000000000000001111000000000000000000 -010000000000000001100010100000000001000000100100000000 -010000000000000000000100000000001101000000000000000000 -000000000000001000000000001011111110010111100000000000 -000000000000000001000000000001011101001011100000000000 -000000000000000001100111000000000000000000000100000000 -000000001010000000100000001111000000000010000000000000 -000000000000000000000000011000001010000010000000000000 -000000000000000101000010100101001100000001000000000000 -000000000000000011100110110001100000000000000000000000 -000000000000000000100010101101000000010110100000000100 -000000000000000000000010101111011101010111100000000000 -000000000000000000000010101111001000000111010000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +111000000000000101000000001000000000000000000110000000 +000000000000000000000000000001000000000010000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001010000100000110000000 +000000000000000000000000000000010000000000000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 7 10 -000000000000000000000000011000000000000000000100000001 -000000000000000000000010101011000000000010000001000000 -111000000000000000000000000000000000000000000100000001 -000000000000000000000000000111000000000010000000000000 -010000000000001000000111100000000001000000100100000000 -110000000000000101000100000000001110000000000000000000 -000000000000001000000000000000000001000000100100000000 -000000000000000101000000000000001101000000000000000000 -000001000000000101100000000000001100000100000110000000 -000010100000000000000000000000000000000000000000000000 -000000000000000000000110110000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000001000000110100000000000000000000100000000 -000000000000000101000000001111000000000010000000000000 -000000000000000101100110000001011111100011110000000000 -000000000000000000000100000101111010100111110000000000 +000000000000001001100000000000000000000000000000000000 +000000000000000111000010100000000000000000000000000000 +111000000000001000000000010001000000000000000100000000 +000000000000011001000011100000000000000001000000000000 +010000000000000000000000010000001100000100000100000000 +010000000000000000000010010000010000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000010110000000000000000100100000000 +000000000000000000000010000000001110000000000000000000 +000000000000000000000000000001101100010000100000000000 +000000000000000000000000001101011000010100000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000001100000000000000100000000 +110000000000000000000000000000100000000001000000000000 .logic_tile 8 10 -000000000000000000000010110101000000000000000100000000 -000000000000000000000010010000100000000001000000000000 -001001000000000000000110100000000000000000100100000000 -000010100000000000000010100000001001000000000000000000 -010000000000000000000111100000000000000000000000000000 -010000000000000101000110100000000000000000000000000000 -000000000000000000000010101000000000000000000100000000 -000000000010000101000000000101000000000010000000000000 -000000000000000000000000000011111000000001010000000000 -000000000000000000000000001101110000000000000000000000 -000000000001000000000111100000000000000000100100000000 -000000000000000000000100000000001010000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000001101000000000010000000000000 -000000000000000000000000000001100000000000000100000000 -000000000000000000000000000000100000000001000000000000 +000000000000000000000000000000000001000000100100000000 +000000000000001101000010100000001001000000000000000000 +111000000000000101000010100001011001000001110000000000 +000000000000000101100010110101101100000000100000000000 +110000000000101000000000000111111011110000010000000000 +010000000000010001000010101001001000100000000000000000 +000000000000001101100110100111111011000000100000000000 +000000000000000101000000000000101001000000100000000000 +000000000000000101100000010000001100000100000100000000 +000000000000000000000010010000000000000000000000000000 +000001000000000001100000000001000000000000000100000000 +000000000000000000000000000000000000000001000000000000 +000000000000000000000000000000011000000100000100000000 +000000000000000000000000000000000000000000000000000000 +110000000000001011100110010101111001111000000000000000 +110000000000000001000010001101101111100000000000000000 .logic_tile 9 10 -000000000000000000000000010000000000000000000101000000 -000000000000000101000010110001000000000010000000100000 -111000000000001000010000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000011000000100000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000011101101000000000010000001000000 +111000000000000101000000000000000001000000100100000000 +000000000000000000100000000000001000000000000000000000 +010000000000001000000010100000000000000000000000000000 +010000000000001111000100000000000000000000000000000000 +000000000000000000000000000000000001000000100100000000 +000000000000001101000000000000001010000000000001000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000001001000000000000 +000000000000000000000000000111001010000110000010000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 .ramt_tile 10 10 000000000000000000000000000000000000000000 @@ -2675,30 +2675,30 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 10 -000000000000000000000011100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000100100000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000110000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000001001000001000000 -000000000000000000000000001001001101000110000010100100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 10 000000000000000000000000000000000000000000000000000000 @@ -2739,12 +2739,12 @@ .io_tile 0 11 000000000000000000 000100000000000000 +000000000000000000 010000000000000000 -000000000000000000 -000001110000000000 +000001111000000000 000000001000000000 -011100000000000000 -000000000000000000 +001100000000000000 +010000000000000000 001000000000100000 000100000000100100 000000000000001100 @@ -2755,18 +2755,18 @@ 000000000000000000 .logic_tile 1 11 +000000000000000000000110100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001000000000000000000000000 +000000000000000000000000000000100000000001000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -2777,10 +2777,10 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -2809,116 +2809,116 @@ 001000000000000000000000000000000000000000 .logic_tile 4 11 -000000000000000000000110000000011100000100000100000000 -000000000000000000000100000000010000000000000000000000 -111000000000010000000000000000000000000000000000000000 -000000000000100000000011110000000000000000000000000000 -110000000000001101000000000001001100010111100000000000 -010000000000000001100011110011111010000111010000000000 -000000000000001101000000000001100000000000000100000000 -000000000000000111100000000000100000000001000000000000 -000000000000001000000110000000011100000100000100000000 -000000000000000101000010100000000000000000000000000000 -000000000000000101100110100011011010010111100000000000 -000000000000000000000000000101001001000111010000000010 -000000000000000000000000000000011010000100000100000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010000000000000 - -.logic_tile 5 11 -000000000000000000000000001000001010000001010000000000 -000000000000000000000000001101000000000010100000000000 -111010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -010000001100001111100111011000000000000000000110000000 -110000000000001001100011101111000000000010000000000001 -000000000000000000000010110000000001000000100100000000 -000000000000000000000011110000001100000000000010000001 -000000000000000000000000000000000001000000100000000000 -000000000000000000000000000000001110000000000000000000 -000000000000000011100000000000000000000000000110000001 -000000000000000000100000001101000000000010000000000000 -000000000000000000000000000000011010000100000100000001 -000000000000000000000000000000010000000000000000000000 -000000000000000101000010000000001010000100000100000001 -000000000000000000000000000000000000000000000000000010 - -.logic_tile 6 11 -000000000000001000000000010101111100000000000001000001 -000000000000001111000011100011001010100000000010000000 -111000000000100000000010101001011101010111100000000000 -000000000000010000000100001001101100000111010000000000 -110000000000000111100110010000000000000000000100000000 -010000000000000101100110011101000000000010000001000001 -000000000000001000000000011011101110000010100000000000 -000000000000000011000010011011010000000000000000000010 -000000000000000000000000010111111101010111100000000000 -000000000000000001000011010001001100000111010000000000 -000010100000001001100110000000000000000000100110000000 -000001000000000101100100000000001011000000000000000000 -000000000000000000000110000000001011110000000000000000 -000000000000000000000100000000001111110000000000000000 -000000000000000000000110001000000000000000000110000000 -000000000000000101000100001101000000000010000000000011 - -.logic_tile 7 11 -000000001110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000101100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000100000000011010000000000000000000000000000 -110000000000010000000010100000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000001000000000010011100000000000000010000000 -000000000000001001000010010011001101100000010000000000 -000000000000000001100000001000000000000000000000000000 -000000000000000000100000000001000000000010000000000000 -000000000000000000000000000000000001000000100100000100 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000000000000000000000100100000000 -000000000000000000000000000000001011000000000000000101 - -.logic_tile 8 11 -000000000000000000000010100000011010010111110100000001 -000000000000000000000100000011010000101011110000000000 -111000000001001000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -010000000000000000000110000000000000000000000000000000 -110000000000000000000110110000000000000000000000000000 -000000000100000101000000000000000000011111100100000001 -000000000000000000100010111111001011101111010000000000 -000000000000001000000000000000001001001111110100000000 -000000000000001011000000000000011010001111110000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011010000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000010000000000000000000000000000000000000000 - -.logic_tile 9 11 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +010000000000000000000010100000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000110110110100000001 +000000000000000000000010000011001101111001110000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 + +.logic_tile 5 11 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +111000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +110001000000000000000000000000000000000000000000000000 +110000100000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000001000000000011110000000000000000000000000000 +000000000000000000000010101011011010001011110000000000 +000000000000000000000000000001111110000011110000000100 +000000000000000000000010000000000000000000000000000000 +000000000000000001000100000000000000000000000000000000 +000000000000000011100011001011100000010110100100000000 +000000000000000000100000001111100000111111110000000010 +110000000000000000000010100000000000000000000000000000 +010000000000000000000100000000000000000000000000000000 + +.logic_tile 6 11 +000000000000000000000110010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +111000000000000000000000000000001010000100000100000000 +000000100000000000000000000000000000000000000000000000 +010000000000000101000000000000000000000000100100000000 +010000000000000000000000000000001111000000000000000000 +000000000000000000000000000000000000000000000100000001 +000000000000000000000000000111000000000010000010000000 +000000000000000101100000000011000000000000000000000000 +000000000000000001000000000000000000000001000000000000 +000000000000000000000000010011000000000000000100000000 +000000000000000000000010100000000000000001000000000000 +000000000000001000000110011111001001000110100001000100 +000000000000000101000110101111111101000011000000000010 +000000000000000101100110100111100000000000000000000000 +000000000000000000000000000000000000000001000000000000 + +.logic_tile 7 11 +000000000000000000000000000000011010000010100000000000 +000000000000000000000000000111010000000001010010000000 +111000000000000000000110011101011000111001010000000000 +000000000000000000000010001001111111111101110000100010 +110000000001000000000110100101100000101001010100000000 +110000000000000000000000000111100000000000000000000000 +000000000000000011100000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000001101011000000100000000000000 +000000000000000000000000001001111111000000000010000000 +000000000000000001000011110000011010000000110100000100 +000000000000000000000110000000011110000000110000000010 +010000000000000000000000001000001110000001010100000000 +110000000000000000000000001101000000000010100001000000 + +.logic_tile 8 11 +000000000000000101000010110001000000000000000100000000 +000000000000000000100110100000000000000001000000000000 +111000000000000001100110100000000000000000100100000000 +000000000000000000100010110000001001000000000000000000 +110000000000100000000000000000011000000100000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000101000000010101100000000000000100000000 +000000000000000000100010100000000000000001000000000000 +000000000000000000000000010000000000000010000010100001 +000000000000000000000010000000000000000000000011100000 +000000000000000001000000000000000001000000100100000000 +000000000000000000000011110000001100000000000000000000 +000001000000000000000000001000000000000000000100000000 +000010100000000000000000001001000000000010000000000000 +000000000000000000000000001001011101000000000000000000 +000000000000000000000000001001111011000010000000100000 + +.logic_tile 9 11 +000000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +111000000000001000000000000000000000000000000000000000 +100000000000001111000000000000000000000000000000000000 +010000000000000000000011000000000000000000000000000000 +110000000000000000000011100000000000000000000000000000 +000000000000000000000000000011000000101001010100000000 +000000000000000000000000001101001111111001110000000000 +000000000000000000000000000111011100101001010100000000 +000000000000001101000000000011110000111110100000000000 +000000000000000000000010100001001100111100010100000000 +000000000000000000000100000000001111111100010000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 .ramb_tile 10 11 010000000000000000000000000000000000000000 -001000010010000000000000000000000000000000 +001000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -2931,8 +2931,8 @@ 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 -000000001110100000000000000000000000000000 -001000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 .logic_tile 11 11 000000000000000000000000000000000000000000000000000000 @@ -2953,8 +2953,6 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 12 11 -000000000000000000000000000000000000000010000000100000 -000000000000000000000000000000000000000000000001100100 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -2967,22 +2965,24 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .io_tile 13 11 -000001011000000010 -000100000000000000 -000000000000000000 -000000000000000001 000000000000000010 -000000000000000000 +000100000000000000 +000001011000000000 +000000000000000001 +000000000000100010 +000000000000110000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000000000010 -000000000000010000 +000000111000000010 +000000001000110000 000000000000000000 000000000000000001 000000000000000010 @@ -2999,9 +2999,9 @@ 000000000100000000 001000000000000000 000100000000000000 -000000000000001100 -000000000000001100 -000000111000000000 +000000000000000100 +000001010000001100 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -3027,12 +3027,12 @@ .logic_tile 2 12 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +111000000000000000000000000101100000000000000100000000 +000000000000000000000000000000000000000001000010000000 +010000000000000000000011100000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +001000000000000000100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3051,7 +3051,7 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3061,106 +3061,106 @@ 000000000000000000000000000000000000000000 .logic_tile 4 12 -010000000000000000000000001000000000000000000100000000 -001000000000000000000000001111000000000010000000000000 -111000000000000001100111101000000000000000000100000000 -000000000000000000100000001001000000000010000000000000 -010000000000000000000011100000000000000000000000000000 -111000000000000000000100000000000000000000000000000000 -000000000000000000000110001000000000000000000000000000 -001000000001000000000100001111000000000010000000000000 -000000000000000000000000000000011000000100000100000000 -001000000000000000000000000000000000000000000000100000 -000000000000000000000111000101100000000000000100000000 -001000000000000000000100000000100000000001000000000000 +010000000000000000000111100000000000000000000000000000 +001000000000000000000110110000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +010000000000000000000010100001000000000000000100000000 +011000000000000000000111000000100000000001000000000001 +000000000000000000000000000000000000000000000000000000 +001000000000000000000010110000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000110110000000001000000100100000000 -001000000000000000000010100000001101000000000000100000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000101011001010111100000000000 +001000000000000000000000001101111011111111110000000000 .logic_tile 5 12 -010000000000000000000000011011111000001000000000000001 -001000000000000000000011000001101001000000000000000000 -111000000000001011100110000000000000000000100100000000 -000000000000001011000100000000001011000000000000000011 -010000000000001000000110110111000000000000000000000000 -111000000000000101000010010000000000000001000000000000 -000000000000000101000000011011001100010111100000000000 -001000000000000000000011001101101010111111010000000010 -000000000000000000000000001000000000000000000100000001 -001000000000000001000010010101000000000010000000000010 -000000000000000011100010000000000001000000100100000001 -001000000000000000100000000000001001000000000000000000 -000000000000001000000000001001111100001000000000000000 -001000000000000001000000000001001001000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000001101000000000010000000000000 - -.logic_tile 6 12 -010010000000100000000000000011000000000000000000000000 -001000000001000000000000000000100000000001000000000000 -111000000000000101100000000011000000000000000000000000 -000000000000000000000000000000000000000001000000000000 -110000000000000000000011100000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000001101000000000000000000000000000000000000 -001000000000001001000000000000000000000000000000000000 -000000000000001000000110000000000000000000000000000000 -001000000000001001000100000000000000000000000000000000 -000000000000000000000000001101001111010111100000000000 -001000000000000000000000000001101010000111010000000000 -000000000000000101100011100000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000101100000000000000100000001 -001000000000000000000000000000000000000001000000000010 - -.logic_tile 7 12 -010000000000000000000000010111101011000000000001000000 -001000000000000000000011100011101101010000000000100000 -111000000000100000000000000000000001000000100100000000 -000000000000000000000000000000001101000000000000000000 -010000000000001101100000000000000000000000000000000000 -011010000000001011000000000000000000000000000000000000 -000000000000000000000111100101001101001000000000000000 -001000000000000000000000000101001111000000000001000000 -000000000000000000000000001000000000000000000100000101 -001000000000000001000000001101000000000010000000000000 -000000000000000000000110010000000000000000000000000000 -001000000000000000000110010000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000010000000000000000000000000000000 - -.logic_tile 8 12 -010000000000000111000011100000000000000000000000000000 -001000000000000000000010010000000000000000000000000000 -111000000000000001000000000111100000000000000100000000 -000000000000000000100000000000000000000001000000000001 -010000000000000000000011001001011111010111100000000000 -111000000000001101000000001101001100000111010000000000 -000000000000000011100111010001001101010111110000000000 -001000000001000000100111100101101000011011110000000000 -000000000000001000000000000000000001000000100100000000 -001000000000001011000000000000001111000000000000000001 -000000000000001000000000001101111101000110100000000000 -001000000000000011000000000111001011001111110000000000 -000000000000000001000000000111101001000110100000000000 -001000000000000000000000000111011100001111110000000000 -000000000000001001100111000000000000000000000000000000 -001000000000001001100100000000000000000000000000000000 - -.logic_tile 9 12 010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +001000000000000000000010010000000000000000000000000000 +111000000000001111100000010000000000000000000000000000 +100000000000001001000011100000000000000000000000000000 +010000001110000000000000011101101101000110100000000000 +011000000000000000000011010111011101000001010001000010 +000000000000000000000110001001111010101000010100000000 +001000000000000111000100000111111011110100010010000000 +000000000000000000000110101001101101101000010001000010 +001000000000000000000000000011011110000000000000000000 +000010000000001000000000000000000000000000000000000000 +001000000000001001000010110000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001010000000000000000000000000000000000000000000000000 +010000000000001101100000000000000000000000000000000000 +011000000000000101000000000000000000000000000000000000 + +.logic_tile 6 12 +010001000000000101000000001011111000010111100000000000 +001000101101000000100011110111011001111111100000000000 +111000000000001101000011100011000001011111100100000000 +000000000000101111000000000000101010011111101000000000 +010000000000001101000110100000000000000000000000000000 +111000000000000001000110100000000000000000000000000000 +000000000000000011100000000000001011000010000001000001 +001000000000001101000000001101001000000001000000000101 +000000000000001000000000000001000000010110100100000000 +001000000000000011000010110011000000111111110000000000 +000000000000001001100000001101011000000000000000000001 +001000000000001011000000000001001011000001000000000000 +000000000000000000000000001000001010010111110100000000 +001000000000000000000010000011000000101011110010000000 +010000000000000001000000001000000000011111100100000000 +111000000000000101100000000111001111101111010010000000 + +.logic_tile 7 12 +010000001110000000000111000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +111000000000000101000111001101101011010111100000000000 +000000000000000000000100000001101110001011100000000000 +010000000000000101100011100101100000000000000100000000 +111000000000000000000000000000000000000001000000000101 +000000000000000000000110000000000000000000000000000000 +001000000000000001000100000000000000000000000000000000 +000001000000000000000000000001100000000000000100000100 +001010100000000000000000000000100000000001000000000001 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000100100000000 +001000000000000001000000000000001001000000000000000101 + +.logic_tile 8 12 +010000000000000000000000000011111001000000000000000000 +001000000000000000000000001011111101000010000000000000 +111001000000001000000111100101000000111111110100000000 +100000000000000111000100000111000000010110101000000000 +010000000000000101100111100000000000000000000000000000 +111000000000000000000100000000000000000000000000000000 +000001000000000000000010101101101100101000010100000000 +001000000000000000000000000011101100110100010000000000 +000000000000000111100000010000000000000000000000000000 +001000000000001111100010011111000000000010000000000000 +000000000000000001100110011000011110101000000100000000 +001000000000000000100110010011000000010100000000000000 +000000000000001000000010100011111110101000000100000000 +001000000000000001000110110000010000101000000000000000 +010000000000000101000000010000000000000000100000000000 +011000000000000000100011100000001111000000000000000000 + +.logic_tile 9 12 +010010100000000000000000000000000000000000000000000000 +001001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3211,7 +3211,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 @@ -3227,16 +3227,16 @@ 000000000100000000 000000000100000000 000000000100000001 -000001010100110010 -000000001100010000 -000000000100000000 +000000000100000010 000000000100000000 +000001010100000000 +000000001100000000 000000000000000000 000000000000000000 000000000000010010 -000000000000010000 -000001010000100000 -000000001000000001 +000000000000110000 +000000000000000000 +000000000000000001 000000000000000010 000000000000000000 @@ -3277,22 +3277,22 @@ 001000000000000000000000000000000000000000000000000000 .logic_tile 2 13 +010000000000000000000000010000000000000000000000000000 +001000000000000000000011010000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +011000000000000000000000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +001000000000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +001001000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000001 +001000000000000000000000000111000000000010000000000001 +000000000000000000000000000000000001000000100100000001 +001000000000000000000000000000001011000000000000000010 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +001000000000000001000000000000000000000000000000000000 .ramb_tile 3 13 010000000000000000000000000000000000000000 @@ -3313,110 +3313,110 @@ 001000000000000000000000000000000000000000 .logic_tile 4 13 -010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +010000000000000111000010100000000000000000000000000000 +001000000000000000000100000000000000000000000000000000 +111000000000000000000000010101011001010111110010000000 +000000000000000000000011101001011111101111110000000000 +110000000000000111100000000000000000000000100000000000 +111000000000000000000000000000001100000000000000000000 +000000000000000000000000001111111111111001100000000000 +001000000000001111000000001111011110110000010000000000 +000000000000001000000000000001000000000000000100000000 +001000000000000101000000000000000000000001000010000011 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000000000001000000000010000101100000000000000110000000 +001000000000000000000100000000000000000001000010000010 +000000000000001101000011110000000000000000000000000000 +001000000000000001000010000000000000000000000000000000 .logic_tile 5 13 -010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +010000000000000000000110010000001110101000000000000001 +001000000000000000000111001011000000010100000000000000 +111000000000001001100000010000000000000000000000000000 +000000000000000101100010100000000000000000000000000000 +010000000000000000000111001011111001011111110000000000 +011000000000000000000011101001001100000111110000000000 +000000000000000001000000000101000000000000000110000000 +001000000000000000000000000000100000000001000000000000 +000000001110000000000000011001101001000010100000000000 +001000000000000000000010101001011000000110100000000000 +000000000000000001000000000101100000000000000110000100 +001000000000000101100000000000000000000001000000000000 +000000000000001000000000001001000001001001000000000000 +001000000000000101000000001001101000000000000000100000 +000000000000000000000000000000000000000000000100000001 +001000000000000000000000001101000000000010000000000001 .logic_tile 6 13 -010000000000000000000000010000000000000000000000000000 -001000000000000000000011100000000000000000000000000000 -111000000000001011100010101001101011000010110000000001 -000000000000001001000000001001001110000011110000100000 -010000000000000001100000000000000000000000000000000000 -011000000000000000100000000000000000000000000000000000 -000000000000000011100111000000000000000000000000000000 -001000000000000000100100000000000000000000000000000000 -000000001100000000000000000001100000000000000110000000 -001000000000000000000000000000000000000001000000000000 -000000000000000000000000000000011010000100000110000000 -001000000000000000000000000000000000000000000000000000 -000001001110100000000000000000011110000011000000000000 -001010100001010000000000000000001010000011000010000000 -000000000000000000000000000000011010000100000100000000 -001000000000000001000000000000010000000000000000000001 +010000000000000000000010110000011110001000000000000000 +001000000000000000000011110101001001000100000000000000 +111000000000000111000110100011111010011111110000000000 +000000000000000000000000001101001111001011110000000000 +110000000000000011100000001111001000101000000000000000 +011000000000000000100011100011010000000000000000000000 +000000000000001101000000001001000001000000000000000000 +001000000000000101000000000101101110100000010000000000 +000000000000000000000000001001101001010111110000000000 +001000000000000000000010100111011100011111110000000000 +000001000000001000000000000000000000000000000000000000 +001010000000000111000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +001000001110000111000010010000000000000000000000000000 +000000000000000101000000000000000001000000100110000000 +001000000000000001000000000000001001000000000000000000 .logic_tile 7 13 -010000000000100000000000010000000001000000100100000000 -001000000001000000000011110000001010000000000000000000 -111000000000000000000000010000011000000100000100000000 -000000000000000101000011110000010000000000000000000000 -010000000000000000000111100101100000000000000100000000 -111000000000000000000000000000000000000001000000000000 -000000000000001011100011110000001000000100000100000000 -001000000000001111100011000000010000000000000000000000 -000000000000001000000000000000000000000000000100000000 -001000000000001001000000000011000000000010000000000000 -000000000000000000000000001001100000010110100000000000 -001000000000000000000000001101000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -001000000001010000000000000000000000000000000000000000 -000000000000000000000000000101100000000000000100000000 -001000000000000000000000000000100000000001000000000000 +010000000000001000000111010000011011000000010000000000 +001000000000000101000110101111001110000000100000000000 +111000000000001000000010101111101111100000000000000000 +000000000000001001000110110101011101000000000000000000 +010000000110001000000110100001101001101111010100000000 +111000000000000111000000001001111111111111010000000000 +000000000000000000000000001000001000000010000000000000 +001010000000001111000000000011011110000001000000000000 +000000000000001000000010111101111100000111110000000000 +001000001100010111000010100011001100101111110000000000 +000000100000001001100111000001011000111110110100000000 +001000000010001011100000001111111010111101010000000000 +000000000000111001100110000011001110111111110100000001 +001000000000000001000110100111101101110110100000000000 +010000000000000111100010101001000001001001000000000000 +011000000000000000100000000011001010000000000010000101 .logic_tile 8 13 -010010000000010000000000000101100000000000000100000000 -001000000000100000000000000000100000000001000000000000 -111000000000000111000011100000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -110000000000000000000110000000000000000000000000000000 -111000000100000000000000000000000000000000000000000000 -000000000000000000000111100000000000000000000100000000 -001000000000000000000010011011000000000010000000000000 -000010100000000000000111110001101110010111100000000000 -001001000000001101000011000011001011111111100000100000 -000000000000001000000000000111111001011111110000000000 -001000000000000111000000000111111000001111100000100000 -000000000000000000000110001111111001100001010000000000 -001000000000000001000100000011011011010110000000000000 -000000000000001000000110000011000000000000000100000000 -001000000000001001000000000000100000000001000000000000 - -.logic_tile 9 13 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +110000000000000000000111100000001110000100000100000000 +011000000000000000000000000000010000000000000000000000 +000000000110000000000000000000001010000100000100000000 +001000000010000000000000000000010000000000000010000000 +000000001110000000000000000000000000000000000000000000 +001000000000000000000010110000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +001000000001000000000010010000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +001010000000000000000000000000000000000000000000000000 + +.logic_tile 9 13 +010000000000000000000000010000000000000000000000000000 +001000000000000000000011110000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +010000000000000001000000000000000000000000000000000000 +011000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +001000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000100100100000 +001000000000000000000000000000001010000000000010000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 @@ -3439,8 +3439,8 @@ 001000000000000000000000000000000000000000 .logic_tile 11 13 -010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +010000000000100000000000000000000000000000000000000000 +001000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3479,14 +3479,14 @@ 000100000100000000 000000000100000000 000000000100000000 -000001010100000000 -000000001100000000 -000100000100000000 000000000100000000 +000000000100000000 +000100111100000000 +000000001100000000 000000000000000000 000100000000000000 000000000000010010 -000000000000010000 +000000000000110000 000000000000000000 000000000000000001 000000000000000010 @@ -3555,8 +3555,8 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3565,98 +3565,98 @@ 000000000000000000000000000000000000000000 .logic_tile 4 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000111100000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +111000000000000111000000000000000000000000000000000000 +000000000000000101000010100000000000000000000000000000 +010000000000000000000000001000000000000000000100000001 +010000000000000000000000000101000000000010000000000000 +000000000000000001100110001001111000111100010000000000 +000000000000000101100100001011101101010100010000000000 +000000000000000000000110100001000000000000000110000000 +000000000000000000000000000000100000000001000000000000 +000000000000000000000010001001101111111000110010000000 +000000001110000000000000000001111010011000100000000000 +000000000000000000000010101000000000000000000100000000 +000000000000000000000000001101000000000010000000000100 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 5 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000010001000000010100111111111110101010000000000 +000000100000001001000000000101101100110100000000000000 +111000000000000000000010100000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +010000000000000101000010001111111111110101010000000000 +110000000000000000100000001001101101110100000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000010101001000000000010000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000001000000100100000000 +000000000000000101000010100000001001000000000000000000 +000000000000000000000000000000011010101000000000000010 +000000000000000000000000000001010000010100000001000000 +000000000000001000000000010000000000000000000000000000 +000000000000001001000010010000000000000000000000000000 .logic_tile 6 14 -000000001100001000000000010000000000000000000000000000 -000000000000001001000010010000000000000000000000000000 -111000000000000000000110000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -110000000000000000000000001101111000010100000100100000 -110000000000000000000000001101110000111101010000000100 -000000000000000000000010000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000001100000000000000000111011011011001010100000000 -000000000000000000000000001001011011011010100000000100 -000000000000000000000000001001011010101001000100000100 -000000000000000000000000001101011110111111010000100000 -000001000000000000000111100000000000000000000000000000 -000010100000000001000100000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000001000000111111111101011011111110000100000 +000000000000000101000111100101011001001111110000000000 +111000000000001000000000010011001010010111110000100000 +000001000000000111000011010101011001011011110000000000 +010010100000000000000010010000000000000000100100000000 +010001000000001001000010100000001111000000000000000000 +000000000000000111100000001000000000000000000100000001 +000000000000000000000010001101000000000010000000000000 +000000000001010000000000000000000001000000100000000000 +000000001000100101000000000000001111000000000000000000 +000000000000000000000010000011100000000000000100000000 +000000000000000001000000000000000000000001000000000000 +000000001110000000000110000011101001010111100000000000 +000000000000000001000100000001111000111111110000100000 +000000000000001000000010100000000000000000000100000000 +000000000000000101000000000111000000000010000000000000 .logic_tile 7 14 -000000001110000101000010111001011001000001000100000000 -000000000000000000000011111011001011101011010010000000 -111000000000000101000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000001000000000000000000000000000 -010000000000000000000000001011000000000010000000000000 -000000000000000000000111000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000001000000000000000000010000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -000000000000000000000000001001011001101000010110000000 -000000000000000000000000001011101011111101110000000010 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000100000000 +000000000000000111000000000001000000000010000000000000 +111000000000000000000111010000011000000100000100000000 +000000000000000000000111000000010000000000000000000000 +110000000000000000000111000101001110101000000000000000 +010000000000000101000000000000000000101000000001000000 +000000000000000000000000000101011100101000000000000000 +000000000000000000000011110111110000000000000000000000 +000000000010001000000011110000001110000100000100000000 +000000100000000001000010000000010000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000001001000011111101000000000010000000000000 +000001000000001001100000000001101101000000010000000000 +000010100000001001000011100111111100000000000000000000 +000000000000000000000000001011101100001000000000000000 +000000000000000000000000001001001101000000000000000000 .logic_tile 8 14 -000000000000000011100000010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -111000000000001000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -010000000000000000000111100000000000000000000000000000 -110000000000000000000100000000000000000000000000000000 -000000000000000000000000000001011000010001110100100000 -000000000000000000000010100001101101111000100010000000 -000000000000000000000010001000011010111101000100000001 -000000000000000000000000000011011001111110000000000010 -000000000000001000000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 -000000000000000001000000000101100000010000100110000000 -000000000000000000000000000111101001010110100000000000 +000000000000000000000000000001100000000000000100000000 +000000000000010000000011110000100000000001000000000000 +111000000000000000000011100000011010000100000100000000 +000000000000000000000011100000000000000000000000000000 +010000000000000111000110100111011011000111110000000000 +110000000000000001000000001111001001011111110000000000 +000000000000001011100000000111001111011111100000000000 +000000000000001111100000000101011010011111010000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000001001100111100000000000000000000000000000 +000000000000000001000100000000000000000000000000000000 +000000000000001000000000001001001111011111100000000000 +000000000000000001000000000111111010101111100000000000 .logic_tile 9 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3675,15 +3675,15 @@ .ramt_tile 10 14 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3691,12 +3691,7 @@ 000000000000000000000000000000000000000000 .logic_tile 11 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3707,6 +3702,11 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 14 000000000000000000000000000000000000000000000000000000 @@ -3721,27 +3721,27 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .io_tile 13 14 -000000111000000010 -000100001000000000 -000000000000000000 -000000000000010001 -000000000000010010 -000000000000110000 -001110000000000000 -000010110000000000 -000000000000000000 -000100000000000000 000000000000000010 -000000000000010000 +000100000000000000 000000000000000000 000000000000000001 -000000000000000010 +000000000000110010 +000000000000110000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000110010 +000000000000010000 +000010000000000000 +000010110000000001 +000001110000000010 000000000000000000 .io_tile 0 15 @@ -3783,8 +3783,8 @@ .logic_tile 2 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3803,90 +3803,90 @@ 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 15 +000000000000000000000000001011000000010110100000000000 +000000000000000000000000000101100000000000000010000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000111100000000000000000000000 +010000000000000000000000000000000000000001000000000000 +000000000000001001100000000000000000000000000000000000 +000000000000001111100000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000100000000011100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101100000000000000100000000 +000000000000000000000000000000000000000001000000000001 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 .logic_tile 5 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001101000000010100101101000110000110000000000 +000000000001010101000011100101111000100000100000000000 +111000000000000000000000000111000000000000000100000000 +000000000000000000000000000000000000000001000000000000 +110000000000000001100010100000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000101000000010111100000000000000100000000 +000000000000000000000010000000000000000001000000000000 +000001000000000000000110000101101101001110000001000000 +000010100000000000000000001101111010001111000000000000 +000000000000000000000000000101001010000010100000000000 +000000000000000000000000000000100000000010100000000000 +000000000000000111100011000000000000000000100100000000 +000000000000000000000000000000001001000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 .logic_tile 6 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001110000000000111101011101110011001010100000000 +000000000000000000000110101001001111100101010001000000 +111000000000000101100111110101100000010000100100000000 +000000000000000000000010100101101110101001010000000001 +010000000000001000000110101001101110101000110110000000 +110000000000000101000100001001001001111100110000000000 +000000000000000101000010101001111010011100100100000000 +000000000000000000000000000001101110001100000000000011 +000000000000000000000000001111001000111101010100000000 +000000000000000000000010001001011111011101000010000000 +000000000000000011100000000000001100110100110100000001 +000000000001000000100000000111011010111000110010000000 +000000000000000000000110001111011000011101000100000000 +000000000000001111000100001001011111110100010000000001 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 7 15 +000001000000000000000000000101100000000000000100000000 +000010000000001111000000000000000000000001000000000000 +111000000000000000000000000000000001000000100100000000 +000000000000001001000000000000001101000000000000100000 +010000000000000000000010100000000000000000000000000000 +110000000001000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000000000000000000000000000100100000000 +000000000000000000000000000000001000000000000000100000 +000010000000000000000111000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000100000 +000000000000000000000000000000011010000100000100000000 +000000000010000000000000000000000000000000000000000000 .logic_tile 8 15 000000000000000000000000000000000000000000000000000000 @@ -3897,24 +3897,6 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 9 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3924,17 +3906,35 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +.logic_tile 9 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 + .ramb_tile 10 15 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3962,7 +3962,7 @@ .logic_tile 12 15 000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3983,18 +3983,18 @@ 000100000000000000 000000000000000000 000000000000000001 -000000000000110010 +000000000000010010 000000000000110000 001100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000010000000100010 -000000110000110000 +000011110000000000 +000010000000000000 +000111110000000000 +000000000000100010 +000000000000010000 000000000000000000 000000000000000001 -000001010000000010 -000000001000000000 +000000000000000010 +000000000000000000 .io_tile 0 16 000000000000000000 @@ -4070,17 +4070,17 @@ .logic_tile 4 16 000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +111000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000101000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001100001001001000100000000 +000000000000000000000000000101001011101111010000100000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4105,34 +4105,34 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 6 16 +000000000000000000000000000000000001000000100100100000 +000000000000000000000000000000001111000000000010000000 +111000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000001110000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 .logic_tile 7 16 +000000000000000000000000000000000000000000000110100000 +000000000000000000000000000101000000000010000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000111100000000000000000000000000000000000 +010000000000000000100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4180,11 +4180,11 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -4267,8 +4267,8 @@ 000000000000000000 .io_tile 2 17 -000001010000000000 -000100001000000000 +000000000000000000 +000100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -4277,8 +4277,8 @@ 000000000000000000 000000000000000000 000100000000000000 -000000000000000010 -000000000000010000 +000000000000100010 +000011110000110000 000000000000000000 000000000000000001 000000000000000010 @@ -4303,11 +4303,11 @@ 000000000000000000 .io_tile 4 17 -000010000000000010 -000001010000000000 +000000000000000010 +000000000000000000 000000000000000000 000000000000000001 -000000000000000010 +000000000000110010 000000000000010000 001100000000000000 000000000000000000 @@ -4315,8 +4315,8 @@ 000100000000000000 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 +000000011000000000 +000000001000000000 000000000000000000 000000000000000000 @@ -4339,22 +4339,22 @@ 000000000000000000 .io_tile 6 17 -000000000001100000 -000100000000000000 -000000000001100000 -000000000000000000 -000000000000000000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 +000000000000000000 +000000000000000000 +000101111000000000 +000000000000000000 +000000000000000000 000100000000000000 -000000000000110010 +000000000000010010 000000000000110000 000000000000000000 000000000000000001 000000000000000010 -000001110000000000 +000000000000000000 .io_tile 7 17 000000000000000000 @@ -4376,33 +4376,33 @@ .io_tile 8 17 000000000000000000 -100000000000000000 +001000000000000000 000000000000000000 000000000000000001 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 100000000000000000 000000000000000000 000000000000000000 000000000000000000 +000000000000000000 000000000000000001 000000000000000000 000000000000000000 .io_tile 9 17 000000000000000000 -001000000000000000 +010000000000000000 000000000000000000 000000000000000001 000000000000000000 000000000000000000 001000000000000000 000000000000000000 -000000000000000000 -100100000000000000 +100000000000000000 +000100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -4411,40 +4411,40 @@ 000000000000000000 .io_tile 10 17 -000000000000000010 -000000000000000000 +000010000000000010 +000011110000000000 000000000000000000 000000000000000001 -000000000000110010 -000000000000110000 +000000000000000010 +000000000000010000 000000000000000000 +000000000000010000 000000000000000000 010000000000000000 000000000000000000 000000000000000000 000000000000000000 +000000000000000001 +000000000000000000 000000000000000000 -000000000000011001 -000000111000000000 -000000001000000000 .io_tile 11 17 000000000000000010 000000000000000000 000000000000000000 000000000000000001 -000000000000110010 +000000000000010010 000000000000110000 001100000000000000 -000000000000000000 +000011010000000000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000001010000000000 -000000001000000000 +000000000000000000 +000000000000000000 .io_tile 12 17 000000000000000000 @@ -4468,2311 +4468,2386 @@ .sym 4 lvds_clock_buf .sym 7 r_counter[0]_$glb_clk .sym 8 i_glob_clock$SB_IO_IN_$glb_clk -.sym 639 r_counter[0] -.sym 748 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] +.sym 525 r_counter[0] +.sym 635 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E +.sym 636 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 637 w_rx_09_fifo_data[1] +.sym 638 w_rx_09_fifo_data[0] +.sym 639 io_pmod[6]$SB_IO_OUT +.sym 640 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] .sym 751 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 752 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_DFFNESR_Q_E +.sym 753 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 771 io_pmod[6]$SB_IO_OUT +.sym 779 w_lvds_rx_09_d0 +.sym 792 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 823 w_lvds_rx_09_d1 +.sym 826 w_lvds_rx_09_d0 +.sym 827 w_lvds_rx_09_d1 .sym 830 r_counter[0] -.sym 858 r_counter[0] -.sym 860 w_rx_09_fifo_data[0] -.sym 862 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E -.sym 863 w_rx_09_fifo_data[1] -.sym 864 io_pmod[5]$SB_IO_OUT -.sym 866 io_pmod[6]$SB_IO_OUT -.sym 867 w_lvds_rx_09_d1_SB_LUT4_I0_O[2] +.sym 854 r_counter[0] +.sym 919 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_DFFNESR_Q_E +.sym 920 r_counter[0] .sym 940 lvds_clock .sym 944 lvds_clock .sym 970 lvds_clock -.sym 1007 w_lvds_rx_09_d0 -.sym 1017 w_lvds_rx_09_d1_SB_LUT4_I0_O[2] -.sym 1031 w_lvds_rx_09_d1 -.sym 1054 w_lvds_rx_09_d0 -.sym 1055 w_lvds_rx_09_d1 +.sym 999 i_mosi$SB_IO_IN +.sym 1090 $PACKER_VCC_NET .sym 1173 w_lvds_rx_09_d0 .sym 1174 w_lvds_rx_09_d1 .sym 1183 $PACKER_VCC_NET .sym 1184 lvds_clock_buf .sym 1191 $PACKER_VCC_NET -.sym 1256 $PACKER_VCC_NET +.sym 1210 $PACKER_VCC_NET +.sym 1274 $PACKER_VCC_NET .sym 1287 lvds_clock .sym 1297 $PACKER_VCC_NET -.sym 1313 $PACKER_VCC_NET -.sym 1391 $PACKER_VCC_NET -.sym 2776 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 2777 lvds_rx_09_inst.r_phase_count[0] -.sym 2778 lvds_rx_09_inst.r_phase_count[1] -.sym 2780 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0[0] -.sym 2781 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 2857 r_counter[0] -.sym 2899 r_counter[0] -.sym 2907 i_glob_clock$SB_IO_IN_$glb_clk -.sym 2909 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 2910 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I1[0] -.sym 2911 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 2912 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 2913 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0_SB_DFFNESR_Q_E -.sym 2914 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O[0] -.sym 2915 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 2916 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O[2] +.sym 1310 $PACKER_VCC_NET +.sym 1336 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 1455 spi_if_ins.w_rx_data[0] +.sym 2639 io_pmod[7]$SB_IO_OUT +.sym 2640 io_pmod[5]$SB_IO_OUT +.sym 2665 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_DFFNESR_Q_E +.sym 2666 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 2667 r_counter[0] +.sym 2722 r_counter[0] +.sym 2762 r_counter[0] +.sym 2772 i_glob_clock$SB_IO_IN_$glb_clk +.sym 2774 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 2775 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 2776 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D_SB_LUT4_I1_O[2] +.sym 2777 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D[1] +.sym 2778 w_lvds_rx_09_d1_SB_LUT4_I3_I2[2] +.sym 2779 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] +.sym 2781 lvds_rx_09_inst.r_phase_count[0] +.sym 2831 w_lvds_rx_09_d0 +.sym 2834 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 2837 w_lvds_rx_09_d1 +.sym 2840 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 2842 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 2843 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 2844 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 2847 w_rx_09_fifo_data[1] +.sym 2851 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 2854 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 2855 w_lvds_rx_09_d1_SB_LUT4_I3_I2[2] +.sym 2856 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] +.sym 2873 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 2874 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] +.sym 2878 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 2884 w_lvds_rx_09_d0 +.sym 2885 w_lvds_rx_09_d1_SB_LUT4_I3_I2[2] +.sym 2886 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 2887 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 2890 w_lvds_rx_09_d1_SB_LUT4_I3_I2[2] +.sym 2891 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 2892 w_lvds_rx_09_d1 +.sym 2893 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 2896 w_rx_09_fifo_data[1] +.sym 2899 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 2902 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 2903 w_lvds_rx_09_d0 +.sym 2904 w_lvds_rx_09_d1 +.sym 2905 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 2906 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 2907 lvds_clock_buf +.sym 2908 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 2911 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 2913 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3[1] +.sym 2914 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D_SB_LUT4_I1_O[0] +.sym 2915 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 2916 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] +.sym 2963 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] .sym 2964 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E -.sym 2980 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 2991 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 2992 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 3007 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 3025 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 3026 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] +.sym 2970 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 2977 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 3027 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 3031 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 3034 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 3037 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] .sym 3041 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E .sym 3042 lvds_clock_buf -.sym 3044 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E -.sym 3045 io_pmod[7]$SB_IO_OUT -.sym 3046 w_lvds_rx_09_d1_SB_LUT4_I0_O[2] -.sym 3072 io_pmod[6]$SB_IO_OUT -.sym 3097 w_rx_09_fifo_data[0] -.sym 3099 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 3102 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 3103 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 3107 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 3108 w_rx_09_fifo_data[1] -.sym 3109 w_lvds_rx_09_d0 -.sym 3110 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 3113 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E -.sym 3115 w_lvds_rx_09_d1_SB_LUT4_I0_O[2] -.sym 3123 w_lvds_rx_09_d1 -.sym 3130 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 3131 w_lvds_rx_09_d1 -.sym 3132 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 3133 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 3142 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E -.sym 3148 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 3149 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 3150 w_lvds_rx_09_d0 -.sym 3151 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 3154 w_rx_09_fifo_data[0] -.sym 3155 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 3168 w_rx_09_fifo_data[1] -.sym 3169 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 3172 w_lvds_rx_09_d1 -.sym 3173 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 3174 w_lvds_rx_09_d0 -.sym 3175 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 3176 w_lvds_rx_09_d1_SB_LUT4_I0_O[2] -.sym 3177 lvds_clock_buf -.sym 3178 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 3201 io_pmod[5]$SB_IO_OUT -.sym 4253 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E -.sym 4261 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0_SB_DFFNESR_Q_E -.sym 5059 $PACKER_VCC_NET -.sym 5062 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O[0] -.sym 5068 lvds_rx_09_inst.r_phase_count[0] -.sym 5071 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0[0] -.sym 5072 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O[2] -.sym 5075 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 5084 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0_SB_DFFNESR_Q_E -.sym 5085 lvds_rx_09_inst.r_phase_count[1] +.sym 3049 lvds_rx_09_inst.r_phase_count[1] +.sym 3072 $PACKER_VCC_NET +.sym 3077 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 3201 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 3202 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 3345 io_ctrl_ins.led0_state_SB_DFFE_Q_E +.sym 3349 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 3370 $PACKER_VCC_NET +.sym 3413 $PACKER_VCC_NET +.sym 3450 o_ldo_2v8_en$SB_IO_OUT +.sym 3468 $PACKER_VCC_NET +.sym 3589 w_rx_data[2] +.sym 3590 w_rx_data[0] +.sym 4159 o_shdn_tx_lna$SB_IO_OUT +.sym 4251 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 4796 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 4935 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 4943 io_pmod[5]$SB_IO_OUT +.sym 4952 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 4955 w_rx_09_fifo_data[0] +.sym 4963 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 4968 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 4969 io_pmod[5]$SB_IO_OUT +.sym 4973 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 4975 w_rx_09_fifo_data[0] +.sym 5013 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 5014 lvds_clock_buf +.sym 5015 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 5028 io_pmod[7]$SB_IO_OUT +.sym 5032 io_pmod[5]$SB_IO_OUT +.sym 5059 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E +.sym 5063 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 5065 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 5072 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] +.sym 5076 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D[1] +.sym 5080 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] .sym 5086 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 5088 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 5089 $nextpnr_ICESTORM_LC_0$O -.sym 5092 lvds_rx_09_inst.r_phase_count[0] -.sym 5095 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] -.sym 5097 $PACKER_VCC_NET -.sym 5098 lvds_rx_09_inst.r_phase_count[1] -.sym 5102 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 5103 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O[0] -.sym 5104 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O[2] -.sym 5105 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] -.sym 5110 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0[0] -.sym 5116 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 5127 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0[0] -.sym 5128 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 5132 lvds_rx_09_inst.r_phase_count[1] -.sym 5133 lvds_rx_09_inst.r_phase_count[0] -.sym 5134 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O[0] -.sym 5135 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O[2] -.sym 5136 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0_SB_DFFNESR_Q_E +.sym 5093 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D[1] +.sym 5096 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 5098 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 5102 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 5103 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D[1] +.sym 5104 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] +.sym 5108 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 5110 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 5114 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 5115 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D[1] +.sym 5116 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] +.sym 5120 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 5121 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] +.sym 5122 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 5123 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 5135 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 5136 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E .sym 5137 lvds_clock_buf .sym 5138 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 5153 $PACKER_VCC_NET -.sym 5155 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 5158 io_pmod[6]$SB_IO_OUT -.sym 5161 spi_if_ins.o_cs_SB_LUT4_I2_1_O -.sym 5170 io_pmod[7]$SB_IO_OUT -.sym 5182 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 5183 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 5186 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0[0] -.sym 5187 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 5189 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I1[0] -.sym 5190 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 5193 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 5194 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 5196 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 5207 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E -.sym 5215 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 5216 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 5219 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 5221 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 5222 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0[0] -.sym 5225 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 5226 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 5227 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0[0] -.sym 5228 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 5232 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 5233 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 5234 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I1[0] -.sym 5238 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 5239 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 5243 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 5246 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 5251 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 5255 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 5256 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 5257 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 5258 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0[0] -.sym 5259 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E +.sym 5155 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 5182 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D_SB_LUT4_I1_O[2] +.sym 5185 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D_SB_LUT4_I1_O[0] +.sym 5187 lvds_rx_09_inst.r_phase_count[0] +.sym 5188 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 5189 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 5191 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_DFFNESR_Q_E +.sym 5193 lvds_rx_09_inst.r_phase_count[1] +.sym 5194 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 5195 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 5198 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 5204 $PACKER_VCC_NET +.sym 5208 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3[1] +.sym 5209 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 5211 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] +.sym 5212 $nextpnr_ICESTORM_LC_0$O +.sym 5214 lvds_rx_09_inst.r_phase_count[0] +.sym 5218 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] +.sym 5220 lvds_rx_09_inst.r_phase_count[1] +.sym 5221 $PACKER_VCC_NET +.sym 5225 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D_SB_LUT4_I1_O[2] +.sym 5226 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D_SB_LUT4_I1_O[0] +.sym 5227 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 5228 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] +.sym 5237 lvds_rx_09_inst.r_phase_count[1] +.sym 5238 lvds_rx_09_inst.r_phase_count[0] +.sym 5239 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D_SB_LUT4_I1_O[2] +.sym 5240 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D_SB_LUT4_I1_O[0] +.sym 5243 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 5244 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 5245 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 5246 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] +.sym 5250 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 5251 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 5255 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3[1] +.sym 5258 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 5259 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_DFFNESR_Q_E .sym 5260 lvds_clock_buf .sym 5261 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 5305 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 5307 io_pmod[5]$SB_IO_OUT -.sym 5310 w_lvds_rx_09_d1_SB_LUT4_I0_O[2] -.sym 5314 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 5330 w_lvds_rx_09_d1_SB_LUT4_I0_O[2] -.sym 5332 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 5336 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 5337 w_lvds_rx_09_d1_SB_LUT4_I0_O[2] -.sym 5338 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 5343 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 5345 io_pmod[5]$SB_IO_OUT -.sym 5351 w_lvds_rx_09_d1_SB_LUT4_I0_O[2] -.sym 5382 w_lvds_rx_09_d1_SB_LUT4_I0_O[2] -.sym 5383 lvds_clock_buf -.sym 5384 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 5639 io_ctrl_ins.rf_mode_SB_DFFE_Q_E -.sym 5647 $PACKER_VCC_NET +.sym 5307 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3[1] +.sym 5369 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3[1] +.sym 5403 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 5643 $PACKER_VCC_NET +.sym 5656 w_rx_data[0] +.sym 5663 spi_if_ins.w_rx_data[2] +.sym 5683 io_ctrl_ins.led0_state_SB_DFFE_Q_E +.sym 5685 w_rx_data[2] +.sym 5712 w_rx_data[2] +.sym 5751 io_ctrl_ins.led0_state_SB_DFFE_Q_E +.sym 5752 r_counter[0]_$glb_clk +.sym 5767 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 5770 o_ldo_2v8_en$SB_IO_OUT +.sym 5780 w_rx_data[2] +.sym 5782 w_rx_data[0] +.sym 5797 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 5810 spi_if_ins.w_rx_data[0] +.sym 5823 spi_if_ins.w_rx_data[2] +.sym 5858 spi_if_ins.w_rx_data[2] +.sym 5866 spi_if_ins.w_rx_data[0] +.sym 5874 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 5875 r_counter[0]_$glb_clk +.sym 5895 i_config_SB_LUT4_I0_I3[2] +.sym 5897 io_ctrl_ins.led0_state_SB_DFFE_Q_E +.sym 5908 w_rx_data[2] +.sym 6012 io_ctrl_ins.rf_pin_state[0] +.sym 6016 io_ctrl_ins.mixer_en_state_SB_LUT4_I1_O[2] +.sym 6023 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D_SB_LUT4_I2_O +.sym 6145 o_shdn_tx_lna$SB_IO_OUT .sym 6294 o_shdn_tx_lna$SB_IO_OUT -.sym 6301 o_shdn_tx_lna$SB_IO_OUT -.sym 6316 o_shdn_rx_lna$SB_IO_OUT -.sym 6317 o_shdn_tx_lna$SB_IO_OUT -.sym 6378 $PACKER_GND_NET -.sym 6771 spi_if_ins.state_if[0] -.sym 6866 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 6868 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E -.sym 6870 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 6929 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 6975 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 7013 io_pmod[7]$SB_IO_OUT -.sym 7029 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 7072 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 7117 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 6310 o_shdn_tx_lna$SB_IO_OUT +.sym 6381 $PACKER_GND_NET +.sym 6920 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 6974 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 7073 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 7074 spi_if_ins.state_if[0] +.sym 7075 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 7132 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] .sym 7174 w_tx_data_smi[0] -.sym 7176 w_tx_data_smi[1] -.sym 7177 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 7276 i_config_SB_LUT4_I3_O[3] -.sym 7279 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 7312 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 7337 io_ctrl_ins.o_pmod[0] -.sym 7376 io_ctrl_ins.pmod_dir_state[4] -.sym 7378 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] -.sym 7379 io_ctrl_ins.pmod_dir_state[1] -.sym 7380 io_ctrl_ins.pmod_dir_state[0] -.sym 7381 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_I2[3] -.sym 7382 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[0] -.sym 7383 io_ctrl_ins.pmod_dir_state[3] -.sym 7432 io_ctrl_ins.o_pmod[3] -.sym 7478 io_ctrl_ins.o_pmod[2] -.sym 7479 io_ctrl_ins.o_pmod[1] -.sym 7481 io_ctrl_ins.led0_state_SB_DFFE_Q_E -.sym 7482 io_ctrl_ins.o_pmod[0] -.sym 7483 io_ctrl_ins.o_pmod[4] -.sym 7485 io_ctrl_ins.o_pmod[3] -.sym 7521 w_rx_data[2] -.sym 7524 w_rx_data[0] -.sym 7618 spi_if_ins.o_load_cmd_SB_LUT4_I3_1_O -.sym 7626 w_rx_data[1] -.sym 7637 w_rx_data[3] -.sym 7834 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 7177 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 7178 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 7179 w_tx_data_smi[1] +.sym 7234 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 7236 w_cs[2] +.sym 7275 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 7277 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E +.sym 7278 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 7280 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 7317 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 7339 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 7380 w_fetch +.sym 7422 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 7429 spi_if_ins.w_rx_data[2] +.sym 7440 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 7480 io_ctrl_ins.rf_pin_state[2] +.sym 7485 io_ctrl_ins.led1_state_SB_LUT4_I0_O[0] +.sym 7537 spi_if_ins.w_rx_data[2] +.sym 7581 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[0] +.sym 7582 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 7583 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 7584 w_ioc[2] +.sym 7586 i_config_SB_LUT4_I0_I3[2] +.sym 7630 w_rx_data[2] +.sym 7643 io_ctrl_ins.mixer_en_state +.sym 7684 io_ctrl_ins.rf_pin_state[3] +.sym 7685 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 7686 io_ctrl_ins.rf_pin_state[0] +.sym 7687 io_ctrl_ins.mixer_en_state_SB_LUT4_I1_O[2] +.sym 7688 io_ctrl_ins.rf_pin_state[1] +.sym 7725 i_config_SB_LUT4_I0_I3[2] +.sym 7728 w_rx_data[3] +.sym 7729 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 7733 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[0] +.sym 7741 io_ctrl_ins.rf_pin_state[1] +.sym 7747 o_shdn_rx_lna$SB_IO_OUT +.sym 7784 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 7786 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 7790 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] +.sym 7834 w_rx_data[0] +.sym 7891 o_shdn_rx_lna$SB_IO_OUT +.sym 7935 w_rx_data[0] +.sym 7939 w_rx_data[2] +.sym 7946 io_ctrl_ins.pmod_state_SB_DFFE_Q_E .sym 8093 $PACKER_GND_NET -.sym 8106 $PACKER_GND_NET -.sym 8272 $PACKER_GND_NET -.sym 8431 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 8432 $PACKER_GND_NET -.sym 8556 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 8652 spi_if_ins.state_if[1] -.sym 8653 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 8654 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 8657 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 8677 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 8680 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 8696 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 8703 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 8711 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 8767 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 8771 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 8772 r_counter[0]_$glb_clk -.sym 8773 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 8778 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 8779 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 8780 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 8781 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 8795 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 8801 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 8805 spi_if_ins.o_cs_SB_LUT4_I2_1_O -.sym 8806 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 8819 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 8820 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 8822 spi_if_ins.state_if[0] -.sym 8824 spi_if_ins.state_if[1] -.sym 8833 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E -.sym 8835 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 8845 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 8851 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 8860 spi_if_ins.state_if[1] -.sym 8861 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 8862 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 8863 spi_if_ins.state_if[0] -.sym 8875 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 8894 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E -.sym 8895 r_counter[0]_$glb_clk -.sym 8896 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 8897 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 8898 r_tx_data[3] -.sym 8899 r_tx_data[6] -.sym 8901 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 8902 r_tx_data[4] -.sym 8903 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 8904 r_tx_data[1] -.sym 8916 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 8924 $PACKER_GND_NET -.sym 8927 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 8929 w_tx_data_smi[1] -.sym 8932 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 8942 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 8950 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 8952 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 8956 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 9013 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 9015 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 9017 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E +.sym 8117 $PACKER_GND_NET +.sym 8257 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 8923 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E +.sym 8925 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 8942 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 8943 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 8949 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 9008 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 9017 spi_if_ins.state_if_SB_DFFE_Q_E .sym 9018 r_counter[0]_$glb_clk -.sym 9019 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 9020 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 9021 w_cs[2] -.sym 9022 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] -.sym 9023 spi_if_ins.o_cs_SB_LUT4_I2_1_O -.sym 9024 w_cs[3] -.sym 9026 spi_if_ins.o_cs_SB_LUT4_I1_O[1] -.sym 9027 spi_if_ins.o_cs_SB_LUT4_I2_O -.sym 9042 w_tx_data_io[6] -.sym 9047 w_tx_data_io[1] -.sym 9051 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 9053 spi_if_ins.w_rx_data[4] -.sym 9055 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 9067 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 9106 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 9144 w_ioc[4] -.sym 9147 w_cs[0] -.sym 9148 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 9149 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 9150 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[1] -.sym 9160 spi_if_ins.o_cs_SB_LUT4_I2_O -.sym 9165 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 9167 w_tx_data_io[4] -.sym 9169 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 9172 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 9177 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_I2[3] -.sym 9185 w_cs[2] +.sym 9019 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 9020 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 9021 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 9022 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 9023 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 9025 spi_if_ins.state_if[1] +.sym 9043 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 9046 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 9049 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D[4] +.sym 9053 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 9064 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 9067 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 9072 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 9081 spi_if_ins.state_if[0] +.sym 9082 spi_if_ins.state_if[1] +.sym 9086 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 9090 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 9112 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 9113 spi_if_ins.state_if[0] +.sym 9114 spi_if_ins.state_if[1] +.sym 9115 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 9120 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 9124 spi_if_ins.state_if[1] +.sym 9125 spi_if_ins.state_if[0] +.sym 9127 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 9140 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 9141 r_counter[0]_$glb_clk +.sym 9142 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 9144 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 9147 w_load +.sym 9148 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 9150 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 9169 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 9178 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 9185 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 9188 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 9189 spi_if_ins.state_if[1] +.sym 9193 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] .sym 9195 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 9205 i_config_SB_LUT4_I3_I1[4] +.sym 9196 spi_if_ins.state_if[0] +.sym 9197 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 9209 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D[4] .sym 9213 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 9232 i_config_SB_LUT4_I3_I1[4] -.sym 9242 i_config_SB_LUT4_I3_I1[4] -.sym 9249 w_cs[2] +.sym 9231 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D[4] +.sym 9248 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 9249 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 9253 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 9254 spi_if_ins.state_if[0] +.sym 9255 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 9256 spi_if_ins.state_if[1] +.sym 9262 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D[4] .sym 9263 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E .sym 9264 r_counter[0]_$glb_clk .sym 9265 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 9266 w_tx_data_io[2] -.sym 9267 w_tx_data_io[1] -.sym 9268 w_tx_data_io[0] -.sym 9269 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 9270 w_tx_data_io[3] -.sym 9271 i_config_SB_LUT4_I3_I1[4] -.sym 9272 w_tx_data_io[4] -.sym 9273 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 9286 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 9293 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 9294 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 9297 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 9311 io_ctrl_ins.pmod_dir_state[0] -.sym 9322 io_ctrl_ins.pmod_dir_state[3] -.sym 9323 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 9326 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 9331 io_ctrl_ins.o_pmod[0] -.sym 9337 io_ctrl_ins.o_pmod[3] -.sym 9352 io_ctrl_ins.pmod_dir_state[3] -.sym 9353 io_ctrl_ins.o_pmod[3] -.sym 9354 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 9355 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 9370 io_ctrl_ins.o_pmod[0] -.sym 9371 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 9372 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 9373 io_ctrl_ins.pmod_dir_state[0] -.sym 9389 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 9391 w_rx_data[7] -.sym 9392 w_rx_data[6] -.sym 9393 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] -.sym 9394 w_rx_data[0] -.sym 9395 w_rx_data[5] -.sym 9396 w_rx_data[4] -.sym 9401 o_tr_vc2$SB_IO_OUT -.sym 9404 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 9406 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 9413 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 9420 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 9433 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 9436 w_rx_data[1] -.sym 9438 io_ctrl_ins.pmod_dir_state[4] -.sym 9439 io_ctrl_ins.o_pmod[1] -.sym 9440 w_rx_data[3] -.sym 9442 w_rx_data[2] -.sym 9443 io_ctrl_ins.o_pmod[4] -.sym 9446 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 9448 spi_if_ins.o_load_cmd_SB_LUT4_I3_2_O -.sym 9449 io_ctrl_ins.pmod_dir_state[1] -.sym 9451 w_rx_data[0] -.sym 9453 w_rx_data[4] -.sym 9466 w_rx_data[4] -.sym 9475 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 9476 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 9477 io_ctrl_ins.o_pmod[1] -.sym 9478 io_ctrl_ins.pmod_dir_state[1] -.sym 9482 w_rx_data[1] -.sym 9490 w_rx_data[0] -.sym 9493 io_ctrl_ins.pmod_dir_state[4] -.sym 9494 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 9495 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 9496 io_ctrl_ins.o_pmod[4] -.sym 9502 w_rx_data[2] -.sym 9505 w_rx_data[3] -.sym 9509 spi_if_ins.o_load_cmd_SB_LUT4_I3_2_O +.sym 9269 spi_if_ins.w_rx_data[4] +.sym 9272 spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_I3_O[1] +.sym 9273 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 9284 w_tx_data_smi[0] +.sym 9297 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 9300 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 9301 w_tx_data_smi[1] +.sym 9311 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 9312 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 9313 w_cs[2] +.sym 9320 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 9337 spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_I3_O[1] +.sym 9347 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 9359 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 9360 spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_I3_O[1] +.sym 9365 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 9378 w_cs[2] +.sym 9387 r_counter[0]_$glb_clk +.sym 9393 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E +.sym 9395 w_tx_data_io[1] +.sym 9405 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 9407 spi_if_ins.w_rx_data[2] +.sym 9409 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 9413 w_fetch +.sym 9414 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E +.sym 9415 spi_if_ins.w_rx_data[4] +.sym 9416 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 9421 w_ioc[2] +.sym 9422 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 9434 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 9441 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E +.sym 9448 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 9450 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 9487 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 9489 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 9509 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E .sym 9510 r_counter[0]_$glb_clk -.sym 9512 spi_if_ins.o_load_cmd_SB_LUT4_I3_2_O -.sym 9513 o_ldo_2v8_en$SB_IO_OUT -.sym 9514 spi_if_ins.o_load_cmd_SB_LUT4_I3_2_O -.sym 9515 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[2] -.sym 9516 o_led0$SB_IO_OUT -.sym 9517 o_led1$SB_IO_OUT -.sym 9518 io_ctrl_ins.led0_state_SB_DFFE_Q_E -.sym 9519 w_rx_data[2] -.sym 9527 spi_if_ins.w_rx_data[5] -.sym 9528 w_rx_data[3] -.sym 9530 spi_if_ins.w_rx_data[4] -.sym 9532 w_rx_data[1] -.sym 9535 w_rx_data[7] -.sym 9537 spi_if_ins.w_rx_data[6] -.sym 9544 o_tr_vc1_b$SB_IO_OUT -.sym 9558 w_rx_data[0] -.sym 9560 w_rx_data[1] -.sym 9564 spi_if_ins.o_load_cmd_SB_LUT4_I3_O -.sym 9568 w_rx_data[4] -.sym 9576 w_rx_data[3] -.sym 9583 io_ctrl_ins.led0_state_SB_DFFE_Q_E -.sym 9584 w_rx_data[2] -.sym 9586 w_rx_data[2] -.sym 9592 w_rx_data[1] -.sym 9604 io_ctrl_ins.led0_state_SB_DFFE_Q_E -.sym 9613 w_rx_data[0] -.sym 9617 w_rx_data[4] -.sym 9630 w_rx_data[3] -.sym 9632 spi_if_ins.o_load_cmd_SB_LUT4_I3_O +.sym 9511 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 9514 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 9515 r_tx_data[3] +.sym 9516 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E +.sym 9520 r_tx_data_SB_DFFESR_Q_E +.sym 9527 w_cs[2] +.sym 9536 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D[4] +.sym 9537 i_config_SB_LUT4_I0_I3[2] +.sym 9542 spi_if_ins.w_rx_data[1] +.sym 9555 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 9556 w_rx_data[2] +.sym 9559 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 9563 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 9564 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 9567 i_config_SB_LUT4_I0_I3[2] +.sym 9599 w_rx_data[2] +.sym 9628 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 9629 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 9630 i_config_SB_LUT4_I0_I3[2] +.sym 9631 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 9632 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E .sym 9633 r_counter[0]_$glb_clk -.sym 9652 spi_if_ins.o_load_cmd_SB_LUT4_I3_O -.sym 9654 $PACKER_VCC_NET -.sym 9660 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 9770 io_ctrl_ins.pmod_dir_state[5] -.sym 9774 io_ctrl_ins.pmod_dir_state[7] +.sym 9635 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 9637 io_ctrl_ins.led0_state_SB_LUT4_I0_O[1] +.sym 9638 w_rx_data[4] +.sym 9639 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1[0] +.sym 9640 w_rx_data[3] +.sym 9641 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D[4] +.sym 9642 w_rx_data[1] +.sym 9647 w_cs[1] +.sym 9652 spi_if_ins.o_cs_SB_LUT4_I1_O[1] +.sym 9660 io_ctrl_ins.rf_pin_state[2] +.sym 9662 o_tr_vc1_b$SB_IO_OUT +.sym 9668 io_ctrl_ins.rf_pin_state[3] +.sym 9677 spi_if_ins.w_rx_data[2] +.sym 9679 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 9682 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 9685 io_ctrl_ins.o_pmod[4] +.sym 9688 spi_if_ins.w_rx_data[0] +.sym 9692 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 9703 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 9704 w_ioc[2] +.sym 9705 io_ctrl_ins.debug_mode[1] +.sym 9706 i_config_SB_LUT4_I0_I3[2] +.sym 9707 o_shdn_rx_lna$SB_IO_OUT +.sym 9715 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 9716 io_ctrl_ins.o_pmod[4] +.sym 9717 i_config_SB_LUT4_I0_I3[2] +.sym 9718 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 9723 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 9727 i_config_SB_LUT4_I0_I3[2] +.sym 9728 io_ctrl_ins.debug_mode[1] +.sym 9729 w_ioc[2] +.sym 9730 o_shdn_rx_lna$SB_IO_OUT +.sym 9734 spi_if_ins.w_rx_data[2] +.sym 9746 spi_if_ins.w_rx_data[0] +.sym 9755 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 9756 r_counter[0]_$glb_clk +.sym 9758 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[2] +.sym 9760 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] +.sym 9761 io_ctrl_ins.rf_mode[2] +.sym 9763 io_ctrl_ins.debug_mode[1] +.sym 9764 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D_SB_LUT4_I2_O +.sym 9773 w_rx_data[4] +.sym 9776 spi_if_ins.w_rx_data[0] +.sym 9778 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 9780 w_ioc[2] +.sym 9781 io_ctrl_ins.o_pmod[4] +.sym 9787 w_ioc[2] +.sym 9788 w_rx_data[3] +.sym 9789 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 9801 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 9802 w_rx_data[0] +.sym 9803 w_ioc[2] +.sym 9804 io_ctrl_ins.mixer_en_state +.sym 9805 i_config_SB_LUT4_I0_I3[2] +.sym 9811 w_ioc[2] +.sym 9812 w_rx_data[3] +.sym 9814 w_rx_data[1] +.sym 9818 io_ctrl_ins.rf_mode[2] +.sym 9822 o_tr_vc1_b$SB_IO_OUT +.sym 9826 io_ctrl_ins.debug_mode[0] +.sym 9844 w_rx_data[3] +.sym 9850 o_tr_vc1_b$SB_IO_OUT +.sym 9851 i_config_SB_LUT4_I0_I3[2] +.sym 9852 io_ctrl_ins.rf_mode[2] +.sym 9853 w_ioc[2] +.sym 9857 w_rx_data[0] +.sym 9862 io_ctrl_ins.mixer_en_state +.sym 9863 i_config_SB_LUT4_I0_I3[2] +.sym 9864 w_ioc[2] +.sym 9865 io_ctrl_ins.debug_mode[0] +.sym 9868 w_rx_data[1] +.sym 9878 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 9879 r_counter[0]_$glb_clk +.sym 9881 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 9882 io_ctrl_ins.rf_mode[0] +.sym 9884 io_ctrl_ins.debug_mode[0] +.sym 9885 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 9886 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] +.sym 9887 io_ctrl_ins.rf_mode[1] +.sym 9894 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 9934 w_rx_data[2] +.sym 9935 io_ctrl_ins.debug_mode[1] +.sym 9940 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 9941 io_ctrl_ins.debug_mode[0] +.sym 9950 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 9955 io_ctrl_ins.debug_mode[1] +.sym 9956 io_ctrl_ins.debug_mode[0] +.sym 9968 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 9992 w_rx_data[2] +.sym 10001 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 10002 r_counter[0]_$glb_clk +.sym 10016 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 10026 io_ctrl_ins.mixer_en_state +.sym 10037 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] +.sym 10047 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 10049 io_ctrl_ins.rf_pin_state[1] +.sym 10053 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 10059 io_ctrl_ins.rf_mode[1] +.sym 10108 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 10109 io_ctrl_ins.rf_pin_state[1] +.sym 10110 io_ctrl_ins.rf_mode[1] +.sym 10124 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 10125 r_counter[0]_$glb_clk .sym 10172 o_shdn_rx_lna$SB_IO_OUT -.sym 10181 o_shdn_rx_lna$SB_IO_OUT +.sym 10194 o_shdn_rx_lna$SB_IO_OUT .sym 10201 $PACKER_GND_NET .sym 10204 $PACKER_GND_NET -.sym 10212 $PACKER_GND_NET -.sym 10220 $PACKER_GND_NET -.sym 10365 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 10412 $PACKER_GND_NET -.sym 10542 $PACKER_GND_NET -.sym 10787 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 10791 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 10801 spi_if_ins.state_if[1] -.sym 10804 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 10808 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 10810 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 10811 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 10815 spi_if_ins.state_if[0] -.sym 10822 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 10827 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 10839 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 10840 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 10841 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 10842 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 10845 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 10846 spi_if_ins.state_if[1] -.sym 10847 spi_if_ins.state_if[0] -.sym 10851 spi_if_ins.state_if[1] -.sym 10852 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 10854 spi_if_ins.state_if[0] -.sym 10869 spi_if_ins.state_if[0] -.sym 10870 spi_if_ins.state_if[1] -.sym 10871 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 10879 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 10880 r_counter[0]_$glb_clk -.sym 10885 spi_if_ins.r_tx_byte[1] -.sym 10886 spi_if_ins.r_tx_byte[3] -.sym 10887 spi_if_ins.r_tx_byte[4] -.sym 10889 spi_if_ins.r_tx_byte[6] -.sym 10907 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 10908 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 10917 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 10925 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 10931 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 10932 spi_if_ins.state_if[1] -.sym 10936 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 10937 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 10951 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 10954 spi_if_ins.state_if[0] -.sym 10981 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 10986 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 10987 spi_if_ins.state_if[0] -.sym 10988 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 10989 spi_if_ins.state_if[1] -.sym 10992 spi_if_ins.state_if[0] -.sym 10994 spi_if_ins.state_if[1] -.sym 10998 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 10999 spi_if_ins.state_if[0] -.sym 11000 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 11001 spi_if_ins.state_if[1] -.sym 11002 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 11003 r_counter[0]_$glb_clk -.sym 11004 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 11005 spi_if_ins.r_tx_data_valid -.sym 11010 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 11012 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 11017 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 11019 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 11032 spi_if_ins.o_cs_SB_LUT4_I2_O -.sym 11033 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 11034 $PACKER_GND_NET -.sym 11040 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 11046 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 11047 w_tx_data_io[4] -.sym 11048 spi_if_ins.o_cs_SB_LUT4_I2_O -.sym 11051 w_tx_data_io[6] -.sym 11052 spi_if_ins.o_cs_SB_LUT4_I1_O[1] -.sym 11054 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 11058 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 11059 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 11060 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 11065 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 11069 w_tx_data_io[1] -.sym 11071 w_tx_data_smi[1] -.sym 11073 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 11074 w_tx_data_io[3] -.sym 11075 spi_if_ins.o_cs_SB_LUT4_I2_1_O -.sym 11077 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 11079 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 11080 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 11081 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 11082 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 11085 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 11086 w_tx_data_smi[1] -.sym 11087 w_tx_data_io[3] -.sym 11088 spi_if_ins.o_cs_SB_LUT4_I1_O[1] -.sym 11092 w_tx_data_io[6] -.sym 11094 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 11103 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 11105 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 11106 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 11109 w_tx_data_io[4] -.sym 11111 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 11116 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 11117 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 11118 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 11121 w_tx_data_io[1] -.sym 11122 w_tx_data_smi[1] -.sym 11123 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 11124 spi_if_ins.o_cs_SB_LUT4_I1_O[1] -.sym 11125 spi_if_ins.o_cs_SB_LUT4_I2_O -.sym 11126 i_glob_clock$SB_IO_IN_$glb_clk -.sym 11127 spi_if_ins.o_cs_SB_LUT4_I2_1_O -.sym 11129 r_tx_data[7] -.sym 11131 r_tx_data[5] -.sym 11134 r_tx_data[2] -.sym 11135 r_tx_data[0] -.sym 11140 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 11141 w_tx_data_io[4] -.sym 11152 w_tx_data_io[2] -.sym 11158 o_shdn_rx_lna$SB_IO_OUT -.sym 11160 w_tx_data_io[3] -.sym 11161 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 11173 w_cs[0] -.sym 11188 spi_if_ins.w_rx_data[5] -.sym 11189 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] -.sym 11193 spi_if_ins.w_rx_data[6] -.sym 11194 w_cs[2] -.sym 11196 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 11197 w_cs[3] -.sym 11199 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11202 w_cs[2] -.sym 11203 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11204 w_cs[0] -.sym 11205 w_cs[3] -.sym 11208 spi_if_ins.w_rx_data[6] -.sym 11210 spi_if_ins.w_rx_data[5] -.sym 11214 w_cs[2] -.sym 11215 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11216 w_cs[0] -.sym 11217 w_cs[3] -.sym 11220 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11221 w_cs[0] -.sym 11222 w_cs[3] -.sym 11223 w_cs[2] -.sym 11227 spi_if_ins.w_rx_data[5] -.sym 11229 spi_if_ins.w_rx_data[6] -.sym 11238 w_cs[2] -.sym 11239 w_cs[3] -.sym 11240 w_cs[0] -.sym 11241 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11244 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11245 w_cs[2] -.sym 11246 w_cs[3] -.sym 11247 w_cs[0] -.sym 11248 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 10213 $PACKER_GND_NET +.sym 10221 $PACKER_GND_NET +.sym 10387 $PACKER_GND_NET +.sym 10770 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E +.sym 10884 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 10911 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 11029 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 11030 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 11035 o_miso_$_TBUF__Y_E +.sym 11037 w_load +.sym 11128 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 11129 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 11130 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 11131 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 11132 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 11133 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 11135 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 11173 spi_if_ins.state_if[0] +.sym 11174 spi_if_ins.state_if[1] +.sym 11180 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 11182 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 11186 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 11188 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11189 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 11190 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 11191 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11196 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11199 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11202 spi_if_ins.state_if[0] +.sym 11203 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11204 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11205 spi_if_ins.state_if[1] +.sym 11208 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11209 spi_if_ins.state_if[0] +.sym 11210 spi_if_ins.state_if[1] +.sym 11214 spi_if_ins.state_if[0] +.sym 11215 spi_if_ins.state_if[1] +.sym 11217 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11221 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 11232 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 11233 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 11234 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 11235 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11248 spi_if_ins.state_if_SB_DFFE_Q_E .sym 11249 r_counter[0]_$glb_clk -.sym 11250 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] -.sym 11251 spi_if_ins.w_rx_data[6] -.sym 11252 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_I3_O[0] -.sym 11253 $PACKER_GND_NET -.sym 11254 spi_if_ins.w_rx_data[5] -.sym 11255 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] -.sym 11257 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11258 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1_SB_LUT4_O_I1[1] -.sym 11263 spi_if_ins.o_cs_SB_LUT4_I2_1_O -.sym 11265 w_tx_data_io[7] -.sym 11269 w_tx_data_io[5] -.sym 11278 spi_if_ins.o_cs_SB_LUT4_I2_1_O -.sym 11280 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11292 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 11294 w_tx_data_io[0] -.sym 11299 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 11301 w_cs[2] -.sym 11302 w_tx_data_smi[0] -.sym 11305 spi_if_ins.w_rx_data[4] -.sym 11306 spi_if_ins.o_cs_SB_LUT4_I1_O[1] -.sym 11310 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 11312 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] -.sym 11317 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_I3_O[0] -.sym 11318 w_ioc[1] -.sym 11321 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 11322 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11332 spi_if_ins.w_rx_data[4] -.sym 11351 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] -.sym 11355 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 11357 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11358 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_I3_O[0] -.sym 11361 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 11362 w_ioc[1] -.sym 11363 w_cs[2] -.sym 11364 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 11367 spi_if_ins.o_cs_SB_LUT4_I1_O[1] -.sym 11368 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 11369 w_tx_data_smi[0] -.sym 11370 w_tx_data_io[0] -.sym 11371 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 11251 spi_if_ins.spi.r_rx_byte[4] +.sym 11252 spi_if_ins.spi.r_rx_byte[6] +.sym 11253 spi_if_ins.spi.r_rx_byte[2] +.sym 11254 spi_if_ins.spi.r_rx_byte[7] +.sym 11255 spi_if_ins.spi.r_rx_byte[0] +.sym 11256 spi_if_ins.spi.r_rx_byte[1] +.sym 11257 spi_if_ins.spi.r_rx_byte[5] +.sym 11258 spi_if_ins.spi.r_rx_byte[3] +.sym 11262 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D_SB_LUT4_I2_O +.sym 11266 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11269 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 11273 i_ss$SB_IO_IN +.sym 11278 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 11281 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 11297 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 11303 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 11305 spi_if_ins.state_if[1] +.sym 11307 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 11309 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11317 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 11320 spi_if_ins.state_if[0] +.sym 11321 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 11331 spi_if_ins.state_if[0] +.sym 11332 spi_if_ins.state_if[1] +.sym 11351 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 11357 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11368 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 11369 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 11371 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E .sym 11372 r_counter[0]_$glb_clk -.sym 11374 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 11375 w_ioc[3] -.sym 11376 w_ioc[1] -.sym 11377 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[3] -.sym 11378 w_ioc[2] -.sym 11379 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 11380 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 11381 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 11387 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11392 io_pmod[5]$SB_IO_OUT -.sym 11397 $PACKER_GND_NET -.sym 11399 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 11400 o_ldo_2v8_en$SB_IO_OUT -.sym 11401 w_rx_data[4] -.sym 11403 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 11404 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[2] -.sym 11406 o_led0$SB_IO_OUT -.sym 11407 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 11409 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 11415 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 11416 w_ioc[4] -.sym 11417 i_config_SB_LUT4_I3_O[3] -.sym 11419 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 11420 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 11421 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_I2[3] -.sym 11422 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[2] -.sym 11424 o_tr_vc1_b$SB_IO_OUT -.sym 11426 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 11427 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] -.sym 11428 o_tr_vc2$SB_IO_OUT -.sym 11430 o_shdn_rx_lna$SB_IO_OUT -.sym 11432 i_config_SB_LUT4_I3_O[2] -.sym 11433 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] -.sym 11434 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[3] -.sym 11435 w_ioc[2] -.sym 11437 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[0] -.sym 11439 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 11440 w_ioc[3] -.sym 11441 w_ioc[1] -.sym 11442 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 11443 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_I2[2] -.sym 11444 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 11445 i_config_SB_LUT4_I3_O[1] -.sym 11446 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 11448 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 11449 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[2] -.sym 11450 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[0] -.sym 11451 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[3] -.sym 11454 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] -.sym 11455 o_shdn_rx_lna$SB_IO_OUT -.sym 11456 i_config_SB_LUT4_I3_O[1] -.sym 11457 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] -.sym 11460 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 11461 i_config_SB_LUT4_I3_O[1] -.sym 11462 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 11463 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 11466 w_ioc[1] -.sym 11468 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 11469 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 11472 i_config_SB_LUT4_I3_O[3] -.sym 11473 i_config_SB_LUT4_I3_O[2] -.sym 11474 o_tr_vc2$SB_IO_OUT -.sym 11475 i_config_SB_LUT4_I3_O[1] -.sym 11478 w_ioc[1] -.sym 11479 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 11480 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 11484 o_tr_vc1_b$SB_IO_OUT -.sym 11485 i_config_SB_LUT4_I3_O[1] -.sym 11486 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_I2[3] -.sym 11487 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_I2[2] -.sym 11490 w_ioc[1] -.sym 11491 w_ioc[3] -.sym 11492 w_ioc[4] -.sym 11493 w_ioc[2] -.sym 11494 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E +.sym 11373 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 11375 spi_if_ins.w_rx_data[1] +.sym 11380 spi_if_ins.w_rx_data[2] +.sym 11389 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 11394 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 11398 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 11399 w_tx_data_io[1] +.sym 11402 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 11409 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 11415 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11416 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 11418 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 11423 spi_if_ins.spi.r_rx_byte[4] +.sym 11424 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11433 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 11466 spi_if_ins.spi.r_rx_byte[4] +.sym 11484 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11485 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 11486 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11487 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 11490 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 11491 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11493 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11494 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O .sym 11495 r_counter[0]_$glb_clk -.sym 11496 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 11497 io_ctrl_ins.rf_mode_SB_DFFE_Q_E -.sym 11498 i_config_SB_LUT4_I3_O[2] -.sym 11499 w_rx_data[2] -.sym 11500 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] -.sym 11501 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_I2[2] -.sym 11502 w_rx_data[3] -.sym 11503 i_config_SB_LUT4_I3_O[1] -.sym 11504 w_rx_data[1] -.sym 11505 spi_if_ins.w_rx_data[0] -.sym 11509 spi_if_ins.w_rx_data[6] -.sym 11511 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 11516 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 11519 spi_if_ins.w_rx_data[4] -.sym 11520 o_tr_vc1_b$SB_IO_OUT -.sym 11523 w_rx_data[0] -.sym 11524 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 11525 w_rx_data[5] -.sym 11526 spi_if_ins.o_load_cmd_SB_LUT4_I3_2_O -.sym 11527 w_rx_data[4] -.sym 11528 i_config_SB_LUT4_I3_I1[4] -.sym 11532 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 11546 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 11547 spi_if_ins.w_rx_data[4] -.sym 11548 spi_if_ins.w_rx_data[0] -.sym 11549 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 11552 spi_if_ins.w_rx_data[5] -.sym 11553 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 11559 spi_if_ins.w_rx_data[6] -.sym 11567 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] -.sym 11569 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 11571 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 11574 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 11583 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 11591 spi_if_ins.w_rx_data[6] -.sym 11597 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] -.sym 11601 spi_if_ins.w_rx_data[0] -.sym 11610 spi_if_ins.w_rx_data[5] -.sym 11616 spi_if_ins.w_rx_data[4] -.sym 11617 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 11498 w_ioc[1] +.sym 11499 w_ioc[4] +.sym 11500 w_cs[0] +.sym 11501 r_tx_data_SB_DFFESR_Q_R +.sym 11502 w_ioc[3] +.sym 11503 r_tx_data_SB_DFFESR_Q_E +.sym 11504 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 11518 spi_if_ins.w_rx_data[1] +.sym 11525 spi_if_ins.w_rx_data[3] +.sym 11529 w_load +.sym 11532 i_config_SB_LUT4_I0_I3[2] +.sym 11542 w_fetch +.sym 11552 w_cs[2] +.sym 11557 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 11558 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 11561 io_ctrl_ins.led1_state_SB_LUT4_I0_O[1] +.sym 11563 w_ioc[2] +.sym 11565 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E +.sym 11569 io_ctrl_ins.led1_state_SB_LUT4_I0_O[0] +.sym 11595 w_fetch +.sym 11596 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 11597 w_ioc[2] +.sym 11598 w_cs[2] +.sym 11607 io_ctrl_ins.led1_state_SB_LUT4_I0_O[0] +.sym 11608 io_ctrl_ins.led1_state_SB_LUT4_I0_O[1] +.sym 11617 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E .sym 11618 r_counter[0]_$glb_clk -.sym 11620 w_rx_data[6] -.sym 11621 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 11625 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] -.sym 11627 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 11632 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 11634 spi_if_ins.w_rx_data[0] -.sym 11638 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 11639 i_config[1]$SB_IO_IN -.sym 11640 w_rx_data[6] -.sym 11644 o_led0$SB_IO_OUT -.sym 11645 w_rx_data[7] -.sym 11646 o_led1$SB_IO_OUT -.sym 11647 w_rx_data[6] -.sym 11649 o_shdn_rx_lna$SB_IO_OUT -.sym 11650 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 11654 o_ldo_2v8_en$SB_IO_OUT -.sym 11655 o_shdn_tx_lna$SB_IO_OUT -.sym 11663 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 11665 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11666 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 11668 w_rx_data[1] -.sym 11669 io_ctrl_ins.o_pmod[2] -.sym 11671 w_rx_data[2] -.sym 11672 io_ctrl_ins.led0_state_SB_DFFE_Q_E -.sym 11674 w_rx_data[0] -.sym 11675 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 11677 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 11679 o_shdn_tx_lna$SB_IO_OUT -.sym 11682 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 11684 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 11685 spi_if_ins.o_load_cmd_SB_LUT4_I3_2_O -.sym 11694 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11695 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 11696 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 11697 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 11702 w_rx_data[2] -.sym 11707 spi_if_ins.o_load_cmd_SB_LUT4_I3_2_O -.sym 11712 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 11713 o_shdn_tx_lna$SB_IO_OUT -.sym 11714 io_ctrl_ins.o_pmod[2] -.sym 11715 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 11718 w_rx_data[0] -.sym 11726 w_rx_data[1] -.sym 11730 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11731 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 11732 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 11733 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 11736 w_rx_data[2] -.sym 11740 io_ctrl_ins.led0_state_SB_DFFE_Q_E -.sym 11741 r_counter[0]_$glb_clk -.sym 11744 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 11747 io_ctrl_ins.pmod_dir_state[5] -.sym 11748 io_ctrl_ins.pmod_dir_state[7] -.sym 11749 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 11750 io_ctrl_ins.pmod_dir_state[6] -.sym 11774 io_ctrl_ins.rf_mode_SB_DFFE_Q_E -.sym 11868 o_shdn_rx_lna$SB_IO_OUT -.sym 11870 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 11871 o_shdn_tx_lna$SB_IO_OUT -.sym 11879 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 11891 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 12007 o_tr_vc1_b$SB_IO_OUT -.sym 12013 o_shdn_rx_lna$SB_IO_OUT -.sym 12019 o_shdn_tx_lna$SB_IO_OUT -.sym 12146 o_ldo_2v8_en$SB_IO_OUT +.sym 11619 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 11620 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_O[1] +.sym 11621 w_tx_data_io[0] +.sym 11623 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 11624 w_tx_data_io[3] +.sym 11625 i_config_SB_LUT4_I0_I3[3] +.sym 11626 w_tx_data_io[2] +.sym 11627 w_tx_data_io[4] +.sym 11637 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 11639 $PACKER_VCC_NET +.sym 11645 io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D_SB_LUT4_O_I2[0] +.sym 11646 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D_SB_LUT4_I2_O +.sym 11647 io_ctrl_ins.led1_state_SB_LUT4_I0_O[1] +.sym 11649 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 11650 i_config[0]$SB_IO_IN +.sym 11652 r_tx_data_SB_DFFESR_Q_E +.sym 11653 io_ctrl_ins.mixer_en_state_SB_LUT4_I1_O[2] +.sym 11663 r_tx_data_SB_DFFESR_Q_E +.sym 11665 r_tx_data_SB_DFFESR_Q_R +.sym 11666 w_cs[1] +.sym 11667 spi_if_ins.o_cs_SB_LUT4_I1_O[1] +.sym 11671 w_tx_data_smi[1] +.sym 11673 w_ioc[2] +.sym 11676 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 11680 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 11681 w_fetch +.sym 11683 i_config_SB_LUT4_I0_I3[2] +.sym 11689 w_tx_data_io[3] +.sym 11690 i_config_SB_LUT4_I0_I3[3] +.sym 11706 i_config_SB_LUT4_I0_I3[3] +.sym 11707 w_ioc[2] +.sym 11708 i_config_SB_LUT4_I0_I3[2] +.sym 11709 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 11712 w_tx_data_io[3] +.sym 11713 spi_if_ins.o_cs_SB_LUT4_I1_O[1] +.sym 11714 w_tx_data_smi[1] +.sym 11715 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 11718 w_fetch +.sym 11719 w_cs[1] +.sym 11720 i_config_SB_LUT4_I0_I3[3] +.sym 11721 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 11740 r_tx_data_SB_DFFESR_Q_E +.sym 11741 i_glob_clock$SB_IO_IN_$glb_clk +.sym 11742 r_tx_data_SB_DFFESR_Q_R +.sym 11743 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 11744 io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D_SB_LUT4_O_I2[1] +.sym 11745 i_button_SB_LUT4_I0_O[1] +.sym 11746 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 11747 io_ctrl_ins.led0_state_SB_LUT4_I0_O[0] +.sym 11750 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 11751 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E +.sym 11756 w_tx_data_io[2] +.sym 11760 w_tx_data_io[4] +.sym 11761 w_tx_data_smi[1] +.sym 11763 r_tx_data[3] +.sym 11766 w_ioc[2] +.sym 11767 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_O[0] +.sym 11769 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 11773 w_rx_data[1] +.sym 11786 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 11787 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 11788 w_ioc[2] +.sym 11789 i_config_SB_LUT4_I0_I3[3] +.sym 11790 i_config_SB_LUT4_I0_I3[2] +.sym 11794 spi_if_ins.w_rx_data[1] +.sym 11795 spi_if_ins.w_rx_data[4] +.sym 11797 spi_if_ins.w_rx_data[3] +.sym 11802 i_button_SB_LUT4_I0_O[1] +.sym 11804 io_ctrl_ins.pmod_dir_state[0] +.sym 11805 o_led0$SB_IO_OUT +.sym 11808 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 11817 i_button_SB_LUT4_I0_O[1] +.sym 11820 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 11829 i_config_SB_LUT4_I0_I3[2] +.sym 11830 o_led0$SB_IO_OUT +.sym 11831 io_ctrl_ins.pmod_dir_state[0] +.sym 11832 i_config_SB_LUT4_I0_I3[3] +.sym 11836 spi_if_ins.w_rx_data[4] +.sym 11841 i_config_SB_LUT4_I0_I3[2] +.sym 11842 i_config_SB_LUT4_I0_I3[3] +.sym 11843 w_ioc[2] +.sym 11844 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 11848 spi_if_ins.w_rx_data[3] +.sym 11853 i_config_SB_LUT4_I0_I3[2] +.sym 11854 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 11855 w_ioc[2] +.sym 11859 spi_if_ins.w_rx_data[1] +.sym 11863 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 11864 r_counter[0]_$glb_clk +.sym 11866 io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D_SB_LUT4_O_I2[0] +.sym 11867 io_ctrl_ins.led1_state_SB_LUT4_I0_O[1] +.sym 11868 io_ctrl_ins.rf_pin_state[4] +.sym 11869 io_ctrl_ins.rf_pin_state[6] +.sym 11870 io_ctrl_ins.pmod_dir_state[0] +.sym 11871 io_ctrl_ins.rf_pin_state[5] +.sym 11872 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_O[0] +.sym 11873 io_ctrl_ins.rf_pin_state[7] +.sym 11887 w_fetch +.sym 11888 i_config[1]$SB_IO_IN +.sym 11891 o_led0$SB_IO_OUT +.sym 11893 o_ldo_2v8_en$SB_IO_OUT +.sym 11901 w_rx_data[1] +.sym 11907 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 11910 w_rx_data[4] +.sym 11913 io_ctrl_ins.rf_mode[1] +.sym 11914 w_rx_data[1] +.sym 11916 io_ctrl_ins.rf_mode[0] +.sym 11918 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D_SB_LUT4_I2_O +.sym 11921 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D[4] +.sym 11927 o_shdn_tx_lna$SB_IO_OUT +.sym 11929 o_tr_vc2$SB_IO_OUT +.sym 11935 w_ioc[2] +.sym 11937 i_config_SB_LUT4_I0_I3[2] +.sym 11940 io_ctrl_ins.rf_mode[0] +.sym 11941 i_config_SB_LUT4_I0_I3[2] +.sym 11942 o_shdn_tx_lna$SB_IO_OUT +.sym 11943 w_ioc[2] +.sym 11952 io_ctrl_ins.rf_mode[1] +.sym 11953 i_config_SB_LUT4_I0_I3[2] +.sym 11954 o_tr_vc2$SB_IO_OUT +.sym 11955 w_ioc[2] +.sym 11958 w_rx_data[4] +.sym 11972 w_rx_data[1] +.sym 11976 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 11979 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D[4] +.sym 11986 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D_SB_LUT4_I2_O +.sym 11987 r_counter[0]_$glb_clk +.sym 11989 io_ctrl_ins.mixer_en_state +.sym 11990 o_rx_h_tx_l$SB_IO_OUT +.sym 11991 o_tr_vc1_b$SB_IO_OUT +.sym 11992 o_tr_vc1$SB_IO_OUT +.sym 11993 o_shdn_tx_lna$SB_IO_OUT +.sym 11994 o_rx_h_tx_l_b$SB_IO_OUT +.sym 11995 o_tr_vc2$SB_IO_OUT +.sym 12001 i_config_SB_LUT4_I0_I3[2] +.sym 12002 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] +.sym 12009 i_config_SB_LUT4_I0_I3[2] +.sym 12030 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 12032 w_rx_data[3] +.sym 12033 io_ctrl_ins.rf_mode[2] +.sym 12039 io_ctrl_ins.rf_mode[0] +.sym 12041 io_ctrl_ins.rf_mode[2] +.sym 12043 io_ctrl_ins.debug_mode[1] +.sym 12044 io_ctrl_ins.rf_mode[1] +.sym 12049 io_ctrl_ins.debug_mode[0] +.sym 12055 w_rx_data[2] +.sym 12057 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D_SB_LUT4_I2_O +.sym 12059 w_rx_data[0] +.sym 12063 io_ctrl_ins.rf_mode[0] +.sym 12064 io_ctrl_ins.rf_mode[1] +.sym 12065 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 12066 io_ctrl_ins.rf_mode[2] +.sym 12070 w_rx_data[2] +.sym 12082 w_rx_data[0] +.sym 12087 io_ctrl_ins.rf_mode[2] +.sym 12088 io_ctrl_ins.rf_mode[1] +.sym 12089 io_ctrl_ins.debug_mode[1] +.sym 12090 io_ctrl_ins.debug_mode[0] +.sym 12094 io_ctrl_ins.rf_mode[2] +.sym 12096 io_ctrl_ins.rf_mode[0] +.sym 12101 w_rx_data[3] +.sym 12109 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D_SB_LUT4_I2_O +.sym 12110 r_counter[0]_$glb_clk +.sym 12112 o_led0$SB_IO_OUT +.sym 12120 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 12128 io_ctrl_ins.rf_pin_state[3] +.sym 12132 io_ctrl_ins.rf_pin_state[2] +.sym 12135 o_tr_vc1_b$SB_IO_OUT +.sym 12137 io_ctrl_ins.rf_pin_state[0] .sym 12313 $PACKER_GND_NET -.sym 12331 $PACKER_GND_NET -.sym 12481 $PACKER_GND_NET -.sym 12488 $PACKER_GND_NET -.sym 12650 $PACKER_GND_NET -.sym 12758 o_miso_$_TBUF__Y_E -.sym 12894 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 12995 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 13018 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 13020 spi_if_ins.r_tx_data_valid -.sym 13024 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 13055 r_tx_data[1] -.sym 13057 r_tx_data[3] -.sym 13058 r_tx_data[6] -.sym 13059 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 13061 r_tx_data[4] -.sym 13085 r_tx_data[1] -.sym 13089 r_tx_data[3] -.sym 13096 r_tx_data[4] -.sym 13109 r_tx_data[6] -.sym 13111 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 13112 r_counter[0]_$glb_clk -.sym 13114 spi_if_ins.spi.r_tx_byte[5] -.sym 13115 spi_if_ins.spi.r_tx_byte[3] -.sym 13116 spi_if_ins.spi.r_tx_byte[4] -.sym 13117 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] -.sym 13118 spi_if_ins.spi.r_tx_byte[6] -.sym 13119 spi_if_ins.spi.r_tx_byte[0] -.sym 13120 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] -.sym 13121 spi_if_ins.spi.r_tx_byte[1] -.sym 13142 $PACKER_GND_NET -.sym 13149 spi_if_ins.spi.r_tx_byte[7] -.sym 13157 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 13159 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 13160 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 13167 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 13168 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 13169 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 13190 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 13218 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 13219 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 13220 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 13232 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 13233 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 13234 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 13235 r_counter[0]_$glb_clk -.sym 13236 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 13237 spi_if_ins.r_tx_byte[7] -.sym 13241 spi_if_ins.r_tx_byte[2] -.sym 13242 spi_if_ins.r_tx_byte[5] -.sym 13243 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] -.sym 13244 spi_if_ins.r_tx_byte[0] -.sym 13251 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 13258 $PACKER_VCC_NET -.sym 13259 io_pmod[6]$SB_IO_OUT -.sym 13262 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 13280 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] -.sym 13285 w_tx_data_io[7] -.sym 13286 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 13287 w_tx_data_io[5] -.sym 13291 spi_if_ins.o_cs_SB_LUT4_I2_1_O -.sym 13301 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[1] -.sym 13303 w_tx_data_io[2] -.sym 13305 spi_if_ins.o_cs_SB_LUT4_I2_O -.sym 13317 w_tx_data_io[7] -.sym 13318 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] -.sym 13319 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 13329 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 13330 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] -.sym 13332 w_tx_data_io[5] -.sym 13347 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] -.sym 13348 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 13349 w_tx_data_io[2] -.sym 13353 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[1] -.sym 13356 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] -.sym 13357 spi_if_ins.o_cs_SB_LUT4_I2_O -.sym 13358 i_glob_clock$SB_IO_IN_$glb_clk -.sym 13359 spi_if_ins.o_cs_SB_LUT4_I2_1_O -.sym 13361 spi_if_ins.spi.r_tx_byte[2] -.sym 13365 spi_if_ins.spi.r_tx_byte[7] -.sym 13374 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 13390 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] -.sym 13393 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 13402 w_ioc[4] -.sym 13403 w_ioc[1] -.sym 13405 w_ioc[2] -.sym 13410 w_ioc[3] -.sym 13412 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 13421 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] -.sym 13424 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1_SB_LUT4_O_I1[1] -.sym 13426 spi_if_ins.w_rx_data[5] -.sym 13429 spi_if_ins.w_rx_data[6] -.sym 13435 spi_if_ins.w_rx_data[6] -.sym 13440 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1_SB_LUT4_O_I1[1] -.sym 13441 w_ioc[1] -.sym 13443 w_ioc[2] -.sym 13453 spi_if_ins.w_rx_data[5] -.sym 13459 spi_if_ins.w_rx_data[6] -.sym 13460 spi_if_ins.w_rx_data[5] -.sym 13472 spi_if_ins.w_rx_data[5] -.sym 13473 spi_if_ins.w_rx_data[6] -.sym 13477 w_ioc[3] -.sym 13478 w_ioc[4] -.sym 13480 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 13481 r_counter[0]_$glb_clk -.sym 13482 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] -.sym 13483 spi_if_ins.w_rx_data[4] -.sym 13484 spi_if_ins.w_rx_data[5] -.sym 13485 spi_if_ins.w_rx_data[3] -.sym 13486 spi_if_ins.w_rx_data[2] -.sym 13487 spi_if_ins.w_rx_data[6] -.sym 13489 spi_if_ins.w_rx_data[1] -.sym 13490 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1[0] -.sym 13498 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 13501 $PACKER_GND_NET -.sym 13510 w_rx_data[1] -.sym 13512 io_ctrl_ins.rf_mode_SB_DFFE_Q_E -.sym 13515 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 13516 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 13526 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 13527 spi_if_ins.w_rx_data[0] -.sym 13529 i_config_SB_LUT4_I3_I1[4] -.sym 13533 w_ioc[3] -.sym 13535 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] -.sym 13536 w_ioc[2] -.sym 13541 w_ioc[4] -.sym 13543 o_ldo_2v8_en$SB_IO_OUT -.sym 13544 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 13546 spi_if_ins.w_rx_data[1] -.sym 13549 o_led0$SB_IO_OUT -.sym 13550 spi_if_ins.w_rx_data[3] -.sym 13551 spi_if_ins.w_rx_data[2] -.sym 13554 io_ctrl_ins.debug_mode[0] -.sym 13555 io_ctrl_ins.rf_mode[0] -.sym 13560 spi_if_ins.w_rx_data[0] -.sym 13565 spi_if_ins.w_rx_data[3] -.sym 13571 spi_if_ins.w_rx_data[1] -.sym 13575 i_config_SB_LUT4_I3_I1[4] -.sym 13576 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 13577 o_ldo_2v8_en$SB_IO_OUT -.sym 13578 io_ctrl_ins.rf_mode[0] -.sym 13581 spi_if_ins.w_rx_data[2] -.sym 13587 w_ioc[2] -.sym 13589 w_ioc[4] -.sym 13590 w_ioc[3] -.sym 13593 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] -.sym 13594 i_config_SB_LUT4_I3_I1[4] -.sym 13599 io_ctrl_ins.debug_mode[0] -.sym 13600 o_led0$SB_IO_OUT -.sym 13601 i_config_SB_LUT4_I3_I1[4] -.sym 13602 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 13603 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 12320 $PACKER_GND_NET +.sym 12367 i_sck$SB_IO_IN +.sym 12466 $PACKER_GND_NET +.sym 12498 $PACKER_GND_NET +.sym 12637 $PACKER_GND_NET +.sym 12648 $PACKER_GND_NET +.sym 12769 o_miso_$_TBUF__Y_E +.sym 12874 o_miso_$_TBUF__Y_E +.sym 13004 o_miso_$_TBUF__Y_E +.sym 13023 o_miso_$_TBUF__Y_E +.sym 13026 i_mosi$SB_IO_IN +.sym 13034 o_miso_$_TBUF__Y_E +.sym 13052 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 13079 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 13111 o_miso_$_TBUF__Y_E +.sym 13112 i_sck$SB_IO_IN_$glb_clk +.sym 13115 spi_if_ins.spi.r3_rx_done +.sym 13119 spi_if_ins.spi.r2_rx_done +.sym 13120 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 13126 io_pmod[7]$SB_IO_OUT +.sym 13133 io_pmod[5]$SB_IO_OUT +.sym 13139 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 13143 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 13239 spi_if_ins.spi.r_rx_bit_count[2] +.sym 13242 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 13243 spi_if_ins.spi.r_rx_bit_count[1] +.sym 13244 spi_if_ins.spi.r_rx_bit_count[0] +.sym 13250 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 13269 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 13278 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 13280 o_miso_$_TBUF__Y_E +.sym 13283 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 13290 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 13291 i_ss$SB_IO_IN +.sym 13295 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 13296 i_mosi$SB_IO_IN +.sym 13299 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 13309 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 13311 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 13319 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 13324 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 13331 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 13336 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 13337 i_ss$SB_IO_IN +.sym 13342 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 13356 i_mosi$SB_IO_IN +.sym 13357 o_miso_$_TBUF__Y_E +.sym 13358 i_sck$SB_IO_IN_$glb_clk +.sym 13360 spi_if_ins.w_rx_data[3] +.sym 13361 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 13362 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 13363 spi_if_ins.w_rx_data[6] +.sym 13364 spi_if_ins.w_rx_data[5] +.sym 13374 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 13388 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] +.sym 13393 spi_if_ins.w_rx_data[3] +.sym 13401 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 13406 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 13408 i_mosi$SB_IO_IN +.sym 13409 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 13410 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 13411 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 13412 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 13416 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 13428 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 13436 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 13442 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 13448 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 13455 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 13459 i_mosi$SB_IO_IN +.sym 13465 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 13471 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 13476 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 13480 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 13481 i_sck$SB_IO_IN_$glb_clk +.sym 13484 spi_if_ins.spi.r_tx_byte[2] +.sym 13485 spi_if_ins.spi.r_tx_byte[6] +.sym 13487 spi_if_ins.spi.r_tx_byte[1] +.sym 13488 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[1] +.sym 13490 spi_if_ins.spi.r_tx_byte[7] +.sym 13502 spi_if_ins.w_rx_data[3] +.sym 13504 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 13505 spi_if_ins.spi.r_rx_byte[0] +.sym 13508 r_tx_data_SB_DFFESR_Q_E +.sym 13509 spi_if_ins.w_rx_data[6] +.sym 13513 r_tx_data_SB_DFFESR_Q_R +.sym 13518 w_cs[0] +.sym 13526 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 13529 spi_if_ins.spi.r_rx_byte[1] +.sym 13534 spi_if_ins.spi.r_rx_byte[2] +.sym 13563 spi_if_ins.spi.r_rx_byte[1] +.sym 13596 spi_if_ins.spi.r_rx_byte[2] +.sym 13603 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O .sym 13604 r_counter[0]_$glb_clk -.sym 13610 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 13611 w_rx_data[3] -.sym 13612 io_ctrl_ins.debug_mode[0] -.sym 13613 io_ctrl_ins.rf_mode[0] -.sym 13622 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 13626 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 13633 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 13634 spi_if_ins.o_load_cmd_SB_LUT4_I3_1_O -.sym 13637 io_ctrl_ins.rf_mode[0] -.sym 13647 i_config[1]$SB_IO_IN -.sym 13649 i_config[0]$SB_IO_IN -.sym 13654 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 13655 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 13656 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 13657 spi_if_ins.w_rx_data[3] -.sym 13658 spi_if_ins.w_rx_data[2] -.sym 13659 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 13661 spi_if_ins.w_rx_data[1] -.sym 13663 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 13665 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 13667 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 13668 i_config_SB_LUT4_I3_I1[4] -.sym 13670 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 13674 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 13675 io_ctrl_ins.rf_mode[2] -.sym 13678 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 13680 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 13681 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 13682 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 13683 i_config_SB_LUT4_I3_I1[4] -.sym 13686 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 13687 i_config[0]$SB_IO_IN -.sym 13688 i_config_SB_LUT4_I3_I1[4] -.sym 13689 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 13692 spi_if_ins.w_rx_data[2] -.sym 13698 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 13699 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 13701 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 13704 i_config[1]$SB_IO_IN -.sym 13705 io_ctrl_ins.rf_mode[2] -.sym 13706 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 13707 i_config_SB_LUT4_I3_I1[4] -.sym 13712 spi_if_ins.w_rx_data[3] -.sym 13718 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 13719 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 13722 spi_if_ins.w_rx_data[1] -.sym 13726 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 13606 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] +.sym 13607 r_tx_data_SB_DFFESR_Q_R +.sym 13608 w_cs[3] +.sym 13611 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 13612 w_cs[1] +.sym 13613 w_cs[2] +.sym 13623 spi_if_ins.r_tx_byte[7] +.sym 13633 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 13636 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[0] +.sym 13637 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 13640 o_rx_h_tx_l$SB_IO_OUT +.sym 13641 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 13649 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 13650 w_cs[0] +.sym 13656 spi_if_ins.w_rx_data[1] +.sym 13663 spi_if_ins.w_rx_data[3] +.sym 13664 r_tx_data_SB_DFFESR_Q_R +.sym 13669 w_cs[1] +.sym 13671 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] +.sym 13673 w_cs[3] +.sym 13674 spi_if_ins.w_rx_data[4] +.sym 13676 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 13678 w_cs[2] +.sym 13689 spi_if_ins.w_rx_data[1] +.sym 13694 spi_if_ins.w_rx_data[4] +.sym 13698 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] +.sym 13705 r_tx_data_SB_DFFESR_Q_R +.sym 13711 spi_if_ins.w_rx_data[3] +.sym 13716 w_cs[2] +.sym 13717 w_cs[3] +.sym 13718 w_cs[1] +.sym 13719 w_cs[0] +.sym 13723 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 13726 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] .sym 13727 r_counter[0]_$glb_clk -.sym 13729 spi_if_ins.o_load_cmd_SB_LUT4_I3_1_O -.sym 13730 io_ctrl_ins.debug_mode[1] -.sym 13732 spi_if_ins.o_load_cmd_SB_LUT4_I3_O -.sym 13733 io_ctrl_ins.rf_mode[2] -.sym 13741 io_ctrl_ins.rf_mode_SB_DFFE_Q_E -.sym 13743 i_config[0]$SB_IO_IN -.sym 13744 $PACKER_VCC_NET -.sym 13754 w_rx_data[2] -.sym 13759 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 13760 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 13761 io_ctrl_ins.debug_mode[0] -.sym 13764 w_rx_data[1] -.sym 13775 o_led1$SB_IO_OUT -.sym 13781 i_config_SB_LUT4_I3_I1[4] -.sym 13782 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 13783 w_rx_data[3] -.sym 13786 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 13789 w_rx_data[6] -.sym 13795 io_ctrl_ins.debug_mode[1] -.sym 13797 io_ctrl_ins.rf_mode_SB_DFFE_Q_E -.sym 13804 w_rx_data[6] -.sym 13810 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 13833 o_led1$SB_IO_OUT -.sym 13834 i_config_SB_LUT4_I3_I1[4] -.sym 13835 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 13836 io_ctrl_ins.debug_mode[1] -.sym 13846 w_rx_data[3] -.sym 13849 io_ctrl_ins.rf_mode_SB_DFFE_Q_E +.sym 13730 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[1] +.sym 13731 w_rx_data[5] +.sym 13733 w_rx_data[7] +.sym 13736 w_rx_data[6] +.sym 13741 $PACKER_VCC_NET +.sym 13749 w_cs[0] +.sym 13755 i_config_SB_LUT4_I0_I3[3] +.sym 13760 w_rx_data[6] +.sym 13761 w_cs[1] +.sym 13771 io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D_SB_LUT4_O_I2[1] +.sym 13772 o_ldo_2v8_en$SB_IO_OUT +.sym 13774 w_ioc[2] +.sym 13775 w_ioc[3] +.sym 13777 i_config_SB_LUT4_I0_I3[2] +.sym 13778 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_O[1] +.sym 13779 w_ioc[1] +.sym 13780 w_ioc[4] +.sym 13781 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E +.sym 13782 io_ctrl_ins.led0_state_SB_LUT4_I0_O[0] +.sym 13783 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 13786 io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D_SB_LUT4_O_I2[0] +.sym 13788 io_ctrl_ins.led0_state_SB_LUT4_I0_O[1] +.sym 13790 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_O[0] +.sym 13791 i_config_SB_LUT4_I0_I3[3] +.sym 13796 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[0] +.sym 13798 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[1] +.sym 13799 i_config_SB_LUT4_I0_I3[1] +.sym 13803 i_config_SB_LUT4_I0_I3[1] +.sym 13804 i_config_SB_LUT4_I0_I3[3] +.sym 13805 o_ldo_2v8_en$SB_IO_OUT +.sym 13806 i_config_SB_LUT4_I0_I3[2] +.sym 13810 io_ctrl_ins.led0_state_SB_LUT4_I0_O[1] +.sym 13811 io_ctrl_ins.led0_state_SB_LUT4_I0_O[0] +.sym 13821 w_ioc[4] +.sym 13823 w_ioc[3] +.sym 13824 w_ioc[1] +.sym 13827 io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D_SB_LUT4_O_I2[0] +.sym 13828 io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D_SB_LUT4_O_I2[1] +.sym 13833 w_ioc[3] +.sym 13834 w_ioc[1] +.sym 13835 w_ioc[4] +.sym 13836 w_ioc[2] +.sym 13839 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_O[0] +.sym 13842 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_O[1] +.sym 13845 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[1] +.sym 13847 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[0] +.sym 13849 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E .sym 13850 r_counter[0]_$glb_clk -.sym 13852 io_ctrl_ins.rf_pin_state[2] -.sym 13853 io_ctrl_ins.rf_pin_state[5] -.sym 13854 io_ctrl_ins.rf_pin_state[0] -.sym 13855 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 13856 io_ctrl_ins.rf_pin_state[6] -.sym 13857 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 13859 io_ctrl_ins.rf_pin_state[1] -.sym 13868 w_rx_data[4] -.sym 13880 io_ctrl_ins.rf_mode[2] -.sym 13895 spi_if_ins.o_load_cmd_SB_LUT4_I3_2_O -.sym 13897 io_ctrl_ins.rf_mode[2] -.sym 13898 w_rx_data[5] -.sym 13900 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 13902 io_ctrl_ins.debug_mode[1] -.sym 13906 w_rx_data[7] -.sym 13908 w_rx_data[6] -.sym 13921 io_ctrl_ins.debug_mode[0] -.sym 13932 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 13933 io_ctrl_ins.rf_mode[2] -.sym 13934 io_ctrl_ins.debug_mode[0] -.sym 13935 io_ctrl_ins.debug_mode[1] -.sym 13951 w_rx_data[5] -.sym 13959 w_rx_data[7] -.sym 13964 io_ctrl_ins.debug_mode[1] -.sym 13965 io_ctrl_ins.debug_mode[0] -.sym 13971 w_rx_data[6] -.sym 13972 spi_if_ins.o_load_cmd_SB_LUT4_I3_2_O +.sym 13851 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 13852 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[1] +.sym 13853 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[2] +.sym 13854 w_tx_data_io[7] +.sym 13855 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R +.sym 13856 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[1] +.sym 13857 w_tx_data_io[6] +.sym 13858 w_tx_data_io[5] +.sym 13859 io_ctrl_ins.led0_state_SB_DFFE_Q_E +.sym 13864 w_tx_data_io[1] +.sym 13868 o_ldo_2v8_en$SB_IO_OUT +.sym 13876 w_rx_data[5] +.sym 13879 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 13880 w_rx_data[7] +.sym 13882 o_tr_vc1$SB_IO_OUT +.sym 13883 io_ctrl_ins.led0_state_SB_DFFE_Q_E +.sym 13885 i_config_SB_LUT4_I0_I3[1] +.sym 13887 io_ctrl_ins.rf_pin_state[6] +.sym 13895 w_fetch +.sym 13896 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 13898 io_ctrl_ins.mixer_en_state_SB_LUT4_I1_O[2] +.sym 13900 w_rx_data[1] +.sym 13902 w_load +.sym 13903 i_config[0]$SB_IO_IN +.sym 13905 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1[0] +.sym 13906 i_config_SB_LUT4_I0_I3[3] +.sym 13911 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 13913 i_config_SB_LUT4_I0_I3[2] +.sym 13917 w_ioc[2] +.sym 13919 io_ctrl_ins.pmod_dir_state[3] +.sym 13921 w_cs[1] +.sym 13922 io_ctrl_ins.mixer_en_state_SB_LUT4_I1_O[0] +.sym 13926 w_load +.sym 13928 w_fetch +.sym 13929 w_cs[1] +.sym 13932 i_config[0]$SB_IO_IN +.sym 13933 i_config_SB_LUT4_I0_I3[2] +.sym 13934 io_ctrl_ins.pmod_dir_state[3] +.sym 13935 i_config_SB_LUT4_I0_I3[3] +.sym 13938 i_config_SB_LUT4_I0_I3[2] +.sym 13939 w_ioc[2] +.sym 13941 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 13944 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1[0] +.sym 13945 w_fetch +.sym 13946 w_cs[1] +.sym 13950 io_ctrl_ins.mixer_en_state_SB_LUT4_I1_O[0] +.sym 13951 io_ctrl_ins.mixer_en_state_SB_LUT4_I1_O[2] +.sym 13952 i_config_SB_LUT4_I0_I3[2] +.sym 13953 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 13970 w_rx_data[1] +.sym 13972 io_ctrl_ins.pmod_state_SB_DFFE_Q_E .sym 13973 r_counter[0]_$glb_clk -.sym 13975 o_tr_vc1$SB_IO_OUT -.sym 13977 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 13981 o_tr_vc1_b$SB_IO_OUT -.sym 13989 spi_if_ins.o_load_cmd_SB_LUT4_I3_2_O -.sym 13990 w_rx_data[5] -.sym 13996 w_rx_data[4] -.sym 13998 w_rx_data[0] -.sym 14010 io_ctrl_ins.pmod_dir_state[6] -.sym 14016 io_ctrl_ins.rf_pin_state[2] -.sym 14018 io_ctrl_ins.rf_pin_state[0] -.sym 14023 io_ctrl_ins.rf_pin_state[1] -.sym 14030 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 14031 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 14040 io_ctrl_ins.rf_mode[2] -.sym 14043 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 14061 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 14062 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 14064 io_ctrl_ins.rf_pin_state[1] -.sym 14073 io_ctrl_ins.rf_pin_state[0] -.sym 14074 io_ctrl_ins.rf_mode[2] -.sym 14075 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 14076 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 14079 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 14080 io_ctrl_ins.rf_pin_state[2] -.sym 14081 io_ctrl_ins.rf_mode[2] -.sym 14082 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 14095 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 13975 io_ctrl_ins.o_pmod[7] +.sym 13976 io_ctrl_ins.o_pmod[6] +.sym 13977 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 13978 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 13979 io_ctrl_ins.o_pmod[3] +.sym 13980 io_ctrl_ins.mixer_en_state_SB_LUT4_I1_O[0] +.sym 13981 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_I2[2] +.sym 13982 i_button_SB_LUT4_I0_O[2] +.sym 13992 io_ctrl_ins.led0_state_SB_DFFE_Q_E +.sym 13999 io_ctrl_ins.pmod_dir_state[4] +.sym 14000 o_led1$SB_IO_OUT +.sym 14005 io_ctrl_ins.pmod_dir_state[3] +.sym 14016 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[2] +.sym 14018 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 14019 i_config_SB_LUT4_I0_I3[2] +.sym 14020 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] +.sym 14022 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 14024 o_led1$SB_IO_OUT +.sym 14026 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] +.sym 14027 i_config_SB_LUT4_I0_I3[3] +.sym 14029 i_config_SB_LUT4_I0_I3[2] +.sym 14030 w_rx_data[6] +.sym 14032 io_ctrl_ins.pmod_dir_state[1] +.sym 14036 w_rx_data[5] +.sym 14039 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 14040 w_rx_data[7] +.sym 14043 w_rx_data[4] +.sym 14044 io_ctrl_ins.o_pmod[3] +.sym 14047 io_ctrl_ins.pmod_dir_state[0] +.sym 14049 i_config_SB_LUT4_I0_I3[2] +.sym 14050 io_ctrl_ins.o_pmod[3] +.sym 14051 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 14052 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] +.sym 14055 o_led1$SB_IO_OUT +.sym 14056 io_ctrl_ins.pmod_dir_state[1] +.sym 14057 i_config_SB_LUT4_I0_I3[2] +.sym 14058 i_config_SB_LUT4_I0_I3[3] +.sym 14063 w_rx_data[4] +.sym 14067 w_rx_data[6] +.sym 14075 io_ctrl_ins.pmod_dir_state[0] +.sym 14080 w_rx_data[5] +.sym 14085 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[2] +.sym 14086 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 14087 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] +.sym 14088 i_config_SB_LUT4_I0_I3[2] +.sym 14091 w_rx_data[7] +.sym 14095 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E .sym 14096 r_counter[0]_$glb_clk -.sym 14111 o_led0$SB_IO_OUT -.sym 14116 o_rx_h_tx_l$SB_IO_OUT -.sym 14119 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 14121 o_led1$SB_IO_OUT +.sym 14098 io_ctrl_ins.pmod_dir_state[1] +.sym 14099 io_ctrl_ins.pmod_dir_state[3] +.sym 14102 i_config_SB_LUT4_I0_I3[1] +.sym 14104 io_ctrl_ins.pmod_dir_state[4] +.sym 14105 io_ctrl_ins.pmod_dir_state[0] +.sym 14112 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 14119 i_config[0]$SB_IO_IN +.sym 14124 o_rx_h_tx_l_b$SB_IO_OUT +.sym 14128 w_rx_data[3] +.sym 14129 i_config_SB_LUT4_I0_I3[2] +.sym 14132 o_rx_h_tx_l$SB_IO_OUT +.sym 14141 io_ctrl_ins.rf_pin_state[4] +.sym 14142 io_ctrl_ins.rf_pin_state[2] +.sym 14144 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] +.sym 14145 io_ctrl_ins.rf_mode[1] +.sym 14146 io_ctrl_ins.rf_pin_state[3] +.sym 14147 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 14150 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 14152 io_ctrl_ins.rf_pin_state[5] +.sym 14154 io_ctrl_ins.rf_pin_state[7] +.sym 14157 io_ctrl_ins.rf_pin_state[6] +.sym 14160 io_ctrl_ins.rf_pin_state[0] +.sym 14163 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 14166 io_ctrl_ins.rf_mode[2] +.sym 14172 io_ctrl_ins.rf_mode[1] +.sym 14173 io_ctrl_ins.rf_pin_state[0] +.sym 14174 io_ctrl_ins.rf_mode[2] +.sym 14175 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 14178 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 14179 io_ctrl_ins.rf_pin_state[7] +.sym 14180 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 14184 io_ctrl_ins.rf_mode[1] +.sym 14185 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] +.sym 14186 io_ctrl_ins.rf_pin_state[4] +.sym 14187 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 14190 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] +.sym 14191 io_ctrl_ins.rf_mode[1] +.sym 14192 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 14193 io_ctrl_ins.rf_pin_state[5] +.sym 14196 io_ctrl_ins.rf_mode[1] +.sym 14197 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 14198 io_ctrl_ins.rf_mode[2] +.sym 14199 io_ctrl_ins.rf_pin_state[2] +.sym 14202 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 14204 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 14205 io_ctrl_ins.rf_pin_state[6] +.sym 14208 io_ctrl_ins.rf_mode[1] +.sym 14209 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 14210 io_ctrl_ins.rf_mode[2] +.sym 14211 io_ctrl_ins.rf_pin_state[3] +.sym 14218 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 14219 r_counter[0]_$glb_clk +.sym 14221 o_led1$SB_IO_OUT +.sym 14234 w_rx_data[1] +.sym 14235 o_rx_h_tx_l_b$SB_IO_OUT +.sym 14243 o_shdn_tx_lna$SB_IO_OUT +.sym 14248 o_tr_vc1$SB_IO_OUT +.sym 14254 o_tr_vc2$SB_IO_OUT +.sym 14289 io_ctrl_ins.led0_state_SB_DFFE_Q_E +.sym 14293 w_rx_data[0] +.sym 14297 w_rx_data[0] +.sym 14341 io_ctrl_ins.led0_state_SB_DFFE_Q_E +.sym 14342 r_counter[0]_$glb_clk +.sym 14352 o_led0$SB_IO_OUT +.sym 14358 w_rx_data[1] +.sym 14359 o_led1$SB_IO_OUT +.sym 14371 io_ctrl_ins.led0_state_SB_DFFE_Q_E +.sym 14375 w_rx_data[0] +.sym 14379 o_ldo_2v8_en$SB_IO_OUT .sym 14392 o_ldo_2v8_en$SB_IO_OUT -.sym 14412 o_ldo_2v8_en$SB_IO_OUT +.sym 14405 o_ldo_2v8_en$SB_IO_OUT .sym 14418 i_sck$SB_IO_IN .sym 14419 $PACKER_GND_NET -.sym 14436 i_sck$SB_IO_IN -.sym 14437 $PACKER_GND_NET -.sym 14476 i_sck$SB_IO_IN -.sym 14888 i_sck$SB_IO_IN -.sym 14982 spi_if_ins.spi.SCKr[0] -.sym 15101 spi_if_ins.spi.SCKr[1] -.sym 15103 spi_if_ins.spi.SCKr[2] -.sym 15106 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 15107 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 15131 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 15132 i_ss$SB_IO_IN -.sym 15135 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] -.sym 15147 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 15200 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 15221 r_counter[0]_$glb_clk -.sym 15225 spi_if_ins.spi.r_tx_bit_count[2] -.sym 15227 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] -.sym 15228 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] -.sym 15229 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 15230 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 15239 io_pmod[7]$SB_IO_OUT -.sym 15240 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 15249 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 15253 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 15266 spi_if_ins.spi.r_tx_byte[4] -.sym 15269 spi_if_ins.r_tx_byte[5] -.sym 15277 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 15279 spi_if_ins.r_tx_byte[0] -.sym 15282 spi_if_ins.spi.r_tx_bit_count[2] -.sym 15283 spi_if_ins.r_tx_byte[1] -.sym 15285 spi_if_ins.spi.r_tx_byte[0] -.sym 15287 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 15289 spi_if_ins.spi.r_tx_byte[3] -.sym 15290 spi_if_ins.spi.r_tx_byte[7] -.sym 15291 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 15292 spi_if_ins.r_tx_byte[3] -.sym 15293 spi_if_ins.r_tx_byte[4] -.sym 15295 spi_if_ins.r_tx_byte[6] -.sym 15298 spi_if_ins.r_tx_byte[5] -.sym 15305 spi_if_ins.r_tx_byte[3] -.sym 15309 spi_if_ins.r_tx_byte[4] -.sym 15315 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 15316 spi_if_ins.spi.r_tx_byte[3] -.sym 15317 spi_if_ins.spi.r_tx_byte[7] -.sym 15318 spi_if_ins.spi.r_tx_bit_count[2] -.sym 15323 spi_if_ins.r_tx_byte[6] -.sym 15328 spi_if_ins.r_tx_byte[0] -.sym 15333 spi_if_ins.spi.r_tx_bit_count[2] -.sym 15334 spi_if_ins.spi.r_tx_byte[0] -.sym 15335 spi_if_ins.spi.r_tx_byte[4] -.sym 15341 spi_if_ins.r_tx_byte[1] -.sym 15343 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 14439 $PACKER_GND_NET +.sym 14440 i_sck$SB_IO_IN +.sym 14479 $PACKER_GND_NET +.sym 14624 $PACKER_GND_NET +.sym 14751 o_miso_$_TBUF__Y_E +.sym 14887 i_ss$SB_IO_IN +.sym 14991 i_mosi$SB_IO_IN +.sym 15047 i_ss$SB_IO_IN +.sym 15089 i_ss$SB_IO_IN +.sym 15117 $PACKER_GND_NET +.sym 15124 i_ss$SB_IO_IN +.sym 15135 i_sck$SB_IO_IN +.sym 15226 spi_if_ins.spi.r_rx_done +.sym 15251 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 15253 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 15265 spi_if_ins.spi.r3_rx_done +.sym 15285 spi_if_ins.spi.r2_rx_done +.sym 15291 spi_if_ins.spi.r_rx_done +.sym 15305 spi_if_ins.spi.r2_rx_done +.sym 15328 spi_if_ins.spi.r_rx_done +.sym 15334 spi_if_ins.spi.r3_rx_done +.sym 15336 spi_if_ins.spi.r2_rx_done .sym 15344 r_counter[0]_$glb_clk -.sym 15345 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 15346 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[3] -.sym 15347 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 15349 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 15350 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] -.sym 15353 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 15363 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 15378 spi_if_ins.r_tx_byte[7] -.sym 15388 r_tx_data[7] -.sym 15389 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 15390 r_tx_data[5] -.sym 15391 spi_if_ins.spi.r_tx_byte[6] -.sym 15393 r_tx_data[2] -.sym 15394 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 15396 spi_if_ins.spi.r_tx_byte[2] -.sym 15397 spi_if_ins.spi.r_tx_bit_count[2] -.sym 15402 r_tx_data[0] -.sym 15421 r_tx_data[7] -.sym 15444 r_tx_data[2] -.sym 15450 r_tx_data[5] -.sym 15456 spi_if_ins.spi.r_tx_byte[6] -.sym 15457 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 15458 spi_if_ins.spi.r_tx_byte[2] -.sym 15459 spi_if_ins.spi.r_tx_bit_count[2] -.sym 15465 r_tx_data[0] -.sym 15466 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 15467 r_counter[0]_$glb_clk -.sym 15469 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 15471 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 15472 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 15473 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 15474 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 15475 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 15476 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 15486 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 15487 spi_if_ins.r_tx_data_valid -.sym 15489 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 15498 spi_if_ins.w_rx_data[4] -.sym 15500 spi_if_ins.w_rx_data[5] -.sym 15502 w_tx_data_io[6] -.sym 15510 spi_if_ins.r_tx_byte[7] -.sym 15521 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 15522 spi_if_ins.r_tx_byte[2] -.sym 15530 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 15549 spi_if_ins.r_tx_byte[2] -.sym 15574 spi_if_ins.r_tx_byte[7] -.sym 15589 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 15347 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 15348 spi_if_ins.spi.SCKr[0] +.sym 15350 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R +.sym 15351 spi_if_ins.spi.SCKr[1] +.sym 15352 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E +.sym 15353 spi_if_ins.spi.SCKr[2] +.sym 15377 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 15397 spi_if_ins.spi.r_rx_bit_count[2] +.sym 15400 i_ss$SB_IO_IN +.sym 15401 spi_if_ins.spi.r_rx_bit_count[1] +.sym 15418 spi_if_ins.spi.r_rx_bit_count[0] +.sym 15419 $nextpnr_ICESTORM_LC_1$O +.sym 15422 spi_if_ins.spi.r_rx_bit_count[0] +.sym 15425 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] +.sym 15428 spi_if_ins.spi.r_rx_bit_count[1] +.sym 15433 spi_if_ins.spi.r_rx_bit_count[2] +.sym 15435 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] +.sym 15450 spi_if_ins.spi.r_rx_bit_count[1] +.sym 15452 spi_if_ins.spi.r_rx_bit_count[2] +.sym 15453 spi_if_ins.spi.r_rx_bit_count[0] +.sym 15456 spi_if_ins.spi.r_rx_bit_count[0] +.sym 15457 spi_if_ins.spi.r_rx_bit_count[1] +.sym 15463 spi_if_ins.spi.r_rx_bit_count[0] +.sym 15467 i_sck$SB_IO_IN_$glb_clk +.sym 15468 i_ss$SB_IO_IN +.sym 15471 spi_if_ins.spi.r_tx_bit_count[2] +.sym 15472 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 15473 spi_if_ins.spi.r_tx_bit_count[0] +.sym 15474 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 15475 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] +.sym 15476 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] +.sym 15488 i_ss$SB_IO_IN +.sym 15495 r_tx_data_SB_DFFESR_Q_R +.sym 15501 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E +.sym 15502 $PACKER_VCC_NET +.sym 15512 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 15513 spi_if_ins.spi.r_rx_byte[7] +.sym 15517 spi_if_ins.spi.r_rx_byte[3] +.sym 15519 spi_if_ins.spi.r_rx_byte[6] +.sym 15524 spi_if_ins.spi.r_rx_byte[5] +.sym 15531 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 15544 spi_if_ins.spi.r_rx_byte[3] +.sym 15551 spi_if_ins.spi.r_rx_byte[7] +.sym 15556 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 15564 spi_if_ins.spi.r_rx_byte[6] +.sym 15570 spi_if_ins.spi.r_rx_byte[5] +.sym 15589 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O .sym 15590 r_counter[0]_$glb_clk -.sym 15591 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 15592 spi_if_ins.spi.r_rx_byte[5] -.sym 15593 spi_if_ins.spi.r_rx_byte[6] -.sym 15595 spi_if_ins.spi.r_rx_byte[1] -.sym 15596 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 15597 spi_if_ins.spi.r_rx_byte[3] -.sym 15598 spi_if_ins.spi.r_rx_byte[4] -.sym 15599 spi_if_ins.spi.r_rx_byte[2] -.sym 15604 i_mosi$SB_IO_IN -.sym 15625 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 15635 w_ioc[1] -.sym 15641 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 15644 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 15645 w_ioc[2] -.sym 15650 spi_if_ins.spi.r_rx_byte[6] -.sym 15655 spi_if_ins.spi.r_rx_byte[4] -.sym 15656 spi_if_ins.spi.r_rx_byte[2] -.sym 15657 spi_if_ins.spi.r_rx_byte[5] -.sym 15660 spi_if_ins.spi.r_rx_byte[1] -.sym 15662 spi_if_ins.spi.r_rx_byte[3] -.sym 15664 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1_SB_LUT4_O_I1[1] -.sym 15666 spi_if_ins.spi.r_rx_byte[4] -.sym 15672 spi_if_ins.spi.r_rx_byte[5] -.sym 15680 spi_if_ins.spi.r_rx_byte[3] -.sym 15686 spi_if_ins.spi.r_rx_byte[2] -.sym 15693 spi_if_ins.spi.r_rx_byte[6] -.sym 15702 spi_if_ins.spi.r_rx_byte[1] -.sym 15708 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 15709 w_ioc[1] -.sym 15710 w_ioc[2] -.sym 15711 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1_SB_LUT4_O_I1[1] -.sym 15712 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 15592 spi_if_ins.spi.r_tx_byte[4] +.sym 15593 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I1[0] +.sym 15594 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I1[1] +.sym 15595 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 15596 spi_if_ins.spi.r_tx_byte[5] +.sym 15597 spi_if_ins.spi.r_tx_byte[0] +.sym 15598 spi_if_ins.spi.r_tx_byte[3] +.sym 15599 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[0] +.sym 15606 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 15608 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 15617 w_cs[1] +.sym 15618 spi_if_ins.o_cs_SB_LUT4_I1_O[1] +.sym 15620 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 15621 spi_if_ins.w_rx_data[5] +.sym 15633 spi_if_ins.r_tx_byte[2] +.sym 15634 spi_if_ins.spi.r_tx_byte[2] +.sym 15635 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 15637 spi_if_ins.spi.r_tx_bit_count[0] +.sym 15639 spi_if_ins.r_tx_byte[7] +.sym 15643 spi_if_ins.spi.r_tx_bit_count[2] +.sym 15646 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 15651 spi_if_ins.spi.r_tx_byte[6] +.sym 15652 spi_if_ins.r_tx_byte[6] +.sym 15658 spi_if_ins.r_tx_byte[1] +.sym 15673 spi_if_ins.r_tx_byte[2] +.sym 15681 spi_if_ins.r_tx_byte[6] +.sym 15692 spi_if_ins.r_tx_byte[1] +.sym 15696 spi_if_ins.spi.r_tx_bit_count[2] +.sym 15697 spi_if_ins.spi.r_tx_bit_count[0] +.sym 15698 spi_if_ins.spi.r_tx_byte[2] +.sym 15699 spi_if_ins.spi.r_tx_byte[6] +.sym 15709 spi_if_ins.r_tx_byte[7] +.sym 15712 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E .sym 15713 r_counter[0]_$glb_clk -.sym 15715 w_tx_data_io[5] -.sym 15718 w_tx_data_io[7] -.sym 15719 w_tx_data_io[6] -.sym 15735 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 15740 i_config[3]$SB_IO_IN -.sym 15742 io_ctrl_ins.pmod_dir_state[7] -.sym 15744 i_button$SB_IO_IN -.sym 15746 io_ctrl_ins.pmod_dir_state[5] -.sym 15748 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[1] -.sym 15749 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 15750 i_button_SB_LUT4_I3_O[1] -.sym 15761 w_rx_data[3] -.sym 15766 w_rx_data[2] -.sym 15767 io_ctrl_ins.rf_mode_SB_DFFE_Q_E -.sym 15769 w_rx_data[0] -.sym 15772 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 15774 w_ioc[1] -.sym 15777 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 15813 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 15814 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 15815 w_ioc[1] -.sym 15819 w_rx_data[3] -.sym 15827 w_rx_data[0] -.sym 15833 w_rx_data[2] -.sym 15835 io_ctrl_ins.rf_mode_SB_DFFE_Q_E +.sym 15714 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 15715 spi_if_ins.r_tx_byte[0] +.sym 15716 spi_if_ins.r_tx_byte[1] +.sym 15717 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 15718 spi_if_ins.r_tx_byte[6] +.sym 15719 $PACKER_VCC_NET +.sym 15720 spi_if_ins.r_tx_byte[3] +.sym 15721 spi_if_ins.r_tx_byte[4] +.sym 15722 spi_if_ins.o_cs_SB_LUT4_I1_O[1] +.sym 15737 spi_if_ins.r_tx_byte[2] +.sym 15739 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 15747 w_tx_data_smi[0] +.sym 15762 w_cs[1] +.sym 15763 w_cs[2] +.sym 15767 w_cs[0] +.sym 15769 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] +.sym 15770 spi_if_ins.w_rx_data[6] +.sym 15781 spi_if_ins.w_rx_data[5] +.sym 15782 w_cs[3] +.sym 15783 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 15789 spi_if_ins.w_rx_data[5] +.sym 15792 spi_if_ins.w_rx_data[6] +.sym 15795 w_cs[2] +.sym 15796 w_cs[0] +.sym 15797 w_cs[3] +.sym 15798 w_cs[1] +.sym 15801 spi_if_ins.w_rx_data[5] +.sym 15802 spi_if_ins.w_rx_data[6] +.sym 15819 w_cs[2] +.sym 15820 w_cs[0] +.sym 15821 w_cs[3] +.sym 15822 w_cs[1] +.sym 15827 spi_if_ins.w_rx_data[5] +.sym 15828 spi_if_ins.w_rx_data[6] +.sym 15831 spi_if_ins.w_rx_data[6] +.sym 15834 spi_if_ins.w_rx_data[5] +.sym 15835 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] .sym 15836 r_counter[0]_$glb_clk -.sym 15839 io_ctrl_ins.rf_pin_state[3] -.sym 15840 i_button_SB_LUT4_I3_O[0] -.sym 15841 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[1] -.sym 15842 io_ctrl_ins.rf_pin_state[7] -.sym 15843 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[0] -.sym 15844 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[0] -.sym 15851 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] -.sym 15857 w_rx_data[0] -.sym 15862 io_ctrl_ins.rf_mode[2] -.sym 15867 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 15869 o_tr_vc2$SB_IO_OUT -.sym 15871 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 15873 io_ctrl_ins.rf_mode[0] -.sym 15881 io_ctrl_ins.rf_mode_SB_DFFE_Q_E -.sym 15887 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 15888 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 15894 w_rx_data[4] -.sym 15895 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 15901 i_config_SB_LUT4_I3_O[1] -.sym 15902 w_rx_data[1] -.sym 15909 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 15912 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 15913 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 15914 i_config_SB_LUT4_I3_O[1] -.sym 15915 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 15920 w_rx_data[1] -.sym 15930 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 15931 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 15932 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 15933 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 15936 w_rx_data[4] -.sym 15958 io_ctrl_ins.rf_mode_SB_DFFE_Q_E +.sym 15837 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] +.sym 15838 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 15839 r_tx_data[0] +.sym 15841 r_tx_data[1] +.sym 15842 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R +.sym 15843 r_tx_data[6] +.sym 15844 r_tx_data[4] +.sym 15845 w_tx_data_io[7] +.sym 15850 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] +.sym 15852 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 15882 spi_if_ins.w_rx_data[6] +.sym 15884 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 15886 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 15888 w_tx_data_io[0] +.sym 15890 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 15891 spi_if_ins.w_rx_data[5] +.sym 15894 spi_if_ins.o_cs_SB_LUT4_I1_O[1] +.sym 15907 w_tx_data_smi[0] +.sym 15918 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 15919 spi_if_ins.o_cs_SB_LUT4_I1_O[1] +.sym 15920 w_tx_data_smi[0] +.sym 15921 w_tx_data_io[0] +.sym 15925 spi_if_ins.w_rx_data[5] +.sym 15937 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 15956 spi_if_ins.w_rx_data[6] +.sym 15958 spi_if_ins.o_data_in_SB_DFFE_Q_E .sym 15959 r_counter[0]_$glb_clk -.sym 15961 io_ctrl_ins.o_pmod[6] -.sym 15964 io_ctrl_ins.o_pmod[5] -.sym 15965 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[1] -.sym 15966 i_button_SB_LUT4_I3_O[1] -.sym 15967 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 15968 io_ctrl_ins.o_pmod[7] -.sym 15975 io_ctrl_ins.rf_mode_SB_DFFE_Q_E -.sym 15978 io_ctrl_ins.pmod_dir_state[6] -.sym 15986 o_tr_vc1_b$SB_IO_OUT -.sym 15988 w_rx_data[7] -.sym 16004 w_rx_data[4] -.sym 16006 io_ctrl_ins.rf_mode[2] -.sym 16008 w_rx_data[5] -.sym 16013 spi_if_ins.o_load_cmd_SB_LUT4_I3_1_O -.sym 16014 w_rx_data[0] -.sym 16015 w_rx_data[2] -.sym 16016 io_ctrl_ins.rf_mode[0] -.sym 16017 w_rx_data[1] -.sym 16018 w_rx_data[6] -.sym 16037 w_rx_data[2] -.sym 16044 w_rx_data[5] -.sym 16048 w_rx_data[0] -.sym 16056 w_rx_data[4] -.sym 16059 w_rx_data[6] -.sym 16065 io_ctrl_ins.rf_mode[0] -.sym 16066 io_ctrl_ins.rf_mode[2] -.sym 16078 w_rx_data[1] -.sym 16081 spi_if_ins.o_load_cmd_SB_LUT4_I3_1_O +.sym 15963 io_ctrl_ins.o_pmod[5] +.sym 15964 io_ctrl_ins.o_pmod[4] +.sym 15974 r_tx_data_SB_DFFESR_Q_R +.sym 15975 w_cs[0] +.sym 15981 r_tx_data_SB_DFFESR_Q_E +.sym 15986 w_rx_data[5] +.sym 15989 w_tx_data_io[5] +.sym 15990 w_rx_data[7] +.sym 15995 i_config[3]$SB_IO_IN +.sym 15996 w_rx_data[6] +.sym 16002 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 16004 i_button_SB_LUT4_I0_O[1] +.sym 16005 o_rx_h_tx_l$SB_IO_OUT +.sym 16006 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R +.sym 16008 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_I2[2] +.sym 16009 i_button_SB_LUT4_I0_O[2] +.sym 16010 o_rx_h_tx_l_b$SB_IO_OUT +.sym 16013 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 16014 i_config_SB_LUT4_I0_I3[2] +.sym 16018 i_config[1]$SB_IO_IN +.sym 16020 io_ctrl_ins.o_pmod[5] +.sym 16021 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[3] +.sym 16022 io_ctrl_ins.pmod_dir_state[4] +.sym 16023 i_config_SB_LUT4_I0_I3[3] +.sym 16025 o_tr_vc1$SB_IO_OUT +.sym 16026 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[1] +.sym 16027 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[2] +.sym 16028 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_I2[3] +.sym 16029 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 16031 w_ioc[2] +.sym 16033 i_button_SB_LUT4_I0_O[3] +.sym 16035 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 16037 w_ioc[2] +.sym 16038 i_config_SB_LUT4_I0_I3[2] +.sym 16041 i_config_SB_LUT4_I0_I3[2] +.sym 16042 w_ioc[2] +.sym 16043 o_tr_vc1$SB_IO_OUT +.sym 16044 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 16047 i_button_SB_LUT4_I0_O[1] +.sym 16048 i_button_SB_LUT4_I0_O[2] +.sym 16049 i_button_SB_LUT4_I0_O[3] +.sym 16050 o_rx_h_tx_l$SB_IO_OUT +.sym 16053 i_config_SB_LUT4_I0_I3[3] +.sym 16055 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[1] +.sym 16056 i_button_SB_LUT4_I0_O[1] +.sym 16059 io_ctrl_ins.pmod_dir_state[4] +.sym 16060 i_config_SB_LUT4_I0_I3[2] +.sym 16061 i_config[1]$SB_IO_IN +.sym 16062 i_config_SB_LUT4_I0_I3[3] +.sym 16065 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_I2[3] +.sym 16066 i_button_SB_LUT4_I0_O[1] +.sym 16067 o_rx_h_tx_l_b$SB_IO_OUT +.sym 16068 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_I2[2] +.sym 16071 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[2] +.sym 16072 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[3] +.sym 16073 io_ctrl_ins.o_pmod[5] +.sym 16074 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[1] +.sym 16077 i_config_SB_LUT4_I0_I3[3] +.sym 16078 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 16079 i_config_SB_LUT4_I0_I3[2] +.sym 16081 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E .sym 16082 r_counter[0]_$glb_clk -.sym 16087 o_tr_vc2$SB_IO_OUT -.sym 16088 o_rx_h_tx_l_b$SB_IO_OUT -.sym 16090 o_rx_h_tx_l$SB_IO_OUT -.sym 16099 spi_if_ins.o_load_cmd_SB_LUT4_I3_1_O -.sym 16100 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 16126 io_ctrl_ins.rf_pin_state[5] -.sym 16127 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 16128 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 16130 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 16140 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 16147 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 16158 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 16159 io_ctrl_ins.rf_pin_state[5] -.sym 16160 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 16161 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 16170 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 16194 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 16195 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 16196 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 16197 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 16204 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 16083 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R +.sym 16084 io_ctrl_ins.pmod_dir_state[6] +.sym 16085 io_ctrl_ins.pmod_dir_state[5] +.sym 16086 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_I2[3] +.sym 16087 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[3] +.sym 16088 io_ctrl_ins.pmod_dir_state[7] +.sym 16091 i_button_SB_LUT4_I0_O[3] +.sym 16099 o_rx_h_tx_l$SB_IO_OUT +.sym 16102 i_config_SB_LUT4_I0_I3[2] +.sym 16106 o_rx_h_tx_l_b$SB_IO_OUT +.sym 16119 io_ctrl_ins.led0_state_SB_DFFE_Q_E +.sym 16125 w_rx_data[7] +.sym 16131 w_rx_data[6] +.sym 16132 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 16133 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[1] +.sym 16136 i_config_SB_LUT4_I0_I3[3] +.sym 16139 w_rx_data[0] +.sym 16141 io_ctrl_ins.o_pmod[7] +.sym 16143 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 16144 i_config_SB_LUT4_I0_I3[2] +.sym 16145 w_ioc[2] +.sym 16147 i_config_SB_LUT4_I0_I3[2] +.sym 16149 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 16150 io_ctrl_ins.o_pmod[6] +.sym 16151 w_rx_data[3] +.sym 16158 w_rx_data[7] +.sym 16167 w_rx_data[6] +.sym 16171 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[1] +.sym 16173 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 16176 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 16177 i_config_SB_LUT4_I0_I3[3] +.sym 16179 i_config_SB_LUT4_I0_I3[2] +.sym 16185 w_rx_data[3] +.sym 16188 w_rx_data[0] +.sym 16194 io_ctrl_ins.o_pmod[6] +.sym 16195 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 16196 w_ioc[2] +.sym 16197 i_config_SB_LUT4_I0_I3[2] +.sym 16200 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 16201 w_ioc[2] +.sym 16202 i_config_SB_LUT4_I0_I3[2] +.sym 16203 io_ctrl_ins.o_pmod[7] +.sym 16204 io_ctrl_ins.pmod_state_SB_DFFE_Q_E .sym 16205 r_counter[0]_$glb_clk -.sym 16219 o_tr_vc1$SB_IO_OUT -.sym 16220 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 16227 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 16232 i_config[3]$SB_IO_IN -.sym 16236 i_button$SB_IO_IN +.sym 16220 o_tr_vc2$SB_IO_OUT +.sym 16227 w_rx_data[0] +.sym 16228 o_tr_vc1$SB_IO_OUT +.sym 16230 i_config_SB_LUT4_I0_I3[3] +.sym 16231 w_ioc[2] +.sym 16236 w_rx_data[4] +.sym 16248 w_rx_data[2] +.sym 16252 w_rx_data[4] +.sym 16259 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 16260 w_rx_data[1] +.sym 16261 w_rx_data[0] +.sym 16271 w_rx_data[3] +.sym 16282 w_rx_data[1] +.sym 16289 w_rx_data[3] +.sym 16307 w_rx_data[2] +.sym 16317 w_rx_data[4] +.sym 16326 w_rx_data[0] +.sym 16327 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 16328 r_counter[0]_$glb_clk +.sym 16342 w_rx_data[2] +.sym 16349 w_rx_data[0] +.sym 16362 i_button$SB_IO_IN +.sym 16380 w_rx_data[1] +.sym 16389 io_ctrl_ins.led0_state_SB_DFFE_Q_E +.sym 16404 w_rx_data[1] +.sym 16450 io_ctrl_ins.led0_state_SB_DFFE_Q_E +.sym 16451 r_counter[0]_$glb_clk .sym 16453 i_config[3]$SB_IO_IN .sym 16455 i_button$SB_IO_IN -.sym 16708 $PACKER_GND_NET -.sym 16736 i_ss$SB_IO_IN -.sym 16842 o_miso_$_TBUF__Y_E -.sym 16859 i_sck$SB_IO_IN -.sym 16866 $PACKER_GND_NET -.sym 17109 i_ss$SB_IO_IN -.sym 17111 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 17133 i_sck$SB_IO_IN -.sym 17193 i_sck$SB_IO_IN -.sym 17207 r_counter[0]_$glb_clk -.sym 17213 int_miso -.sym 17255 spi_if_ins.spi.SCKr[0] -.sym 17269 spi_if_ins.spi.SCKr[2] -.sym 17275 spi_if_ins.spi.SCKr[1] -.sym 17280 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 17291 spi_if_ins.spi.SCKr[0] -.sym 17302 spi_if_ins.spi.SCKr[1] -.sym 17322 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 17325 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 17327 spi_if_ins.spi.SCKr[2] -.sym 17328 spi_if_ins.spi.SCKr[1] -.sym 17330 r_counter[0]_$glb_clk -.sym 17355 spi_if_ins.r_tx_byte[7] -.sym 17358 $PACKER_GND_NET -.sym 17359 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 17373 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[3] -.sym 17376 spi_if_ins.spi.SCKr[2] -.sym 17379 $PACKER_VCC_NET -.sym 17380 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] -.sym 17382 spi_if_ins.spi.SCKr[1] -.sym 17383 spi_if_ins.spi.r_tx_bit_count[2] -.sym 17384 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 17387 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 17388 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 17395 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] -.sym 17402 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 17405 $nextpnr_ICESTORM_LC_2$O -.sym 17408 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 17411 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 17413 $PACKER_VCC_NET -.sym 17414 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 17418 $PACKER_VCC_NET -.sym 17419 spi_if_ins.spi.r_tx_bit_count[2] -.sym 17421 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 17430 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] -.sym 17431 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] -.sym 17432 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[3] -.sym 17433 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 17436 spi_if_ins.spi.r_tx_bit_count[2] -.sym 17437 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 17438 spi_if_ins.spi.SCKr[2] -.sym 17439 spi_if_ins.spi.SCKr[1] -.sym 17442 $PACKER_VCC_NET -.sym 17443 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 17444 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 17449 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 17452 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 17453 r_counter[0]_$glb_clk -.sym 17454 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 17457 spi_if_ins.spi.r_rx_bit_count[2] -.sym 17458 spi_if_ins.spi.r_rx_bit_count[0] -.sym 17459 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E -.sym 17460 spi_if_ins.spi.r_rx_bit_count[1] -.sym 17462 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 17475 $PACKER_VCC_NET -.sym 17497 i_ss$SB_IO_IN -.sym 17498 spi_if_ins.spi.r_tx_bit_count[2] -.sym 17500 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] -.sym 17501 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] -.sym 17502 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 17503 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 17505 spi_if_ins.r_tx_data_valid -.sym 17507 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 17511 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 17519 spi_if_ins.spi.r_tx_byte[1] -.sym 17520 spi_if_ins.spi.r_tx_byte[5] -.sym 17525 spi_if_ins.spi.r_rx_byte[7] -.sym 17526 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] -.sym 17529 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 17530 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] -.sym 17531 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] -.sym 17532 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 17536 spi_if_ins.spi.r_rx_byte[7] -.sym 17548 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 17549 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] -.sym 17550 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 17554 spi_if_ins.spi.r_tx_byte[5] -.sym 17555 spi_if_ins.spi.r_tx_bit_count[2] -.sym 17556 spi_if_ins.spi.r_tx_byte[1] -.sym 17572 spi_if_ins.r_tx_data_valid -.sym 17573 i_ss$SB_IO_IN -.sym 17575 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 16482 i_config[3]$SB_IO_IN +.sym 16856 i_ss$SB_IO_IN +.sym 16981 $PACKER_GND_NET +.sym 17099 i_ss$SB_IO_IN +.sym 17100 i_sck$SB_IO_IN +.sym 17237 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 17363 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 17375 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E +.sym 17377 i_ss$SB_IO_IN +.sym 17402 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 17425 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 17452 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E +.sym 17453 i_sck$SB_IO_IN_$glb_clk +.sym 17454 i_ss$SB_IO_IN +.sym 17455 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 17460 spi_if_ins.r_tx_data_valid +.sym 17471 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E +.sym 17498 spi_if_ins.spi.r_rx_bit_count[2] +.sym 17501 spi_if_ins.spi.SCKr[1] +.sym 17503 spi_if_ins.spi.SCKr[2] +.sym 17506 i_sck$SB_IO_IN +.sym 17510 spi_if_ins.spi.r_rx_bit_count[1] +.sym 17511 spi_if_ins.spi.r_rx_bit_count[0] +.sym 17512 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 17514 spi_if_ins.spi.SCKr[0] +.sym 17535 spi_if_ins.spi.SCKr[2] +.sym 17537 spi_if_ins.spi.SCKr[1] +.sym 17538 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 17544 i_sck$SB_IO_IN +.sym 17555 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 17562 spi_if_ins.spi.SCKr[0] +.sym 17565 spi_if_ins.spi.r_rx_bit_count[2] +.sym 17567 spi_if_ins.spi.r_rx_bit_count[0] +.sym 17568 spi_if_ins.spi.r_rx_bit_count[1] +.sym 17571 spi_if_ins.spi.SCKr[1] .sym 17576 r_counter[0]_$glb_clk -.sym 17578 spi_if_ins.spi.r_rx_byte[0] -.sym 17580 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 17583 spi_if_ins.spi.r_rx_byte[7] -.sym 17590 i_ss$SB_IO_IN -.sym 17605 o_miso_$_TBUF__Y_E -.sym 17606 spi_if_ins.w_rx_data[0] -.sym 17619 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 17621 o_miso_$_TBUF__Y_E -.sym 17626 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 17629 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 17630 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 17632 i_mosi$SB_IO_IN -.sym 17639 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 17649 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 17653 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 17667 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 17672 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 17676 i_mosi$SB_IO_IN -.sym 17685 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 17688 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 17695 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 17698 o_miso_$_TBUF__Y_E -.sym 17699 i_sck$SB_IO_IN_$glb_clk -.sym 17701 spi_if_ins.w_rx_data[0] -.sym 17704 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 17722 i_ss$SB_IO_IN -.sym 17730 w_tx_data_io[5] -.sym 17736 w_tx_data_io[7] -.sym 17744 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 17745 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 17748 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 17749 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1[0] -.sym 17750 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 17752 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 17753 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 17754 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 17757 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 17765 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 17776 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 17783 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 17793 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 17799 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 17800 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 17802 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1[0] -.sym 17807 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 17811 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 17818 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 17821 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 17822 i_sck$SB_IO_IN_$glb_clk -.sym 17833 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 17853 i_config[2]$SB_IO_IN -.sym 17868 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[1] -.sym 17869 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] -.sym 17875 i_button_SB_LUT4_I3_O[0] -.sym 17876 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 17878 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[0] -.sym 17879 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[0] -.sym 17881 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[1] -.sym 17891 i_button_SB_LUT4_I3_O[1] -.sym 17898 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[1] -.sym 17901 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[0] -.sym 17916 i_button_SB_LUT4_I3_O[1] -.sym 17918 i_button_SB_LUT4_I3_O[0] -.sym 17924 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[0] -.sym 17925 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[1] -.sym 17944 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 17584 int_miso +.sym 17591 i_ss$SB_IO_IN +.sym 17597 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 17621 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17623 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R +.sym 17624 spi_if_ins.spi.SCKr[1] +.sym 17626 spi_if_ins.spi.SCKr[2] +.sym 17627 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 17628 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I1[0] +.sym 17629 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I1[1] +.sym 17638 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 17639 spi_if_ins.spi.r_tx_bit_count[0] +.sym 17643 $PACKER_VCC_NET +.sym 17646 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 17650 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] +.sym 17651 $nextpnr_ICESTORM_LC_2$O +.sym 17654 spi_if_ins.spi.r_tx_bit_count[0] +.sym 17657 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_1_I3[2] +.sym 17659 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] +.sym 17660 $PACKER_VCC_NET +.sym 17664 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17665 $PACKER_VCC_NET +.sym 17667 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_1_I3[2] +.sym 17670 spi_if_ins.spi.SCKr[2] +.sym 17671 spi_if_ins.spi.r_tx_bit_count[0] +.sym 17672 spi_if_ins.spi.SCKr[1] +.sym 17673 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17678 spi_if_ins.spi.r_tx_bit_count[0] +.sym 17682 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 17684 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 17685 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] +.sym 17688 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] +.sym 17689 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I1[1] +.sym 17690 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I1[0] +.sym 17694 $PACKER_VCC_NET +.sym 17695 spi_if_ins.spi.r_tx_bit_count[0] +.sym 17697 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] +.sym 17698 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 17699 r_counter[0]_$glb_clk +.sym 17700 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R +.sym 17701 spi_if_ins.r_tx_byte[2] +.sym 17702 spi_if_ins.r_tx_byte[5] +.sym 17704 spi_if_ins.r_tx_byte[7] +.sym 17706 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 17722 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 17726 r_tx_data[3] +.sym 17730 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 17731 w_tx_data_io[2] +.sym 17742 spi_if_ins.r_tx_byte[0] +.sym 17744 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17746 spi_if_ins.spi.r_tx_bit_count[0] +.sym 17747 spi_if_ins.r_tx_byte[3] +.sym 17748 spi_if_ins.r_tx_byte[4] +.sym 17749 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] +.sym 17750 spi_if_ins.spi.r_tx_byte[4] +.sym 17752 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17754 spi_if_ins.spi.r_tx_byte[1] +.sym 17755 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[1] +.sym 17757 spi_if_ins.spi.r_tx_byte[7] +.sym 17759 spi_if_ins.r_tx_byte[5] +.sym 17760 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 17763 spi_if_ins.spi.r_tx_byte[0] +.sym 17770 spi_if_ins.spi.r_tx_byte[5] +.sym 17771 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 17772 spi_if_ins.spi.r_tx_byte[3] +.sym 17773 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[0] +.sym 17777 spi_if_ins.r_tx_byte[4] +.sym 17781 spi_if_ins.spi.r_tx_byte[4] +.sym 17782 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17783 spi_if_ins.spi.r_tx_byte[0] +.sym 17784 spi_if_ins.spi.r_tx_bit_count[0] +.sym 17787 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17788 spi_if_ins.spi.r_tx_byte[5] +.sym 17789 spi_if_ins.spi.r_tx_bit_count[0] +.sym 17790 spi_if_ins.spi.r_tx_byte[1] +.sym 17794 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[0] +.sym 17795 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] +.sym 17796 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[1] +.sym 17802 spi_if_ins.r_tx_byte[5] +.sym 17806 spi_if_ins.r_tx_byte[0] +.sym 17814 spi_if_ins.r_tx_byte[3] +.sym 17817 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17818 spi_if_ins.spi.r_tx_byte[7] +.sym 17819 spi_if_ins.spi.r_tx_byte[3] +.sym 17820 spi_if_ins.spi.r_tx_bit_count[0] +.sym 17821 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 17822 r_counter[0]_$glb_clk +.sym 17823 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 17827 r_tx_data[2] +.sym 17828 r_tx_data[7] +.sym 17829 r_tx_data[5] +.sym 17843 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 17848 $PACKER_VCC_NET +.sym 17866 r_tx_data[0] +.sym 17867 w_cs[3] +.sym 17868 r_tx_data[1] +.sym 17870 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 17871 r_tx_data[4] +.sym 17872 w_cs[2] +.sym 17878 r_tx_data[6] +.sym 17879 w_cs[1] +.sym 17883 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 17886 r_tx_data[3] +.sym 17887 w_cs[0] +.sym 17899 r_tx_data[0] +.sym 17906 r_tx_data[1] +.sym 17913 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 17917 r_tx_data[6] +.sym 17930 r_tx_data[3] +.sym 17934 r_tx_data[4] +.sym 17940 w_cs[2] +.sym 17941 w_cs[3] +.sym 17942 w_cs[1] +.sym 17943 w_cs[0] +.sym 17944 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O .sym 17945 r_counter[0]_$glb_clk -.sym 17946 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] -.sym 17975 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 17979 o_rx_h_tx_l_b$SB_IO_OUT -.sym 17981 io_ctrl_ins.rf_pin_state[3] -.sym 17989 i_button$SB_IO_IN -.sym 17990 o_rx_h_tx_l_b$SB_IO_OUT -.sym 17991 io_ctrl_ins.pmod_dir_state[5] -.sym 17993 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 17996 io_ctrl_ins.o_pmod[6] -.sym 17999 spi_if_ins.o_load_cmd_SB_LUT4_I3_1_O -.sym 18001 i_config[3]$SB_IO_IN -.sym 18002 io_ctrl_ins.pmod_dir_state[6] -.sym 18003 io_ctrl_ins.pmod_dir_state[7] -.sym 18004 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 18008 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 18013 i_config[2]$SB_IO_IN -.sym 18016 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 18017 w_rx_data[3] -.sym 18019 w_rx_data[7] -.sym 18028 w_rx_data[3] -.sym 18033 io_ctrl_ins.pmod_dir_state[7] -.sym 18034 i_button$SB_IO_IN -.sym 18035 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 18036 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 18039 io_ctrl_ins.o_pmod[6] -.sym 18040 o_rx_h_tx_l_b$SB_IO_OUT -.sym 18041 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 18042 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 18047 w_rx_data[7] -.sym 18051 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 18052 i_config[3]$SB_IO_IN -.sym 18053 io_ctrl_ins.pmod_dir_state[6] -.sym 18054 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 18057 i_config[2]$SB_IO_IN -.sym 18058 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 18059 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 18060 io_ctrl_ins.pmod_dir_state[5] -.sym 18067 spi_if_ins.o_load_cmd_SB_LUT4_I3_1_O -.sym 18068 r_counter[0]_$glb_clk -.sym 18089 $PACKER_VCC_NET -.sym 18099 io_ctrl_ins.rf_pin_state[7] -.sym 18101 w_rx_data[6] -.sym 18104 i_config[1]$SB_IO_IN -.sym 18116 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 18117 o_rx_h_tx_l$SB_IO_OUT -.sym 18118 io_ctrl_ins.rf_mode[0] -.sym 18122 io_ctrl_ins.o_pmod[5] -.sym 18125 w_rx_data[6] -.sym 18126 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 18127 o_tr_vc1$SB_IO_OUT -.sym 18129 w_rx_data[7] -.sym 18130 w_rx_data[5] -.sym 18131 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 18135 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 18138 spi_if_ins.o_load_cmd_SB_LUT4_I3_O -.sym 18139 io_ctrl_ins.rf_mode[2] -.sym 18142 io_ctrl_ins.o_pmod[7] -.sym 18145 w_rx_data[6] -.sym 18162 w_rx_data[5] -.sym 18168 o_tr_vc1$SB_IO_OUT -.sym 18169 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 18170 io_ctrl_ins.o_pmod[5] -.sym 18171 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 18174 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 18175 io_ctrl_ins.o_pmod[7] -.sym 18176 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 18177 o_rx_h_tx_l$SB_IO_OUT -.sym 18180 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 18181 io_ctrl_ins.rf_mode[2] -.sym 18182 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 18183 io_ctrl_ins.rf_mode[0] -.sym 18187 w_rx_data[7] -.sym 18190 spi_if_ins.o_load_cmd_SB_LUT4_I3_O +.sym 17966 w_tx_data_io[5] +.sym 17969 $PACKER_VCC_NET +.sym 17970 r_tx_data_SB_DFFESR_Q_R +.sym 17972 i_config[2]$SB_IO_IN +.sym 17992 r_tx_data_SB_DFFESR_Q_R +.sym 17995 w_cs[0] +.sym 17997 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[1] +.sym 17999 r_tx_data_SB_DFFESR_Q_E +.sym 18003 spi_if_ins.o_cs_SB_LUT4_I1_O[1] +.sym 18004 w_tx_data_io[1] +.sym 18005 w_tx_data_smi[1] +.sym 18006 w_cs[3] +.sym 18009 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 18010 w_cs[1] +.sym 18011 w_cs[2] +.sym 18012 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 18014 w_tx_data_io[7] +.sym 18015 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R +.sym 18017 w_tx_data_io[6] +.sym 18018 w_tx_data_io[4] +.sym 18021 w_cs[3] +.sym 18022 w_cs[2] +.sym 18023 w_cs[1] +.sym 18024 w_cs[0] +.sym 18027 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 18028 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[1] +.sym 18039 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 18040 spi_if_ins.o_cs_SB_LUT4_I1_O[1] +.sym 18041 w_tx_data_smi[1] +.sym 18042 w_tx_data_io[1] +.sym 18045 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R +.sym 18051 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 18054 w_tx_data_io[6] +.sym 18058 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 18060 w_tx_data_io[4] +.sym 18065 w_tx_data_io[7] +.sym 18067 r_tx_data_SB_DFFESR_Q_E +.sym 18068 i_glob_clock$SB_IO_IN_$glb_clk +.sym 18069 r_tx_data_SB_DFFESR_Q_R +.sym 18076 spi_if_ins.w_rx_data[0] +.sym 18122 w_rx_data[4] +.sym 18129 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 18137 w_rx_data[5] +.sym 18159 w_rx_data[5] +.sym 18165 w_rx_data[4] +.sym 18190 io_ctrl_ins.pmod_state_SB_DFFE_Q_E .sym 18191 r_counter[0]_$glb_clk -.sym 18217 o_rx_h_tx_l_b$SB_IO_OUT -.sym 18235 io_ctrl_ins.rf_mode[2] -.sym 18236 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 18238 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 18245 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 18248 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 18253 io_ctrl_ins.rf_pin_state[3] -.sym 18254 io_ctrl_ins.rf_pin_state[6] -.sym 18259 io_ctrl_ins.rf_pin_state[7] -.sym 18285 io_ctrl_ins.rf_mode[2] -.sym 18286 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 18287 io_ctrl_ins.rf_pin_state[3] -.sym 18288 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 18291 io_ctrl_ins.rf_pin_state[6] -.sym 18293 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 18294 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 18303 io_ctrl_ins.rf_pin_state[7] -.sym 18304 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 18305 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 18313 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 18206 spi_if_ins.w_rx_data[0] +.sym 18208 w_rx_data[4] +.sym 18213 io_ctrl_ins.o_pmod[4] +.sym 18214 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 18236 i_button$SB_IO_IN +.sym 18240 i_config[3]$SB_IO_IN +.sym 18241 w_rx_data[6] +.sym 18242 i_config[2]$SB_IO_IN +.sym 18243 w_rx_data[7] +.sym 18245 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 18246 i_config_SB_LUT4_I0_I3[3] +.sym 18247 w_rx_data[5] +.sym 18258 io_ctrl_ins.pmod_dir_state[6] +.sym 18259 io_ctrl_ins.pmod_dir_state[5] +.sym 18261 i_config_SB_LUT4_I0_I3[2] +.sym 18262 io_ctrl_ins.pmod_dir_state[7] +.sym 18268 w_rx_data[6] +.sym 18276 w_rx_data[5] +.sym 18279 i_config_SB_LUT4_I0_I3[2] +.sym 18280 io_ctrl_ins.pmod_dir_state[6] +.sym 18281 i_config[3]$SB_IO_IN +.sym 18282 i_config_SB_LUT4_I0_I3[3] +.sym 18285 i_config[2]$SB_IO_IN +.sym 18286 io_ctrl_ins.pmod_dir_state[5] +.sym 18287 i_config_SB_LUT4_I0_I3[3] +.sym 18288 i_config_SB_LUT4_I0_I3[2] +.sym 18291 w_rx_data[7] +.sym 18309 io_ctrl_ins.pmod_dir_state[7] +.sym 18310 i_button$SB_IO_IN +.sym 18311 i_config_SB_LUT4_I0_I3[3] +.sym 18312 i_config_SB_LUT4_I0_I3[2] +.sym 18313 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E .sym 18314 r_counter[0]_$glb_clk -.sym 18336 o_tr_vc2$SB_IO_OUT -.sym 18345 i_config[2]$SB_IO_IN -.sym 18459 o_tr_vc1_b$SB_IO_OUT +.sym 18332 i_button$SB_IO_IN +.sym 18349 i_config[1]$SB_IO_IN +.sym 18471 i_config[2]$SB_IO_IN .sym 18562 i_config[1]$SB_IO_IN .sym 18564 i_config[2]$SB_IO_IN -.sym 18591 i_config[1]$SB_IO_IN .sym 18636 $PACKER_GND_NET .sym 18656 $PACKER_GND_NET .sym 18695 i_ss$SB_IO_IN -.sym 18800 o_miso_$_TBUF__Y_E -.sym 18815 $PACKER_GND_NET -.sym 18818 int_miso -.sym 18994 i_ss$SB_IO_IN -.sym 19037 i_ss$SB_IO_IN -.sym 19103 int_miso -.sym 19370 spi_if_ins.r_tx_byte[7] -.sym 19378 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] -.sym 19385 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 19389 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 19415 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] -.sym 19416 spi_if_ins.r_tx_byte[7] -.sym 19417 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 19437 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 19438 r_counter[0]_$glb_clk -.sym 19587 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E -.sym 19593 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 19607 spi_if_ins.spi.r_rx_bit_count[0] -.sym 19617 i_ss$SB_IO_IN -.sym 19630 spi_if_ins.spi.r_rx_bit_count[2] -.sym 19633 spi_if_ins.spi.r_rx_bit_count[1] -.sym 19636 $nextpnr_ICESTORM_LC_1$O -.sym 19638 spi_if_ins.spi.r_rx_bit_count[0] -.sym 19642 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] -.sym 19644 spi_if_ins.spi.r_rx_bit_count[1] -.sym 19650 spi_if_ins.spi.r_rx_bit_count[2] -.sym 19652 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] -.sym 19657 spi_if_ins.spi.r_rx_bit_count[0] -.sym 19661 spi_if_ins.spi.r_rx_bit_count[1] -.sym 19662 spi_if_ins.spi.r_rx_bit_count[0] -.sym 19664 spi_if_ins.spi.r_rx_bit_count[2] -.sym 19669 spi_if_ins.spi.r_rx_bit_count[0] -.sym 19670 spi_if_ins.spi.r_rx_bit_count[1] -.sym 19679 spi_if_ins.spi.r_rx_bit_count[0] -.sym 19681 spi_if_ins.spi.r_rx_bit_count[2] -.sym 19682 spi_if_ins.spi.r_rx_bit_count[1] -.sym 19684 i_sck$SB_IO_IN_$glb_clk -.sym 19685 i_ss$SB_IO_IN -.sym 19710 $PACKER_VCC_NET -.sym 19729 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 19732 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 19734 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 19737 i_ss$SB_IO_IN -.sym 19743 i_mosi$SB_IO_IN -.sym 19760 i_mosi$SB_IO_IN -.sym 19773 i_ss$SB_IO_IN -.sym 19775 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 19790 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 19806 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 19807 i_sck$SB_IO_IN_$glb_clk -.sym 19821 io_pmod[5]$SB_IO_OUT -.sym 19850 spi_if_ins.spi.r_rx_byte[0] -.sym 19852 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 19854 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 19883 spi_if_ins.spi.r_rx_byte[0] -.sym 19904 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 19929 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 18828 $PACKER_GND_NET +.sym 19104 int_miso +.sym 19352 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 19588 int_miso +.sym 19608 i_ss$SB_IO_IN +.sym 19616 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 19617 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 19622 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 19633 spi_if_ins.r_tx_data_valid +.sym 19637 i_ss$SB_IO_IN +.sym 19639 spi_if_ins.r_tx_data_valid +.sym 19669 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 19683 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 19684 r_counter[0]_$glb_clk +.sym 19685 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 19698 i_ss$SB_IO_IN +.sym 19704 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 19729 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 19730 spi_if_ins.r_tx_byte[7] +.sym 19733 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] +.sym 19735 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 19754 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 19796 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 19797 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 19798 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] +.sym 19799 spi_if_ins.r_tx_byte[7] +.sym 19806 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 19807 r_counter[0]_$glb_clk +.sym 19822 $PACKER_VCC_NET +.sym 19852 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 19855 r_tx_data[5] +.sym 19858 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 19861 r_tx_data[2] +.sym 19862 r_tx_data[7] +.sym 19878 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 19883 r_tx_data[2] +.sym 19891 r_tx_data[5] +.sym 19903 r_tx_data[7] +.sym 19913 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 19915 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 19929 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O .sym 19930 r_counter[0]_$glb_clk -.sym 20198 o_rx_h_tx_l_b$SB_IO_OUT -.sym 20207 i_config[0]$SB_IO_IN -.sym 20574 o_led1$SB_IO_OUT -.sym 20578 o_led0$SB_IO_OUT +.sym 19946 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 19956 spi_if_ins.spi.r_rx_byte[0] +.sym 19973 w_tx_data_io[5] +.sym 19977 r_tx_data_SB_DFFESR_Q_R +.sym 19983 w_tx_data_io[2] +.sym 19984 r_tx_data_SB_DFFESR_Q_E +.sym 19989 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 19996 w_tx_data_io[7] +.sym 19999 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 20024 w_tx_data_io[2] +.sym 20025 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 20026 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 20030 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 20031 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 20033 w_tx_data_io[7] +.sym 20037 w_tx_data_io[5] +.sym 20038 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 20039 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 20052 r_tx_data_SB_DFFESR_Q_E +.sym 20053 i_glob_clock$SB_IO_IN_$glb_clk +.sym 20054 r_tx_data_SB_DFFESR_Q_R +.sym 20221 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 20228 spi_if_ins.spi.r_rx_byte[0] +.sym 20290 spi_if_ins.spi.r_rx_byte[0] +.sym 20298 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 20299 r_counter[0]_$glb_clk +.sym 20566 o_tr_vc1_b$SB_IO_OUT +.sym 20571 i_config[0]$SB_IO_IN .sym 20672 i_config[0]$SB_IO_IN -.sym 20695 i_config[0]$SB_IO_IN .sym 20748 $PACKER_GND_NET -.sym 20764 $PACKER_GND_NET -.sym 20802 o_miso_$_TBUF__Y_E -.sym 20804 int_miso +.sym 20768 $PACKER_GND_NET +.sym 20802 i_sck$SB_IO_IN .sym 20842 i_mosi$SB_IO_IN -.sym 21129 o_miso_$_TBUF__Y_E -.sym 21294 spi_if_ins.spi.r_rx_done -.sym 21392 spi_if_ins.spi.r2_rx_done -.sym 21434 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 21442 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E -.sym 21447 i_mosi$SB_IO_IN -.sym 21546 io_pmod[6]$SB_IO_OUT -.sym 21652 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 21657 spi_if_ins.spi.r2_rx_done -.sym 21701 spi_if_ins.spi.r3_rx_done -.sym 21705 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 21749 $PACKER_GND_NET -.sym 21759 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 21847 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 21954 $PACKER_VCC_NET -.sym 22259 o_rx_h_tx_l$SB_IO_OUT +.sym 20905 o_miso_$_TBUF__Y_E +.sym 20935 i_mosi$SB_IO_IN +.sym 21027 int_miso +.sym 21345 i_ss$SB_IO_IN +.sym 21434 io_pmod[7]$SB_IO_OUT +.sym 21441 io_pmod[5]$SB_IO_OUT +.sym 22360 o_rx_h_tx_l_b$SB_IO_OUT +.sym 22475 o_led0$SB_IO_OUT +.sym 22478 o_led1$SB_IO_OUT .sym 22487 o_led1$SB_IO_OUT -.sym 22511 o_led1$SB_IO_OUT -.sym 22515 o_led0$SB_IO_OUT +.sym 22496 o_led1$SB_IO_OUT +.sym 22514 o_led0$SB_IO_OUT .sym 22520 int_miso .sym 22522 o_miso_$_TBUF__Y_E -.sym 22530 o_miso_$_TBUF__Y_E -.sym 22540 int_miso +.sym 22534 int_miso +.sym 22539 o_miso_$_TBUF__Y_E .sym 22558 i_mosi$SB_IO_IN -.sym 22574 i_sck$SB_IO_IN +.sym 22574 int_miso .sym 22666 i_sck$SB_IO_IN .sym 22668 i_ss$SB_IO_IN -.sym 22702 i_sck$SB_IO_IN .sym 22707 i_ss$SB_IO_IN -.sym 22733 i_ss$SB_IO_IN -.sym 22980 i_ss$SB_IO_IN -.sym 23223 i_ss$SB_IO_IN -.sym 23244 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 23250 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E -.sym 23252 i_ss$SB_IO_IN -.sym 23297 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 23318 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E -.sym 23319 i_sck$SB_IO_IN_$glb_clk -.sym 23320 i_ss$SB_IO_IN -.sym 23337 io_pmod[7]$SB_IO_OUT -.sym 23348 i_ss$SB_IO_IN -.sym 23374 spi_if_ins.spi.r_rx_done -.sym 23396 spi_if_ins.spi.r_rx_done -.sym 23442 r_counter[0]_$glb_clk -.sym 23456 spi_if_ins.spi.r2_rx_done -.sym 23592 $PACKER_VCC_NET -.sym 23718 $PACKER_VCC_NET -.sym 23734 spi_if_ins.spi.r2_rx_done -.sym 23750 spi_if_ins.spi.r3_rx_done -.sym 23784 spi_if_ins.spi.r2_rx_done -.sym 23806 spi_if_ins.spi.r2_rx_done -.sym 23808 spi_if_ins.spi.r3_rx_done -.sym 23811 r_counter[0]_$glb_clk -.sym 23813 $PACKER_VCC_NET -.sym 23846 $PACKER_VCC_NET -.sym 24322 o_tr_vc1$SB_IO_OUT +.sym 22724 i_ss$SB_IO_IN +.sym 22729 i_sck$SB_IO_IN +.sym 23087 i_ss$SB_IO_IN +.sym 23104 $PACKER_GND_NET +.sym 23218 $PACKER_GND_NET +.sym 23222 i_ss$SB_IO_IN +.sym 23452 io_pmod[6]$SB_IO_OUT +.sym 24198 o_rx_h_tx_l$SB_IO_OUT +.sym 24318 o_tr_vc2$SB_IO_OUT +.sym 24319 o_tr_vc1$SB_IO_OUT .sym 24596 o_led0$SB_IO_OUT -.sym 24620 o_led0$SB_IO_OUT -.sym 24664 i_ss$SB_IO_IN +.sym 24611 o_led0$SB_IO_OUT .sym 25711 i_glob_clock$SB_IO_IN +.sym 25719 $PACKER_GND_NET .sym 25878 i_glob_clock$SB_IO_IN -.sym 26037 $PACKER_VCC_NET -.sym 26813 o_tr_vc2$SB_IO_OUT -.sym 26961 o_tr_vc1_b$SB_IO_OUT -.sym 27366 $PACKER_GND_NET -.sym 27367 io_pmod[5]$SB_IO_OUT +.sym 26340 $PACKER_VCC_NET +.sym 27337 i_ss$SB_IO_IN +.sym 27368 $PACKER_GND_NET .sym 27370 io_pmod[5]$SB_IO_OUT .sym 27373 io_pmod[7]$SB_IO_OUT -.sym 27385 io_pmod[5]$SB_IO_OUT -.sym 27386 io_pmod[7]$SB_IO_OUT +.sym 27387 io_pmod[7]$SB_IO_OUT +.sym 27392 io_pmod[5]$SB_IO_OUT .sym 27400 $PACKER_GND_NET .sym 27403 io_pmod[6]$SB_IO_OUT -.sym 27417 io_pmod[6]$SB_IO_OUT -.sym 27418 $PACKER_GND_NET +.sym 27411 $PACKER_GND_NET +.sym 27412 io_pmod[6]$SB_IO_OUT .sym 27429 i_glob_clock$SB_IO_IN .sym 27451 i_glob_clock$SB_IO_IN .sym 27460 $PACKER_VCC_NET -.sym 27469 $PACKER_VCC_NET -.sym 27486 $PACKER_GND_NET -.sym 27515 o_rx_h_tx_l$SB_IO_OUT +.sym 27473 $PACKER_VCC_NET +.sym 27488 $PACKER_GND_NET +.sym 27519 $PACKER_VCC_NET .sym 27522 $PACKER_GND_NET -.sym 27529 $PACKER_GND_NET -.sym 27549 $PACKER_GND_NET +.sym 27531 $PACKER_GND_NET +.sym 27539 $PACKER_VCC_NET .sym 27552 $PACKER_GND_NET -.sym 27563 $PACKER_GND_NET -.sym 27571 $PACKER_GND_NET -.sym 27577 o_rx_h_tx_l_b$SB_IO_OUT +.sym 27565 $PACKER_GND_NET .sym 27582 o_rx_h_tx_l$SB_IO_OUT -.sym 27593 o_rx_h_tx_l$SB_IO_OUT +.sym 27595 o_rx_h_tx_l$SB_IO_OUT .sym 27605 o_tr_vc1$SB_IO_OUT .sym 27608 o_tr_vc2$SB_IO_OUT -.sym 27615 o_tr_vc2$SB_IO_OUT -.sym 27620 o_tr_vc1$SB_IO_OUT +.sym 27626 o_tr_vc2$SB_IO_OUT +.sym 27629 o_tr_vc1$SB_IO_OUT .sym 27631 o_rx_h_tx_l_b$SB_IO_OUT .sym 27634 o_tr_vc1_b$SB_IO_OUT -.sym 27650 o_tr_vc1_b$SB_IO_OUT -.sym 27655 o_rx_h_tx_l_b$SB_IO_OUT -.sym 27901 r_counter[0] -.sym 27914 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 27928 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 27929 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 27938 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 27939 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 27940 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 27941 w_lvds_rx_09_d1 -.sym 27949 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E -.sym 27950 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 27951 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 27952 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 27953 w_lvds_rx_09_d0 -.sym 27956 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 27957 w_rx_09_fifo_data[0] -.sym 27964 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 27965 w_rx_09_fifo_data[1] -.sym 27966 w_lvds_rx_09_d1 -.sym 27967 w_lvds_rx_09_d0 -.sym 27968 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 27969 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 28387 lvds_rx_09_inst.r_phase_count[0] -.sym 28391 lvds_rx_09_inst.r_phase_count[1] -.sym 28392 $PACKER_VCC_NET -.sym 28394 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O[0] -.sym 28395 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 28396 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O[2] -.sym 28397 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] -.sym 28401 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0[0] -.sym 28405 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 28412 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 28413 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0[0] -.sym 28414 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O[0] -.sym 28415 lvds_rx_09_inst.r_phase_count[1] -.sym 28416 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O[2] -.sym 28417 lvds_rx_09_inst.r_phase_count[0] -.sym 28420 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 28421 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 28423 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0[0] -.sym 28424 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 28425 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 28426 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0[0] -.sym 28427 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 28428 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 28429 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 28431 lvds_rx_09_inst.r_state_if_SB_DFFNE_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I1[0] -.sym 28432 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 28433 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 28436 w_lvds_rx_09_d1_SB_LUT4_I3_I2[0] -.sym 28437 w_lvds_rx_09_d1_SB_LUT4_I3_I2[1] -.sym 28440 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 28441 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 28442 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 28446 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 28447 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 28448 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 28449 w_lvds_rx_09_d1_SB_LUT4_I3_I2_SB_LUT4_O_I0[0] -.sym 28451 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 28452 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 28453 w_lvds_rx_09_d1_SB_LUT4_I0_O[2] -.sym 28456 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] -.sym 28457 io_pmod[5]$SB_IO_OUT -.sym 28461 w_lvds_rx_09_d1_SB_LUT4_I0_O[2] -.sym 28862 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 28866 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 28874 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 28875 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 28876 spi_if_ins.state_if[0] -.sym 28877 spi_if_ins.state_if[1] -.sym 28885 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 28928 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 28929 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 28941 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 28973 i_config_SB_LUT4_I3_I1[4] -.sym 28978 i_config_SB_LUT4_I3_I1[4] -.sym 28985 w_cs[2] -.sym 29002 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 29003 io_ctrl_ins.o_pmod[3] -.sym 29004 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 29005 io_ctrl_ins.pmod_dir_state[3] -.sym 29014 io_ctrl_ins.o_pmod[0] -.sym 29015 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 29016 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 29017 io_ctrl_ins.pmod_dir_state[0] -.sym 29026 w_rx_data[4] -.sym 29034 io_ctrl_ins.o_pmod[1] -.sym 29035 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 29036 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 29037 io_ctrl_ins.pmod_dir_state[1] -.sym 29038 w_rx_data[1] -.sym 29042 w_rx_data[0] -.sym 29046 io_ctrl_ins.o_pmod[4] -.sym 29047 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 29048 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 29049 io_ctrl_ins.pmod_dir_state[4] -.sym 29050 w_rx_data[2] -.sym 29054 w_rx_data[3] -.sym 29058 w_rx_data[2] -.sym 29062 w_rx_data[1] -.sym 29073 io_ctrl_ins.led0_state_SB_DFFE_Q_E -.sym 29074 w_rx_data[0] -.sym 29078 w_rx_data[4] -.sym 29086 w_rx_data[3] -.sym 29350 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 29351 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 29352 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 29353 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 29355 spi_if_ins.state_if[0] -.sym 29356 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 29357 spi_if_ins.state_if[1] -.sym 29359 spi_if_ins.state_if[1] -.sym 29360 spi_if_ins.state_if[0] -.sym 29361 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 29371 spi_if_ins.state_if[1] -.sym 29372 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 29373 spi_if_ins.state_if[0] -.sym 29394 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 29398 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 29399 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 29400 spi_if_ins.state_if[1] -.sym 29401 spi_if_ins.state_if[0] -.sym 29404 spi_if_ins.state_if[1] -.sym 29405 spi_if_ins.state_if[0] -.sym 29406 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 29407 spi_if_ins.state_if[1] -.sym 29408 spi_if_ins.state_if[0] -.sym 29409 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 29410 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 29411 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 29412 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 29413 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 29414 w_tx_data_smi[1] -.sym 29415 spi_if_ins.o_cs_SB_LUT4_I1_O[1] -.sym 29416 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 29417 w_tx_data_io[3] -.sym 29420 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 29421 w_tx_data_io[6] -.sym 29427 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 29428 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 29429 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 29432 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 29433 w_tx_data_io[4] -.sym 29435 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 29436 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 29437 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 29438 w_tx_data_smi[1] -.sym 29439 spi_if_ins.o_cs_SB_LUT4_I1_O[1] -.sym 29440 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 29441 w_tx_data_io[1] -.sym 29442 w_cs[2] -.sym 29443 w_cs[3] -.sym 29444 w_cs[0] -.sym 29445 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 29448 spi_if_ins.w_rx_data[5] -.sym 29449 spi_if_ins.w_rx_data[6] -.sym 29450 w_cs[2] -.sym 29451 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 29452 w_cs[0] -.sym 29453 w_cs[3] -.sym 29454 w_cs[2] -.sym 29455 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 29456 w_cs[3] -.sym 29457 w_cs[0] -.sym 29460 spi_if_ins.w_rx_data[5] -.sym 29461 spi_if_ins.w_rx_data[6] -.sym 29466 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 29467 w_cs[3] -.sym 29468 w_cs[0] -.sym 29469 w_cs[2] -.sym 29470 w_cs[2] -.sym 29471 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 29472 w_cs[3] -.sym 29473 w_cs[0] -.sym 29478 spi_if_ins.w_rx_data[4] -.sym 29490 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] -.sym 29495 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_I3_O[0] -.sym 29496 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 29497 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 29498 w_ioc[1] -.sym 29499 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 29500 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 29501 w_cs[2] -.sym 29502 w_tx_data_smi[0] -.sym 29503 spi_if_ins.o_cs_SB_LUT4_I1_O[1] -.sym 29504 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 29505 w_tx_data_io[0] -.sym 29506 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[0] -.sym 29507 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 29508 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[2] -.sym 29509 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[3] -.sym 29510 o_shdn_rx_lna$SB_IO_OUT -.sym 29511 i_config_SB_LUT4_I3_O[1] -.sym 29512 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] -.sym 29513 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] -.sym 29514 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 29515 i_config_SB_LUT4_I3_O[1] -.sym 29516 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 29517 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 29519 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 29520 w_ioc[1] -.sym 29521 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 29522 o_tr_vc2$SB_IO_OUT -.sym 29523 i_config_SB_LUT4_I3_O[1] -.sym 29524 i_config_SB_LUT4_I3_O[2] -.sym 29525 i_config_SB_LUT4_I3_O[3] -.sym 29527 w_ioc[1] -.sym 29528 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 29529 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 29530 o_tr_vc1_b$SB_IO_OUT -.sym 29531 i_config_SB_LUT4_I3_O[1] -.sym 29532 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_I2[2] -.sym 29533 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_I2[3] -.sym 29534 w_ioc[1] -.sym 29535 w_ioc[4] -.sym 29536 w_ioc[3] -.sym 29537 w_ioc[2] -.sym 29540 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 29541 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 29546 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 29550 spi_if_ins.w_rx_data[6] -.sym 29557 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] -.sym 29558 spi_if_ins.w_rx_data[0] -.sym 29562 spi_if_ins.w_rx_data[5] -.sym 29566 spi_if_ins.w_rx_data[4] -.sym 29570 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 29571 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 29572 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 29573 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 29574 w_rx_data[2] -.sym 29581 spi_if_ins.o_load_cmd_SB_LUT4_I3_2_O -.sym 29582 io_ctrl_ins.o_pmod[2] -.sym 29583 o_shdn_tx_lna$SB_IO_OUT -.sym 29584 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 29585 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 29586 w_rx_data[0] -.sym 29590 w_rx_data[1] -.sym 29594 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 29595 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 29596 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 29597 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 29601 w_rx_data[2] -.sym 29902 r_tx_data[1] -.sym 29906 r_tx_data[3] -.sym 29910 r_tx_data[4] -.sym 29918 r_tx_data[6] -.sym 29922 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 29943 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 29944 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 29945 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 29952 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[3] -.sym 29953 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 29959 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 29960 w_tx_data_io[7] -.sym 29961 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] -.sym 29967 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 29968 w_tx_data_io[5] -.sym 29969 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] -.sym 29979 spi_if_ins.o_cs_SB_LUT4_I1_1_O[0] -.sym 29980 w_tx_data_io[2] -.sym 29981 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] -.sym 29984 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] -.sym 29985 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[1] -.sym 29989 spi_if_ins.w_rx_data[6] -.sym 29991 w_ioc[1] -.sym 29992 w_ioc[2] -.sym 29993 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1_SB_LUT4_O_I1[1] -.sym 30001 spi_if_ins.w_rx_data[5] -.sym 30004 spi_if_ins.w_rx_data[5] -.sym 30005 spi_if_ins.w_rx_data[6] -.sym 30012 spi_if_ins.w_rx_data[6] -.sym 30013 spi_if_ins.w_rx_data[5] -.sym 30016 w_ioc[4] -.sym 30017 w_ioc[3] -.sym 30018 spi_if_ins.w_rx_data[0] -.sym 30022 spi_if_ins.w_rx_data[3] -.sym 30026 spi_if_ins.w_rx_data[1] -.sym 30030 io_ctrl_ins.rf_mode[0] -.sym 30031 i_config_SB_LUT4_I3_I1[4] -.sym 30032 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 30033 o_ldo_2v8_en$SB_IO_OUT -.sym 30034 spi_if_ins.w_rx_data[2] -.sym 30039 w_ioc[2] -.sym 30040 w_ioc[4] -.sym 30041 w_ioc[3] -.sym 30044 i_config_SB_LUT4_I3_I1[4] -.sym 30045 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] -.sym 30046 io_ctrl_ins.debug_mode[0] -.sym 30047 i_config_SB_LUT4_I3_I1[4] -.sym 30048 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 30049 o_led0$SB_IO_OUT -.sym 30050 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 30051 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 30052 i_config_SB_LUT4_I3_I1[4] -.sym 30053 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 30054 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 30055 i_config_SB_LUT4_I3_I1[4] -.sym 30056 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 30057 i_config[0]$SB_IO_IN -.sym 30058 spi_if_ins.w_rx_data[2] -.sym 30063 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 30064 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 30065 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 30066 io_ctrl_ins.rf_mode[2] -.sym 30067 i_config_SB_LUT4_I3_I1[4] -.sym 30068 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 30069 i_config[1]$SB_IO_IN +.sym 27646 o_rx_h_tx_l_b$SB_IO_OUT +.sym 27648 o_tr_vc1_b$SB_IO_OUT +.sym 27869 r_counter[0] +.sym 27884 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] +.sym 27885 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 27889 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 27890 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 27891 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 27892 w_lvds_rx_09_d1_SB_LUT4_I3_I2[2] +.sym 27893 w_lvds_rx_09_d0 +.sym 27894 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 27895 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 27896 w_lvds_rx_09_d1_SB_LUT4_I3_I2[2] +.sym 27897 w_lvds_rx_09_d1 +.sym 27900 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 27901 w_rx_09_fifo_data[1] +.sym 27902 w_lvds_rx_09_d1 +.sym 27903 w_lvds_rx_09_d0 +.sym 27904 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 27905 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 27929 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 27932 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 27933 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 27934 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 28013 $PACKER_VCC_NET +.sym 28356 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 28357 io_pmod[5]$SB_IO_OUT +.sym 28360 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 28361 w_rx_09_fifo_data[0] +.sym 28386 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D[1] +.sym 28392 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 28393 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 28395 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D[1] +.sym 28396 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] +.sym 28397 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 28400 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 28401 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 28403 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 28404 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] +.sym 28405 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D[1] +.sym 28406 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 28407 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 28408 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] +.sym 28409 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 28417 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 28419 lvds_rx_09_inst.r_phase_count[0] +.sym 28423 lvds_rx_09_inst.r_phase_count[1] +.sym 28424 $PACKER_VCC_NET +.sym 28426 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D_SB_LUT4_I1_O[0] +.sym 28427 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 28428 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D_SB_LUT4_I1_O[2] +.sym 28429 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] +.sym 28434 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D_SB_LUT4_I1_O[0] +.sym 28435 lvds_rx_09_inst.r_phase_count[1] +.sym 28436 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_D_SB_LUT4_I1_O[2] +.sym 28437 lvds_rx_09_inst.r_phase_count[0] +.sym 28438 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 28439 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] +.sym 28440 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 28441 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 28444 lvds_rx_09_inst.r_data_SB_DFFNESR_Q_R[0] +.sym 28445 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 28448 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 28449 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3[1] +.sym 28473 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1_I3[1] +.sym 28550 w_rx_data[2] +.sym 28598 spi_if_ins.w_rx_data[2] +.sym 28602 spi_if_ins.w_rx_data[0] +.sym 28922 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 28942 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 28943 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 28944 spi_if_ins.state_if[0] +.sym 28945 spi_if_ins.state_if[1] +.sym 28946 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 28951 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 28952 spi_if_ins.state_if[1] +.sym 28953 spi_if_ins.state_if[0] +.sym 28973 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D[4] +.sym 28984 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 28985 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 28986 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 28987 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 28988 spi_if_ins.state_if[0] +.sym 28989 spi_if_ins.state_if[1] +.sym 28990 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D[4] +.sym 28998 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 29008 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 29009 spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_I3_O[1] +.sym 29013 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 29021 w_cs[2] +.sym 29044 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 29045 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 29066 w_rx_data[2] +.sym 29086 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 29087 i_config_SB_LUT4_I0_I3[2] +.sym 29088 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 29089 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 29094 io_ctrl_ins.o_pmod[4] +.sym 29095 i_config_SB_LUT4_I0_I3[2] +.sym 29096 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 29097 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 29101 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 29102 io_ctrl_ins.debug_mode[1] +.sym 29103 o_shdn_rx_lna$SB_IO_OUT +.sym 29104 i_config_SB_LUT4_I0_I3[2] +.sym 29105 w_ioc[2] +.sym 29106 spi_if_ins.w_rx_data[2] +.sym 29114 spi_if_ins.w_rx_data[0] +.sym 29130 w_rx_data[3] +.sym 29134 io_ctrl_ins.rf_mode[2] +.sym 29135 o_tr_vc1_b$SB_IO_OUT +.sym 29136 i_config_SB_LUT4_I0_I3[2] +.sym 29137 w_ioc[2] +.sym 29138 w_rx_data[0] +.sym 29142 io_ctrl_ins.debug_mode[0] +.sym 29143 io_ctrl_ins.mixer_en_state +.sym 29144 i_config_SB_LUT4_I0_I3[2] +.sym 29145 w_ioc[2] +.sym 29146 w_rx_data[1] +.sym 29156 io_ctrl_ins.debug_mode[0] +.sym 29157 io_ctrl_ins.debug_mode[1] +.sym 29165 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 29178 w_rx_data[2] +.sym 29207 io_ctrl_ins.rf_pin_state[1] +.sym 29208 io_ctrl_ins.rf_mode[1] +.sym 29209 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 29442 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29443 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 29444 spi_if_ins.state_if[0] +.sym 29445 spi_if_ins.state_if[1] +.sym 29447 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 29448 spi_if_ins.state_if[0] +.sym 29449 spi_if_ins.state_if[1] +.sym 29451 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 29452 spi_if_ins.state_if[0] +.sym 29453 spi_if_ins.state_if[1] +.sym 29457 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 29462 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 29463 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 29464 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 29465 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29480 spi_if_ins.state_if[0] +.sym 29481 spi_if_ins.state_if[1] +.sym 29490 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 29497 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29504 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 29505 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 29518 spi_if_ins.spi.r_rx_byte[4] +.sym 29530 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 29531 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 29532 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 29533 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29535 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 29536 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 29537 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29554 w_ioc[2] +.sym 29555 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 29556 w_fetch +.sym 29557 w_cs[2] +.sym 29564 io_ctrl_ins.led1_state_SB_LUT4_I0_O[0] +.sym 29565 io_ctrl_ins.led1_state_SB_LUT4_I0_O[1] +.sym 29578 i_config_SB_LUT4_I0_I3[2] +.sym 29579 w_ioc[2] +.sym 29580 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 29581 i_config_SB_LUT4_I0_I3[3] +.sym 29582 w_tx_data_smi[1] +.sym 29583 spi_if_ins.o_cs_SB_LUT4_I1_O[1] +.sym 29584 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 29585 w_tx_data_io[3] +.sym 29586 i_config_SB_LUT4_I0_I3[3] +.sym 29587 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 29588 w_cs[1] +.sym 29589 w_fetch +.sym 29604 i_button_SB_LUT4_I0_O[1] +.sym 29605 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 29610 o_led0$SB_IO_OUT +.sym 29611 io_ctrl_ins.pmod_dir_state[0] +.sym 29612 i_config_SB_LUT4_I0_I3[2] +.sym 29613 i_config_SB_LUT4_I0_I3[3] +.sym 29614 spi_if_ins.w_rx_data[4] +.sym 29618 w_ioc[2] +.sym 29619 i_config_SB_LUT4_I0_I3[2] +.sym 29620 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 29621 i_config_SB_LUT4_I0_I3[3] +.sym 29622 spi_if_ins.w_rx_data[3] +.sym 29627 w_ioc[2] +.sym 29628 i_config_SB_LUT4_I0_I3[2] +.sym 29629 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 29630 spi_if_ins.w_rx_data[1] +.sym 29634 io_ctrl_ins.rf_mode[0] +.sym 29635 o_shdn_tx_lna$SB_IO_OUT +.sym 29636 i_config_SB_LUT4_I0_I3[2] +.sym 29637 w_ioc[2] +.sym 29642 io_ctrl_ins.rf_mode[1] +.sym 29643 o_tr_vc2$SB_IO_OUT +.sym 29644 i_config_SB_LUT4_I0_I3[2] +.sym 29645 w_ioc[2] +.sym 29646 w_rx_data[4] +.sym 29654 w_rx_data[1] +.sym 29660 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_D[4] +.sym 29661 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 29666 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 29667 io_ctrl_ins.rf_mode[0] +.sym 29668 io_ctrl_ins.rf_mode[2] +.sym 29669 io_ctrl_ins.rf_mode[1] +.sym 29670 w_rx_data[2] +.sym 29678 w_rx_data[0] +.sym 29682 io_ctrl_ins.debug_mode[0] +.sym 29683 io_ctrl_ins.rf_mode[1] +.sym 29684 io_ctrl_ins.rf_mode[2] +.sym 29685 io_ctrl_ins.debug_mode[1] +.sym 29688 io_ctrl_ins.rf_mode[0] +.sym 29689 io_ctrl_ins.rf_mode[2] +.sym 29690 w_rx_data[3] +.sym 29898 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 29954 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 29958 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 29962 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 29966 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 29972 i_ss$SB_IO_IN +.sym 29973 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 29974 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 29982 i_mosi$SB_IO_IN +.sym 29986 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 29990 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 29994 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 29998 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 30002 i_mosi$SB_IO_IN +.sym 30006 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 30010 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 30014 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 30022 spi_if_ins.spi.r_rx_byte[1] +.sym 30042 spi_if_ins.spi.r_rx_byte[2] +.sym 30054 spi_if_ins.w_rx_data[1] +.sym 30058 spi_if_ins.w_rx_data[4] +.sym 30062 sys_ctrl_ins.i_cs_SB_DFFE_Q_D[0] +.sym 30069 r_tx_data_SB_DFFESR_Q_R .sym 30070 spi_if_ins.w_rx_data[3] -.sym 30076 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 30077 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 30078 spi_if_ins.w_rx_data[1] -.sym 30085 w_rx_data[6] -.sym 30089 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 30102 io_ctrl_ins.debug_mode[1] -.sym 30103 i_config_SB_LUT4_I3_I1[4] -.sym 30104 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 30105 o_led1$SB_IO_OUT -.sym 30110 w_rx_data[3] -.sym 30118 io_ctrl_ins.debug_mode[0] -.sym 30119 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 30120 io_ctrl_ins.rf_mode[2] -.sym 30121 io_ctrl_ins.debug_mode[1] -.sym 30130 w_rx_data[5] -.sym 30134 w_rx_data[7] -.sym 30140 io_ctrl_ins.debug_mode[0] -.sym 30141 io_ctrl_ins.debug_mode[1] -.sym 30142 w_rx_data[6] -.sym 30155 io_ctrl_ins.rf_pin_state[1] -.sym 30156 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 30157 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 30162 io_ctrl_ins.rf_pin_state[0] -.sym 30163 io_ctrl_ins.rf_mode[2] -.sym 30164 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 30165 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 30166 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 30167 io_ctrl_ins.rf_mode[2] -.sym 30168 io_ctrl_ins.rf_pin_state[2] -.sym 30169 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 30418 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 30434 spi_if_ins.r_tx_byte[5] -.sym 30438 spi_if_ins.r_tx_byte[3] -.sym 30442 spi_if_ins.r_tx_byte[4] -.sym 30446 spi_if_ins.spi.r_tx_byte[3] -.sym 30447 spi_if_ins.spi.r_tx_byte[7] -.sym 30448 spi_if_ins.spi.r_tx_bit_count[2] -.sym 30449 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 30450 spi_if_ins.r_tx_byte[6] -.sym 30454 spi_if_ins.r_tx_byte[0] -.sym 30459 spi_if_ins.spi.r_tx_byte[0] -.sym 30460 spi_if_ins.spi.r_tx_byte[4] -.sym 30461 spi_if_ins.spi.r_tx_bit_count[2] -.sym 30462 spi_if_ins.r_tx_byte[1] -.sym 30466 r_tx_data[7] -.sym 30482 r_tx_data[2] -.sym 30486 r_tx_data[5] -.sym 30490 spi_if_ins.spi.r_tx_byte[2] -.sym 30491 spi_if_ins.spi.r_tx_byte[6] -.sym 30492 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 30493 spi_if_ins.spi.r_tx_bit_count[2] -.sym 30494 r_tx_data[0] -.sym 30502 spi_if_ins.r_tx_byte[2] -.sym 30518 spi_if_ins.r_tx_byte[7] -.sym 30530 spi_if_ins.spi.r_rx_byte[4] -.sym 30534 spi_if_ins.spi.r_rx_byte[5] -.sym 30538 spi_if_ins.spi.r_rx_byte[3] -.sym 30542 spi_if_ins.spi.r_rx_byte[2] -.sym 30546 spi_if_ins.spi.r_rx_byte[6] -.sym 30554 spi_if_ins.spi.r_rx_byte[1] -.sym 30558 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 30559 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1_SB_LUT4_O_I1[1] -.sym 30560 w_ioc[1] -.sym 30561 w_ioc[2] -.sym 30579 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 30580 w_ioc[1] -.sym 30581 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 30585 w_rx_data[3] -.sym 30586 w_rx_data[0] -.sym 30590 w_rx_data[2] -.sym 30594 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 30595 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 30596 i_config_SB_LUT4_I3_O[1] -.sym 30597 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 30598 w_rx_data[1] -.sym 30606 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 30607 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 30608 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 30609 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[3] -.sym 30610 w_rx_data[4] -.sym 30626 w_rx_data[2] -.sym 30630 w_rx_data[5] -.sym 30634 w_rx_data[0] -.sym 30638 w_rx_data[4] -.sym 30642 w_rx_data[6] -.sym 30648 io_ctrl_ins.rf_mode[0] -.sym 30649 io_ctrl_ins.rf_mode[2] -.sym 30654 w_rx_data[1] -.sym 30658 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 30659 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 30660 io_ctrl_ins.rf_pin_state[5] -.sym 30661 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 30669 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 30682 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 30683 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 30684 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 30685 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 30902 i_sck$SB_IO_IN -.sym 30918 spi_if_ins.spi.SCKr[0] -.sym 30926 spi_if_ins.spi.SCKr[1] -.sym 30941 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 30943 spi_if_ins.spi.SCKr[2] -.sym 30944 spi_if_ins.spi.SCKr[1] -.sym 30945 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 30947 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 30951 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 30952 $PACKER_VCC_NET -.sym 30955 spi_if_ins.spi.r_tx_bit_count[2] -.sym 30956 $PACKER_VCC_NET -.sym 30957 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 30962 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] -.sym 30963 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] -.sym 30964 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 30965 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[3] -.sym 30966 spi_if_ins.spi.SCKr[2] -.sym 30967 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 30968 spi_if_ins.spi.r_tx_bit_count[2] -.sym 30969 spi_if_ins.spi.SCKr[1] -.sym 30971 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 30972 $PACKER_VCC_NET -.sym 30973 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 30977 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 30978 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] -.sym 30979 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] -.sym 30980 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 30981 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 30982 spi_if_ins.spi.r_rx_byte[7] -.sym 30991 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 30992 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] -.sym 30993 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 30995 spi_if_ins.spi.r_tx_byte[1] -.sym 30996 spi_if_ins.spi.r_tx_byte[5] -.sym 30997 spi_if_ins.spi.r_tx_bit_count[2] -.sym 31008 i_ss$SB_IO_IN -.sym 31009 spi_if_ins.r_tx_data_valid -.sym 31010 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 31018 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 31022 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 31026 i_mosi$SB_IO_IN -.sym 31030 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 31034 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 31038 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 31042 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 31046 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 31054 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 31059 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1[0] -.sym 31060 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 31061 i_config_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 31062 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 31066 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 31070 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 31076 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[0] -.sym 31077 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[1] -.sym 31088 i_button_SB_LUT4_I3_O[0] -.sym 31089 i_button_SB_LUT4_I3_O[1] -.sym 31092 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[0] -.sym 31093 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[1] -.sym 31110 w_rx_data[3] -.sym 31114 io_ctrl_ins.pmod_dir_state[7] -.sym 31115 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 31116 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 31117 i_button$SB_IO_IN -.sym 31118 io_ctrl_ins.o_pmod[6] -.sym 31119 o_rx_h_tx_l_b$SB_IO_OUT -.sym 31120 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 31121 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 31122 w_rx_data[7] -.sym 31126 io_ctrl_ins.pmod_dir_state[6] -.sym 31127 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 31128 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 31129 i_config[3]$SB_IO_IN -.sym 31130 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] -.sym 31131 io_ctrl_ins.pmod_dir_state[5] -.sym 31132 smi_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O[2] -.sym 31133 i_config[2]$SB_IO_IN -.sym 31138 w_rx_data[6] -.sym 31150 w_rx_data[5] -.sym 31154 io_ctrl_ins.o_pmod[5] -.sym 31155 o_tr_vc1$SB_IO_OUT -.sym 31156 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 31157 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 31158 io_ctrl_ins.o_pmod[7] -.sym 31159 o_rx_h_tx_l$SB_IO_OUT -.sym 31160 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[0] -.sym 31161 i_config_SB_LUT4_I3_O_SB_LUT4_O_1_I2[1] -.sym 31162 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 31163 io_ctrl_ins.rf_mode[0] -.sym 31164 io_ctrl_ins.rf_mode[2] -.sym 31165 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31166 w_rx_data[7] -.sym 31182 io_ctrl_ins.rf_pin_state[3] -.sym 31183 io_ctrl_ins.rf_mode[2] -.sym 31184 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31185 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 31187 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 31188 io_ctrl_ins.rf_pin_state[6] -.sym 31189 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 31195 io_ctrl_ins.rf_pin_state[7] -.sym 31196 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 31197 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 31341 i_ss$SB_IO_IN -.sym 31443 spi_if_ins.r_tx_byte[7] -.sym 31444 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] -.sym 31445 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 31491 spi_if_ins.spi.r_rx_bit_count[0] -.sym 31496 spi_if_ins.spi.r_rx_bit_count[1] -.sym 31500 spi_if_ins.spi.r_rx_bit_count[2] -.sym 31501 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] -.sym 31505 spi_if_ins.spi.r_rx_bit_count[0] -.sym 31507 spi_if_ins.spi.r_rx_bit_count[0] -.sym 31508 spi_if_ins.spi.r_rx_bit_count[2] -.sym 31509 spi_if_ins.spi.r_rx_bit_count[1] -.sym 31512 spi_if_ins.spi.r_rx_bit_count[1] -.sym 31513 spi_if_ins.spi.r_rx_bit_count[0] -.sym 31519 spi_if_ins.spi.r_rx_bit_count[0] -.sym 31520 spi_if_ins.spi.r_rx_bit_count[2] -.sym 31521 spi_if_ins.spi.r_rx_bit_count[1] -.sym 31522 i_mosi$SB_IO_IN -.sym 31532 i_ss$SB_IO_IN -.sym 31533 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 31542 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 31554 spi_if_ins.spi.r_rx_byte[0] -.sym 31569 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 31954 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 31970 spi_if_ins.spi.r_rx_done -.sym 32078 spi_if_ins.spi.r2_rx_done -.sym 32096 spi_if_ins.spi.r3_rx_done -.sym 32097 spi_if_ins.spi.r2_rx_done +.sym 30074 w_cs[2] +.sym 30075 w_cs[1] +.sym 30076 w_cs[3] +.sym 30077 w_cs[0] +.sym 30081 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 30082 o_ldo_2v8_en$SB_IO_OUT +.sym 30083 i_config_SB_LUT4_I0_I3[1] +.sym 30084 i_config_SB_LUT4_I0_I3[2] +.sym 30085 i_config_SB_LUT4_I0_I3[3] +.sym 30088 io_ctrl_ins.led0_state_SB_LUT4_I0_O[0] +.sym 30089 io_ctrl_ins.led0_state_SB_LUT4_I0_O[1] +.sym 30095 w_ioc[1] +.sym 30096 w_ioc[4] +.sym 30097 w_ioc[3] +.sym 30100 io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D_SB_LUT4_O_I2[0] +.sym 30101 io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D_SB_LUT4_O_I2[1] +.sym 30102 w_ioc[2] +.sym 30103 w_ioc[4] +.sym 30104 w_ioc[3] +.sym 30105 w_ioc[1] +.sym 30108 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_O[0] +.sym 30109 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_O[1] +.sym 30112 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[0] +.sym 30113 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[1] +.sym 30115 w_fetch +.sym 30116 w_cs[1] +.sym 30117 w_load +.sym 30118 i_config[0]$SB_IO_IN +.sym 30119 io_ctrl_ins.pmod_dir_state[3] +.sym 30120 i_config_SB_LUT4_I0_I3[2] +.sym 30121 i_config_SB_LUT4_I0_I3[3] +.sym 30123 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 30124 i_config_SB_LUT4_I0_I3[2] +.sym 30125 w_ioc[2] +.sym 30127 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O_I1[0] +.sym 30128 w_cs[1] +.sym 30129 w_fetch +.sym 30130 io_ctrl_ins.mixer_en_state_SB_LUT4_I1_O[0] +.sym 30131 i_config_SB_LUT4_I0_I3[2] +.sym 30132 io_ctrl_ins.mixer_en_state_SB_LUT4_I1_O[2] +.sym 30133 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 30142 w_rx_data[1] +.sym 30146 io_ctrl_ins.o_pmod[3] +.sym 30147 i_config_SB_LUT4_I0_I3[2] +.sym 30148 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] +.sym 30149 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 30150 o_led1$SB_IO_OUT +.sym 30151 io_ctrl_ins.pmod_dir_state[1] +.sym 30152 i_config_SB_LUT4_I0_I3[2] +.sym 30153 i_config_SB_LUT4_I0_I3[3] +.sym 30154 w_rx_data[4] +.sym 30158 w_rx_data[6] +.sym 30165 io_ctrl_ins.pmod_dir_state[0] +.sym 30166 w_rx_data[5] +.sym 30170 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] +.sym 30171 i_config_SB_LUT4_I0_I3[2] +.sym 30172 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[2] +.sym 30173 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 30174 w_rx_data[7] +.sym 30178 io_ctrl_ins.rf_pin_state[0] +.sym 30179 io_ctrl_ins.rf_mode[2] +.sym 30180 io_ctrl_ins.rf_mode[1] +.sym 30181 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 30183 io_ctrl_ins.rf_pin_state[7] +.sym 30184 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 30185 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 30186 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] +.sym 30187 io_ctrl_ins.rf_mode[1] +.sym 30188 io_ctrl_ins.rf_pin_state[4] +.sym 30189 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 30190 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] +.sym 30191 io_ctrl_ins.rf_mode[1] +.sym 30192 io_ctrl_ins.rf_pin_state[5] +.sym 30193 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 30194 io_ctrl_ins.rf_mode[1] +.sym 30195 io_ctrl_ins.rf_mode[2] +.sym 30196 io_ctrl_ins.rf_pin_state[2] +.sym 30197 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 30199 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 30200 io_ctrl_ins.rf_pin_state[6] +.sym 30201 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 30202 io_ctrl_ins.rf_pin_state[3] +.sym 30203 io_ctrl_ins.rf_mode[2] +.sym 30204 io_ctrl_ins.rf_mode[1] +.sym 30205 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 30210 w_rx_data[0] +.sym 30397 i_ss$SB_IO_IN +.sym 30438 spi_if_ins.spi.r2_rx_done +.sym 30454 spi_if_ins.spi.r_rx_done +.sym 30460 spi_if_ins.spi.r3_rx_done +.sym 30461 spi_if_ins.spi.r2_rx_done +.sym 30467 spi_if_ins.spi.r_rx_bit_count[0] +.sym 30472 spi_if_ins.spi.r_rx_bit_count[1] +.sym 30476 spi_if_ins.spi.r_rx_bit_count[2] +.sym 30477 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] +.sym 30487 spi_if_ins.spi.r_rx_bit_count[1] +.sym 30488 spi_if_ins.spi.r_rx_bit_count[0] +.sym 30489 spi_if_ins.spi.r_rx_bit_count[2] +.sym 30492 spi_if_ins.spi.r_rx_bit_count[1] +.sym 30493 spi_if_ins.spi.r_rx_bit_count[0] +.sym 30497 spi_if_ins.spi.r_rx_bit_count[0] +.sym 30498 spi_if_ins.spi.r_rx_byte[3] +.sym 30502 spi_if_ins.spi.r_rx_byte[7] +.sym 30509 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 30510 spi_if_ins.spi.r_rx_byte[6] +.sym 30514 spi_if_ins.spi.r_rx_byte[5] +.sym 30534 spi_if_ins.r_tx_byte[2] +.sym 30538 spi_if_ins.r_tx_byte[6] +.sym 30546 spi_if_ins.r_tx_byte[1] +.sym 30550 spi_if_ins.spi.r_tx_byte[2] +.sym 30551 spi_if_ins.spi.r_tx_byte[6] +.sym 30552 spi_if_ins.spi.r_tx_bit_count[0] +.sym 30553 spi_if_ins.spi.r_tx_bit_count[2] +.sym 30558 spi_if_ins.r_tx_byte[7] +.sym 30564 spi_if_ins.w_rx_data[5] +.sym 30565 spi_if_ins.w_rx_data[6] +.sym 30566 w_cs[2] +.sym 30567 w_cs[1] +.sym 30568 w_cs[3] +.sym 30569 w_cs[0] +.sym 30572 spi_if_ins.w_rx_data[5] +.sym 30573 spi_if_ins.w_rx_data[6] +.sym 30582 w_cs[2] +.sym 30583 w_cs[3] +.sym 30584 w_cs[0] +.sym 30585 w_cs[1] +.sym 30588 spi_if_ins.w_rx_data[6] +.sym 30589 spi_if_ins.w_rx_data[5] +.sym 30592 spi_if_ins.w_rx_data[5] +.sym 30593 spi_if_ins.w_rx_data[6] +.sym 30598 w_tx_data_smi[0] +.sym 30599 spi_if_ins.o_cs_SB_LUT4_I1_O[1] +.sym 30600 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 30601 w_tx_data_io[0] +.sym 30602 spi_if_ins.w_rx_data[5] +.sym 30610 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 30622 spi_if_ins.w_rx_data[6] +.sym 30627 i_config_SB_LUT4_I0_I3[2] +.sym 30628 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 30629 w_ioc[2] +.sym 30630 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 30631 i_config_SB_LUT4_I0_I3[2] +.sym 30632 w_ioc[2] +.sym 30633 o_tr_vc1$SB_IO_OUT +.sym 30634 o_rx_h_tx_l$SB_IO_OUT +.sym 30635 i_button_SB_LUT4_I0_O[1] +.sym 30636 i_button_SB_LUT4_I0_O[2] +.sym 30637 i_button_SB_LUT4_I0_O[3] +.sym 30639 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[1] +.sym 30640 i_button_SB_LUT4_I0_O[1] +.sym 30641 i_config_SB_LUT4_I0_I3[3] +.sym 30642 i_config[1]$SB_IO_IN +.sym 30643 io_ctrl_ins.pmod_dir_state[4] +.sym 30644 i_config_SB_LUT4_I0_I3[2] +.sym 30645 i_config_SB_LUT4_I0_I3[3] +.sym 30646 o_rx_h_tx_l_b$SB_IO_OUT +.sym 30647 i_button_SB_LUT4_I0_O[1] +.sym 30648 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_I2[2] +.sym 30649 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_I2[3] +.sym 30650 io_ctrl_ins.o_pmod[5] +.sym 30651 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[1] +.sym 30652 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[2] +.sym 30653 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[3] +.sym 30655 i_config_SB_LUT4_I0_I3[2] +.sym 30656 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 30657 i_config_SB_LUT4_I0_I3[3] +.sym 30658 w_rx_data[7] +.sym 30662 w_rx_data[6] +.sym 30668 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[1] +.sym 30669 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 30671 i_config_SB_LUT4_I0_I3[3] +.sym 30672 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 30673 i_config_SB_LUT4_I0_I3[2] +.sym 30674 w_rx_data[3] +.sym 30678 w_rx_data[0] +.sym 30682 i_config_SB_LUT4_I0_I3[2] +.sym 30683 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 30684 w_ioc[2] +.sym 30685 io_ctrl_ins.o_pmod[6] +.sym 30686 i_config_SB_LUT4_I0_I3[2] +.sym 30687 io_ctrl_ins.led1_state_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 30688 w_ioc[2] +.sym 30689 io_ctrl_ins.o_pmod[7] +.sym 30690 w_rx_data[1] +.sym 30694 w_rx_data[3] +.sym 30706 w_rx_data[2] +.sym 30714 w_rx_data[4] +.sym 30718 w_rx_data[0] +.sym 30722 w_rx_data[1] +.sym 30958 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 30983 spi_if_ins.spi.SCKr[2] +.sym 30984 spi_if_ins.spi.SCKr[1] +.sym 30985 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 30986 i_sck$SB_IO_IN +.sym 30997 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 30998 spi_if_ins.spi.SCKr[0] +.sym 31003 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31004 spi_if_ins.spi.r_rx_bit_count[2] +.sym 31005 spi_if_ins.spi.r_rx_bit_count[1] +.sym 31006 spi_if_ins.spi.SCKr[1] +.sym 31011 spi_if_ins.spi.r_tx_bit_count[0] +.sym 31015 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] +.sym 31016 $PACKER_VCC_NET +.sym 31019 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31020 $PACKER_VCC_NET +.sym 31021 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_1_I3[2] +.sym 31022 spi_if_ins.spi.SCKr[2] +.sym 31023 spi_if_ins.spi.r_tx_bit_count[0] +.sym 31024 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31025 spi_if_ins.spi.SCKr[1] +.sym 31029 spi_if_ins.spi.r_tx_bit_count[0] +.sym 31031 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] +.sym 31032 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 31033 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 31035 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I1[0] +.sym 31036 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I1[1] +.sym 31037 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] +.sym 31039 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] +.sym 31040 $PACKER_VCC_NET +.sym 31041 spi_if_ins.spi.r_tx_bit_count[0] +.sym 31042 spi_if_ins.r_tx_byte[4] +.sym 31046 spi_if_ins.spi.r_tx_byte[0] +.sym 31047 spi_if_ins.spi.r_tx_byte[4] +.sym 31048 spi_if_ins.spi.r_tx_bit_count[0] +.sym 31049 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31050 spi_if_ins.spi.r_tx_byte[1] +.sym 31051 spi_if_ins.spi.r_tx_byte[5] +.sym 31052 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31053 spi_if_ins.spi.r_tx_bit_count[0] +.sym 31055 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[0] +.sym 31056 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[1] +.sym 31057 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] +.sym 31058 spi_if_ins.r_tx_byte[5] +.sym 31062 spi_if_ins.r_tx_byte[0] +.sym 31066 spi_if_ins.r_tx_byte[3] +.sym 31070 spi_if_ins.spi.r_tx_byte[3] +.sym 31071 spi_if_ins.spi.r_tx_byte[7] +.sym 31072 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31073 spi_if_ins.spi.r_tx_bit_count[0] +.sym 31074 r_tx_data[0] +.sym 31078 r_tx_data[1] +.sym 31085 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 31086 r_tx_data[6] +.sym 31094 r_tx_data[3] +.sym 31098 r_tx_data[4] +.sym 31102 w_cs[1] +.sym 31103 w_cs[3] +.sym 31104 w_cs[0] +.sym 31105 w_cs[2] +.sym 31106 w_cs[2] +.sym 31107 w_cs[1] +.sym 31108 w_cs[0] +.sym 31109 w_cs[3] +.sym 31112 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 31113 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[1] +.sym 31118 w_tx_data_smi[1] +.sym 31119 spi_if_ins.o_cs_SB_LUT4_I1_O[1] +.sym 31120 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 31121 w_tx_data_io[1] +.sym 31125 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R +.sym 31128 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 31129 w_tx_data_io[6] +.sym 31132 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 31133 w_tx_data_io[4] +.sym 31137 w_tx_data_io[7] +.sym 31146 w_rx_data[5] +.sym 31150 w_rx_data[4] +.sym 31170 w_rx_data[6] +.sym 31174 w_rx_data[5] +.sym 31178 i_config[3]$SB_IO_IN +.sym 31179 io_ctrl_ins.pmod_dir_state[6] +.sym 31180 i_config_SB_LUT4_I0_I3[2] +.sym 31181 i_config_SB_LUT4_I0_I3[3] +.sym 31182 i_config[2]$SB_IO_IN +.sym 31183 io_ctrl_ins.pmod_dir_state[5] +.sym 31184 i_config_SB_LUT4_I0_I3[2] +.sym 31185 i_config_SB_LUT4_I0_I3[3] +.sym 31186 w_rx_data[7] +.sym 31198 i_button$SB_IO_IN +.sym 31199 io_ctrl_ins.pmod_dir_state[7] +.sym 31200 i_config_SB_LUT4_I0_I3[2] +.sym 31201 i_config_SB_LUT4_I0_I3[3] +.sym 31492 i_ss$SB_IO_IN +.sym 31493 spi_if_ins.r_tx_data_valid +.sym 31510 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 31546 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] +.sym 31547 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 31548 spi_if_ins.r_tx_byte[7] +.sym 31549 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[3] +.sym 31554 r_tx_data[2] +.sym 31558 r_tx_data[5] +.sym 31566 r_tx_data[7] +.sym 31576 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 31577 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 31599 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 31600 w_tx_data_io[2] +.sym 31601 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 31603 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 31604 w_tx_data_io[7] +.sym 31605 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 31607 spi_if_ins.o_cs_SB_LUT4_I1_O[2] +.sym 31608 w_tx_data_io[5] +.sym 31609 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 31674 spi_if_ins.spi.r_rx_byte[0] diff --git a/firmware/top.bin b/firmware/top.bin index bad0fc1ad38f0738cddcd4c5fbcc920ae4843192..c00b58486938a7acbf5aa896a30c5246526c3abf 100644 GIT binary patch literal 32220 zcmeHPeT-ejbw6|8eQ)2c*SpVXV~o3{176WJHSnr9#HkDn3!*?svsJ11RM^lI3^c@z zPzx!6*|i-KLgY>P5VTRdG#E%LHI_m^s1U9bi_oN1%pa}XCPFWPqLfC>CZ!dm6!&*# z=6=4p_dRSAi})RRXU_c2nKNh3oI7{!eed}I(Sh6k`hnYin?(IjNnS19|8ZJeAX-I2 zke_B5mR6>1RZ><1^RHAf7T8$EEnlq+=mO&=>(k3%xh@$zX0>71OHh-xTIOI& zb+8=={RR;@oDqYXsXiqt>YysR+U ze?(+X^{|-CS$sRL`Jb^w7hKgotH}Y8_Yry znGyV6Zae%Y`?Xli%W^MO|0eT!=`-1R3&x-d6Wa91#xKh!#}Q@J`YW_i%bLmZiOIy6 z7Ag#96Xq$kC_8*@Rc%TpV)tO3w96Qw$|SNNNTOT7dD<}z&0puXofs*ro2zx1D39}f zHxhGs(AVPC9_m;4#Kiz(pN?Mj_P`IW55!I>f2{P89pxL7R;@>YuUUr0D zwzBgJDz;gJ$v^rJy@3L1jaw*GUQJ2H9gSe72R^e3P=EY#;1Vr`2GO0Sq-hDWhDL0K zFnsk&Np#Lrq=8IZbcwFMFE-gRP)!f(`)`i|3?%}U+b_qAf+=kG+3^$ZH%(2{#%o1X zL>G$RY;51o9J|y&iTJPmOHCozh|U*i53_JoO#~lsEAC!ewxO&hv|=#|%s^=7#d#4| zj>Z?ESJ!6CKQp%lql=8SPzP5|+ExpEhn6xTu-9&5k7Am_C|@v*27Mn5lCgwNxNdX8 zQzi)T@2?b@dAWo5u?O6WQ*G|Fo#2PX6+c)cT8-H#s%bKFLMi?PE?Ej`-_O9!-u;Je zO_ar(>u+Ud^~%R=o5Y-9q?uFJ^?kp#muQks{;pH3s02mpo|WHn8d1E%yDMaFw56=5 z6=1&%#lBhFbjyPVCc|VqtP+{G>rE^1l-h$;L(ZSVw zc(;~Iif#dE57rl?`qF|DcA`E>^{H2Fa^mm!_SFx4cuMu7_IBt5eUI#xwwDts-j7%@ z-E+FTR_jApRre?gpbYF>}FxF_NbMP2{ZT@P55!2`v>g9)9I?Fflb_CRdwP zSbyU#JB$&<>41hiZ{V;qg!y#Dwg2pSWR8Qon&8Fnj(tdK^t}Fq_m*z*L3B9|lX}+| z;c;i^Z(lBprSS&G7;|8w2@(0H;M7prcTNHFBlank#oR`#H8BB|P~Ci>)aJ>EA$Pi7 z2h?ed-P_@YhdMO$u*8vYBv6pDRaAaD@nbJamV`W`V2m{pvMf!zMu4#$-@J$10X?f2 zUp-qC&Bgo#?*B5Yl;OokPW>ldkP-U;wNCN8Wkw-k8&}`_6Wf4Y(UBDTC#3K!L>hUi z|NJIIa%$S+rLkjYsb*<*=YfmqLJAa389{d;X0!oUaH%HWbJ@pCv#S`xi4%mpTtd|e z=%QWA8k~_@{A8?18-1+71Y?t3@ezSitVn`Rn4>w2 zJ{&Hl*^`=_Bpqr3NiLvQ*XR>y6E6$dGC+V;7NAyLi|rwBrofqWo@mA-?+i1ennvzx zXy~o~-t7$gh~uTOKF2*Y&Ek{pU4|=(mzXS?T*z8<#*fUiTN7U58ozNK0g@~-v?XRx z*ABdlvQ;M>v1@9IvpF_72Ogxk=-@A6ow2)zq6P(<_5pB1lNlLprcpYcBiJJG?SFd% zT{@fZgb8hA#iF+Be=&nP6qsrsJS{bueI^56zw9cxiSB3bI^x-#kD%K^GVz)Myd8g7 z9^;Cp$=&AF+!+_32V!6dB9^iZFq6}U7mL54u>irIA26JlWib zq>U_?dyhsi;=GiZ4vyEDzlN>R4bcHeP4p5i7g^U9UwVA|3{=DVLzERwk9V_f;+<5Ei*^XRdR^1XKR)~1Qz_iS zGN(tO+03jq_-RF|wTXWDu`RgspQnLq&1Fn8F~bL#fQD@jK1C`U3aG)ktB)QG@nv#ABA1ZVuqoA5HtOUNw2OWrSCl+P9Fv>hEMN-NE* z;(}lzSrPR0k%JNCB^HZo{=%cB4Hm~uzjjt6Y2t?e8->eNwCUUP*CAAmTR;C`3x2o> z*&eoMO_`Q(UQT08YCxnxb43hki(UlHsUW8jI}?n)u$2YBvMo~3;(yB7&+Ah_-&Km} zZ?-(e%Vr-xbWSJNQtoDpGm%Ul(R1oaBN;DruM2g87?Y9Ftl5adL37#|ViD_Q0tMML zkYC3iNMSEB_i@dw0cSP z*_Pv71Ojc_Eehr=htYEsFRR1FdKHUx%oOXpBUWCGcaETz2_kddB+TJJ$k&YM!A7@6 z2H{jpsOV)P=w{*xbV(GDvJ+cYc`n;1=Odz5C5vbI=;|n0q!{3OLVS3}wzG?z)yVQ9 z_zX8OW6r&*iMQk1ndz=gSuDhhnr1RbArX2v7VZdzZPDaqK`(8ta4E%I zs=Oq6zLWM!xeOSQ1U`fvc;tN%n=SK_4=`gb)u#LFcYJ~OeHq6Ior)AbiWHi4sFLiX zD(Y}MtlbPd!5S-TOmxj=IqkKe*htN$kujOdXib`3Vs6St&-7i*CWDM-7prkwlR#z2 zWd&hA!z{*UCM8;KCvumewzc#ryLUHYw(-s8c26Oa&>@TuCm-^334O9&w%0P|D^wm!t{CSGMAN zr|}YJr$j=?C`*c~Kyw*!QA(kcY(VX{327m1DibQhm24tbIa@lDCavr(K2EX7sNo7d zc+#$`u^yXAufZ5eYXLT%r2T9~8DToHRM*cnZQ@HCAJ@+pt1On~{bn616KU!tLn^@! zhUt5;4#xP~-KYPhc^f>DZ-dQWV3THl&u4BjTb*#s9LAJ9LJ87y*ye0*#uW`&A@hs+ z?4kUbYXEYfTk%N4nlx<$&MIzGvx7=N8s)vdW2` zvVqBLh?KT1w@TStUvB<_Rd*wLZ?X&J%K=xPy<5u5}k}u=$2ulmc1EfmHSuFN4 z6_s(Z5oHuAyj;7j%tR6I+hnn0MO#{#GF63_R_(2nN6=)F0KNS!^Ri}bjK)b6XOUTh ze2z*xiZ3tSe(BH4-p;*+!+`F?8dREzNo6`%&$7IG3c#CBZ8Z8Qe0k|H89y#27Ingv zGRjEot3%5dV_Dj@OprB`<>SYnmU*f!>uvCWewveK&189eq%h8pp}9Lru4lfX)21?P z>GDwg^|-W0IVZbB?gHt;`vl?z@2!4OZxyGZyEQ!!DlmMoqdQeK4^ ztg+a`8fOMInXXZw`%CzgvUC9+bQ3RTLMaZMWI<%!DVDIR|m zXppk^auANt^barX>(O?deeP1}7+!ALlRI9;>W`C2G^E>`CA!C7cVNDYKL4DBgyQ|& zd|Kmlk_1Tl2)v8`>F)F9Xsa@}?5LK_59mRdTp1n9?L9u41Lk24^!eXzUZ8NkBNH%U> z@9i6Zr>4qmvzM*I>t)$czhHRl5a)BK{rejpORM|SJl>v;ipsJGyrs6i&-Cb`%0A^R z^sDOvP}vmr3ar$@&2lv>=pI^#qEh`_`22{i%`;zL+B|HidJJyF_}Eg>ncbSRc}PKv z$(DvKDHbiBJ{80|zP?vy+hu$m_vk(pRS?C$3M;eZ zaC5Gh#Q0sL3kcfF_^woM(qgGs4t??}Enz75dlX^v88jM28v5}Rubx=B4-#z-hDDX6 z9Y|*1+`5QQ?iI6+_z0gP@v_4C@)Uhs@`c2lxY$rDmKQ0EiZH&FP=IWz`(l|WwgPQ< z+0v~9^fA(c3zOr$j+tM(T;IydXD)Nu=E6@yKi)K?5X;|Mg1kI0A$;@hNK#uOj!U#X z0#HWdpL_E6bU@H&) z)z~+EbU_UAZ1gkV+w(w_EKkm)(UWcpDtP*K@w^DNK|O`*3ds}CZhHV@vw2XrNfQ-Z zTdMZzKg|Ack5#KEhmdUJPxEXdtK~Bp^5(A;&Hsp&>ohK{rmvJc_{%N$J%yQ>wkjTz zc$nbZ$XsyXb$o|BD!rv>(((?`p&EVp_jk;%iT{fd-_RCQh|Mee7por2MPyVl^H2V_ zKwd7`p4LlyDQ^da4L@EUA-;3zk}w{#uH?czkXl}2sTsnm$kSKMCwjT%F$%`y@}#2c zDNv+^i;R;MDm>)}I&gcxc0$*~d1k;C)tjeFQl`NxSx)kjpI{jcje7Iab#2i|?IabO z47G!OmAvFr*L30CUQXg`qP3T9rSr{ilitJtrF0UB(3x zm4kEUo2}Lh3e6J~|7c+^{>n(xiicSCD3%lxw`$T>wq%pIx{&OFqIy^p9bE2?y7N;* zBH0Zocds6YkE6=zW~z|Zy+N9RHlOQSwbxOGW)KFmG_v`*S+u9@H@AYuZWdxqixkqa z--s|-+{@VEDjK=xKOsKhY@eBIzmVSdh8Ygu*H=bhTJ5Zk<45i>cWp`62b!TK-0-bIoTj3;T`jK&u;%|i-fUgBws|JjQJjQvSt z$nrU znKi=2BUG>gw&n`gX6vo%^6g~c+Xp;4^yc>sFo_J5%@Xh5pnyFG#D;{3f ztZ>QKaddMeVz<>zTKpoSOv&PFl^v5OSM}Tz4&THY3lqFd%}vaEXaqm!dUtTsM|j&{@pi4a z@{-=LXHyWtO%d^ZJg+0s3>m6(K{wI#oVlqP%s^d*HW%zI$jkXfs%!0CAB}F~C=|6} z3Wtc+){^~_?e8N^Eq)V^br*KuxSG!TIO$b zg9so3tHj_uFi31qJ~aL||2I fa2^y=+ipa=z7!^yWJ{|d`II~hfV8HtMe$To0 z-Fx4;@4XOgNalTqJ?H!De9!m$J>Proz31KVJ)-Yjcjp(cyNJ}t&7?0=@4K3o7Kzr9 zQq%*qli40BM=NT$0j4(aK-*7KM?_GrX_!hq6iLtYh(=cvFgfRx z66yLn*<~@nSWew^HUk=tWr+|162wqDS!i$!)NDvn|J6 zH)YQ2k?v9YueL6Gm>fI(dP$sqJGa6A$j?Vm77^GPub;hH_$Uh3KEl+?amoNoCR$>(bStkrXIe z%b{jMUxGr}%@$$&i(_WDb#&v;_2YNM2o0m)`EESQ= zu*OMu(!zglUsBpD-;j+7ARD*blcemB$xh&E_Z_5D)nGqryV<2&vO>osQ<|H8&%%D^ zaH?db&ztGsEYl+I)2v^*KJhyha%V-mVNoyQYSM&^3N!AKSmESNj>2kEPj`6T zHKM>!{~E()G4O&yMl?tnqIO1yk#_A~i#KNc0eqnd-rc~@fgqvg*cV!gew?-ai*|5e zOp*G~#dw8XVR7Phd}=&Z?7W{yKxB%NE~@1@>BZKiKy;p!iTA(*0aH~Ynx;g-?G>AR zS-3&@*10}Q^wL2KV`sJrZ4l(j#ww28x!%eaV}fcqradumbNTlOrZM>s_;0k~`js9+jV|iJFmMOADzeOQRPEGt^7nWwDfV0b1 zo0GeoglJ}lvBrFhyXZ2@T@FlUGG(s0Va}#IP|8EEr!te|v60K;h{{vvhGgg_((^DG zee{Wp6-Jag6YDTdJ8t}audx3eKx*qYf2}y6_PM>*&gFS=En)KPNApbJb-K3h)8r%# zbV=>qZ#m+#ZV=n%uhnSm(DSqNF*cjiyj`w{RWzzza2Fv*s^0g+))l&C@@Egp@_d=F z@)~LYOQYwt3Lf$0eSN~REJu?}p}aQ~PvNlIV=eXz2*a$j)S~FHyYz)NT({5~Z(zT? z#@q%vt4n&*2R#ne8Bt#z+tGwq@fm--fNKfk>ZAyJUin7Q*Zw^ zPq}W&dj&^1u61K=&Og9+H1Q*Mh)kF|<;Qg9b;8NtoEEKTxo)!dvLH#Tz|IJtZqP3X zFES&U%pu;lY#qIL)cRa!>gqGH;%m;8<;mD&y6#8wV@-{!iD&-nh^VdS;0)2~TZBxT z8sdNc-4-)P^_Pqk$OL3CSGy$DaeadtU)?#km?ZQ=Q+vQ#t8%C7X%dS=n6llhD$arv z@Nxml$#7h5O$R+Xtf!a7OD4bE_rz9CeMI{nV`8+a1z577s&EZu&>V-nhY*9XF) zGIv%Oy3FpT2k{T1_<3#Nxk0>4h~9c*Y%!)knEooP(Wb!tK0MUDErw+U?!Epg&#$F+ zLd%`zCVjBv7eqWX7-98m)M2j8z9tVFnpma#8G}52l2khZ(%0kw#xfOk80m}#GCA0# zmSBBgGJR0eZYIl}=BAU&$S_sc-1Y|drrp=%sK3B`G(Bt@YO>T?ZhON_^pjKBxnd91 zcJyz5c;rY-i^W_XdeTHqDf(CitMrkupGItW3nRY zZmv?1>2TLkjA?=<__AJI0NF+xlB1S>S_8!X0IfuaaS2`Ckj@N^^I z#Xi!iZ{1^HQkeBQ>B`Mz!k9np&HJ$l8X-a^+Z01qvm@iAvJ+vSAQ_Z4P~&Q7(1E+r zB^ZLvT5h{Q&yXdWY($BBPs7-d$u%^34T@(c{kf%aYOAKau9xakjBCQ_mju2M%Y(FQ zHp%G+0L=h63c?`Er?suRpw72|F0h`n11244ntqq5BdSU;MDup`JWM&NdD|#~Ez-^< zGnEwCd1|AqIPDXY^cUGna;9TFHvJpRNS?*jLuQ@9SyuU#-0Q8|_pQA^u}JE`MD#JD zGiU~5@?eEfyIt>=Fy3+DW|y(Nro(k}lk+l`<@?A#v~xNxMk^fEc1NRAI5=t0sxy}1 zu-iOX>~uttc3?v@K##=Zyi`BeZeeoJIk1cyy8NNT%QYJR+)9L_$#ow$^@fp}%V{#Y z3MMbyOO3e~A0cHE^|&b%L|(gIOF*VqpxJ#D0uL4D8t2j5+}L(Kx{U*m9GSKU9TNK@ zT#hVibms$BrwVDG&gXMkpPgn~7bhxCHA;QW@QKFA#52UdI-Yg^=E{KBLW3^3eF1tD zeH~s}Al(op!W)^m^r!BH;#uZW?{$}|W{#SBERWkk;(72aJ5aJ zd0|}XB|WkcChV9ML(LZYQZ7Y6%1Ey#zi>~YC!$&s+B2N$KIty{^7Wqp^6}yp;52;8 z8N%rveRm0ois{4rJHnjs%FV1W_Sf=(pb6=1cIjhuW}iR#(qndwMS> z`=wub{1q~EnQh3fn3?Gyyx>^K@huI0OJW|=_Zdz$#izcFzPv>nq!NHxBqQaov|-%FvqH)&!{{HA>64Z1zLD2z#cQ zD~866YWtrNieg9Ikf1K<^T}dxu51J@!(9|vTi*qb{7o0RoIkAg*-QzDAHn^&nb2bp4^09epdKA z)DxF~5ha}06)cB4r)H=1BEjPgz>xM?Iq3!twSAkW%-rleGE7Fj2jy-fSfeG-7OOCV zJ_j5%?9z^?eH*&SjxaSr`V%kA8v`_bY`~r8F{_XQI{l^=^QrNb#}A^5?z-8e%dVM^ zZ9_VIP8`E_giZMBC*`-mrcA{`S45l_fNE}$er=RHT^9~n?l=^Hkv7;wNswJ+YDZe` zG&e|yw8zwNsTz(`yj%!+c>&K*v)m^5A(Fv|E_k@{MWvn8N*85;@()ivVZlrNL~~5~ z$0fnp6$UPVGWg2wGXvJw`jOtEqukn-Z91Awc0ykQWt_meZjd%|N|K*EURu?B{_=SD z6i#Z3f{vHGA(*1@C@DXEVU*iu3ar;B;ZuBkoYTi=+TOFadW%`Q&|l^MPs0pq`nWFb zpiWllIp=X(Iv${p$E3$?&d3frb)$!(3YS~JHoqAi1@L3jK+x}o=8TwKz3yPSiOd_5 z9F?NsC{t;l-t>{b3h~fnK551}%CA!X&Rl#*u&K!9FJC$y_fH57@+O_4GaqczZ-o0- zNa|(KOo2JLmp2r~^&kc}*~3Ulq#bRf>y=BVcNFdMG^wln%tt6Xm0r#`6Il`E>oSjb z9&Q86S!?zu@gqa)-d#OpscAn+&^erF@JU6@o9o%Gvfoir9 zQ7K@aFV?^G=q*Lf)#d)A} zTCQ}R-5eXJEs|T(w|il4im>y|%G0wYb$?N{M5V_0u;GH7Y|LiG$6Wu;n<^wJf*u8x zC-XR@pTu7ZY3VVIc_aTa@5H0l^YX-skH*6Mu<)cTO4nK8l@B_4CQQdk3Cw%JG z2UCI#%g&Jxydw-@Nr=fjKYNup#_(;SX^H!P)2hE+#eMvl?8(-%v-jZOy`I$(jn|4YdN2VVc@ z7ATFs5+G2wPHP`S25mjJ*!7aWQEezkH1?a@Wxu?IR8q2*C)FaU-NG38wcyl{{Yl(* zL$>Uf$!seLKQz`KOsi=|JREOSCH*FxlVb;d<~yU#@*dZ9xAkWXGpDvD-1PvfKD5N* ztKV+X^3@ZepTS=ll#$Qx$Ii4YiRA>mq$3gp?#`dxv2?I}e7yC)H{OB<$D52xMm?F@ zfmkX2uDo6gEBYm;$Pk_KTb3%o(q3A>3Y%KgnuW2gP5-ZD0_u~03+6Y^I}jNba(*nn zU9Xi+*I}i&%P}1S2@s_@5a3xTtyC)mc>31|_!ZGPZ?-wyNy47y)pH~B0}D@ozEqsN zYRS6{l^Ju)z?IV%c!rn~c`oUzJh*~n#3!VfH(O;Sy+*3!M^#2uMuz-h2ELCOFaypN zM|MEXYCSWc1=-N^x~pWf$a5<2*q91Q7dyNccGN~XXdg`Xkq5+s#uL~d!+ys}V3r`u z2D!(^bUw}IjRdEW4KlBF?D*g&Q;+;EUYz<;ek_S=_k1|V4rclay$^hK_+v}Z^!JV2 zm?AnOBs4=_7I=m=Va|5dzL=0k(Hm~fs9+RLFV@?hJ7`i>i!aP7A%4$Y(>dWn4lknW z?5!=wsoAdkwsDfIMTX|&(;_-(GbSVDgsHZ~i(u-93X0vt&TdwRV>>93kr%NEyrAyR zv%7E({FHzCi4`o5jObEG84-&Qo$xDrRiil`2 zp5o6T6Z`?XP5OU?s26y0yh%=QR}D?P_E~kiMJjEz79{T4(krd*;x3L;e`UvLaTHg; zCR%i&yq5ts^BLf}qMUcRC$IF~?s z*CkXQXFUOHT%Y&SabV|VemQjtigp99f{|a{A9>lPES#{(hb=Y@=;B2`w&<&14S|0c3d9Q2Z~w~;Xu-(JA-r1gej3|3m#07t1u5xwQf@YLFy7xjqVL^T66 z&lCyFEC`aOH}dBj){FC9ZuEBME0dRoaZaS>z{75Ola}QSh7aj-^Ehs>ZSWC+tW!~( zj&8iXhxd(W^uZKvSN7!;biKg~j33f!4&5qz~{q?Sz2arhm=TGTWDtN(5N*@%FRC&5^+K2VM48d zREw)4(uAey#i0S8_ob0ZqH9MKES&t0JxOE|onYNXN< zwKGMNVT|}+qx6kX;~ZFKhH}Y#{?`O3B1ZF|@7hs43X$xN=cTlF=ky zfCks4dnhfaTS&jb_eCAY+DTeqnSzodVA%r0qd{JL8U5JRWY^LnuBt(cCSZKX8fIXa zffGLii+H6PZUZO&Iu0WkX5bhyuojued>%OAmv9)sFayV$fwi^a^T4s@a5#RLffGIh!{>n$ehG&G R3^Q=78QAt8=i>j4_W_Z^}N@Q?%~snwJLrzr^-Y$RX&1 z{V$`A!uA|nN5&oR$fT1QH#akzw2Rs4NwbtUcS9vPv_am$$WK@$=nsyWi8f*o)=~e; zROk8LslOcExx6uYbnp1AePe{9C=SEpnECQ#lSz^y1Z z-Gv3_Fu_sp74~?(=n2bWjaBmOqGx4oHzx*$4)pd}kC}U*o66>MzRr@CLKvYT|0$ob zGUmE19QjVauXr>hwqg>^$hTnvQRcug=9o-lck;%=LfmXTd3h;PN!B8jx!T6cnM&jG z?`wlCAbxaaA^NA67Y6_PK~0yt1LZE3=gW(tQeKQi-?-kAC|4s1*b&KE^vYZwo{e*${Ee zBaZfQ@Ps&Ar7o(hV;f1JZs>PyBXjfirOf}VpFqdfR zAxIbt8`m-4>LvOMvOJKyAqwT{;MiPk z@Ts}ly0J5rhNmE7{T1V6xf(iKuKo&R?ziWw%P(DS6y4=TqxEZ@MT!64It_Q9KzTpQ(`VT%{a-VbZlUBOm6`x7%`5ApI>+Ny( z8SHDa;&%Ocz9(yG%>!#5So6S|2i82W=7BX2ta)I~1NZX4r#Sn+1>u>I(hPYWu`mJ` zaRKLC#;J@uA41Mpjp1<&xXs63-LB^^BBo?q7DqeAjMsoSApaqRaTephtq>;0YyxL@ zMhJ{282d3cdk}IO?O8s7oG}_>>@9wNyI#*9MSUGP$BEb_KMMR!6XRo@-bQ;Zx{mok zU*F@2U5D&aVcfNIi7s=unM&^cezD+oeorCMV}fTpICu-A9mR&dV%@q%W4*B<)Ee1h z#Eh-RgWm%FhbZ`^x_ctD6Ds zpRjm9>ghkFr)QO)6<#kf{jV^eo>~VAt7w_NQkbhm-I*xN)uPsm!W$*Ni1nke3eS0e zqA*tk?kHBUiq5&tn8Nbut97O@R{`d?qUWkX^5e{~zq;C1@HVN|acEesE?Fgi!gA#W z@pk_SsJe*wXMGSw-j%2n56e&k`)}RFpAYbF+=Jg8=u(%-jkz1qzFtq%bB+jA8djh@* z2A)6r=RJ!`>Unmr@LR-rtpY(mFW$)n`Q-qnJ>K1D{oT)x0Zjb|0sJ4d=lHpkwPJn$ z2#-#`9|^37CSdLFDEz%0nEvH=WHbEv81OEC{ZRktD*iUn0Ic7K*3d@Lc^Cf)VBKF7 z{XGe+6TdxE0h|DS7Fe&>&7p1L6foa!j-TUs6?hPM44Cuz5c3O;uMc{?e^CD>a8Uma zaB#lw0du{~`u5%r=-L0rz&dffuLJA-pf%Kli_w`tVuWL zT6VE$AGe&GS3E8zi+0wr(lgoYaWn}a3ruenwQtxy=JfTUA2;I^dwLIGfNpLwZ}H6F zWG0hrVdf9RPXD2v;r-VBk$sj0w8~OiSo?lD(ldOZZ?#5VOABjY=wNTpkae)X|M31% zYqY0#Xg^KJo9l_vjGO@Qc&W5{8yEwsYx>Bdh}lVIuA3teKL7iQE|$rwFV&TN$2^_w1H6I^5^GL*B&)I}~Q=c-FJW zk$XjzPwSGK!=o<XBK6y6{zyknP$(SVbhs))3d^Krqx1GbhSnlU6*0h z&bkxmFb_k@0DK}$tkNtNo{;8j&nvp)GalCTH%L6UbF1cd!Sg2n4#hLQPSi2&gz%I| zp87ix_B)hyQYTI!I#Ho{JfEJ0fp$$ou6g@VzJ)V7?eW}t(dVg?s^XE$a}ahb*)QXU zYv6SQ^8|~cw8zup5eQGgw8uCjjsoKgoycYSIfO9+c|8A)qR@!4?w9qHV-Us^Ec0A^ z6omxJ!F~b7a}b`6$vXkw2^50%I0xcW5b}BkKe@$P;lHjY2JBMkOwpJ z`*snEM>Pq#=KT(3@Cq*?=Q+6I+f$Li9p1Z&C++e4u>_vB0o6+ReUy1t;xpzsgMV+l zn@9XHgwX_fJg>y=!}|<+`j|YPWBB*cCBF`tw9Wbd6~g(FC(kojY>aJnVxN>MMDuuV zXanzF{`&$v*3%xp=k4GH=Rq4xUqV<;5g?JbQx)Yeb(F6luvL(i_!$7NLj&a69&J&}S_z#l{twkEPjdhO literal 0 HcmV?d00001 diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CMakeDetermineCompilerABI_CXX.bin b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CMakeDetermineCompilerABI_CXX.bin new file mode 100755 index 0000000000000000000000000000000000000000..c68e2061ca67f749be1754510d9f58e4855c8036 GIT binary patch literal 8128 zcmeHMU2I%O6`s4hNjI^bt{o?J5|^x~9}J1_u89?_5+plL>=Io~}qb7t=B%-QD-jtv`zAv6Uvp;$bo*vged`-G?ITifEgB0QF>T`%K+UL46nT`3U$Z?Edz{ z@I~hHY$}$`Bx2cAPd1aEneDMlx#_f7E|_~@k{J3RZe-#KRtd&K_8BF8w0m{5@0%{Z zIvV`!y@gxppDw(9r~B-+um6Eq-Ik2Wp+J;6<=Dh0?>_sNR*rrd?eu9;$n1lrD1=XF z1ts-oeR><@r&s6#V=TL=cwJc$cPL5kCr{qj!%C1wI93RRS z^3J54$U4flss%oC${nPsWYQ{A>t$O>Rc|K>B^Qmc#%nBRXYyiXY;^yS^)Zvn&ZB^g zsewr6P@~7&p2@T@+UGn}Mp*bo_eS{ zSKm}UR}C-zq<*y-v-tCK^O0Y@IDhrt<+_$H`{YZMFIE;rwXzV3ymGxITB(Ji@FRNa zk=N$xq1Srq&0?{v9$muH`RrJ+}V{GxOb?7(P2KyDd*n=^@G~fQl_ zzArGwvffT)p2FTLEABU*>BCr;LwFs<5U@ZdwzX%+`*}5CdbD3t| z#wc4}$$LXHIEuS4E)61%GbZn;ymzW=u@Kv#--F(Xl6SB>_Zx*vxKrXPA^HP`ypz5S zeIxV>;3hEdB3r;D-u(p5%{-m+EYG`NEBFy`80}IPD0v6t9ecA^-fuJtRrqh9WSpGSrLqm^8yN}xCVj^Sbqy6R{Gv2dzSE-ok{fN@Upb4Dq;Lt0K zE{N;)iuLQm#s*_!pe?l7Xg9VPj|4l6ZN~OMr}5$U=q7Ukq@bJybw5|S>f`w(yNsG( zoRyuC7lLsn1-(oG`lRTzP(dn>PchE+qceI0TWo5=8;=X$Z^_DQXe4GasZV zBK2>ru7BLvKZeSOof9`>bTEuaPTG#%$&napX3D~vH-H876 zdg5;Nymp0py6}qMt%DN@-zIEn@-32W2WhSRx>9{Zm(GoT`AFJ ze-O?xaF(>QS?g)XK53=%AB4|5eU{v^JCjbEN%0_GYq>cq$&76oxz<#{n#vXucGgO{ zg;LqFXJ$pRkSk^#*GZYZ@sIcKSsi7iGx>~VmrC|2%gMW?QzBimbB>jo$>mPr5uauO z=`Mo~joT-kp(mdlLQ9#fQySbqY9@Gp_q4 zflCQ=i_NGMx97v_mCAi5%QJEa|2fnC0L!U>pA~uY<$ZZ~27lEoy)etCa;}{~>6TPE zt))yJ+5Vz1^99#2F=aijJ>_Y5bIim{2LFp>Qo^J*ZI`EonL3q+KUKOVW%-g*DrXA$ zWr_t`$;lG0gksheCZ|!Dt}}~oIYzTokP~DM9vwHG*-UCyn9j6XYYMKGnSyH>PVHPK zi3SUBq#R)0go%Zo!`cyh3JK>aXb&7hl4JOP+uB%0P0=b3FwdK2WZ(NaA>|?uWqpj3#19Uj*|`LLATAZ$jYdShvf1@<}l7FqC-?uR@4I z_O}aFJOk!woH)VXLv$hxQTt>6sGkKBx1Tz2Vc>Y5B93Pcje8L?`^t9s`xFIEo!(Ia zB98O|SmV^42;-I(^+g={8(@v&?_Uc3uCc-+?eE*rHIBctadeFR)}(RYK?QLfFMrSb zf%~Wiq13n^KnAXO2BrERR{2vmj|aFPDV+4j^Gp{0v=5k;L z7Qc6ZV?F)xywL?5pVvemq(6fx$EkpbdrXP)n>O+tFnkqdCB8<0i)jU=_Q#TQrfC+q q3I@yf{}`BkK$Tpha;%U^9k}T4@KtDkyaD>E#U3OYFnvp;#eV~zvV%DQ literal 0 HcmV?d00001 diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CMakeSystem.cmake b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CMakeSystem.cmake new file mode 100644 index 0000000..c41c419 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CMakeSystem.cmake @@ -0,0 +1,15 @@ +set(CMAKE_HOST_SYSTEM "Linux-5.10.17-v7l+") +set(CMAKE_HOST_SYSTEM_NAME "Linux") +set(CMAKE_HOST_SYSTEM_VERSION "5.10.17-v7l+") +set(CMAKE_HOST_SYSTEM_PROCESSOR "armv7l") + + + +set(CMAKE_SYSTEM "Linux-5.10.17-v7l+") +set(CMAKE_SYSTEM_NAME "Linux") +set(CMAKE_SYSTEM_VERSION "5.10.17-v7l+") +set(CMAKE_SYSTEM_PROCESSOR "armv7l") + +set(CMAKE_CROSSCOMPILING "FALSE") + +set(CMAKE_SYSTEM_LOADED 1) diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CompilerIdC/CMakeCCompilerId.c b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CompilerIdC/CMakeCCompilerId.c new file mode 100644 index 0000000..6c0aa93 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CompilerIdC/CMakeCCompilerId.c @@ -0,0 +1,674 @@ +#ifdef __cplusplus +# error "A C++ compiler has been selected for C." +#endif + +#if defined(__18CXX) +# define ID_VOID_MAIN +#endif +#if defined(__CLASSIC_C__) +/* cv-qualifiers did not exist in K&R C */ +# define const +# define volatile +#endif + + +/* Version number components: V=Version, R=Revision, P=Patch + Version date components: YYYY=Year, MM=Month, DD=Day */ + +#if defined(__INTEL_COMPILER) || defined(__ICC) +# define COMPILER_ID "Intel" +# if defined(_MSC_VER) +# define SIMULATE_ID "MSVC" +# endif +# if defined(__GNUC__) +# define SIMULATE_ID "GNU" +# endif + /* __INTEL_COMPILER = VRP */ +# define COMPILER_VERSION_MAJOR DEC(__INTEL_COMPILER/100) +# define COMPILER_VERSION_MINOR DEC(__INTEL_COMPILER/10 % 10) +# if defined(__INTEL_COMPILER_UPDATE) +# define COMPILER_VERSION_PATCH DEC(__INTEL_COMPILER_UPDATE) +# else +# define COMPILER_VERSION_PATCH DEC(__INTEL_COMPILER % 10) +# endif +# if defined(__INTEL_COMPILER_BUILD_DATE) + /* __INTEL_COMPILER_BUILD_DATE = YYYYMMDD */ +# define COMPILER_VERSION_TWEAK DEC(__INTEL_COMPILER_BUILD_DATE) +# endif +# if defined(_MSC_VER) + /* _MSC_VER = VVRR */ +# define SIMULATE_VERSION_MAJOR DEC(_MSC_VER / 100) +# define SIMULATE_VERSION_MINOR DEC(_MSC_VER % 100) +# endif +# if defined(__GNUC__) +# define SIMULATE_VERSION_MAJOR DEC(__GNUC__) +# elif defined(__GNUG__) +# define SIMULATE_VERSION_MAJOR DEC(__GNUG__) +# endif +# if defined(__GNUC_MINOR__) +# define SIMULATE_VERSION_MINOR DEC(__GNUC_MINOR__) +# endif +# if defined(__GNUC_PATCHLEVEL__) +# define SIMULATE_VERSION_PATCH DEC(__GNUC_PATCHLEVEL__) +# endif + +#elif defined(__PATHCC__) +# define COMPILER_ID "PathScale" +# define COMPILER_VERSION_MAJOR DEC(__PATHCC__) +# define COMPILER_VERSION_MINOR DEC(__PATHCC_MINOR__) +# if defined(__PATHCC_PATCHLEVEL__) +# define COMPILER_VERSION_PATCH DEC(__PATHCC_PATCHLEVEL__) +# endif + +#elif defined(__BORLANDC__) && defined(__CODEGEARC_VERSION__) +# define COMPILER_ID "Embarcadero" +# define COMPILER_VERSION_MAJOR HEX(__CODEGEARC_VERSION__>>24 & 0x00FF) +# define COMPILER_VERSION_MINOR HEX(__CODEGEARC_VERSION__>>16 & 0x00FF) +# define COMPILER_VERSION_PATCH DEC(__CODEGEARC_VERSION__ & 0xFFFF) + +#elif defined(__BORLANDC__) +# define COMPILER_ID "Borland" + /* __BORLANDC__ = 0xVRR */ +# define COMPILER_VERSION_MAJOR HEX(__BORLANDC__>>8) +# define COMPILER_VERSION_MINOR HEX(__BORLANDC__ & 0xFF) + +#elif defined(__WATCOMC__) && __WATCOMC__ < 1200 +# define COMPILER_ID "Watcom" + /* __WATCOMC__ = VVRR */ +# define COMPILER_VERSION_MAJOR DEC(__WATCOMC__ / 100) +# define COMPILER_VERSION_MINOR DEC((__WATCOMC__ / 10) % 10) +# if (__WATCOMC__ % 10) > 0 +# define COMPILER_VERSION_PATCH DEC(__WATCOMC__ % 10) +# endif + +#elif defined(__WATCOMC__) +# define COMPILER_ID "OpenWatcom" + /* __WATCOMC__ = VVRP + 1100 */ +# define COMPILER_VERSION_MAJOR DEC((__WATCOMC__ - 1100) / 100) +# define COMPILER_VERSION_MINOR DEC((__WATCOMC__ / 10) % 10) +# if (__WATCOMC__ % 10) > 0 +# define COMPILER_VERSION_PATCH DEC(__WATCOMC__ % 10) +# endif + +#elif defined(__SUNPRO_C) +# define COMPILER_ID "SunPro" +# if __SUNPRO_C >= 0x5100 + /* __SUNPRO_C = 0xVRRP */ +# define COMPILER_VERSION_MAJOR HEX(__SUNPRO_C>>12) +# define COMPILER_VERSION_MINOR HEX(__SUNPRO_C>>4 & 0xFF) +# define COMPILER_VERSION_PATCH HEX(__SUNPRO_C & 0xF) +# else + /* __SUNPRO_CC = 0xVRP */ +# define COMPILER_VERSION_MAJOR HEX(__SUNPRO_C>>8) +# define COMPILER_VERSION_MINOR HEX(__SUNPRO_C>>4 & 0xF) +# define COMPILER_VERSION_PATCH HEX(__SUNPRO_C & 0xF) +# endif + +#elif defined(__HP_cc) +# define COMPILER_ID "HP" + /* __HP_cc = VVRRPP */ +# define COMPILER_VERSION_MAJOR DEC(__HP_cc/10000) +# define COMPILER_VERSION_MINOR DEC(__HP_cc/100 % 100) +# define COMPILER_VERSION_PATCH DEC(__HP_cc % 100) + +#elif defined(__DECC) +# define COMPILER_ID "Compaq" + /* __DECC_VER = VVRRTPPPP */ +# define COMPILER_VERSION_MAJOR DEC(__DECC_VER/10000000) +# define COMPILER_VERSION_MINOR DEC(__DECC_VER/100000 % 100) +# define COMPILER_VERSION_PATCH DEC(__DECC_VER % 10000) + +#elif defined(__IBMC__) && defined(__COMPILER_VER__) +# define COMPILER_ID "zOS" + /* __IBMC__ = VRP */ +# define COMPILER_VERSION_MAJOR DEC(__IBMC__/100) +# define COMPILER_VERSION_MINOR DEC(__IBMC__/10 % 10) +# define COMPILER_VERSION_PATCH DEC(__IBMC__ % 10) + +#elif defined(__ibmxl__) && defined(__clang__) +# define COMPILER_ID "XLClang" +# define COMPILER_VERSION_MAJOR DEC(__ibmxl_version__) +# define COMPILER_VERSION_MINOR DEC(__ibmxl_release__) +# define COMPILER_VERSION_PATCH DEC(__ibmxl_modification__) +# define COMPILER_VERSION_TWEAK DEC(__ibmxl_ptf_fix_level__) + + +#elif defined(__IBMC__) && !defined(__COMPILER_VER__) && __IBMC__ >= 800 +# define COMPILER_ID "XL" + /* __IBMC__ = VRP */ +# define COMPILER_VERSION_MAJOR DEC(__IBMC__/100) +# define COMPILER_VERSION_MINOR DEC(__IBMC__/10 % 10) +# define COMPILER_VERSION_PATCH DEC(__IBMC__ % 10) + +#elif defined(__IBMC__) && !defined(__COMPILER_VER__) && __IBMC__ < 800 +# define COMPILER_ID "VisualAge" + /* __IBMC__ = VRP */ +# define COMPILER_VERSION_MAJOR DEC(__IBMC__/100) +# define COMPILER_VERSION_MINOR DEC(__IBMC__/10 % 10) +# define COMPILER_VERSION_PATCH DEC(__IBMC__ % 10) + +#elif defined(__PGI) +# define COMPILER_ID "PGI" +# define COMPILER_VERSION_MAJOR DEC(__PGIC__) +# define COMPILER_VERSION_MINOR DEC(__PGIC_MINOR__) +# if defined(__PGIC_PATCHLEVEL__) +# define COMPILER_VERSION_PATCH DEC(__PGIC_PATCHLEVEL__) +# endif + +#elif defined(_CRAYC) +# define COMPILER_ID "Cray" +# define COMPILER_VERSION_MAJOR DEC(_RELEASE_MAJOR) +# define COMPILER_VERSION_MINOR DEC(_RELEASE_MINOR) + +#elif defined(__TI_COMPILER_VERSION__) +# define COMPILER_ID "TI" + /* __TI_COMPILER_VERSION__ = VVVRRRPPP */ +# define COMPILER_VERSION_MAJOR DEC(__TI_COMPILER_VERSION__/1000000) +# define COMPILER_VERSION_MINOR DEC(__TI_COMPILER_VERSION__/1000 % 1000) +# define COMPILER_VERSION_PATCH DEC(__TI_COMPILER_VERSION__ % 1000) + +#elif defined(__FUJITSU) || defined(__FCC_VERSION) || defined(__fcc_version) +# define COMPILER_ID "Fujitsu" + +#elif defined(__ghs__) +# define COMPILER_ID "GHS" +/* __GHS_VERSION_NUMBER = VVVVRP */ +# ifdef __GHS_VERSION_NUMBER +# define COMPILER_VERSION_MAJOR DEC(__GHS_VERSION_NUMBER / 100) +# define COMPILER_VERSION_MINOR DEC(__GHS_VERSION_NUMBER / 10 % 10) +# define COMPILER_VERSION_PATCH DEC(__GHS_VERSION_NUMBER % 10) +# endif + +#elif defined(__TINYC__) +# define COMPILER_ID "TinyCC" + +#elif defined(__BCC__) +# define COMPILER_ID "Bruce" + +#elif defined(__SCO_VERSION__) +# define COMPILER_ID "SCO" + +#elif defined(__ARMCC_VERSION) && !defined(__clang__) +# define COMPILER_ID "ARMCC" +#if __ARMCC_VERSION >= 1000000 + /* __ARMCC_VERSION = VRRPPPP */ + # define COMPILER_VERSION_MAJOR DEC(__ARMCC_VERSION/1000000) + # define COMPILER_VERSION_MINOR DEC(__ARMCC_VERSION/10000 % 100) + # define COMPILER_VERSION_PATCH DEC(__ARMCC_VERSION % 10000) +#else + /* __ARMCC_VERSION = VRPPPP */ + # define COMPILER_VERSION_MAJOR DEC(__ARMCC_VERSION/100000) + # define COMPILER_VERSION_MINOR DEC(__ARMCC_VERSION/10000 % 10) + # define COMPILER_VERSION_PATCH DEC(__ARMCC_VERSION % 10000) +#endif + + +#elif defined(__clang__) && defined(__apple_build_version__) +# define COMPILER_ID "AppleClang" +# if defined(_MSC_VER) +# define SIMULATE_ID "MSVC" +# endif +# define COMPILER_VERSION_MAJOR DEC(__clang_major__) +# define COMPILER_VERSION_MINOR DEC(__clang_minor__) +# define COMPILER_VERSION_PATCH DEC(__clang_patchlevel__) +# if defined(_MSC_VER) + /* _MSC_VER = VVRR */ +# define SIMULATE_VERSION_MAJOR DEC(_MSC_VER / 100) +# define SIMULATE_VERSION_MINOR DEC(_MSC_VER % 100) +# endif +# define COMPILER_VERSION_TWEAK DEC(__apple_build_version__) + +#elif defined(__clang__) && defined(__ARMCOMPILER_VERSION) +# define COMPILER_ID "ARMClang" + # define COMPILER_VERSION_MAJOR DEC(__ARMCOMPILER_VERSION/1000000) + # define COMPILER_VERSION_MINOR DEC(__ARMCOMPILER_VERSION/10000 % 100) + # define COMPILER_VERSION_PATCH DEC(__ARMCOMPILER_VERSION % 10000) +# define COMPILER_VERSION_INTERNAL DEC(__ARMCOMPILER_VERSION) + +#elif defined(__clang__) +# define COMPILER_ID "Clang" +# if defined(_MSC_VER) +# define SIMULATE_ID "MSVC" +# endif +# define COMPILER_VERSION_MAJOR DEC(__clang_major__) +# define COMPILER_VERSION_MINOR DEC(__clang_minor__) +# define COMPILER_VERSION_PATCH DEC(__clang_patchlevel__) +# if defined(_MSC_VER) + /* _MSC_VER = VVRR */ +# define SIMULATE_VERSION_MAJOR DEC(_MSC_VER / 100) +# define SIMULATE_VERSION_MINOR DEC(_MSC_VER % 100) +# endif + +#elif defined(__GNUC__) +# define COMPILER_ID "GNU" +# define COMPILER_VERSION_MAJOR DEC(__GNUC__) +# if defined(__GNUC_MINOR__) +# define COMPILER_VERSION_MINOR DEC(__GNUC_MINOR__) +# endif +# if defined(__GNUC_PATCHLEVEL__) +# define COMPILER_VERSION_PATCH DEC(__GNUC_PATCHLEVEL__) +# endif + +#elif defined(_MSC_VER) +# define COMPILER_ID "MSVC" + /* _MSC_VER = VVRR */ +# define COMPILER_VERSION_MAJOR DEC(_MSC_VER / 100) +# define COMPILER_VERSION_MINOR DEC(_MSC_VER % 100) +# if defined(_MSC_FULL_VER) +# if _MSC_VER >= 1400 + /* _MSC_FULL_VER = VVRRPPPPP */ +# define COMPILER_VERSION_PATCH DEC(_MSC_FULL_VER % 100000) +# else + /* _MSC_FULL_VER = VVRRPPPP */ +# define COMPILER_VERSION_PATCH DEC(_MSC_FULL_VER % 10000) +# endif +# endif +# if defined(_MSC_BUILD) +# define COMPILER_VERSION_TWEAK DEC(_MSC_BUILD) +# endif + +#elif defined(__VISUALDSPVERSION__) || defined(__ADSPBLACKFIN__) || defined(__ADSPTS__) || defined(__ADSP21000__) +# define COMPILER_ID "ADSP" +#if defined(__VISUALDSPVERSION__) + /* __VISUALDSPVERSION__ = 0xVVRRPP00 */ +# define COMPILER_VERSION_MAJOR HEX(__VISUALDSPVERSION__>>24) +# define COMPILER_VERSION_MINOR HEX(__VISUALDSPVERSION__>>16 & 0xFF) +# define COMPILER_VERSION_PATCH HEX(__VISUALDSPVERSION__>>8 & 0xFF) +#endif + +#elif defined(__IAR_SYSTEMS_ICC__) || defined(__IAR_SYSTEMS_ICC) +# define COMPILER_ID "IAR" +# if defined(__VER__) && defined(__ICCARM__) +# define COMPILER_VERSION_MAJOR DEC((__VER__) / 1000000) +# define COMPILER_VERSION_MINOR DEC(((__VER__) / 1000) % 1000) +# define COMPILER_VERSION_PATCH DEC((__VER__) % 1000) +# define COMPILER_VERSION_INTERNAL DEC(__IAR_SYSTEMS_ICC__) +# elif defined(__VER__) && (defined(__ICCAVR__) || defined(__ICCRX__) || defined(__ICCRH850__) || defined(__ICCRL78__) || defined(__ICC430__) || defined(__ICCRISCV__) || defined(__ICCV850__) || defined(__ICC8051__)) +# define COMPILER_VERSION_MAJOR DEC((__VER__) / 100) +# define COMPILER_VERSION_MINOR DEC((__VER__) - (((__VER__) / 100)*100)) +# define COMPILER_VERSION_PATCH DEC(__SUBVERSION__) +# define COMPILER_VERSION_INTERNAL DEC(__IAR_SYSTEMS_ICC__) +# endif + +#elif defined(__SDCC_VERSION_MAJOR) || defined(SDCC) +# define COMPILER_ID "SDCC" +# if defined(__SDCC_VERSION_MAJOR) +# define COMPILER_VERSION_MAJOR DEC(__SDCC_VERSION_MAJOR) +# define COMPILER_VERSION_MINOR DEC(__SDCC_VERSION_MINOR) +# define COMPILER_VERSION_PATCH DEC(__SDCC_VERSION_PATCH) +# else + /* SDCC = VRP */ +# define COMPILER_VERSION_MAJOR DEC(SDCC/100) +# define COMPILER_VERSION_MINOR DEC(SDCC/10 % 10) +# define COMPILER_VERSION_PATCH DEC(SDCC % 10) +# endif + + +/* These compilers are either not known or too old to define an + identification macro. Try to identify the platform and guess that + it is the native compiler. */ +#elif defined(__hpux) || defined(__hpua) +# define COMPILER_ID "HP" + +#else /* unknown compiler */ +# define COMPILER_ID "" +#endif + +/* Construct the string literal in pieces to prevent the source from + getting matched. Store it in a pointer rather than an array + because some compilers will just produce instructions to fill the + array rather than assigning a pointer to a static array. */ +char const* info_compiler = "INFO" ":" "compiler[" COMPILER_ID "]"; +#ifdef SIMULATE_ID +char const* info_simulate = "INFO" ":" "simulate[" SIMULATE_ID "]"; +#endif + +#ifdef __QNXNTO__ +char const* qnxnto = "INFO" ":" "qnxnto[]"; +#endif + +#if defined(__CRAYXE) || defined(__CRAYXC) +char const *info_cray = "INFO" ":" "compiler_wrapper[CrayPrgEnv]"; +#endif + +#define STRINGIFY_HELPER(X) #X +#define STRINGIFY(X) STRINGIFY_HELPER(X) + +/* Identify known platforms by name. */ +#if defined(__linux) || defined(__linux__) || defined(linux) +# define PLATFORM_ID "Linux" + +#elif defined(__CYGWIN__) +# define PLATFORM_ID "Cygwin" + +#elif defined(__MINGW32__) +# define PLATFORM_ID "MinGW" + +#elif defined(__APPLE__) +# define PLATFORM_ID "Darwin" + +#elif defined(_WIN32) || defined(__WIN32__) || defined(WIN32) +# define PLATFORM_ID "Windows" + +#elif defined(__FreeBSD__) || defined(__FreeBSD) +# define PLATFORM_ID "FreeBSD" + +#elif defined(__NetBSD__) || defined(__NetBSD) +# define PLATFORM_ID "NetBSD" + +#elif defined(__OpenBSD__) || defined(__OPENBSD) +# define PLATFORM_ID "OpenBSD" + +#elif defined(__sun) || defined(sun) +# define PLATFORM_ID "SunOS" + +#elif defined(_AIX) || defined(__AIX) || defined(__AIX__) || defined(__aix) || defined(__aix__) +# define PLATFORM_ID "AIX" + +#elif defined(__hpux) || defined(__hpux__) +# define PLATFORM_ID "HP-UX" + +#elif defined(__HAIKU__) +# define PLATFORM_ID "Haiku" + +#elif defined(__BeOS) || defined(__BEOS__) || defined(_BEOS) +# define PLATFORM_ID "BeOS" + +#elif defined(__QNX__) || defined(__QNXNTO__) +# define PLATFORM_ID "QNX" + +#elif defined(__tru64) || defined(_tru64) || defined(__TRU64__) +# define PLATFORM_ID "Tru64" + +#elif defined(__riscos) || defined(__riscos__) +# define PLATFORM_ID "RISCos" + +#elif defined(__sinix) || defined(__sinix__) || defined(__SINIX__) +# define PLATFORM_ID "SINIX" + +#elif defined(__UNIX_SV__) +# define PLATFORM_ID "UNIX_SV" + +#elif defined(__bsdos__) +# define PLATFORM_ID "BSDOS" + +#elif defined(_MPRAS) || defined(MPRAS) +# define PLATFORM_ID "MP-RAS" + +#elif defined(__osf) || defined(__osf__) +# define PLATFORM_ID "OSF1" + +#elif defined(_SCO_SV) || defined(SCO_SV) || defined(sco_sv) +# define PLATFORM_ID "SCO_SV" + +#elif defined(__ultrix) || defined(__ultrix__) || defined(_ULTRIX) +# define PLATFORM_ID "ULTRIX" + +#elif defined(__XENIX__) || defined(_XENIX) || defined(XENIX) +# define PLATFORM_ID "Xenix" + +#elif defined(__WATCOMC__) +# if defined(__LINUX__) +# define PLATFORM_ID "Linux" + +# elif defined(__DOS__) +# define PLATFORM_ID "DOS" + +# elif defined(__OS2__) +# define PLATFORM_ID "OS2" + +# elif defined(__WINDOWS__) +# define PLATFORM_ID "Windows3x" + +# elif defined(__VXWORKS__) +# define PLATFORM_ID "VxWorks" + +# else /* unknown platform */ +# define PLATFORM_ID +# endif + +#elif defined(__INTEGRITY) +# if defined(INT_178B) +# define PLATFORM_ID "Integrity178" + +# else /* regular Integrity */ +# define PLATFORM_ID "Integrity" +# endif + +#else /* unknown platform */ +# define PLATFORM_ID + +#endif + +/* For windows compilers MSVC and Intel we can determine + the architecture of the compiler being used. This is because + the compilers do not have flags that can change the architecture, + but rather depend on which compiler is being used +*/ +#if defined(_WIN32) && defined(_MSC_VER) +# if defined(_M_IA64) +# define ARCHITECTURE_ID "IA64" + +# elif defined(_M_X64) || defined(_M_AMD64) +# define ARCHITECTURE_ID "x64" + +# elif defined(_M_IX86) +# define ARCHITECTURE_ID "X86" + +# elif defined(_M_ARM64) +# define ARCHITECTURE_ID "ARM64" + +# elif defined(_M_ARM) +# if _M_ARM == 4 +# define ARCHITECTURE_ID "ARMV4I" +# elif _M_ARM == 5 +# define ARCHITECTURE_ID "ARMV5I" +# else +# define ARCHITECTURE_ID "ARMV" STRINGIFY(_M_ARM) +# endif + +# elif defined(_M_MIPS) +# define ARCHITECTURE_ID "MIPS" + +# elif defined(_M_SH) +# define ARCHITECTURE_ID "SHx" + +# else /* unknown architecture */ +# define ARCHITECTURE_ID "" +# endif + +#elif defined(__WATCOMC__) +# if defined(_M_I86) +# define ARCHITECTURE_ID "I86" + +# elif defined(_M_IX86) +# define ARCHITECTURE_ID "X86" + +# else /* unknown architecture */ +# define ARCHITECTURE_ID "" +# endif + +#elif defined(__IAR_SYSTEMS_ICC__) || defined(__IAR_SYSTEMS_ICC) +# if defined(__ICCARM__) +# define ARCHITECTURE_ID "ARM" + +# elif defined(__ICCRX__) +# define ARCHITECTURE_ID "RX" + +# elif defined(__ICCRH850__) +# define ARCHITECTURE_ID "RH850" + +# elif defined(__ICCRL78__) +# define ARCHITECTURE_ID "RL78" + +# elif defined(__ICCRISCV__) +# define ARCHITECTURE_ID "RISCV" + +# elif defined(__ICCAVR__) +# define ARCHITECTURE_ID "AVR" + +# elif defined(__ICC430__) +# define ARCHITECTURE_ID "MSP430" + +# elif defined(__ICCV850__) +# define ARCHITECTURE_ID "V850" + +# elif defined(__ICC8051__) +# define ARCHITECTURE_ID "8051" + +# else /* unknown architecture */ +# define ARCHITECTURE_ID "" +# endif + +#elif defined(__ghs__) +# if defined(__PPC64__) +# define ARCHITECTURE_ID "PPC64" + +# elif defined(__ppc__) +# define ARCHITECTURE_ID "PPC" + +# elif defined(__ARM__) +# define ARCHITECTURE_ID "ARM" + +# elif defined(__x86_64__) +# define ARCHITECTURE_ID "x64" + +# elif defined(__i386__) +# define ARCHITECTURE_ID "X86" + +# else /* unknown architecture */ +# define ARCHITECTURE_ID "" +# endif +#else +# define ARCHITECTURE_ID +#endif + +/* Convert integer to decimal digit literals. */ +#define DEC(n) \ + ('0' + (((n) / 10000000)%10)), \ + ('0' + (((n) / 1000000)%10)), \ + ('0' + (((n) / 100000)%10)), \ + ('0' + (((n) / 10000)%10)), \ + ('0' + (((n) / 1000)%10)), \ + ('0' + (((n) / 100)%10)), \ + ('0' + (((n) / 10)%10)), \ + ('0' + ((n) % 10)) + +/* Convert integer to hex digit literals. */ +#define HEX(n) \ + ('0' + ((n)>>28 & 0xF)), \ + ('0' + ((n)>>24 & 0xF)), \ + ('0' + ((n)>>20 & 0xF)), \ + ('0' + ((n)>>16 & 0xF)), \ + ('0' + ((n)>>12 & 0xF)), \ + ('0' + ((n)>>8 & 0xF)), \ + ('0' + ((n)>>4 & 0xF)), \ + ('0' + ((n) & 0xF)) + +/* Construct a string literal encoding the version number components. */ +#ifdef COMPILER_VERSION_MAJOR +char const info_version[] = { + 'I', 'N', 'F', 'O', ':', + 'c','o','m','p','i','l','e','r','_','v','e','r','s','i','o','n','[', + COMPILER_VERSION_MAJOR, +# ifdef COMPILER_VERSION_MINOR + '.', COMPILER_VERSION_MINOR, +# ifdef COMPILER_VERSION_PATCH + '.', COMPILER_VERSION_PATCH, +# ifdef COMPILER_VERSION_TWEAK + '.', COMPILER_VERSION_TWEAK, +# endif +# endif +# endif + ']','\0'}; +#endif + +/* Construct a string literal encoding the internal version number. */ +#ifdef COMPILER_VERSION_INTERNAL +char const info_version_internal[] = { + 'I', 'N', 'F', 'O', ':', + 'c','o','m','p','i','l','e','r','_','v','e','r','s','i','o','n','_', + 'i','n','t','e','r','n','a','l','[', + COMPILER_VERSION_INTERNAL,']','\0'}; +#endif + +/* Construct a string literal encoding the version number components. */ +#ifdef SIMULATE_VERSION_MAJOR +char const info_simulate_version[] = { + 'I', 'N', 'F', 'O', ':', + 's','i','m','u','l','a','t','e','_','v','e','r','s','i','o','n','[', + SIMULATE_VERSION_MAJOR, +# ifdef SIMULATE_VERSION_MINOR + '.', SIMULATE_VERSION_MINOR, +# ifdef SIMULATE_VERSION_PATCH + '.', SIMULATE_VERSION_PATCH, +# ifdef SIMULATE_VERSION_TWEAK + '.', SIMULATE_VERSION_TWEAK, +# endif +# endif +# endif + ']','\0'}; +#endif + +/* Construct the string literal in pieces to prevent the source from + getting matched. Store it in a pointer rather than an array + because some compilers will just produce instructions to fill the + array rather than assigning a pointer to a static array. */ +char const* info_platform = "INFO" ":" "platform[" PLATFORM_ID "]"; +char const* info_arch = "INFO" ":" "arch[" ARCHITECTURE_ID "]"; + + + + +#if !defined(__STDC__) +# if (defined(_MSC_VER) && !defined(__clang__)) \ + || (defined(__ibmxl__) || defined(__IBMC__)) +# define C_DIALECT "90" +# else +# define C_DIALECT +# endif +#elif __STDC_VERSION__ >= 201000L +# define C_DIALECT "11" +#elif __STDC_VERSION__ >= 199901L +# define C_DIALECT "99" +#else +# define C_DIALECT "90" +#endif +const char* info_language_dialect_default = + "INFO" ":" "dialect_default[" C_DIALECT "]"; + +/*--------------------------------------------------------------------------*/ + +#ifdef ID_VOID_MAIN +void main() {} +#else +# if defined(__CLASSIC_C__) +int main(argc, argv) int argc; char *argv[]; +# else +int main(int argc, char* argv[]) +# endif +{ + int require = 0; + require += info_compiler[argc]; + require += info_platform[argc]; + require += info_arch[argc]; +#ifdef COMPILER_VERSION_MAJOR + require += info_version[argc]; +#endif +#ifdef COMPILER_VERSION_INTERNAL + require += info_version_internal[argc]; +#endif +#ifdef SIMULATE_ID + require += info_simulate[argc]; +#endif +#ifdef SIMULATE_VERSION_MAJOR + require += info_simulate_version[argc]; +#endif +#if defined(__CRAYXE) || defined(__CRAYXC) + require += info_cray[argc]; +#endif + require += info_language_dialect_default[argc]; + (void)argv; + return require; +} +#endif diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CompilerIdCXX/CMakeCXXCompilerId.cpp b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CompilerIdCXX/CMakeCXXCompilerId.cpp new file mode 100644 index 0000000..37c21ca --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CompilerIdCXX/CMakeCXXCompilerId.cpp @@ -0,0 +1,663 @@ +/* This source file must have a .cpp extension so that all C++ compilers + recognize the extension without flags. Borland does not know .cxx for + example. */ +#ifndef __cplusplus +# error "A C compiler has been selected for C++." +#endif + + +/* Version number components: V=Version, R=Revision, P=Patch + Version date components: YYYY=Year, MM=Month, DD=Day */ + +#if defined(__COMO__) +# define COMPILER_ID "Comeau" + /* __COMO_VERSION__ = VRR */ +# define COMPILER_VERSION_MAJOR DEC(__COMO_VERSION__ / 100) +# define COMPILER_VERSION_MINOR DEC(__COMO_VERSION__ % 100) + +#elif defined(__INTEL_COMPILER) || defined(__ICC) +# define COMPILER_ID "Intel" +# if defined(_MSC_VER) +# define SIMULATE_ID "MSVC" +# endif +# if defined(__GNUC__) +# define SIMULATE_ID "GNU" +# endif + /* __INTEL_COMPILER = VRP */ +# define COMPILER_VERSION_MAJOR DEC(__INTEL_COMPILER/100) +# define COMPILER_VERSION_MINOR DEC(__INTEL_COMPILER/10 % 10) +# if defined(__INTEL_COMPILER_UPDATE) +# define COMPILER_VERSION_PATCH DEC(__INTEL_COMPILER_UPDATE) +# else +# define COMPILER_VERSION_PATCH DEC(__INTEL_COMPILER % 10) +# endif +# if defined(__INTEL_COMPILER_BUILD_DATE) + /* __INTEL_COMPILER_BUILD_DATE = YYYYMMDD */ +# define COMPILER_VERSION_TWEAK DEC(__INTEL_COMPILER_BUILD_DATE) +# endif +# if defined(_MSC_VER) + /* _MSC_VER = VVRR */ +# define SIMULATE_VERSION_MAJOR DEC(_MSC_VER / 100) +# define SIMULATE_VERSION_MINOR DEC(_MSC_VER % 100) +# endif +# if defined(__GNUC__) +# define SIMULATE_VERSION_MAJOR DEC(__GNUC__) +# elif defined(__GNUG__) +# define SIMULATE_VERSION_MAJOR DEC(__GNUG__) +# endif +# if defined(__GNUC_MINOR__) +# define SIMULATE_VERSION_MINOR DEC(__GNUC_MINOR__) +# endif +# if defined(__GNUC_PATCHLEVEL__) +# define SIMULATE_VERSION_PATCH DEC(__GNUC_PATCHLEVEL__) +# endif + +#elif defined(__PATHCC__) +# define COMPILER_ID "PathScale" +# define COMPILER_VERSION_MAJOR DEC(__PATHCC__) +# define COMPILER_VERSION_MINOR DEC(__PATHCC_MINOR__) +# if defined(__PATHCC_PATCHLEVEL__) +# define COMPILER_VERSION_PATCH DEC(__PATHCC_PATCHLEVEL__) +# endif + +#elif defined(__BORLANDC__) && defined(__CODEGEARC_VERSION__) +# define COMPILER_ID "Embarcadero" +# define COMPILER_VERSION_MAJOR HEX(__CODEGEARC_VERSION__>>24 & 0x00FF) +# define COMPILER_VERSION_MINOR HEX(__CODEGEARC_VERSION__>>16 & 0x00FF) +# define COMPILER_VERSION_PATCH DEC(__CODEGEARC_VERSION__ & 0xFFFF) + +#elif defined(__BORLANDC__) +# define COMPILER_ID "Borland" + /* __BORLANDC__ = 0xVRR */ +# define COMPILER_VERSION_MAJOR HEX(__BORLANDC__>>8) +# define COMPILER_VERSION_MINOR HEX(__BORLANDC__ & 0xFF) + +#elif defined(__WATCOMC__) && __WATCOMC__ < 1200 +# define COMPILER_ID "Watcom" + /* __WATCOMC__ = VVRR */ +# define COMPILER_VERSION_MAJOR DEC(__WATCOMC__ / 100) +# define COMPILER_VERSION_MINOR DEC((__WATCOMC__ / 10) % 10) +# if (__WATCOMC__ % 10) > 0 +# define COMPILER_VERSION_PATCH DEC(__WATCOMC__ % 10) +# endif + +#elif defined(__WATCOMC__) +# define COMPILER_ID "OpenWatcom" + /* __WATCOMC__ = VVRP + 1100 */ +# define COMPILER_VERSION_MAJOR DEC((__WATCOMC__ - 1100) / 100) +# define COMPILER_VERSION_MINOR DEC((__WATCOMC__ / 10) % 10) +# if (__WATCOMC__ % 10) > 0 +# define COMPILER_VERSION_PATCH DEC(__WATCOMC__ % 10) +# endif + +#elif defined(__SUNPRO_CC) +# define COMPILER_ID "SunPro" +# if __SUNPRO_CC >= 0x5100 + /* __SUNPRO_CC = 0xVRRP */ +# define COMPILER_VERSION_MAJOR HEX(__SUNPRO_CC>>12) +# define COMPILER_VERSION_MINOR HEX(__SUNPRO_CC>>4 & 0xFF) +# define COMPILER_VERSION_PATCH HEX(__SUNPRO_CC & 0xF) +# else + /* __SUNPRO_CC = 0xVRP */ +# define COMPILER_VERSION_MAJOR HEX(__SUNPRO_CC>>8) +# define COMPILER_VERSION_MINOR HEX(__SUNPRO_CC>>4 & 0xF) +# define COMPILER_VERSION_PATCH HEX(__SUNPRO_CC & 0xF) +# endif + +#elif defined(__HP_aCC) +# define COMPILER_ID "HP" + /* __HP_aCC = VVRRPP */ +# define COMPILER_VERSION_MAJOR DEC(__HP_aCC/10000) +# define COMPILER_VERSION_MINOR DEC(__HP_aCC/100 % 100) +# define COMPILER_VERSION_PATCH DEC(__HP_aCC % 100) + +#elif defined(__DECCXX) +# define COMPILER_ID "Compaq" + /* __DECCXX_VER = VVRRTPPPP */ +# define COMPILER_VERSION_MAJOR DEC(__DECCXX_VER/10000000) +# define COMPILER_VERSION_MINOR DEC(__DECCXX_VER/100000 % 100) +# define COMPILER_VERSION_PATCH DEC(__DECCXX_VER % 10000) + +#elif defined(__IBMCPP__) && defined(__COMPILER_VER__) +# define COMPILER_ID "zOS" + /* __IBMCPP__ = VRP */ +# define COMPILER_VERSION_MAJOR DEC(__IBMCPP__/100) +# define COMPILER_VERSION_MINOR DEC(__IBMCPP__/10 % 10) +# define COMPILER_VERSION_PATCH DEC(__IBMCPP__ % 10) + +#elif defined(__ibmxl__) && defined(__clang__) +# define COMPILER_ID "XLClang" +# define COMPILER_VERSION_MAJOR DEC(__ibmxl_version__) +# define COMPILER_VERSION_MINOR DEC(__ibmxl_release__) +# define COMPILER_VERSION_PATCH DEC(__ibmxl_modification__) +# define COMPILER_VERSION_TWEAK DEC(__ibmxl_ptf_fix_level__) + + +#elif defined(__IBMCPP__) && !defined(__COMPILER_VER__) && __IBMCPP__ >= 800 +# define COMPILER_ID "XL" + /* __IBMCPP__ = VRP */ +# define COMPILER_VERSION_MAJOR DEC(__IBMCPP__/100) +# define COMPILER_VERSION_MINOR DEC(__IBMCPP__/10 % 10) +# define COMPILER_VERSION_PATCH DEC(__IBMCPP__ % 10) + +#elif defined(__IBMCPP__) && !defined(__COMPILER_VER__) && __IBMCPP__ < 800 +# define COMPILER_ID "VisualAge" + /* __IBMCPP__ = VRP */ +# define COMPILER_VERSION_MAJOR DEC(__IBMCPP__/100) +# define COMPILER_VERSION_MINOR DEC(__IBMCPP__/10 % 10) +# define COMPILER_VERSION_PATCH DEC(__IBMCPP__ % 10) + +#elif defined(__PGI) +# define COMPILER_ID "PGI" +# define COMPILER_VERSION_MAJOR DEC(__PGIC__) +# define COMPILER_VERSION_MINOR DEC(__PGIC_MINOR__) +# if defined(__PGIC_PATCHLEVEL__) +# define COMPILER_VERSION_PATCH DEC(__PGIC_PATCHLEVEL__) +# endif + +#elif defined(_CRAYC) +# define COMPILER_ID "Cray" +# define COMPILER_VERSION_MAJOR DEC(_RELEASE_MAJOR) +# define COMPILER_VERSION_MINOR DEC(_RELEASE_MINOR) + +#elif defined(__TI_COMPILER_VERSION__) +# define COMPILER_ID "TI" + /* __TI_COMPILER_VERSION__ = VVVRRRPPP */ +# define COMPILER_VERSION_MAJOR DEC(__TI_COMPILER_VERSION__/1000000) +# define COMPILER_VERSION_MINOR DEC(__TI_COMPILER_VERSION__/1000 % 1000) +# define COMPILER_VERSION_PATCH DEC(__TI_COMPILER_VERSION__ % 1000) + +#elif defined(__FUJITSU) || defined(__FCC_VERSION) || defined(__fcc_version) +# define COMPILER_ID "Fujitsu" + +#elif defined(__ghs__) +# define COMPILER_ID "GHS" +/* __GHS_VERSION_NUMBER = VVVVRP */ +# ifdef __GHS_VERSION_NUMBER +# define COMPILER_VERSION_MAJOR DEC(__GHS_VERSION_NUMBER / 100) +# define COMPILER_VERSION_MINOR DEC(__GHS_VERSION_NUMBER / 10 % 10) +# define COMPILER_VERSION_PATCH DEC(__GHS_VERSION_NUMBER % 10) +# endif + +#elif defined(__SCO_VERSION__) +# define COMPILER_ID "SCO" + +#elif defined(__ARMCC_VERSION) && !defined(__clang__) +# define COMPILER_ID "ARMCC" +#if __ARMCC_VERSION >= 1000000 + /* __ARMCC_VERSION = VRRPPPP */ + # define COMPILER_VERSION_MAJOR DEC(__ARMCC_VERSION/1000000) + # define COMPILER_VERSION_MINOR DEC(__ARMCC_VERSION/10000 % 100) + # define COMPILER_VERSION_PATCH DEC(__ARMCC_VERSION % 10000) +#else + /* __ARMCC_VERSION = VRPPPP */ + # define COMPILER_VERSION_MAJOR DEC(__ARMCC_VERSION/100000) + # define COMPILER_VERSION_MINOR DEC(__ARMCC_VERSION/10000 % 10) + # define COMPILER_VERSION_PATCH DEC(__ARMCC_VERSION % 10000) +#endif + + +#elif defined(__clang__) && defined(__apple_build_version__) +# define COMPILER_ID "AppleClang" +# if defined(_MSC_VER) +# define SIMULATE_ID "MSVC" +# endif +# define COMPILER_VERSION_MAJOR DEC(__clang_major__) +# define COMPILER_VERSION_MINOR DEC(__clang_minor__) +# define COMPILER_VERSION_PATCH DEC(__clang_patchlevel__) +# if defined(_MSC_VER) + /* _MSC_VER = VVRR */ +# define SIMULATE_VERSION_MAJOR DEC(_MSC_VER / 100) +# define SIMULATE_VERSION_MINOR DEC(_MSC_VER % 100) +# endif +# define COMPILER_VERSION_TWEAK DEC(__apple_build_version__) + +#elif defined(__clang__) && defined(__ARMCOMPILER_VERSION) +# define COMPILER_ID "ARMClang" + # define COMPILER_VERSION_MAJOR DEC(__ARMCOMPILER_VERSION/1000000) + # define COMPILER_VERSION_MINOR DEC(__ARMCOMPILER_VERSION/10000 % 100) + # define COMPILER_VERSION_PATCH DEC(__ARMCOMPILER_VERSION % 10000) +# define COMPILER_VERSION_INTERNAL DEC(__ARMCOMPILER_VERSION) + +#elif defined(__clang__) +# define COMPILER_ID "Clang" +# if defined(_MSC_VER) +# define SIMULATE_ID "MSVC" +# endif +# define COMPILER_VERSION_MAJOR DEC(__clang_major__) +# define COMPILER_VERSION_MINOR DEC(__clang_minor__) +# define COMPILER_VERSION_PATCH DEC(__clang_patchlevel__) +# if defined(_MSC_VER) + /* _MSC_VER = VVRR */ +# define SIMULATE_VERSION_MAJOR DEC(_MSC_VER / 100) +# define SIMULATE_VERSION_MINOR DEC(_MSC_VER % 100) +# endif + +#elif defined(__GNUC__) || defined(__GNUG__) +# define COMPILER_ID "GNU" +# if defined(__GNUC__) +# define COMPILER_VERSION_MAJOR DEC(__GNUC__) +# else +# define COMPILER_VERSION_MAJOR DEC(__GNUG__) +# endif +# if defined(__GNUC_MINOR__) +# define COMPILER_VERSION_MINOR DEC(__GNUC_MINOR__) +# endif +# if defined(__GNUC_PATCHLEVEL__) +# define COMPILER_VERSION_PATCH DEC(__GNUC_PATCHLEVEL__) +# endif + +#elif defined(_MSC_VER) +# define COMPILER_ID "MSVC" + /* _MSC_VER = VVRR */ +# define COMPILER_VERSION_MAJOR DEC(_MSC_VER / 100) +# define COMPILER_VERSION_MINOR DEC(_MSC_VER % 100) +# if defined(_MSC_FULL_VER) +# if _MSC_VER >= 1400 + /* _MSC_FULL_VER = VVRRPPPPP */ +# define COMPILER_VERSION_PATCH DEC(_MSC_FULL_VER % 100000) +# else + /* _MSC_FULL_VER = VVRRPPPP */ +# define COMPILER_VERSION_PATCH DEC(_MSC_FULL_VER % 10000) +# endif +# endif +# if defined(_MSC_BUILD) +# define COMPILER_VERSION_TWEAK DEC(_MSC_BUILD) +# endif + +#elif defined(__VISUALDSPVERSION__) || defined(__ADSPBLACKFIN__) || defined(__ADSPTS__) || defined(__ADSP21000__) +# define COMPILER_ID "ADSP" +#if defined(__VISUALDSPVERSION__) + /* __VISUALDSPVERSION__ = 0xVVRRPP00 */ +# define COMPILER_VERSION_MAJOR HEX(__VISUALDSPVERSION__>>24) +# define COMPILER_VERSION_MINOR HEX(__VISUALDSPVERSION__>>16 & 0xFF) +# define COMPILER_VERSION_PATCH HEX(__VISUALDSPVERSION__>>8 & 0xFF) +#endif + +#elif defined(__IAR_SYSTEMS_ICC__) || defined(__IAR_SYSTEMS_ICC) +# define COMPILER_ID "IAR" +# if defined(__VER__) && defined(__ICCARM__) +# define COMPILER_VERSION_MAJOR DEC((__VER__) / 1000000) +# define COMPILER_VERSION_MINOR DEC(((__VER__) / 1000) % 1000) +# define COMPILER_VERSION_PATCH DEC((__VER__) % 1000) +# define COMPILER_VERSION_INTERNAL DEC(__IAR_SYSTEMS_ICC__) +# elif defined(__VER__) && (defined(__ICCAVR__) || defined(__ICCRX__) || defined(__ICCRH850__) || defined(__ICCRL78__) || defined(__ICC430__) || defined(__ICCRISCV__) || defined(__ICCV850__) || defined(__ICC8051__)) +# define COMPILER_VERSION_MAJOR DEC((__VER__) / 100) +# define COMPILER_VERSION_MINOR DEC((__VER__) - (((__VER__) / 100)*100)) +# define COMPILER_VERSION_PATCH DEC(__SUBVERSION__) +# define COMPILER_VERSION_INTERNAL DEC(__IAR_SYSTEMS_ICC__) +# endif + + +/* These compilers are either not known or too old to define an + identification macro. Try to identify the platform and guess that + it is the native compiler. */ +#elif defined(__hpux) || defined(__hpua) +# define COMPILER_ID "HP" + +#else /* unknown compiler */ +# define COMPILER_ID "" +#endif + +/* Construct the string literal in pieces to prevent the source from + getting matched. Store it in a pointer rather than an array + because some compilers will just produce instructions to fill the + array rather than assigning a pointer to a static array. */ +char const* info_compiler = "INFO" ":" "compiler[" COMPILER_ID "]"; +#ifdef SIMULATE_ID +char const* info_simulate = "INFO" ":" "simulate[" SIMULATE_ID "]"; +#endif + +#ifdef __QNXNTO__ +char const* qnxnto = "INFO" ":" "qnxnto[]"; +#endif + +#if defined(__CRAYXE) || defined(__CRAYXC) +char const *info_cray = "INFO" ":" "compiler_wrapper[CrayPrgEnv]"; +#endif + +#define STRINGIFY_HELPER(X) #X +#define STRINGIFY(X) STRINGIFY_HELPER(X) + +/* Identify known platforms by name. */ +#if defined(__linux) || defined(__linux__) || defined(linux) +# define PLATFORM_ID "Linux" + +#elif defined(__CYGWIN__) +# define PLATFORM_ID "Cygwin" + +#elif defined(__MINGW32__) +# define PLATFORM_ID "MinGW" + +#elif defined(__APPLE__) +# define PLATFORM_ID "Darwin" + +#elif defined(_WIN32) || defined(__WIN32__) || defined(WIN32) +# define PLATFORM_ID "Windows" + +#elif defined(__FreeBSD__) || defined(__FreeBSD) +# define PLATFORM_ID "FreeBSD" + +#elif defined(__NetBSD__) || defined(__NetBSD) +# define PLATFORM_ID "NetBSD" + +#elif defined(__OpenBSD__) || defined(__OPENBSD) +# define PLATFORM_ID "OpenBSD" + +#elif defined(__sun) || defined(sun) +# define PLATFORM_ID "SunOS" + +#elif defined(_AIX) || defined(__AIX) || defined(__AIX__) || defined(__aix) || defined(__aix__) +# define PLATFORM_ID "AIX" + +#elif defined(__hpux) || defined(__hpux__) +# define PLATFORM_ID "HP-UX" + +#elif defined(__HAIKU__) +# define PLATFORM_ID "Haiku" + +#elif defined(__BeOS) || defined(__BEOS__) || defined(_BEOS) +# define PLATFORM_ID "BeOS" + +#elif defined(__QNX__) || defined(__QNXNTO__) +# define PLATFORM_ID "QNX" + +#elif defined(__tru64) || defined(_tru64) || defined(__TRU64__) +# define PLATFORM_ID "Tru64" + +#elif defined(__riscos) || defined(__riscos__) +# define PLATFORM_ID "RISCos" + +#elif defined(__sinix) || defined(__sinix__) || defined(__SINIX__) +# define PLATFORM_ID "SINIX" + +#elif defined(__UNIX_SV__) +# define PLATFORM_ID "UNIX_SV" + +#elif defined(__bsdos__) +# define PLATFORM_ID "BSDOS" + +#elif defined(_MPRAS) || defined(MPRAS) +# define PLATFORM_ID "MP-RAS" + +#elif defined(__osf) || defined(__osf__) +# define PLATFORM_ID "OSF1" + +#elif defined(_SCO_SV) || defined(SCO_SV) || defined(sco_sv) +# define PLATFORM_ID "SCO_SV" + +#elif defined(__ultrix) || defined(__ultrix__) || defined(_ULTRIX) +# define PLATFORM_ID "ULTRIX" + +#elif defined(__XENIX__) || defined(_XENIX) || defined(XENIX) +# define PLATFORM_ID "Xenix" + +#elif defined(__WATCOMC__) +# if defined(__LINUX__) +# define PLATFORM_ID "Linux" + +# elif defined(__DOS__) +# define PLATFORM_ID "DOS" + +# elif defined(__OS2__) +# define PLATFORM_ID "OS2" + +# elif defined(__WINDOWS__) +# define PLATFORM_ID "Windows3x" + +# elif defined(__VXWORKS__) +# define PLATFORM_ID "VxWorks" + +# else /* unknown platform */ +# define PLATFORM_ID +# endif + +#elif defined(__INTEGRITY) +# if defined(INT_178B) +# define PLATFORM_ID "Integrity178" + +# else /* regular Integrity */ +# define PLATFORM_ID "Integrity" +# endif + +#else /* unknown platform */ +# define PLATFORM_ID + +#endif + +/* For windows compilers MSVC and Intel we can determine + the architecture of the compiler being used. This is because + the compilers do not have flags that can change the architecture, + but rather depend on which compiler is being used +*/ +#if defined(_WIN32) && defined(_MSC_VER) +# if defined(_M_IA64) +# define ARCHITECTURE_ID "IA64" + +# elif defined(_M_X64) || defined(_M_AMD64) +# define ARCHITECTURE_ID "x64" + +# elif defined(_M_IX86) +# define ARCHITECTURE_ID "X86" + +# elif defined(_M_ARM64) +# define ARCHITECTURE_ID "ARM64" + +# elif defined(_M_ARM) +# if _M_ARM == 4 +# define ARCHITECTURE_ID "ARMV4I" +# elif _M_ARM == 5 +# define ARCHITECTURE_ID "ARMV5I" +# else +# define ARCHITECTURE_ID "ARMV" STRINGIFY(_M_ARM) +# endif + +# elif defined(_M_MIPS) +# define ARCHITECTURE_ID "MIPS" + +# elif defined(_M_SH) +# define ARCHITECTURE_ID "SHx" + +# else /* unknown architecture */ +# define ARCHITECTURE_ID "" +# endif + +#elif defined(__WATCOMC__) +# if defined(_M_I86) +# define ARCHITECTURE_ID "I86" + +# elif defined(_M_IX86) +# define ARCHITECTURE_ID "X86" + +# else /* unknown architecture */ +# define ARCHITECTURE_ID "" +# endif + +#elif defined(__IAR_SYSTEMS_ICC__) || defined(__IAR_SYSTEMS_ICC) +# if defined(__ICCARM__) +# define ARCHITECTURE_ID "ARM" + +# elif defined(__ICCRX__) +# define ARCHITECTURE_ID "RX" + +# elif defined(__ICCRH850__) +# define ARCHITECTURE_ID "RH850" + +# elif defined(__ICCRL78__) +# define ARCHITECTURE_ID "RL78" + +# elif defined(__ICCRISCV__) +# define ARCHITECTURE_ID "RISCV" + +# elif defined(__ICCAVR__) +# define ARCHITECTURE_ID "AVR" + +# elif defined(__ICC430__) +# define ARCHITECTURE_ID "MSP430" + +# elif defined(__ICCV850__) +# define ARCHITECTURE_ID "V850" + +# elif defined(__ICC8051__) +# define ARCHITECTURE_ID "8051" + +# else /* unknown architecture */ +# define ARCHITECTURE_ID "" +# endif + +#elif defined(__ghs__) +# if defined(__PPC64__) +# define ARCHITECTURE_ID "PPC64" + +# elif defined(__ppc__) +# define ARCHITECTURE_ID "PPC" + +# elif defined(__ARM__) +# define ARCHITECTURE_ID "ARM" + +# elif defined(__x86_64__) +# define ARCHITECTURE_ID "x64" + +# elif defined(__i386__) +# define ARCHITECTURE_ID "X86" + +# else /* unknown architecture */ +# define ARCHITECTURE_ID "" +# endif +#else +# define ARCHITECTURE_ID +#endif + +/* Convert integer to decimal digit literals. */ +#define DEC(n) \ + ('0' + (((n) / 10000000)%10)), \ + ('0' + (((n) / 1000000)%10)), \ + ('0' + (((n) / 100000)%10)), \ + ('0' + (((n) / 10000)%10)), \ + ('0' + (((n) / 1000)%10)), \ + ('0' + (((n) / 100)%10)), \ + ('0' + (((n) / 10)%10)), \ + ('0' + ((n) % 10)) + +/* Convert integer to hex digit literals. */ +#define HEX(n) \ + ('0' + ((n)>>28 & 0xF)), \ + ('0' + ((n)>>24 & 0xF)), \ + ('0' + ((n)>>20 & 0xF)), \ + ('0' + ((n)>>16 & 0xF)), \ + ('0' + ((n)>>12 & 0xF)), \ + ('0' + ((n)>>8 & 0xF)), \ + ('0' + ((n)>>4 & 0xF)), \ + ('0' + ((n) & 0xF)) + +/* Construct a string literal encoding the version number components. */ +#ifdef COMPILER_VERSION_MAJOR +char const info_version[] = { + 'I', 'N', 'F', 'O', ':', + 'c','o','m','p','i','l','e','r','_','v','e','r','s','i','o','n','[', + COMPILER_VERSION_MAJOR, +# ifdef COMPILER_VERSION_MINOR + '.', COMPILER_VERSION_MINOR, +# ifdef COMPILER_VERSION_PATCH + '.', COMPILER_VERSION_PATCH, +# ifdef COMPILER_VERSION_TWEAK + '.', COMPILER_VERSION_TWEAK, +# endif +# endif +# endif + ']','\0'}; +#endif + +/* Construct a string literal encoding the internal version number. */ +#ifdef COMPILER_VERSION_INTERNAL +char const info_version_internal[] = { + 'I', 'N', 'F', 'O', ':', + 'c','o','m','p','i','l','e','r','_','v','e','r','s','i','o','n','_', + 'i','n','t','e','r','n','a','l','[', + COMPILER_VERSION_INTERNAL,']','\0'}; +#endif + +/* Construct a string literal encoding the version number components. */ +#ifdef SIMULATE_VERSION_MAJOR +char const info_simulate_version[] = { + 'I', 'N', 'F', 'O', ':', + 's','i','m','u','l','a','t','e','_','v','e','r','s','i','o','n','[', + SIMULATE_VERSION_MAJOR, +# ifdef SIMULATE_VERSION_MINOR + '.', SIMULATE_VERSION_MINOR, +# ifdef SIMULATE_VERSION_PATCH + '.', SIMULATE_VERSION_PATCH, +# ifdef SIMULATE_VERSION_TWEAK + '.', SIMULATE_VERSION_TWEAK, +# endif +# endif +# endif + ']','\0'}; +#endif + +/* Construct the string literal in pieces to prevent the source from + getting matched. Store it in a pointer rather than an array + because some compilers will just produce instructions to fill the + array rather than assigning a pointer to a static array. */ +char const* info_platform = "INFO" ":" "platform[" PLATFORM_ID "]"; +char const* info_arch = "INFO" ":" "arch[" ARCHITECTURE_ID "]"; + + + + +#if defined(__INTEL_COMPILER) && defined(_MSVC_LANG) && _MSVC_LANG < 201403L +# if defined(__INTEL_CXX11_MODE__) +# if defined(__cpp_aggregate_nsdmi) +# define CXX_STD 201402L +# else +# define CXX_STD 201103L +# endif +# else +# define CXX_STD 199711L +# endif +#elif defined(_MSC_VER) && defined(_MSVC_LANG) +# define CXX_STD _MSVC_LANG +#else +# define CXX_STD __cplusplus +#endif + +const char* info_language_dialect_default = "INFO" ":" "dialect_default[" +#if CXX_STD > 201703L + "20" +#elif CXX_STD >= 201703L + "17" +#elif CXX_STD >= 201402L + "14" +#elif CXX_STD >= 201103L + "11" +#else + "98" +#endif +"]"; + +/*--------------------------------------------------------------------------*/ + +int main(int argc, char* argv[]) +{ + int require = 0; + require += info_compiler[argc]; + require += info_platform[argc]; +#ifdef COMPILER_VERSION_MAJOR + require += info_version[argc]; +#endif +#ifdef COMPILER_VERSION_INTERNAL + require += info_version_internal[argc]; +#endif +#ifdef SIMULATE_ID + require += info_simulate[argc]; +#endif +#ifdef SIMULATE_VERSION_MAJOR + require += info_simulate_version[argc]; +#endif +#if defined(__CRAYXE) || defined(__CRAYXC) + require += info_cray[argc]; +#endif + require += info_language_dialect_default[argc]; + (void)argv; + return require; +} diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeDirectoryInformation.cmake b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeDirectoryInformation.cmake new file mode 100644 index 0000000..c3f1cc4 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeDirectoryInformation.cmake @@ -0,0 +1,16 @@ +# CMAKE generated file: DO NOT EDIT! +# Generated by "Unix Makefiles" Generator, CMake Version 3.18 + +# Relative path conversion top directories. +set(CMAKE_RELATIVE_PATH_TOP_SOURCE "/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga") +set(CMAKE_RELATIVE_PATH_TOP_BINARY "/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build") + +# Force unix paths in dependencies. +set(CMAKE_FORCE_UNIX_PATHS 1) + + +# The C and CXX include file regular expressions for this directory. +set(CMAKE_C_INCLUDE_REGEX_SCAN "^.*$") +set(CMAKE_C_INCLUDE_REGEX_COMPLAIN "^$") +set(CMAKE_CXX_INCLUDE_REGEX_SCAN ${CMAKE_C_INCLUDE_REGEX_SCAN}) +set(CMAKE_CXX_INCLUDE_REGEX_COMPLAIN ${CMAKE_C_INCLUDE_REGEX_COMPLAIN}) diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeOutput.log b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeOutput.log new file mode 100644 index 0000000..da00442 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeOutput.log @@ -0,0 +1,403 @@ +The system is: Linux - 5.10.17-v7l+ - armv7l +Compiling the C compiler identification source file "CMakeCCompilerId.c" succeeded. +Compiler: /usr/bin/cc +Build flags: +Id flags: + +The output was: +0 + + +Compilation of the C compiler identification source "CMakeCCompilerId.c" produced "a.out" + +The C compiler identification is GNU, found in "/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CompilerIdC/a.out" + +Compiling the CXX compiler identification source file "CMakeCXXCompilerId.cpp" succeeded. +Compiler: /usr/bin/c++ +Build flags: +Id flags: + +The output was: +0 + + +Compilation of the CXX compiler identification source "CMakeCXXCompilerId.cpp" produced "a.out" + +The CXX compiler identification is GNU, found in "/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/3.18.4/CompilerIdCXX/a.out" + +Detecting C compiler ABI info compiled with the following output: +Change Dir: /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeTmp + +Run Build Command(s):/usr/bin/make cmTC_0c40d/fast && /usr/bin/make -f CMakeFiles/cmTC_0c40d.dir/build.make CMakeFiles/cmTC_0c40d.dir/build +make[1]: Entering directory '/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeTmp' +Building C object CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o +/usr/bin/cc -v -o CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o -c /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCCompilerABI.c +Using built-in specs. +COLLECT_GCC=/usr/bin/cc +Target: arm-linux-gnueabihf +Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c,ada,c++,go,d,fortran,objc,obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf +Thread model: posix +gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1) +COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o' '-c' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp' + /usr/lib/gcc/arm-linux-gnueabihf/8/cc1 -quiet -v -imultilib . -imultiarch arm-linux-gnueabihf /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCCompilerABI.c -quiet -dumpbase CMakeCCompilerABI.c -mfloat-abi=hard -mfpu=vfp -mtls-dialect=gnu -marm -march=armv6+fp -auxbase-strip CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o -version -o /tmp/ccBq2EHb.s +GNU C17 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf) + compiled by GNU C version 8.3.0, GMP version 6.1.2, MPFR version 4.0.2, MPC version 1.1.0, isl version isl-0.20-GMP + +GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072 +ignoring nonexistent directory "/usr/local/include/arm-linux-gnueabihf" +ignoring nonexistent directory "/usr/lib/gcc/arm-linux-gnueabihf/8/../../../../arm-linux-gnueabihf/include" +#include "..." search starts here: +#include <...> search starts here: + /usr/lib/gcc/arm-linux-gnueabihf/8/include + /usr/local/include + /usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed + /usr/include/arm-linux-gnueabihf + /usr/include +End of search list. +GNU C17 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf) + compiled by GNU C version 8.3.0, GMP version 6.1.2, MPFR version 4.0.2, MPC version 1.1.0, isl version isl-0.20-GMP + +GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072 +Compiler executable checksum: bcc8c085e8c103b65550a3bb7c44a354 +COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o' '-c' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp' + as -v -march=armv6 -mfloat-abi=hard -mfpu=vfp -meabi=5 -o CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o /tmp/ccBq2EHb.s +GNU assembler version 2.31.1 (arm-linux-gnueabihf) using BFD version (GNU Binutils for Raspbian) 2.31.1 +COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/ +LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/ +COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o' '-c' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp' +Linking C executable cmTC_0c40d +/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E cmake_link_script CMakeFiles/cmTC_0c40d.dir/link.txt --verbose=1 +/usr/bin/cc -v CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o -o cmTC_0c40d +Using built-in specs. +COLLECT_GCC=/usr/bin/cc +COLLECT_LTO_WRAPPER=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper +Target: arm-linux-gnueabihf +Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c,ada,c++,go,d,fortran,objc,obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf +Thread model: posix +gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1) +COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/ +LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/ +COLLECT_GCC_OPTIONS='-v' '-o' 'cmTC_0c40d' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp' + /usr/lib/gcc/arm-linux-gnueabihf/8/collect2 -plugin /usr/lib/gcc/arm-linux-gnueabihf/8/liblto_plugin.so -plugin-opt=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper -plugin-opt=-fresolution=/tmp/cciPZFKa.res -plugin-opt=-pass-through=-lgcc -plugin-opt=-pass-through=-lgcc_s -plugin-opt=-pass-through=-lc -plugin-opt=-pass-through=-lgcc -plugin-opt=-pass-through=-lgcc_s --build-id --eh-frame-hdr -dynamic-linker /lib/ld-linux-armhf.so.3 -X --hash-style=gnu -m armelf_linux_eabi -o cmTC_0c40d /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crt1.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crti.o /usr/lib/gcc/arm-linux-gnueabihf/8/crtbegin.o -L/usr/lib/gcc/arm-linux-gnueabihf/8 -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../.. -L/lib/arm-linux-gnueabihf -L/usr/lib/arm-linux-gnueabihf CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o -lgcc --push-state --as-needed -lgcc_s --pop-state -lc -lgcc --push-state --as-needed -lgcc_s --pop-state /usr/lib/gcc/arm-linux-gnueabihf/8/crtend.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crtn.o +COLLECT_GCC_OPTIONS='-v' '-o' 'cmTC_0c40d' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp' +make[1]: Leaving directory '/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeTmp' + + + +Parsed C implicit include dir info from above output: rv=done + found start of include info + found start of implicit include info + add: [/usr/lib/gcc/arm-linux-gnueabihf/8/include] + add: [/usr/local/include] + add: [/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed] + add: [/usr/include/arm-linux-gnueabihf] + add: [/usr/include] + end of search list found + collapse include dir [/usr/lib/gcc/arm-linux-gnueabihf/8/include] ==> [/usr/lib/gcc/arm-linux-gnueabihf/8/include] + collapse include dir [/usr/local/include] ==> [/usr/local/include] + collapse include dir [/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed] ==> [/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed] + collapse include dir [/usr/include/arm-linux-gnueabihf] ==> [/usr/include/arm-linux-gnueabihf] + collapse include dir [/usr/include] ==> [/usr/include] + implicit include dirs: [/usr/lib/gcc/arm-linux-gnueabihf/8/include;/usr/local/include;/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed;/usr/include/arm-linux-gnueabihf;/usr/include] + + +Parsed C implicit link information from above output: + link line regex: [^( *|.*[/\])(ld|CMAKE_LINK_STARTFILE-NOTFOUND|([^/\]+-)?ld|collect2)[^/\]*( |$)] + ignore line: [Change Dir: /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeTmp] + ignore line: [] + ignore line: [Run Build Command(s):/usr/bin/make cmTC_0c40d/fast && /usr/bin/make -f CMakeFiles/cmTC_0c40d.dir/build.make CMakeFiles/cmTC_0c40d.dir/build] + ignore line: [make[1]: Entering directory '/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeTmp'] + ignore line: [Building C object CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o] + ignore line: [/usr/bin/cc -v -o CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o -c /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCCompilerABI.c] + ignore line: [Using built-in specs.] + ignore line: [COLLECT_GCC=/usr/bin/cc] + ignore line: [Target: arm-linux-gnueabihf] + ignore line: [Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c ada c++ go d fortran objc obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf] + ignore line: [Thread model: posix] + ignore line: [gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1) ] + ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o' '-c' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'] + ignore line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/cc1 -quiet -v -imultilib . -imultiarch arm-linux-gnueabihf /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCCompilerABI.c -quiet -dumpbase CMakeCCompilerABI.c -mfloat-abi=hard -mfpu=vfp -mtls-dialect=gnu -marm -march=armv6+fp -auxbase-strip CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o -version -o /tmp/ccBq2EHb.s] + ignore line: [GNU C17 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf)] + ignore line: [ compiled by GNU C version 8.3.0 GMP version 6.1.2 MPFR version 4.0.2 MPC version 1.1.0 isl version isl-0.20-GMP] + ignore line: [] + ignore line: [GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072] + ignore line: [ignoring nonexistent directory "/usr/local/include/arm-linux-gnueabihf"] + ignore line: [ignoring nonexistent directory "/usr/lib/gcc/arm-linux-gnueabihf/8/../../../../arm-linux-gnueabihf/include"] + ignore line: [#include "..." search starts here:] + ignore line: [#include <...> search starts here:] + ignore line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/include] + ignore line: [ /usr/local/include] + ignore line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed] + ignore line: [ /usr/include/arm-linux-gnueabihf] + ignore line: [ /usr/include] + ignore line: [End of search list.] + ignore line: [GNU C17 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf)] + ignore line: [ compiled by GNU C version 8.3.0 GMP version 6.1.2 MPFR version 4.0.2 MPC version 1.1.0 isl version isl-0.20-GMP] + ignore line: [] + ignore line: [GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072] + ignore line: [Compiler executable checksum: bcc8c085e8c103b65550a3bb7c44a354] + ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o' '-c' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'] + ignore line: [ as -v -march=armv6 -mfloat-abi=hard -mfpu=vfp -meabi=5 -o CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o /tmp/ccBq2EHb.s] + ignore line: [GNU assembler version 2.31.1 (arm-linux-gnueabihf) using BFD version (GNU Binutils for Raspbian) 2.31.1] + ignore line: [COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/] + ignore line: [LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/] + ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o' '-c' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'] + ignore line: [Linking C executable cmTC_0c40d] + ignore line: [/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E cmake_link_script CMakeFiles/cmTC_0c40d.dir/link.txt --verbose=1] + ignore line: [/usr/bin/cc -v CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o -o cmTC_0c40d ] + ignore line: [Using built-in specs.] + ignore line: [COLLECT_GCC=/usr/bin/cc] + ignore line: [COLLECT_LTO_WRAPPER=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper] + ignore line: [Target: arm-linux-gnueabihf] + ignore line: [Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c ada c++ go d fortran objc obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf] + ignore line: [Thread model: posix] + ignore line: [gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1) ] + ignore line: [COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/] + ignore line: [LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/] + ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'cmTC_0c40d' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'] + link line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/collect2 -plugin /usr/lib/gcc/arm-linux-gnueabihf/8/liblto_plugin.so -plugin-opt=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper -plugin-opt=-fresolution=/tmp/cciPZFKa.res -plugin-opt=-pass-through=-lgcc -plugin-opt=-pass-through=-lgcc_s -plugin-opt=-pass-through=-lc -plugin-opt=-pass-through=-lgcc -plugin-opt=-pass-through=-lgcc_s --build-id --eh-frame-hdr -dynamic-linker /lib/ld-linux-armhf.so.3 -X --hash-style=gnu -m armelf_linux_eabi -o cmTC_0c40d /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crt1.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crti.o /usr/lib/gcc/arm-linux-gnueabihf/8/crtbegin.o -L/usr/lib/gcc/arm-linux-gnueabihf/8 -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../.. -L/lib/arm-linux-gnueabihf -L/usr/lib/arm-linux-gnueabihf CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o -lgcc --push-state --as-needed -lgcc_s --pop-state -lc -lgcc --push-state --as-needed -lgcc_s --pop-state /usr/lib/gcc/arm-linux-gnueabihf/8/crtend.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crtn.o] + arg [/usr/lib/gcc/arm-linux-gnueabihf/8/collect2] ==> ignore + arg [-plugin] ==> ignore + arg [/usr/lib/gcc/arm-linux-gnueabihf/8/liblto_plugin.so] ==> ignore + arg [-plugin-opt=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper] ==> ignore + arg [-plugin-opt=-fresolution=/tmp/cciPZFKa.res] ==> ignore + arg [-plugin-opt=-pass-through=-lgcc] ==> ignore + arg [-plugin-opt=-pass-through=-lgcc_s] ==> ignore + arg [-plugin-opt=-pass-through=-lc] ==> ignore + arg [-plugin-opt=-pass-through=-lgcc] ==> ignore + arg [-plugin-opt=-pass-through=-lgcc_s] ==> ignore + arg [--build-id] ==> ignore + arg [--eh-frame-hdr] ==> ignore + arg [-dynamic-linker] ==> ignore + arg [/lib/ld-linux-armhf.so.3] ==> ignore + arg [-X] ==> ignore + arg [--hash-style=gnu] ==> ignore + arg [-m] ==> ignore + arg [armelf_linux_eabi] ==> ignore + arg [-o] ==> ignore + arg [cmTC_0c40d] ==> ignore + arg [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crt1.o] ==> ignore + arg [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crti.o] ==> ignore + arg [/usr/lib/gcc/arm-linux-gnueabihf/8/crtbegin.o] ==> ignore + arg [-L/usr/lib/gcc/arm-linux-gnueabihf/8] ==> dir [/usr/lib/gcc/arm-linux-gnueabihf/8] + arg [-L/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf] ==> dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf] + arg [-L/usr/lib/gcc/arm-linux-gnueabihf/8/../../..] ==> dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../..] + arg [-L/lib/arm-linux-gnueabihf] ==> dir [/lib/arm-linux-gnueabihf] + arg [-L/usr/lib/arm-linux-gnueabihf] ==> dir [/usr/lib/arm-linux-gnueabihf] + arg [CMakeFiles/cmTC_0c40d.dir/CMakeCCompilerABI.c.o] ==> ignore + arg [-lgcc] ==> lib [gcc] + arg [--push-state] ==> ignore + arg [--as-needed] ==> ignore + arg [-lgcc_s] ==> lib [gcc_s] + arg [--pop-state] ==> ignore + arg [-lc] ==> lib [c] + arg [-lgcc] ==> lib [gcc] + arg [--push-state] ==> ignore + arg [--as-needed] ==> ignore + arg [-lgcc_s] ==> lib [gcc_s] + arg [--pop-state] ==> ignore + arg [/usr/lib/gcc/arm-linux-gnueabihf/8/crtend.o] ==> ignore + arg [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crtn.o] ==> ignore + collapse library dir [/usr/lib/gcc/arm-linux-gnueabihf/8] ==> [/usr/lib/gcc/arm-linux-gnueabihf/8] + collapse library dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf] ==> [/usr/lib/arm-linux-gnueabihf] + collapse library dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../..] ==> [/usr/lib] + collapse library dir [/lib/arm-linux-gnueabihf] ==> [/lib/arm-linux-gnueabihf] + collapse library dir [/usr/lib/arm-linux-gnueabihf] ==> [/usr/lib/arm-linux-gnueabihf] + implicit libs: [gcc;gcc_s;c;gcc;gcc_s] + implicit dirs: [/usr/lib/gcc/arm-linux-gnueabihf/8;/usr/lib/arm-linux-gnueabihf;/usr/lib;/lib/arm-linux-gnueabihf] + implicit fwks: [] + + +Detecting CXX compiler ABI info compiled with the following output: +Change Dir: /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeTmp + +Run Build Command(s):/usr/bin/make cmTC_04297/fast && /usr/bin/make -f CMakeFiles/cmTC_04297.dir/build.make CMakeFiles/cmTC_04297.dir/build +make[1]: Entering directory '/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeTmp' +Building CXX object CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o +/usr/bin/c++ -v -o CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o -c /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCXXCompilerABI.cpp +Using built-in specs. +COLLECT_GCC=/usr/bin/c++ +Target: arm-linux-gnueabihf +Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c,ada,c++,go,d,fortran,objc,obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf +Thread model: posix +gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1) +COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o' '-c' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp' + /usr/lib/gcc/arm-linux-gnueabihf/8/cc1plus -quiet -v -imultilib . -imultiarch arm-linux-gnueabihf -D_GNU_SOURCE /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCXXCompilerABI.cpp -quiet -dumpbase CMakeCXXCompilerABI.cpp -mfloat-abi=hard -mfpu=vfp -mtls-dialect=gnu -marm -march=armv6+fp -auxbase-strip CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o -version -o /tmp/cc3VfZNu.s +GNU C++14 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf) + compiled by GNU C version 8.3.0, GMP version 6.1.2, MPFR version 4.0.2, MPC version 1.1.0, isl version isl-0.20-GMP + +GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072 +ignoring duplicate directory "/usr/include/arm-linux-gnueabihf/c++/8" +ignoring nonexistent directory "/usr/local/include/arm-linux-gnueabihf" +ignoring nonexistent directory "/usr/lib/gcc/arm-linux-gnueabihf/8/../../../../arm-linux-gnueabihf/include" +#include "..." search starts here: +#include <...> search starts here: + /usr/include/c++/8 + /usr/include/arm-linux-gnueabihf/c++/8 + /usr/include/c++/8/backward + /usr/lib/gcc/arm-linux-gnueabihf/8/include + /usr/local/include + /usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed + /usr/include/arm-linux-gnueabihf + /usr/include +End of search list. +GNU C++14 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf) + compiled by GNU C version 8.3.0, GMP version 6.1.2, MPFR version 4.0.2, MPC version 1.1.0, isl version isl-0.20-GMP + +GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072 +Compiler executable checksum: 7defdc925cf5fede452fc531d54623d1 +COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o' '-c' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp' + as -v -march=armv6 -mfloat-abi=hard -mfpu=vfp -meabi=5 -o CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o /tmp/cc3VfZNu.s +GNU assembler version 2.31.1 (arm-linux-gnueabihf) using BFD version (GNU Binutils for Raspbian) 2.31.1 +COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/ +LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/ +COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o' '-c' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp' +Linking CXX executable cmTC_04297 +/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E cmake_link_script CMakeFiles/cmTC_04297.dir/link.txt --verbose=1 +/usr/bin/c++ -v CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o -o cmTC_04297 +Using built-in specs. +COLLECT_GCC=/usr/bin/c++ +COLLECT_LTO_WRAPPER=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper +Target: arm-linux-gnueabihf +Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c,ada,c++,go,d,fortran,objc,obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf +Thread model: posix +gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1) +COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/ +LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/ +COLLECT_GCC_OPTIONS='-v' '-o' 'cmTC_04297' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp' + /usr/lib/gcc/arm-linux-gnueabihf/8/collect2 -plugin /usr/lib/gcc/arm-linux-gnueabihf/8/liblto_plugin.so -plugin-opt=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper -plugin-opt=-fresolution=/tmp/ccZ2SF4A.res -plugin-opt=-pass-through=-lgcc_s -plugin-opt=-pass-through=-lgcc -plugin-opt=-pass-through=-lc -plugin-opt=-pass-through=-lgcc_s -plugin-opt=-pass-through=-lgcc --build-id --eh-frame-hdr -dynamic-linker /lib/ld-linux-armhf.so.3 -X --hash-style=gnu -m armelf_linux_eabi -o cmTC_04297 /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crt1.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crti.o /usr/lib/gcc/arm-linux-gnueabihf/8/crtbegin.o -L/usr/lib/gcc/arm-linux-gnueabihf/8 -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../.. -L/lib/arm-linux-gnueabihf -L/usr/lib/arm-linux-gnueabihf CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o -lstdc++ -lm -lgcc_s -lgcc -lc -lgcc_s -lgcc /usr/lib/gcc/arm-linux-gnueabihf/8/crtend.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crtn.o +COLLECT_GCC_OPTIONS='-v' '-o' 'cmTC_04297' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp' +make[1]: Leaving directory '/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeTmp' + + + +Parsed CXX implicit include dir info from above output: rv=done + found start of include info + found start of implicit include info + add: [/usr/include/c++/8] + add: [/usr/include/arm-linux-gnueabihf/c++/8] + add: [/usr/include/c++/8/backward] + add: [/usr/lib/gcc/arm-linux-gnueabihf/8/include] + add: [/usr/local/include] + add: [/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed] + add: [/usr/include/arm-linux-gnueabihf] + add: [/usr/include] + end of search list found + collapse include dir [/usr/include/c++/8] ==> [/usr/include/c++/8] + collapse include dir [/usr/include/arm-linux-gnueabihf/c++/8] ==> [/usr/include/arm-linux-gnueabihf/c++/8] + collapse include dir [/usr/include/c++/8/backward] ==> [/usr/include/c++/8/backward] + collapse include dir [/usr/lib/gcc/arm-linux-gnueabihf/8/include] ==> [/usr/lib/gcc/arm-linux-gnueabihf/8/include] + collapse include dir [/usr/local/include] ==> [/usr/local/include] + collapse include dir [/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed] ==> [/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed] + collapse include dir [/usr/include/arm-linux-gnueabihf] ==> [/usr/include/arm-linux-gnueabihf] + collapse include dir [/usr/include] ==> [/usr/include] + implicit include dirs: [/usr/include/c++/8;/usr/include/arm-linux-gnueabihf/c++/8;/usr/include/c++/8/backward;/usr/lib/gcc/arm-linux-gnueabihf/8/include;/usr/local/include;/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed;/usr/include/arm-linux-gnueabihf;/usr/include] + + +Parsed CXX implicit link information from above output: + link line regex: [^( *|.*[/\])(ld|CMAKE_LINK_STARTFILE-NOTFOUND|([^/\]+-)?ld|collect2)[^/\]*( |$)] + ignore line: [Change Dir: /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeTmp] + ignore line: [] + ignore line: [Run Build Command(s):/usr/bin/make cmTC_04297/fast && /usr/bin/make -f CMakeFiles/cmTC_04297.dir/build.make CMakeFiles/cmTC_04297.dir/build] + ignore line: [make[1]: Entering directory '/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/CMakeTmp'] + ignore line: [Building CXX object CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o] + ignore line: [/usr/bin/c++ -v -o CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o -c /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCXXCompilerABI.cpp] + ignore line: [Using built-in specs.] + ignore line: [COLLECT_GCC=/usr/bin/c++] + ignore line: [Target: arm-linux-gnueabihf] + ignore line: [Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c ada c++ go d fortran objc obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf] + ignore line: [Thread model: posix] + ignore line: [gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1) ] + ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o' '-c' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'] + ignore line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/cc1plus -quiet -v -imultilib . -imultiarch arm-linux-gnueabihf -D_GNU_SOURCE /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCXXCompilerABI.cpp -quiet -dumpbase CMakeCXXCompilerABI.cpp -mfloat-abi=hard -mfpu=vfp -mtls-dialect=gnu -marm -march=armv6+fp -auxbase-strip CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o -version -o /tmp/cc3VfZNu.s] + ignore line: [GNU C++14 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf)] + ignore line: [ compiled by GNU C version 8.3.0 GMP version 6.1.2 MPFR version 4.0.2 MPC version 1.1.0 isl version isl-0.20-GMP] + ignore line: [] + ignore line: [GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072] + ignore line: [ignoring duplicate directory "/usr/include/arm-linux-gnueabihf/c++/8"] + ignore line: [ignoring nonexistent directory "/usr/local/include/arm-linux-gnueabihf"] + ignore line: [ignoring nonexistent directory "/usr/lib/gcc/arm-linux-gnueabihf/8/../../../../arm-linux-gnueabihf/include"] + ignore line: [#include "..." search starts here:] + ignore line: [#include <...> search starts here:] + ignore line: [ /usr/include/c++/8] + ignore line: [ /usr/include/arm-linux-gnueabihf/c++/8] + ignore line: [ /usr/include/c++/8/backward] + ignore line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/include] + ignore line: [ /usr/local/include] + ignore line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed] + ignore line: [ /usr/include/arm-linux-gnueabihf] + ignore line: [ /usr/include] + ignore line: [End of search list.] + ignore line: [GNU C++14 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf)] + ignore line: [ compiled by GNU C version 8.3.0 GMP version 6.1.2 MPFR version 4.0.2 MPC version 1.1.0 isl version isl-0.20-GMP] + ignore line: [] + ignore line: [GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072] + ignore line: [Compiler executable checksum: 7defdc925cf5fede452fc531d54623d1] + ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o' '-c' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'] + ignore line: [ as -v -march=armv6 -mfloat-abi=hard -mfpu=vfp -meabi=5 -o CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o /tmp/cc3VfZNu.s] + ignore line: [GNU assembler version 2.31.1 (arm-linux-gnueabihf) using BFD version (GNU Binutils for Raspbian) 2.31.1] + ignore line: [COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/] + ignore line: [LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/] + ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o' '-c' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'] + ignore line: [Linking CXX executable cmTC_04297] + ignore line: [/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E cmake_link_script CMakeFiles/cmTC_04297.dir/link.txt --verbose=1] + ignore line: [/usr/bin/c++ -v CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o -o cmTC_04297 ] + ignore line: [Using built-in specs.] + ignore line: [COLLECT_GCC=/usr/bin/c++] + ignore line: [COLLECT_LTO_WRAPPER=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper] + ignore line: [Target: arm-linux-gnueabihf] + ignore line: [Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c ada c++ go d fortran objc obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf] + ignore line: [Thread model: posix] + ignore line: [gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1) ] + ignore line: [COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/] + ignore line: [LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/] + ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'cmTC_04297' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'] + link line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/collect2 -plugin /usr/lib/gcc/arm-linux-gnueabihf/8/liblto_plugin.so -plugin-opt=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper -plugin-opt=-fresolution=/tmp/ccZ2SF4A.res -plugin-opt=-pass-through=-lgcc_s -plugin-opt=-pass-through=-lgcc -plugin-opt=-pass-through=-lc -plugin-opt=-pass-through=-lgcc_s -plugin-opt=-pass-through=-lgcc --build-id --eh-frame-hdr -dynamic-linker /lib/ld-linux-armhf.so.3 -X --hash-style=gnu -m armelf_linux_eabi -o cmTC_04297 /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crt1.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crti.o /usr/lib/gcc/arm-linux-gnueabihf/8/crtbegin.o -L/usr/lib/gcc/arm-linux-gnueabihf/8 -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../.. -L/lib/arm-linux-gnueabihf -L/usr/lib/arm-linux-gnueabihf CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o -lstdc++ -lm -lgcc_s -lgcc -lc -lgcc_s -lgcc /usr/lib/gcc/arm-linux-gnueabihf/8/crtend.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crtn.o] + arg [/usr/lib/gcc/arm-linux-gnueabihf/8/collect2] ==> ignore + arg [-plugin] ==> ignore + arg [/usr/lib/gcc/arm-linux-gnueabihf/8/liblto_plugin.so] ==> ignore + arg [-plugin-opt=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper] ==> ignore + arg [-plugin-opt=-fresolution=/tmp/ccZ2SF4A.res] ==> ignore + arg [-plugin-opt=-pass-through=-lgcc_s] ==> ignore + arg [-plugin-opt=-pass-through=-lgcc] ==> ignore + arg [-plugin-opt=-pass-through=-lc] ==> ignore + arg [-plugin-opt=-pass-through=-lgcc_s] ==> ignore + arg [-plugin-opt=-pass-through=-lgcc] ==> ignore + arg [--build-id] ==> ignore + arg [--eh-frame-hdr] ==> ignore + arg [-dynamic-linker] ==> ignore + arg [/lib/ld-linux-armhf.so.3] ==> ignore + arg [-X] ==> ignore + arg [--hash-style=gnu] ==> ignore + arg [-m] ==> ignore + arg [armelf_linux_eabi] ==> ignore + arg [-o] ==> ignore + arg [cmTC_04297] ==> ignore + arg [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crt1.o] ==> ignore + arg [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crti.o] ==> ignore + arg [/usr/lib/gcc/arm-linux-gnueabihf/8/crtbegin.o] ==> ignore + arg [-L/usr/lib/gcc/arm-linux-gnueabihf/8] ==> dir [/usr/lib/gcc/arm-linux-gnueabihf/8] + arg [-L/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf] ==> dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf] + arg [-L/usr/lib/gcc/arm-linux-gnueabihf/8/../../..] ==> dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../..] + arg [-L/lib/arm-linux-gnueabihf] ==> dir [/lib/arm-linux-gnueabihf] + arg [-L/usr/lib/arm-linux-gnueabihf] ==> dir [/usr/lib/arm-linux-gnueabihf] + arg [CMakeFiles/cmTC_04297.dir/CMakeCXXCompilerABI.cpp.o] ==> ignore + arg [-lstdc++] ==> lib [stdc++] + arg [-lm] ==> lib [m] + arg [-lgcc_s] ==> lib [gcc_s] + arg [-lgcc] ==> lib [gcc] + arg [-lc] ==> lib [c] + arg [-lgcc_s] ==> lib [gcc_s] + arg [-lgcc] ==> lib [gcc] + arg [/usr/lib/gcc/arm-linux-gnueabihf/8/crtend.o] ==> ignore + arg [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crtn.o] ==> ignore + collapse library dir [/usr/lib/gcc/arm-linux-gnueabihf/8] ==> [/usr/lib/gcc/arm-linux-gnueabihf/8] + collapse library dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf] ==> [/usr/lib/arm-linux-gnueabihf] + collapse library dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../..] ==> [/usr/lib] + collapse library dir [/lib/arm-linux-gnueabihf] ==> [/lib/arm-linux-gnueabihf] + collapse library dir [/usr/lib/arm-linux-gnueabihf] ==> [/usr/lib/arm-linux-gnueabihf] + implicit libs: [stdc++;m;gcc_s;gcc;c;gcc_s;gcc] + implicit dirs: [/usr/lib/gcc/arm-linux-gnueabihf/8;/usr/lib/arm-linux-gnueabihf;/usr/lib;/lib/arm-linux-gnueabihf] + implicit fwks: [] + + diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/Makefile.cmake b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/Makefile.cmake new file mode 100644 index 0000000..c8b58b8 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/Makefile.cmake @@ -0,0 +1,121 @@ +# CMAKE generated file: DO NOT EDIT! +# Generated by "Unix Makefiles" Generator, CMake Version 3.18 + +# The generator used is: +set(CMAKE_DEPENDS_GENERATOR "Unix Makefiles") + +# The top level Makefile was generated from the following files: +set(CMAKE_MAKEFILE_DEPENDS + "CMakeCache.txt" + "../CMakeLists.txt" + "CMakeFiles/3.18.4/CMakeCCompiler.cmake" + "CMakeFiles/3.18.4/CMakeCXXCompiler.cmake" + "CMakeFiles/3.18.4/CMakeSystem.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCCompiler.cmake.in" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCCompilerABI.c" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCInformation.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCXXCompiler.cmake.in" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCXXCompilerABI.cpp" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCXXInformation.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCheckCompilerFlagCommonPatterns.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCommonLanguageInclude.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCompilerIdDetection.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeDetermineCCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeDetermineCXXCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeDetermineCompileFeatures.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeDetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeDetermineCompilerABI.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeDetermineCompilerId.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeDetermineSystem.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeFindBinUtils.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeGenericSystem.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeInitializeConfigs.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeLanguageInformation.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeParseImplicitIncludeInfo.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeParseImplicitLinkInfo.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeSystem.cmake.in" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeSystemSpecificInformation.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeSystemSpecificInitialize.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeTestCCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeTestCXXCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeTestCompilerCommon.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeUnixFindMake.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/ADSP-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/ARMCC-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/ARMClang-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/AppleClang-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/Borland-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/Bruce-C-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/CMakeCommonCompilerMacros.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/Clang-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/Clang-DetermineCompilerInternal.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/Comeau-CXX-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/Compaq-C-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/Compaq-CXX-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/Cray-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/Embarcadero-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/Fujitsu-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/GHS-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/GNU-C-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/GNU-C.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/GNU-CXX-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/GNU-CXX.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/GNU-FindBinUtils.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/GNU.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/HP-C-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/HP-CXX-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/IAR-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/IBMCPP-C-DetermineVersionInternal.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/IBMCPP-CXX-DetermineVersionInternal.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/Intel-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/MSVC-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/NVIDIA-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/OpenWatcom-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/PGI-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/PathScale-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/SCO-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/SDCC-C-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/SunPro-C-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/SunPro-CXX-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/TI-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/TinyCC-C-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/VisualAge-C-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/VisualAge-CXX-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/Watcom-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/XL-C-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/XL-CXX-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/XLClang-C-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/XLClang-CXX-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/zOS-C-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/zOS-CXX-DetermineCompiler.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Internal/CMakeCheckCompilerFlag.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Internal/FeatureTesting.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Platform/Linux-Determine-CXX.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Platform/Linux-GNU-C.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Platform/Linux-GNU-CXX.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Platform/Linux-GNU.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Platform/Linux.cmake" + "/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Platform/UnixPaths.cmake" + ) + +# The corresponding makefile is: +set(CMAKE_MAKEFILE_OUTPUTS + "Makefile" + "CMakeFiles/cmake.check_cache" + ) + +# Byproducts of CMake generate step: +set(CMAKE_MAKEFILE_PRODUCTS + "CMakeFiles/3.18.4/CMakeSystem.cmake" + "CMakeFiles/3.18.4/CMakeCCompiler.cmake" + "CMakeFiles/3.18.4/CMakeCXXCompiler.cmake" + "CMakeFiles/3.18.4/CMakeCCompiler.cmake" + "CMakeFiles/3.18.4/CMakeCXXCompiler.cmake" + "CMakeFiles/CMakeDirectoryInformation.cmake" + ) + +# Dependency information for all targets: +set(CMAKE_DEPEND_INFO_FILES + "CMakeFiles/test_caribou_fpga.dir/DependInfo.cmake" + "CMakeFiles/caribou_fpga.dir/DependInfo.cmake" + ) diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/Makefile2 b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/Makefile2 new file mode 100644 index 0000000..d4c2173 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/Makefile2 @@ -0,0 +1,154 @@ +# CMAKE generated file: DO NOT EDIT! +# Generated by "Unix Makefiles" Generator, CMake Version 3.18 + +# Default target executed when no arguments are given to make. +default_target: all + +.PHONY : default_target + +#============================================================================= +# Special targets provided by cmake. + +# Disable implicit rules so canonical targets will work. +.SUFFIXES: + + +# Disable VCS-based implicit rules. +% : %,v + + +# Disable VCS-based implicit rules. +% : RCS/% + + +# Disable VCS-based implicit rules. +% : RCS/%,v + + +# Disable VCS-based implicit rules. +% : SCCS/s.% + + +# Disable VCS-based implicit rules. +% : s.% + + +.SUFFIXES: .hpux_make_needs_suffix_list + + +# Command-line flag to silence nested $(MAKE). +$(VERBOSE)MAKESILENT = -s + +#Suppress display of executed commands. +$(VERBOSE).SILENT: + +# A target that is always out of date. +cmake_force: + +.PHONY : cmake_force + +#============================================================================= +# Set environment variables for the build. + +# The shell in which to execute make rules. +SHELL = /bin/sh + +# The CMake executable. +CMAKE_COMMAND = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake + +# The command to remove a file. +RM = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E rm -f + +# Escaping for special characters. +EQUALS = = + +# The top-level source directory on which CMake was run. +CMAKE_SOURCE_DIR = /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga + +# The top-level build directory on which CMake was run. +CMAKE_BINARY_DIR = /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build + +#============================================================================= +# Directory level rules for the build root directory + +# The main recursive "all" target. +all: CMakeFiles/test_caribou_fpga.dir/all +all: CMakeFiles/caribou_fpga.dir/all + +.PHONY : all + +# The main recursive "preinstall" target. +preinstall: + +.PHONY : preinstall + +# The main recursive "clean" target. +clean: CMakeFiles/test_caribou_fpga.dir/clean +clean: CMakeFiles/caribou_fpga.dir/clean + +.PHONY : clean + +#============================================================================= +# Target rules for target CMakeFiles/test_caribou_fpga.dir + +# All Build rule for target. +CMakeFiles/test_caribou_fpga.dir/all: + $(MAKE) $(MAKESILENT) -f CMakeFiles/test_caribou_fpga.dir/build.make CMakeFiles/test_caribou_fpga.dir/depend + $(MAKE) $(MAKESILENT) -f CMakeFiles/test_caribou_fpga.dir/build.make CMakeFiles/test_caribou_fpga.dir/build + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles --progress-num=3,4,5 "Built target test_caribou_fpga" +.PHONY : CMakeFiles/test_caribou_fpga.dir/all + +# Build rule for subdir invocation for target. +CMakeFiles/test_caribou_fpga.dir/rule: cmake_check_build_system + $(CMAKE_COMMAND) -E cmake_progress_start /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles 3 + $(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 CMakeFiles/test_caribou_fpga.dir/all + $(CMAKE_COMMAND) -E cmake_progress_start /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles 0 +.PHONY : CMakeFiles/test_caribou_fpga.dir/rule + +# Convenience name for target. +test_caribou_fpga: CMakeFiles/test_caribou_fpga.dir/rule + +.PHONY : test_caribou_fpga + +# clean rule for target. +CMakeFiles/test_caribou_fpga.dir/clean: + $(MAKE) $(MAKESILENT) -f CMakeFiles/test_caribou_fpga.dir/build.make CMakeFiles/test_caribou_fpga.dir/clean +.PHONY : CMakeFiles/test_caribou_fpga.dir/clean + +#============================================================================= +# Target rules for target CMakeFiles/caribou_fpga.dir + +# All Build rule for target. +CMakeFiles/caribou_fpga.dir/all: + $(MAKE) $(MAKESILENT) -f CMakeFiles/caribou_fpga.dir/build.make CMakeFiles/caribou_fpga.dir/depend + $(MAKE) $(MAKESILENT) -f CMakeFiles/caribou_fpga.dir/build.make CMakeFiles/caribou_fpga.dir/build + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles --progress-num=1,2 "Built target caribou_fpga" +.PHONY : CMakeFiles/caribou_fpga.dir/all + +# Build rule for subdir invocation for target. +CMakeFiles/caribou_fpga.dir/rule: cmake_check_build_system + $(CMAKE_COMMAND) -E cmake_progress_start /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles 2 + $(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 CMakeFiles/caribou_fpga.dir/all + $(CMAKE_COMMAND) -E cmake_progress_start /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles 0 +.PHONY : CMakeFiles/caribou_fpga.dir/rule + +# Convenience name for target. +caribou_fpga: CMakeFiles/caribou_fpga.dir/rule + +.PHONY : caribou_fpga + +# clean rule for target. +CMakeFiles/caribou_fpga.dir/clean: + $(MAKE) $(MAKESILENT) -f CMakeFiles/caribou_fpga.dir/build.make CMakeFiles/caribou_fpga.dir/clean +.PHONY : CMakeFiles/caribou_fpga.dir/clean + +#============================================================================= +# Special targets to cleanup operation of make. + +# Special rule to run CMake to check the build system integrity. +# No rule that depends on this can have commands that come from listfiles +# because they might be regenerated. +cmake_check_build_system: + $(CMAKE_COMMAND) -S$(CMAKE_SOURCE_DIR) -B$(CMAKE_BINARY_DIR) --check-build-system CMakeFiles/Makefile.cmake 0 +.PHONY : cmake_check_build_system + diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/TargetDirectories.txt b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/TargetDirectories.txt new file mode 100644 index 0000000..04f4abb --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/TargetDirectories.txt @@ -0,0 +1,8 @@ +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/install/strip.dir +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/install/local.dir +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/edit_cache.dir +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/rebuild_cache.dir +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/list_install_components.dir +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/install.dir diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/C.includecache b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/C.includecache new file mode 100644 index 0000000..cb255c9 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/C.includecache @@ -0,0 +1,76 @@ +#IncludeRegexLine: ^[ ]*[#%][ ]*(include|import)[ ]*[<"]([^">]+)([">]) + +#IncludeRegexScan: ^.*$ + +#IncludeRegexComplain: ^$ + +#IncludeRegexTransform: + +../../io_utils/io_utils.h +stdio.h +- +string.h +- +stdint.h +- +stdlib.h +- +pigpio/pigpio.h +../../io_utils/pigpio/pigpio.h + +../../io_utils/io_utils_spi.h +stdio.h +- +stdint.h +- +pthread.h +- +io_utils.h +../../io_utils/io_utils.h + +../../io_utils/pigpio/pigpio.h +stddef.h +- +stdint.h +- +pthread.h +- +stdio.h +- +pigpio.h +- +stdio.h +- +pigpio.h +../../io_utils/pigpio/pigpio.h +stdio.h +- +pigpio.h +- +stdio.h +- +pigpio.h +- +stdio.h +- +pigpio.h +- + +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c +stdio.h +- +string.h +- +caribou_fpga.h +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h + +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h +stdio.h +- +stdint.h +- +io_utils/io_utils.h +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/io_utils/io_utils.h +io_utils/io_utils_spi.h +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/io_utils/io_utils_spi.h + diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/DependInfo.cmake b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/DependInfo.cmake new file mode 100644 index 0000000..3eb239c --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/DependInfo.cmake @@ -0,0 +1,22 @@ +# The set of languages for which implicit dependencies are needed: +set(CMAKE_DEPENDS_LANGUAGES + "C" + ) +# The set of files for implicit dependencies of each language: +set(CMAKE_DEPENDS_CHECK_C + "/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c" "/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o" + ) +set(CMAKE_C_COMPILER_ID "GNU") + +# The include file search paths: +set(CMAKE_C_TARGET_INCLUDE_PATH + "/." + "../.." + ) + +# Targets to which this target links. +set(CMAKE_TARGET_LINKED_INFO_FILES + ) + +# Fortran module output directory. +set(CMAKE_Fortran_TARGET_MODULE_DIR "") diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/build.make b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/build.make new file mode 100644 index 0000000..343cfde --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/build.make @@ -0,0 +1,118 @@ +# CMAKE generated file: DO NOT EDIT! +# Generated by "Unix Makefiles" Generator, CMake Version 3.18 + +# Delete rule output on recipe failure. +.DELETE_ON_ERROR: + + +#============================================================================= +# Special targets provided by cmake. + +# Disable implicit rules so canonical targets will work. +.SUFFIXES: + + +# Disable VCS-based implicit rules. +% : %,v + + +# Disable VCS-based implicit rules. +% : RCS/% + + +# Disable VCS-based implicit rules. +% : RCS/%,v + + +# Disable VCS-based implicit rules. +% : SCCS/s.% + + +# Disable VCS-based implicit rules. +% : s.% + + +.SUFFIXES: .hpux_make_needs_suffix_list + + +# Command-line flag to silence nested $(MAKE). +$(VERBOSE)MAKESILENT = -s + +#Suppress display of executed commands. +$(VERBOSE).SILENT: + +# A target that is always out of date. +cmake_force: + +.PHONY : cmake_force + +#============================================================================= +# Set environment variables for the build. + +# The shell in which to execute make rules. +SHELL = /bin/sh + +# The CMake executable. +CMAKE_COMMAND = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake + +# The command to remove a file. +RM = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E rm -f + +# Escaping for special characters. +EQUALS = = + +# The top-level source directory on which CMake was run. +CMAKE_SOURCE_DIR = /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga + +# The top-level build directory on which CMake was run. +CMAKE_BINARY_DIR = /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build + +# Include any dependencies generated for this target. +include CMakeFiles/caribou_fpga.dir/depend.make + +# Include the progress variables for this target. +include CMakeFiles/caribou_fpga.dir/progress.make + +# Include the compile flags for this target's objects. +include CMakeFiles/caribou_fpga.dir/flags.make + +CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o: CMakeFiles/caribou_fpga.dir/flags.make +CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o: ../caribou_fpga.c + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_1) "Building C object CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o" + /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c + +CMakeFiles/caribou_fpga.dir/caribou_fpga.c.i: cmake_force + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/caribou_fpga.dir/caribou_fpga.c.i" + /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c > CMakeFiles/caribou_fpga.dir/caribou_fpga.c.i + +CMakeFiles/caribou_fpga.dir/caribou_fpga.c.s: cmake_force + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/caribou_fpga.dir/caribou_fpga.c.s" + /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c -o CMakeFiles/caribou_fpga.dir/caribou_fpga.c.s + +# Object files for target caribou_fpga +caribou_fpga_OBJECTS = \ +"CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o" + +# External object files for target caribou_fpga +caribou_fpga_EXTERNAL_OBJECTS = + +libcaribou_fpga.a: CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o +libcaribou_fpga.a: CMakeFiles/caribou_fpga.dir/build.make +libcaribou_fpga.a: CMakeFiles/caribou_fpga.dir/link.txt + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --bold --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_2) "Linking C static library libcaribou_fpga.a" + $(CMAKE_COMMAND) -P CMakeFiles/caribou_fpga.dir/cmake_clean_target.cmake + $(CMAKE_COMMAND) -E cmake_link_script CMakeFiles/caribou_fpga.dir/link.txt --verbose=$(VERBOSE) + +# Rule to build all files generated by this target. +CMakeFiles/caribou_fpga.dir/build: libcaribou_fpga.a + +.PHONY : CMakeFiles/caribou_fpga.dir/build + +CMakeFiles/caribou_fpga.dir/clean: + $(CMAKE_COMMAND) -P CMakeFiles/caribou_fpga.dir/cmake_clean.cmake +.PHONY : CMakeFiles/caribou_fpga.dir/clean + +CMakeFiles/caribou_fpga.dir/depend: + cd /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build && $(CMAKE_COMMAND) -E cmake_depends "Unix Makefiles" /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/DependInfo.cmake --color=$(COLOR) +.PHONY : CMakeFiles/caribou_fpga.dir/depend + diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/cmake_clean.cmake b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/cmake_clean.cmake new file mode 100644 index 0000000..6e76084 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/cmake_clean.cmake @@ -0,0 +1,10 @@ +file(REMOVE_RECURSE + "CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o" + "libcaribou_fpga.a" + "libcaribou_fpga.pdb" +) + +# Per-language clean rules from dependency scanning. +foreach(lang C) + include(CMakeFiles/caribou_fpga.dir/cmake_clean_${lang}.cmake OPTIONAL) +endforeach() diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/cmake_clean_target.cmake b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/cmake_clean_target.cmake new file mode 100644 index 0000000..6028426 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/cmake_clean_target.cmake @@ -0,0 +1,3 @@ +file(REMOVE_RECURSE + "libcaribou_fpga.a" +) diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/depend.internal b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/depend.internal new file mode 100644 index 0000000..a8735ce --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/depend.internal @@ -0,0 +1,9 @@ +# CMAKE generated file: DO NOT EDIT! +# Generated by "Unix Makefiles" Generator, CMake Version 3.18 + +CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o + ../../io_utils/io_utils.h + ../../io_utils/io_utils_spi.h + ../../io_utils/pigpio/pigpio.h + /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c + /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/depend.make b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/depend.make new file mode 100644 index 0000000..32583e0 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/depend.make @@ -0,0 +1,9 @@ +# CMAKE generated file: DO NOT EDIT! +# Generated by "Unix Makefiles" Generator, CMake Version 3.18 + +CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o: ../../io_utils/io_utils.h +CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o: ../../io_utils/io_utils_spi.h +CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o: ../../io_utils/pigpio/pigpio.h +CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o: ../caribou_fpga.c +CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o: ../caribou_fpga.h + diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/flags.make b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/flags.make new file mode 100644 index 0000000..01449ce --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/flags.make @@ -0,0 +1,10 @@ +# CMAKE generated file: DO NOT EDIT! +# Generated by "Unix Makefiles" Generator, CMake Version 3.18 + +# compile C with /usr/bin/cc +C_DEFINES = + +C_INCLUDES = -I/. -I/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/.. + +C_FLAGS = -O3 -DNDEBUG + diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/link.txt b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/link.txt new file mode 100644 index 0000000..3a63018 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/link.txt @@ -0,0 +1,2 @@ +/usr/bin/ar qc libcaribou_fpga.a CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o +/usr/bin/ranlib libcaribou_fpga.a diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/progress.make b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/progress.make new file mode 100644 index 0000000..abadeb0 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/caribou_fpga.dir/progress.make @@ -0,0 +1,3 @@ +CMAKE_PROGRESS_1 = 1 +CMAKE_PROGRESS_2 = 2 + diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/cmake.check_cache b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/cmake.check_cache new file mode 100644 index 0000000..3dccd73 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/cmake.check_cache @@ -0,0 +1 @@ +# This file is generated by cmake for dependency checking of the CMakeCache.txt file diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/progress.marks b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/progress.marks new file mode 100644 index 0000000..7ed6ff8 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/progress.marks @@ -0,0 +1 @@ +5 diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/C.includecache b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/C.includecache new file mode 100644 index 0000000..79b948b --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/C.includecache @@ -0,0 +1,74 @@ +#IncludeRegexLine: ^[ ]*[#%][ ]*(include|import)[ ]*[<"]([^">]+)([">]) + +#IncludeRegexScan: ^.*$ + +#IncludeRegexComplain: ^$ + +#IncludeRegexTransform: + +../../io_utils/io_utils.h +stdio.h +- +string.h +- +stdint.h +- +stdlib.h +- +pigpio/pigpio.h +../../io_utils/pigpio/pigpio.h + +../../io_utils/io_utils_spi.h +stdio.h +- +stdint.h +- +pthread.h +- +io_utils.h +../../io_utils/io_utils.h + +../../io_utils/pigpio/pigpio.h +stddef.h +- +stdint.h +- +pthread.h +- +stdio.h +- +pigpio.h +- +stdio.h +- +pigpio.h +../../io_utils/pigpio/pigpio.h +stdio.h +- +pigpio.h +- +stdio.h +- +pigpio.h +- +stdio.h +- +pigpio.h +- + +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h +stdio.h +- +stdint.h +- +io_utils/io_utils.h +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/io_utils/io_utils.h +io_utils/io_utils_spi.h +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/io_utils/io_utils_spi.h + +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/test_caribou_fpga.c +stdio.h +- +caribou_fpga.h +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h + diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/DependInfo.cmake b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/DependInfo.cmake new file mode 100644 index 0000000..0cab181 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/DependInfo.cmake @@ -0,0 +1,23 @@ +# The set of languages for which implicit dependencies are needed: +set(CMAKE_DEPENDS_LANGUAGES + "C" + ) +# The set of files for implicit dependencies of each language: +set(CMAKE_DEPENDS_CHECK_C + "/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c" "/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o" + "/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/test_caribou_fpga.c" "/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o" + ) +set(CMAKE_C_COMPILER_ID "GNU") + +# The include file search paths: +set(CMAKE_C_TARGET_INCLUDE_PATH + "/." + "../.." + ) + +# Targets to which this target links. +set(CMAKE_TARGET_LINKED_INFO_FILES + ) + +# Fortran module output directory. +set(CMAKE_Fortran_TARGET_MODULE_DIR "") diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/build.make b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/build.make new file mode 100644 index 0000000..9112220 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/build.make @@ -0,0 +1,133 @@ +# CMAKE generated file: DO NOT EDIT! +# Generated by "Unix Makefiles" Generator, CMake Version 3.18 + +# Delete rule output on recipe failure. +.DELETE_ON_ERROR: + + +#============================================================================= +# Special targets provided by cmake. + +# Disable implicit rules so canonical targets will work. +.SUFFIXES: + + +# Disable VCS-based implicit rules. +% : %,v + + +# Disable VCS-based implicit rules. +% : RCS/% + + +# Disable VCS-based implicit rules. +% : RCS/%,v + + +# Disable VCS-based implicit rules. +% : SCCS/s.% + + +# Disable VCS-based implicit rules. +% : s.% + + +.SUFFIXES: .hpux_make_needs_suffix_list + + +# Command-line flag to silence nested $(MAKE). +$(VERBOSE)MAKESILENT = -s + +#Suppress display of executed commands. +$(VERBOSE).SILENT: + +# A target that is always out of date. +cmake_force: + +.PHONY : cmake_force + +#============================================================================= +# Set environment variables for the build. + +# The shell in which to execute make rules. +SHELL = /bin/sh + +# The CMake executable. +CMAKE_COMMAND = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake + +# The command to remove a file. +RM = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E rm -f + +# Escaping for special characters. +EQUALS = = + +# The top-level source directory on which CMake was run. +CMAKE_SOURCE_DIR = /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga + +# The top-level build directory on which CMake was run. +CMAKE_BINARY_DIR = /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build + +# Include any dependencies generated for this target. +include CMakeFiles/test_caribou_fpga.dir/depend.make + +# Include the progress variables for this target. +include CMakeFiles/test_caribou_fpga.dir/progress.make + +# Include the compile flags for this target's objects. +include CMakeFiles/test_caribou_fpga.dir/flags.make + +CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o: CMakeFiles/test_caribou_fpga.dir/flags.make +CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o: ../caribou_fpga.c + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_1) "Building C object CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o" + /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c + +CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.i: cmake_force + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.i" + /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c > CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.i + +CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.s: cmake_force + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.s" + /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c -o CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.s + +CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o: CMakeFiles/test_caribou_fpga.dir/flags.make +CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o: ../test_caribou_fpga.c + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_2) "Building C object CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o" + /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/test_caribou_fpga.c + +CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.i: cmake_force + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.i" + /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/test_caribou_fpga.c > CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.i + +CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.s: cmake_force + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.s" + /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/test_caribou_fpga.c -o CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.s + +# Object files for target test_caribou_fpga +test_caribou_fpga_OBJECTS = \ +"CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o" \ +"CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o" + +# External object files for target test_caribou_fpga +test_caribou_fpga_EXTERNAL_OBJECTS = + +test_caribou_fpga: CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o +test_caribou_fpga: CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o +test_caribou_fpga: CMakeFiles/test_caribou_fpga.dir/build.make +test_caribou_fpga: ../../io_utils/build/libio_utils.a +test_caribou_fpga: CMakeFiles/test_caribou_fpga.dir/link.txt + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --bold --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_3) "Linking C executable test_caribou_fpga" + $(CMAKE_COMMAND) -E cmake_link_script CMakeFiles/test_caribou_fpga.dir/link.txt --verbose=$(VERBOSE) + +# Rule to build all files generated by this target. +CMakeFiles/test_caribou_fpga.dir/build: test_caribou_fpga + +.PHONY : CMakeFiles/test_caribou_fpga.dir/build + +CMakeFiles/test_caribou_fpga.dir/clean: + $(CMAKE_COMMAND) -P CMakeFiles/test_caribou_fpga.dir/cmake_clean.cmake +.PHONY : CMakeFiles/test_caribou_fpga.dir/clean + +CMakeFiles/test_caribou_fpga.dir/depend: + cd /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build && $(CMAKE_COMMAND) -E cmake_depends "Unix Makefiles" /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/DependInfo.cmake --color=$(COLOR) +.PHONY : CMakeFiles/test_caribou_fpga.dir/depend + diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/cmake_clean.cmake b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/cmake_clean.cmake new file mode 100644 index 0000000..0bdf5ee --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/cmake_clean.cmake @@ -0,0 +1,11 @@ +file(REMOVE_RECURSE + "CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o" + "CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o" + "test_caribou_fpga" + "test_caribou_fpga.pdb" +) + +# Per-language clean rules from dependency scanning. +foreach(lang C) + include(CMakeFiles/test_caribou_fpga.dir/cmake_clean_${lang}.cmake OPTIONAL) +endforeach() diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/depend.internal b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/depend.internal new file mode 100644 index 0000000..1ea1f87 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/depend.internal @@ -0,0 +1,15 @@ +# CMAKE generated file: DO NOT EDIT! +# Generated by "Unix Makefiles" Generator, CMake Version 3.18 + +CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o + ../../io_utils/io_utils.h + ../../io_utils/io_utils_spi.h + ../../io_utils/pigpio/pigpio.h + /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c + /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h +CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o + ../../io_utils/io_utils.h + ../../io_utils/io_utils_spi.h + ../../io_utils/pigpio/pigpio.h + /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h + /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/test_caribou_fpga.c diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/depend.make b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/depend.make new file mode 100644 index 0000000..30d61da --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/depend.make @@ -0,0 +1,15 @@ +# CMAKE generated file: DO NOT EDIT! +# Generated by "Unix Makefiles" Generator, CMake Version 3.18 + +CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o: ../../io_utils/io_utils.h +CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o: ../../io_utils/io_utils_spi.h +CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o: ../../io_utils/pigpio/pigpio.h +CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o: ../caribou_fpga.c +CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o: ../caribou_fpga.h + +CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o: ../../io_utils/io_utils.h +CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o: ../../io_utils/io_utils_spi.h +CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o: ../../io_utils/pigpio/pigpio.h +CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o: ../caribou_fpga.h +CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o: ../test_caribou_fpga.c + diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/flags.make b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/flags.make new file mode 100644 index 0000000..01449ce --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/flags.make @@ -0,0 +1,10 @@ +# CMAKE generated file: DO NOT EDIT! +# Generated by "Unix Makefiles" Generator, CMake Version 3.18 + +# compile C with /usr/bin/cc +C_DEFINES = + +C_INCLUDES = -I/. -I/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/.. + +C_FLAGS = -O3 -DNDEBUG + diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/link.txt b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/link.txt new file mode 100644 index 0000000..0e2fa48 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/link.txt @@ -0,0 +1 @@ +/usr/bin/cc -O3 -DNDEBUG CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o -o test_caribou_fpga -lrt -lpthread ../../io_utils/build/libio_utils.a diff --git a/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/progress.make b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/progress.make new file mode 100644 index 0000000..f326497 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/CMakeFiles/test_caribou_fpga.dir/progress.make @@ -0,0 +1,4 @@ +CMAKE_PROGRESS_1 = 3 +CMAKE_PROGRESS_2 = 4 +CMAKE_PROGRESS_3 = 5 + diff --git a/software/libcariboulite/src/caribou_fpga/build/Makefile b/software/libcariboulite/src/caribou_fpga/build/Makefile new file mode 100644 index 0000000..d901046 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/Makefile @@ -0,0 +1,294 @@ +# CMAKE generated file: DO NOT EDIT! +# Generated by "Unix Makefiles" Generator, CMake Version 3.18 + +# Default target executed when no arguments are given to make. +default_target: all + +.PHONY : default_target + +# Allow only one "make -f Makefile2" at a time, but pass parallelism. +.NOTPARALLEL: + + +#============================================================================= +# Special targets provided by cmake. + +# Disable implicit rules so canonical targets will work. +.SUFFIXES: + + +# Disable VCS-based implicit rules. +% : %,v + + +# Disable VCS-based implicit rules. +% : RCS/% + + +# Disable VCS-based implicit rules. +% : RCS/%,v + + +# Disable VCS-based implicit rules. +% : SCCS/s.% + + +# Disable VCS-based implicit rules. +% : s.% + + +.SUFFIXES: .hpux_make_needs_suffix_list + + +# Command-line flag to silence nested $(MAKE). +$(VERBOSE)MAKESILENT = -s + +#Suppress display of executed commands. +$(VERBOSE).SILENT: + +# A target that is always out of date. +cmake_force: + +.PHONY : cmake_force + +#============================================================================= +# Set environment variables for the build. + +# The shell in which to execute make rules. +SHELL = /bin/sh + +# The CMake executable. +CMAKE_COMMAND = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake + +# The command to remove a file. +RM = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E rm -f + +# Escaping for special characters. +EQUALS = = + +# The top-level source directory on which CMake was run. +CMAKE_SOURCE_DIR = /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga + +# The top-level build directory on which CMake was run. +CMAKE_BINARY_DIR = /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build + +#============================================================================= +# Targets provided globally by CMake. + +# Special rule for the target install/strip +install/strip: preinstall + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Installing the project stripped..." + /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -DCMAKE_INSTALL_DO_STRIP=1 -P cmake_install.cmake +.PHONY : install/strip + +# Special rule for the target install/strip +install/strip/fast: preinstall/fast + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Installing the project stripped..." + /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -DCMAKE_INSTALL_DO_STRIP=1 -P cmake_install.cmake +.PHONY : install/strip/fast + +# Special rule for the target install/local +install/local: preinstall + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Installing only the local directory..." + /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -DCMAKE_INSTALL_LOCAL_ONLY=1 -P cmake_install.cmake +.PHONY : install/local + +# Special rule for the target install/local +install/local/fast: preinstall/fast + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Installing only the local directory..." + /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -DCMAKE_INSTALL_LOCAL_ONLY=1 -P cmake_install.cmake +.PHONY : install/local/fast + +# Special rule for the target edit_cache +edit_cache: + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "No interactive CMake dialog available..." + /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E echo No\ interactive\ CMake\ dialog\ available. +.PHONY : edit_cache + +# Special rule for the target edit_cache +edit_cache/fast: edit_cache + +.PHONY : edit_cache/fast + +# Special rule for the target rebuild_cache +rebuild_cache: + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Running CMake to regenerate build system..." + /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake --regenerate-during-build -S$(CMAKE_SOURCE_DIR) -B$(CMAKE_BINARY_DIR) +.PHONY : rebuild_cache + +# Special rule for the target rebuild_cache +rebuild_cache/fast: rebuild_cache + +.PHONY : rebuild_cache/fast + +# Special rule for the target list_install_components +list_install_components: + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Available install components are: \"Unspecified\"" +.PHONY : list_install_components + +# Special rule for the target list_install_components +list_install_components/fast: list_install_components + +.PHONY : list_install_components/fast + +# Special rule for the target install +install: preinstall + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Install the project..." + /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -P cmake_install.cmake +.PHONY : install + +# Special rule for the target install +install/fast: preinstall/fast + @$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Install the project..." + /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -P cmake_install.cmake +.PHONY : install/fast + +# The main all target +all: cmake_check_build_system + $(CMAKE_COMMAND) -E cmake_progress_start /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build//CMakeFiles/progress.marks + $(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 all + $(CMAKE_COMMAND) -E cmake_progress_start /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/CMakeFiles 0 +.PHONY : all + +# The main clean target +clean: + $(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 clean +.PHONY : clean + +# The main clean target +clean/fast: clean + +.PHONY : clean/fast + +# Prepare targets for installation. +preinstall: all + $(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 preinstall +.PHONY : preinstall + +# Prepare targets for installation. +preinstall/fast: + $(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 preinstall +.PHONY : preinstall/fast + +# clear depends +depend: + $(CMAKE_COMMAND) -S$(CMAKE_SOURCE_DIR) -B$(CMAKE_BINARY_DIR) --check-build-system CMakeFiles/Makefile.cmake 1 +.PHONY : depend + +#============================================================================= +# Target rules for targets named test_caribou_fpga + +# Build rule for target. +test_caribou_fpga: cmake_check_build_system + $(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 test_caribou_fpga +.PHONY : test_caribou_fpga + +# fast build rule for target. +test_caribou_fpga/fast: + $(MAKE) $(MAKESILENT) -f CMakeFiles/test_caribou_fpga.dir/build.make CMakeFiles/test_caribou_fpga.dir/build +.PHONY : test_caribou_fpga/fast + +#============================================================================= +# Target rules for targets named caribou_fpga + +# Build rule for target. +caribou_fpga: cmake_check_build_system + $(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 caribou_fpga +.PHONY : caribou_fpga + +# fast build rule for target. +caribou_fpga/fast: + $(MAKE) $(MAKESILENT) -f CMakeFiles/caribou_fpga.dir/build.make CMakeFiles/caribou_fpga.dir/build +.PHONY : caribou_fpga/fast + +caribou_fpga.o: caribou_fpga.c.o + +.PHONY : caribou_fpga.o + +# target to build an object file +caribou_fpga.c.o: + $(MAKE) $(MAKESILENT) -f CMakeFiles/test_caribou_fpga.dir/build.make CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.o + $(MAKE) $(MAKESILENT) -f CMakeFiles/caribou_fpga.dir/build.make CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o +.PHONY : caribou_fpga.c.o + +caribou_fpga.i: caribou_fpga.c.i + +.PHONY : caribou_fpga.i + +# target to preprocess a source file +caribou_fpga.c.i: + $(MAKE) $(MAKESILENT) -f CMakeFiles/test_caribou_fpga.dir/build.make CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.i + $(MAKE) $(MAKESILENT) -f CMakeFiles/caribou_fpga.dir/build.make CMakeFiles/caribou_fpga.dir/caribou_fpga.c.i +.PHONY : caribou_fpga.c.i + +caribou_fpga.s: caribou_fpga.c.s + +.PHONY : caribou_fpga.s + +# target to generate assembly for a file +caribou_fpga.c.s: + $(MAKE) $(MAKESILENT) -f CMakeFiles/test_caribou_fpga.dir/build.make CMakeFiles/test_caribou_fpga.dir/caribou_fpga.c.s + $(MAKE) $(MAKESILENT) -f CMakeFiles/caribou_fpga.dir/build.make CMakeFiles/caribou_fpga.dir/caribou_fpga.c.s +.PHONY : caribou_fpga.c.s + +test_caribou_fpga.o: test_caribou_fpga.c.o + +.PHONY : test_caribou_fpga.o + +# target to build an object file +test_caribou_fpga.c.o: + $(MAKE) $(MAKESILENT) -f CMakeFiles/test_caribou_fpga.dir/build.make CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.o +.PHONY : test_caribou_fpga.c.o + +test_caribou_fpga.i: test_caribou_fpga.c.i + +.PHONY : test_caribou_fpga.i + +# target to preprocess a source file +test_caribou_fpga.c.i: + $(MAKE) $(MAKESILENT) -f CMakeFiles/test_caribou_fpga.dir/build.make CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.i +.PHONY : test_caribou_fpga.c.i + +test_caribou_fpga.s: test_caribou_fpga.c.s + +.PHONY : test_caribou_fpga.s + +# target to generate assembly for a file +test_caribou_fpga.c.s: + $(MAKE) $(MAKESILENT) -f CMakeFiles/test_caribou_fpga.dir/build.make CMakeFiles/test_caribou_fpga.dir/test_caribou_fpga.c.s +.PHONY : test_caribou_fpga.c.s + +# Help Target +help: + @echo "The following are some of the valid targets for this Makefile:" + @echo "... all (the default if no target is provided)" + @echo "... clean" + @echo "... depend" + @echo "... edit_cache" + @echo "... install" + @echo "... install/local" + @echo "... install/strip" + @echo "... list_install_components" + @echo "... rebuild_cache" + @echo "... caribou_fpga" + @echo "... test_caribou_fpga" + @echo "... caribou_fpga.o" + @echo "... caribou_fpga.i" + @echo "... caribou_fpga.s" + @echo "... test_caribou_fpga.o" + @echo "... test_caribou_fpga.i" + @echo "... test_caribou_fpga.s" +.PHONY : help + + + +#============================================================================= +# Special targets to cleanup operation of make. + +# Special rule to run CMake to check the build system integrity. +# No rule that depends on this can have commands that come from listfiles +# because they might be regenerated. +cmake_check_build_system: + $(CMAKE_COMMAND) -S$(CMAKE_SOURCE_DIR) -B$(CMAKE_BINARY_DIR) --check-build-system CMakeFiles/Makefile.cmake 0 +.PHONY : cmake_check_build_system + diff --git a/software/libcariboulite/src/caribou_fpga/build/cmake_install.cmake b/software/libcariboulite/src/caribou_fpga/build/cmake_install.cmake new file mode 100644 index 0000000..fe60c98 --- /dev/null +++ b/software/libcariboulite/src/caribou_fpga/build/cmake_install.cmake @@ -0,0 +1,66 @@ +# Install script for directory: /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga + +# Set the install prefix +if(NOT DEFINED CMAKE_INSTALL_PREFIX) + set(CMAKE_INSTALL_PREFIX "/usr/local") +endif() +string(REGEX REPLACE "/$" "" CMAKE_INSTALL_PREFIX "${CMAKE_INSTALL_PREFIX}") + +# Set the install configuration name. +if(NOT DEFINED CMAKE_INSTALL_CONFIG_NAME) + if(BUILD_TYPE) + string(REGEX REPLACE "^[^A-Za-z0-9_]+" "" + CMAKE_INSTALL_CONFIG_NAME "${BUILD_TYPE}") + else() + set(CMAKE_INSTALL_CONFIG_NAME "Release") + endif() + message(STATUS "Install configuration: \"${CMAKE_INSTALL_CONFIG_NAME}\"") +endif() + +# Set the component getting installed. +if(NOT CMAKE_INSTALL_COMPONENT) + if(COMPONENT) + message(STATUS "Install component: \"${COMPONENT}\"") + set(CMAKE_INSTALL_COMPONENT "${COMPONENT}") + else() + set(CMAKE_INSTALL_COMPONENT) + endif() +endif() + +# Install shared libraries without execute permission? +if(NOT DEFINED CMAKE_INSTALL_SO_NO_EXE) + set(CMAKE_INSTALL_SO_NO_EXE "1") +endif() + +# Is this installation the result of a crosscompile? +if(NOT DEFINED CMAKE_CROSSCOMPILING) + set(CMAKE_CROSSCOMPILING "FALSE") +endif() + +# Set default install directory permissions. +if(NOT DEFINED CMAKE_OBJDUMP) + set(CMAKE_OBJDUMP "/usr/bin/objdump") +endif() + +if("x${CMAKE_INSTALL_COMPONENT}x" STREQUAL "xUnspecifiedx" OR NOT CMAKE_INSTALL_COMPONENT) + list(APPEND CMAKE_ABSOLUTE_DESTINATION_FILES + "/usr/lib/libcaribou_fpga.a") + if(CMAKE_WARN_ON_ABSOLUTE_INSTALL_DESTINATION) + message(WARNING "ABSOLUTE path INSTALL DESTINATION : ${CMAKE_ABSOLUTE_DESTINATION_FILES}") + endif() + if(CMAKE_ERROR_ON_ABSOLUTE_INSTALL_DESTINATION) + message(FATAL_ERROR "ABSOLUTE path INSTALL DESTINATION forbidden (by caller): ${CMAKE_ABSOLUTE_DESTINATION_FILES}") + endif() +file(INSTALL DESTINATION "/usr/lib" TYPE STATIC_LIBRARY FILES "/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/libcaribou_fpga.a") +endif() + +if(CMAKE_INSTALL_COMPONENT) + set(CMAKE_INSTALL_MANIFEST "install_manifest_${CMAKE_INSTALL_COMPONENT}.txt") +else() + set(CMAKE_INSTALL_MANIFEST "install_manifest.txt") +endif() + +string(REPLACE ";" "\n" CMAKE_INSTALL_MANIFEST_CONTENT + "${CMAKE_INSTALL_MANIFEST_FILES}") +file(WRITE "/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/build/${CMAKE_INSTALL_MANIFEST}" + "${CMAKE_INSTALL_MANIFEST_CONTENT}") diff --git a/software/libcariboulite/src/caribou_fpga/build/test_caribou_fpga b/software/libcariboulite/src/caribou_fpga/build/test_caribou_fpga new file mode 100755 index 0000000000000000000000000000000000000000..17981ec482ea68b7e4d020464b35afb828c89dcb GIT binary patch literal 248636 zcmb@v3t&{$weY{sJi;)nu`aB8f(-6QKO}0c&Jpd4i6Qrl?gAQMi?Qs>gBeR z7cUbG36F?ij<1SdZyPObxt6xW!$&K&ShQxk~WU-T;#P{9dGS3@Zq zrT$6ElT~BYCP`~2NC5tayx%|S5DRSxv z45@eZ=WdWkO6Vat%b&m~C&)7?U-!lK#@mbjupxWt7ha$8-ANz5`nzl1tat0_#9@LO zS{hK3wW8^2Zafs4KC(HW#;YmH4^L7m6!QfFzR1us)#4WYJe7`|y;G?R68c`fIHn@n zm3k}~(qe(;dv|ojAX`L5ChKupR`;lh0Tt2pwQ9rp%`t!cDbPL~3MfNQO)g689jS(f z4mBxtUd+K+(2zgj(GVw`VTsM7-8{g{2-sm%%2|^ zJ+A-SeIKTdSEh$K!J%dFo%_V1go+p%iW zp9l8benWlaxo;PDPWsB0Rn~()eg5{(es)ac$y4_$G-H} z#u4v7`K5zLf_1;YziRe>toX`Rb1xhINbgg_zmZrou>1TwMt=2%x9?h&*uHAQl*YT) zUA=YHF+LX5{rz{jbCKf9l?<_3!+q>Z$LY`0i`-E+78g z%Y6sdT-)|;=|68@RW~{_{MQ%#>$|=&Prdt+^~dl0{`7bMP`d8HRaczW_RY~h8Tyy# z?&i}j`}&QC{Fi;{FIQ`K4<9!CTTiS$v1Qel-*{-%UC(Y?HSb94>l^QGK5f@~xBTv~ zb=UAA(0t;*U4B~T!A+|!@{gz)Ms5{>|APYfD+}_8g8W|! z`uj@({*?vw#}$a{(}0Q1^7%TD1Wm6 zpD_jSA1NsRWTMOEk7v#?< z81K!LzwDv^dj<8k6~Ipvl+P;2A1TPU7qtIF!FVQ;KZ-5H0CD9Ark z(Egl){!S~%zh2OOI{A|x`mZaf|8PORxS;(t1@bhqp!}(V{L2OKiVE^$3dYk}(Eh&) z@@EyaKT%MAtN>np0e$xul&1>dDeU1DjbZ|iQ}aGM=el_d7p+)y`_h$-i&o6JZfe8w zWsBz2&u>_y=FPkP&gIMIt!%7c(Kv5juIk5D1YWXn$%;kw3k7IYxtV!)-rcz9o_R}` zEp04#boa7`aQ*nX(J8xP2vgIoq7A;B+R;h1n zT=CIFvwv8&qxtw=Zgx z(JLO-FZf8^JC-(_>ceUH5pp_DzFbdlD;6#Il3G!}bR~E$oxeaBaj9Afc@{M)z%NjX z7dPC!a*2YL3zGM$#mnxjZ(Kk&xnk+E#>Hy!^5mjrQn+lvok_J|@tyT|ELu_jWd^Yn zAeUc0Z{g)8ROFS~az-LQOlaz12LuyyIOJLn%#TBhpfFJI9J$b}H* z&P8`FSh7M8NiJO|-7I#-yI{$k%NMG}4ljjF4f1r}3aQYzyg}W0XMIvFUbK8M2*IJH zE0c>BQ5`5N6_sfrH5=#)_*780H!PnokN96TF5roccp`p z5CRTBGwKSlmc#Ws2O%%0U%5!gv2wwZMR1HNlDNBJ(F*9Ypz+@1qIvU$y)v#v%f3X< z%%l}+>GB1Q4Ql1RP~lE>=iN{m5JFiO+`M`B+8*X^H2^WfHUYTY~U&iZ>8;VG6v+{G>{8y8U18F(Ja#^rY>lZ#e3s4iZx z4CEYoF3-^ubVTY0g?HtmhQ;d6I~Ffpyj;*`M9XEoB2}&~xU(LX3a!Bv(k*j4rn`IU z=P^@6`mdhx*(p=!jlXchU~2qjr;>GpNslq@!?5+U^Ok?T{}m190&XfeSW9Z>!l`z- z)Tw+PmXDe~cEj>-{@JPi^00HIA6G}7d0U=>aSDpJJXc$M3-VviSD_P^FI~#^JD?W1 z>x@R)q=MKBvd3MsaSN`EA!<40@@%b>FH-lp`7@mMLDlBwbMX8gc$$*^v9y)HT)A(s z{F!kmcayI+L(V#k=&3r^+Hq+%22bHRjn9X{X#5f9c ziP^MQOUx#}M&c<-)k=(GASy8qfe8{X#3vxJuGB<{ac#sTW)YhvF`KXH60`B2Au$ew zxWp6jPf5%se6GZ7v~QJ|4SzynHv9`E#(}X!Vw@Tc5|6~MB=HQT8YRXVaF4`iD78xB zX-cIeW>eoP@h70S#5gM2CB8zbPKjemZIZZ({hq{8rM63q1I3USXT;+Y<4D*kF`LF` zB!&@v65piME{SoN?3Q@4QhOxEd6AYFhr$7g{|P=wT%puKiE(}$k{HL!VTnJZ)Del{ z-&+#T$M+`j%}Tu^@pe z6G=Q8{!5HAC?fIs@L%HV;lIRT_%HEL_%AWeqiTu22>&HU_-ZA_=@ONA4E&cEnXQu; z$IC>CnF%q8ar{h^`19~z;vw)~;#&AGaS8mF_;UC!@e=qi@pbTD;spGc80X6piP0+! z65j#;C7ufZC62>?iI>5DiLZhG5)Xs_5|_e%iEn`a5|4-f5?=-XB_0d^C7uBPCB6Xu zOME)~mzWLtPKnE)W9)+WuJ)Pgpl(z+*I;Ymk4>5wO_Jn55v^3dOtKI%!Ep7aq<`j996 zvL~JPq<4GLeV+7APulRLdpzk*PkOB9Xqlf;U^ifaRlr(=Qre5&gghSV5db)e<9)GXBc;33q zw1I)+w+7Vlp~|pcmN;TqLDDK_WbuU^uZeVIBwrhQ)vk$k*k9#YZRBnHeEn_vlhL>B zK%~P~dWT)Zv-5a14Y=vQ4jeCb+tsb?wTo$sUzKNX+qIPMraYo|WKMJIoJM&B*f*h{ zBl-^eH0lkZ&#zOjntFP)BNLzx745Jq$XAgsciWcJu7XfS&im{Cpc_`er6oS6<1?CjZSRg`+&T!0Nynocvrjd zW&>{(@SgL)^8;^J0X%H#9~Wg6K)WXC(Fi5%+KJL&>ElJ2zw=?m;)=usT)wXbDNri>A%;-N}S z?R?D7zM|JI*L!V$^a0bSclUF$mmM{5k#_xG2abIh2`KRgR?xnJdP>c;??_$O$@~uT^r#9IWpta-``2hJS`Q6m> zQ7@uzvWwH3Y!yeYq8*tK`X&(Xu#pQ(5tr*7b||vRZr7m^Z6oOpy9NGCc~aD5D3KT;KKeFADcUj=`o;5~=_-bD`BEoA=;{sCZ4(zidRt4@=^gqkRNoX75naIg?JQExzKH*mlggC*Wbv&Laht_vH@Hac~ z+0L*NnVHpMhQ$^ttkTdq-DHa%QRZvN-d=l8`c(`4c{GF`KdilAY1Ao()vO))0YXYjn-lJZ%Dk7Whkp3#N%zB_C4IE&+=E7jx z;lR*<;S(6N7hLjdg0vMJ_UNx#0wdpkuiO5UZhIf?{W|Sw>x&p!9h}3ef#{nWem@{?b$v${rhPjFlkR)ALEG8{sj2%iRAhf7*5}2js}mo?b)_D?E|!z@t3>u z(+FSsKO8u|8@?y%E;+20^17B)*zSuLia-NA^u6LjP zM#hUC5PsFVhuhb{y3bhBH5eKY1A+8Uy#( ztJWXUNitt?9X)?q>}}SKK&E=KVGW4|G9t4o`Xcb!Y~f21UQGbUI&ci2Q~wB#`LSH= z;{5^7@?*KsefC?=SY{NACC>|8#*!92cWV}RO}N$UwOjON%h5gXqjYSu6*7N`{_Z&X zxA?H%9n0V1L)gWqkPiX(xyV=^_ksV;%`Yz>8a%j*EiN)7^ClYKVNXcxuyOl@{VBuB z>uZ@uzE13N4^N8oJW2j-o@{i-f&P2~m)rA7-JI2Q{|Ke8BRezMY~#YU-$wvCkWi=868e1UNaFKrJm)9%>4y!@{F z%*)Gm4?Tnqd0yhi9F$93gJI2GUu9kiKO?Lqe~*td{{bI+TwDtI*yTR=^0C8x=H=rv z1$fIk`;YXVAv|>PFV}xcdapBv+!~br$oeBXL3hXS_w=6V_(d*$dA%1y55#yr&oh<@ z^eyu&%JZAu=c4ySR&}1uai59a%j=9o?ifEH{y5$Dk9bt^4|sHx{fg*~zctq`a&ao; z(RrS6<>y+p`^?Lulm8!iRQ12$(RbW2{39NH_+L3)P{^Y{y3a-4ghvzLhs>!H?(;m4 z#D+fZKJ)UZ>dD;t6eAXX{lD-@{|9{f%0J-K3oc%TeENZBY_VLX_`BR{Mz(? zJC~~e7ks+zf61pV7r#P2wR^_m<+Y95R0a7#I0?h5oG9(k|G;G1hnL*2WDT#- zWnX4kMf9UaclFCYVJi3ymILP7)NWZat1Do)02%`oPA}nYR&8ue#K2EKW}+!rm?iuzK2j7Z?)ruMnVr^55Xh^X13Zjgc*b+ zp@_am2#jPr!|_F>*!ee;_p^tPz2TGWg(WTf!pGfoi1Z_*rR|UYoZBmJ8rSOh(oQse z@2J>}(}8iA@r&LMCJk#Ed9lOF$xn9rGqb-Z{~`40Bpf6xAw=Po?5~AJ7!=O9WnccC z-1>~2XJqHiYt3LMSf?QuLbuVt z3m=58)q1PVvEQ3<%6#mpV{Vy`vgwpzGrig9mQ8WX{FF6N#&Ok~J#N`Fw+xxcc2agW zWqaH*j+31Jf|Tu|jD5DVQ;?s@PnL(YoZk=yZC+4a1ZR+$;r-nM$yLFzW z&SjoDyQs5=Isxij<<|KIbuRSOd4M{#)G?8%PrG&2Q|D|?ou{Z{QfCi!Cb)Hw<6~8x zI*j4z8Ks--9_pO$*6E{8nOjG2Nm70f35hmW{v=1llQH_b6^Sas$zFPcTlQtQ>_?PU{Ts8C&`EICfw*DK`)VqaroIL* zo7^^Ai5th|{9wc9W=!6p@%kiAAZ@<$F~jn+ zZu3&XIg^@p4>uB6Vq2ioutAm_=J%uM>ar*yMD1R2xREUPB^5IzRrs;T&OW~1}t zW%$jui61e*^Qy>3^x|a7@GDZr`oBK625z>+cVy$A%&mi)?Tnia;S2l~=`eT&I0y2N zYcp%2-S$1B+wdLE&ia4XyLG0fj>Rt1REi++K`zctxRkp=$VIVw$btQ1V2IC70q+&k zQWhZnvYVEEULY-FkbcA$JtVrhzgX|Gm9ZJT;kjd{2)$-y{b{2#oHn$3^qigGHw-P* zx!Ep8hV}!e4*NxPL^b2q`mV}o`c(W!%)eBJt;MEghNTQE+{gJG^c5RNOMGC5lE8`^ z*{_uumcSiGpMAiRwBRe}de4v#6YnEloiMD4ZvS6O{Px|pc*>GGk5Q&2Z_3;q|LwcS z2L>t~TPl8(G0d^vAzz%@fquf?17;_6Lu!XDaHNk-PTsKo?N1_KIX~qI=6$$xomr$C z*3g-4rkq{XLN6KPk?jr)Gb=XrMCuOd+}tm6Q5=D$oCO4Q zXqnt>b7dr~sl&D}b{Lw?%=(gs=C4B*!Rf1Y{!A#b89f8O(^@T=-~L#ubqRQmcX5`n zEG92=N}kEM=98DY`TT93avA3>p1jn*(UX^Q@t=F)eU5w{mh^WG`7`6p>niY!Xc;M! z{-(M01ctPadD=;SB6%%-L#8!mSj_WEfhBFFzKki~r{u?xmotO>vkS;?g_dj8=Jy=A zMBW@(>@>8zylKYN5n-b>f{cy8)(mTLs_OCN&s8RXmIxpJX} zJR89?EpekGLxN{O-C~I>N!b>c#zU#I5`6MJo5;KgF;|3FQZE&6$-aU=O~yBobwm38 zki5umo|Y%cOWl0_15dfMIpN6*Ztr{YQhwBvmvZr+=V3{ICi$T;BYSrFNBQ)oTTf^p z`25V%PVzq`uf^tMgf23EftjzHACt@jsr!=DrCjndmJQ@1aU<((^Zf$&&y$zBhloWM zL?+PxnLsRMouEH~m#5=>)bYy6zq+vU_&iBo+<7^Kyx2o8{V}(e_{obsG@kOma7LK=2IoIt>%?<; z{w303_4#adtTppOz(G~qDe1R=zWNL7Rhgc*c6?9m#C z*AjLTUM8Gi&(;SW`gB5!vtQ23Vv(++vYs_Xo6JCR3u|MO88W-BDfo*S|4r6g zkk-FZk@WNC9RnUc_wRGi2auME9#KB zh}+McANbYLDM5FSi@yY#iEq9zR4G>@2XYNMOY=5bTamN5%V-`M%2 zTTK7OIeCRX$BIRt(NF$dOYVw@AK`TR7g`DJ0?35|w#bFd2idn@jQ=IWT#{JM>|Vca zexycdE53%5F|Hh5n~vY8lQwuYfbo zTpz{J9l?ty{h(-I!hV0>xHZAM-M*CQ!(dsK=hrwjXVFQ*RfcPPN(-BdvCfz5U1GdM9{&+;iFEecW>y+s8e}j}Y$Dw%TpK%AKnW zLw1KkSFztK8S8AugYP5z7_=P5nRpny`|8(>>_tw-UTRpwqkiUQ&aS-!{v4g8sk+Iz z@J>uq(r2E&pQJC51EGcNi)8FV*T0s#yVt36Hf%*AP*x<90@jHTMG`+MrvF~=(0x>cUK z->0tFLnoOR(s#cL_kGC&_k+kO9KK22)zm%c)_se*G2o`%x(7XVcT(5O!ydQIPiQld zHtlYk?VdId(dItjd-;PNtGv~1EBEMR%yGBvLQmUv+UEHv@_Hk1LVv|4?9S;%;uJx2 z=pIS``7(d%j9s;6@Pc9KVYi$v_j8iZv0hO24q-ytuXMsf!VH2U$ojDmxijK9eWNgkg2-E#}zE(V^^FKB71M zF;o1ne)NAzV}2|4G{~=CZ9VE&tB;DFM~5$d;_VMk=}-rk!af;m%P&7M^~BW| zym!Ln90eTGdmpxo*?SkKTg`yE!7Sd}ZXT%T*}evFzcJfBp~ZCOM9jz@NcP$XQoVN3 z{1!71$1X@4S@xpXs<&k|^r>R*NGyJjVzV1uM`cNm&|uE;Ga0&6Z|v7*UdLHzUqEd!rSSc{-(=_4Vc~ z_bym(Z_ECQSk{K381!)QQ|T0P+=Q-fwok0dt(&25=hn`k(qdwJO=B%PaZ@k;&<=EO zr+xJHUi;{G@O_vE&Gg1Cc6y#+&6u^woSS^e4o+EQ4&BSxQ|#M1+s(k_MP|^%j}Ymw zizBPe5^HOJYdmK948tmqJtRD~hi+VF9=HqNPNWB#%*l4Xgs-J*saZuE71?GtUX9O< zG`sE0J!kZeT(_y+tV=&M@>b&^TZ^LL#X2Y$RdQ=C92 z>|12EoY~~7h&1_%6Fl3y$c(P(9Z3k5Cpzqb^1az${kDEze1rLw@}_KiI%bBjB_>8Y zY+q_azc0GMEN5+Q-?tjR-;|9fMDMlObq4pk(rtEeqRpO-uVToUb!M2c>)85Ln@y1z zevVYeSC8K$w%;tCytzO96T_N{{1pS+7h7!>k8dyo)b;6~HT~ln%%WIN|EDf&&a_0K z^JMn_dwcqe5}W(wZfh}kwCqDp65P2>ci2tiS^pF3GIxM`&5OPE_7`6;Uj+UOz^}To z*KV5C+Fv{q`H!@jb?{5$d36LkGkujAO!V{zA}zM>^wvxSxS#rQd!`CI%xAUshsXzz z=i>U+W>DYMUy``W6dD)b)!hHG&3SSfzsl{aGeT=$Y+Xj~-$oKG(6R-8UW;995@Orn zd3p8%WH~_n3hW{+p2}#+shRE3z2-fau#fmHzBXj2``rEJ_80b<`!mbT^d!TYJbr=s z0^^thzWy-_%#zX#rf>8D(~m4PLzj>atP#tw8?QEtebB7FtG~qR>95ltvOhJdDWkLo zQemwsEPc~<6eaFQ=G0hA(__w+E z<5zLP-2HI@-4D0eDa~ogjc@U2J;~EK;+mzWr2Am^B^!8 zelcE=>sELcoV=x9^VORr@hy&gmzX{MN@L#C_w@TLcy(8s!@pEy26G0PQp^wdSIija z?nmZi?E6~XvA3E{BUYQjy8wDR0KY=QH+WVK59gsLtB{2vZ0vtW?*^Cy71)($k2b80 zBe3aD=kB%Wq;u;{pLPTLo2C)m`IJ}jDnA$_cJ2+~W>fB5mz(XhxzT!v&+tfJvezi5|7W^-?QUc}FVpxukul~DGM#+1ccdfJ zcWq=I?yyBJG<1c?@W%9BvnutFjDLja3*=x#%X!Vd$s^Dedh>`1z1i2YZ;dH(tYzvQ zI#fT}8w}~~c8OK*=(?edp-9bbX?b)_{{}N=7DvI0^5S^AeNfhH@Q#?d@pMJ@nQ8=U zpSlfQ(QZ3BZA`scOu3JJ&%kxlGFmA*ioK!ev`P3Qo1kMkb@#E?t2TDnUim6X;a@|h z#NQ&mo=cFoTKZcO+hNzGuAxTO{Sa=Xz5rcl;k=7-mdrenYUW_(>W*55|c9r zujft_yn6WbADgTR_LMOTO`pgWvi2fnKhBUJ%{n!?r@xtfYsuaXW^m@#{^EVhOwn_4 zx2kys^-pigh>lQ+E%p$6?V{^M=QV&&d91}*Lxx1#%nxHS-*W3nAc=2K^aA>?c}iQq z%ymacAZPfq;d_g%bbOwAi!I|**hNY;+kR}JXjINUct+U-(iLuc+M$k&_(vmgXpw%% zt^%gdYU^*rX7Rn)o@vUo_KS|L!p;~ta1b96XD{Txa-f|x3tZ@1WV{%-PvCPA->lFd zJKC}5kz>x24eNUHKbZLKG5Ni;*ad;8uBIzrV7dwhZn)yP+kG5b29!^}Q5Fz;;lBrX z7m=`*yx0yJbgspI&{maZeDGBC?-LIj*4d93Ry@WUp*rw!sPG5DUi+5c4;(*%PCr5V z#M#^_29LAx$xcd^WpwIQ>m9bgFPIToAIW+ZV*PwPX6%i)aUjXPUfLe+=Did4K*ORf zrgmNsTWdCVgJ(m_p$YO=BqLM zT8&YrVT&&8d&n+*DvbZ4GQ+;!){qOOxDOn;JyWCKAFR^vAF0yVbUO1)%8hB6^3Dz$ zyAK<34n72k=M(*;lN7S6NBWU<1&Y6UkDP_E-N_$@Fi}bt}Q8L%KTc>seOR3w( z7=6mH-b6Nr7~#yEK(GD&?*@)vaUM3-IKztVLiX8D4vn@zFY?@BU4KQ3wZuRd@hsZe zlJy~j4vqEow(4AO-v=&dpUpm$x=+kup2_&)j4vK+mir^Zw|5xN`|RoE+~QXFEBX;x zw)&zi*^mNGRD#(1S7rRr(5F)75d1pj=x(tgeWB7UulF+M8}R{LJwl7D=4}L3IbUcS z-)5IYXcHQV{~TL`yk<6KwD?}eew*cEo);x2n;)Q${J;c`15+DP%2`j2j#^|YFxN)> zz`Q|VvNmc%MrGfI=9>9CTl`<#Ij{oedizfU16TO)X^|hzS>zQ<(ASFd2@M=S6dsWt z0uAuWZPY58;9;}eMcBsI^fE<^3mM5uxzuAF-X>#v@MFftnJ;7ODi|C6xMM597x&Sz z&2h&@9md9;Oh-n%W8)QC#wO(-9UHhZwq_aI`aJH8ZM`$LsEn;FH@41ZTjzbGTG3(n zY@@%E^U@rDxRVmrl53#TP00T?Tl`OtB4<)A_&h7+&i-bW6-cj_^7VN-wm`>coVr~O zuQ|JQU#jDbBHOdDDa z?TR~PUfi4wbnY1WnfHuR(M84Pi*Hc=nm#b#%%k>BX-_6yp5$_d$csXs? z>fLrsjS!l!H)DTync*MQ8FlE4I-^YVjQIF!qW6!iirybQq)yQ-b3*XS&0mpO>Hl2L z{=<n$>${=-7f6dA`UCFje7TGF*qk}J!#Sm8P;==7YMI&x0?H5=+cTvSy0oPLD;z?R+&0`joo_5 zRy%d}R(tKpt&XniA&tCRf|KYz!E5^^Yiz;o2z}3R*KzT6l;fvUoH5I~^X3W83*dbS zf2Zi1A?Os@N2(P2H}vr|>JI}qxvN`=?iCth-{6nz$UI3~dB3qn&-t$9JolKugEt}k zJnvKY2y_+tiVtTkKAcr)IEoKP=q&VYsOJpi61ihAJ9{a8C+_OC6VN*W{S(jj+NB#- zXSjQS457!PCL#Au&Gd1-_7HTtHqyxchCVg!ZowA|xePx(a*M5^eX!F@k>_Q zL&074A5wo5>3pAO(&w&m)Te)RP^F4&wNEe}(eDB5yQ+9chW9w_a{A@{%?wOHCO6~r zX&v(MHCET!bgE&#Fb2OkiB%|E>|)<&MUlLpd8!I|JL2HY43O zoX3P!K^yMtRh}3RePiABH@V|-l=M+-dF%~mOii?X4;;mY5m;}yuquGHi@M(a#mDrx z3(El3cG89i)-u}u3|J|*&Q|K&LptTDv&eyeY7FsNz4k)-POQMk%h}Tw%2UXK*lsiN z{mQ$s(MJhg_zd-qOkJcSBlS-F7CJ#=kwKx!`}jk528GY@tmuZ_7r*W31dfQ9^6~7% z^bQ-J+ndiYkBgbt`0m0VjLyj&x|#Rvy57;$trlkw?m83~-GUC;4E;Ad^uLNSk%JtM z=46qfr@iI)jw@T4CqiqvN4JXfrqWZiK8LYwfIiY@P%pW#V>#H_V~h)55o?spKk=)v z7mYeT|E#=Is1iB8sn}}!i$Vj&#+-Wo<6w>fW|1q8@=mmzjilW+KQ6$13An$E?h)M2 z19$Obzl9FU%gLW;C;Mjac;#GF=rNCR$@^d8qpPH?%z?+xdrv6?H_{2@K~hmRl$9*A_TGqt3TnILZTOng`CS)Zx7JSbo0sG2c!w-}Jql zdlJiBEN{ZMeRWXP?jz6h0P!=#al$IX^u6p+WPg)ne-qhm-^$#SbwPDvM<&?k#Ki{X zT#7Q$CCF8{Hr0_yi5}4Lje_fnQQUh=zG`2Pc-4-^JCLCc`+9J@)t$RXqr6uKKct^J5LcKdDE09r^rs4=;rFvKGnN zqMR|N;mvetFZYKhLgUcnUi+ELH#xdmF?Sr@6M;6+SLpm@WtOoXgeOA7DCYk4Ul5=PS zdI=31h#eVC;k&wvzM!Az!dlihAG{TL^>=dbD}j&3k=5L~Cv!HbSSz{H9vI!(pTbUM zuM|E4t_qt_vEIlt?8M5Ui&tB>EL@!p)u;NAk?f=3w+b33Sx+V3Jg3dNWlmc*Fterq zADxbnUVnyfP9U1Ipv*KPMuKQwNe;{y?X35}~Ge7}M6 zJ1MJ%#_>Vgtk3lEtjeLydV3YI__$@x6nEz2FrsxF6+NQUPws5L*ZvW0_Pg?@vpE)< zC@+JiOV0zY{K+{;q0J-uFmLlb3oNmDWKXz@=R#|-c^)6h-PE*e_q@~npD45my0Sc-defoF^ay@K z>hv?Vyk6JILyt^!kY=r!1w7;Y+qu^u?=_6Z=J3jt*diiRVvmSSP2k)@WU2vL)J6qY z&W#yw70(?TCHXc0?dsy2?bi9L&HW-T z_)dI9ZJEDJYT=H?R=X;Rp98;S(>~S{=vORfkm)t%o<`_9xz&6n%6Y(wb^XnpJNY;E z^lQ;!nR52wRrrDb^4pfoKp>qh8AsVIZJB^+WWN$fWdpHh=-td2MKj+gXv>I?abV61 z*{?89n^rXUUkj}Q_;`!)Nms;?jcB`Fo@lpcVFOL5=57V&33YmxjXxPXyg4I2Wbvc> z6P$q{*CBni9f=^9W_y3b1L}AM=Ntj>)%sd9-DkI$TVF|=p~ty%e;I2E^lsUQ|G%>} zQw*(M5nnZN%->+PjK7lm%q^KzI%Pg)3O`cjHqJe_KJ}9M%-CN0>zo}7O|CJ2_zmt@ z!Jn&Fe$iA0_0+ZIhG#dJ+TNc2`};P)H)773{}Y-D4cA20z!&If;x{fO&Hk)}H7!J5 z#?{0Z)+#JEx0alic_P6X_Zj=l?(+TSi4met zn$4Gn8`czm!VIvc9=M3}zKiFZDLrLwJbks9#@6+XPMKnBG>>jJed=mceBWQIH>{RO zi#c@k)_$$D#az92wb_bI+@4--%9#AnP>W8(2mT<>uH?+Cr~lYb+B4$Up1_{0nEG-? zSHknuqs{%PG0o0dXaN6HIc-|T!yC1lGY0NfV^@UI$dHsFOC@`^_J0{YRi{5_M>F6D zt>o-U&YSY`@g?+&*bCz4KNCKPogqFk*&7L;MOR-JMGlC$Ls%(3Yw?MfAmi+{&1SvH zY@R&d?9BOw3##9X0wJiO{JV^Met*nf*0-bI0UF?#$7yO-F9`n!~Qva zg}5g#xD?{?br+9AgL=Fz^E;m9@MyF5@H_w>yIBkNunv^cm)MKj@&CxZlKgnnwE27E z-Q@PO#O-IH;=2!n{j9dND{}h}8MD|kVh6_Q?+?`Jh1Xh)eHZ*VtIw2kLC4;fwWT8+ zYCo&(_)Ix7kaL8a&-l)3|5^E?JLFtJ)?Zm?WX*Y>wWoT&Uwy#(tB7UYQ7L>`SF#^; z)}Ndm=)J#FzyWuH}~bC(%eJP+R2uqHjpUF3XSFAn9bWA|`p z$GM|NUGd)rSmy$)=kgt!F!M)zY${@6SIP5_FPC>rq&)IB%H_Sa?d9l@G<|R{L(bdH zpQP9ad_26E3-52?GuBf+9k`0|ioZneZpd29yur`11wTvMpr1wdjzOLKB=B7JnPRKR zxTW1fcaD9)xhd}z9?Rb$+YYTA*ua!KQ$karZJw?(q3cfS3qR@$__63?_;D_Hp94Rp z7V-l;#3%9!{jGBNA-oX2G}{fVAL2`@g|E?nFt(o@KQ*>hA{)S}WlVvz+*#t>m{3fJ z5XuP^gerng5PRlB->cT&quqB3;e+F$G2DT91^SyCIlBV3j*ip2?OprODXc4UCK!l< zzXJdC-{(!Q7rsLW?id4SI5f-2WEV1%J7ZkK=EiPe14GIPcRP`vAT7!CmM==Bwxs)!A)71g_%u6umEVIIsJP zBFGtamQyY=mv(hx!Wh- zFzT>RJo>7Y3wT*s?4fZ62r)e7?Rs@*`I%{6F;w)A-vJXHf(M|SW2P&sSuLI~< zxnt(wnd-LV4xTw$72 zyX|jqXD(lN3FS-Vxt@FH;Gd~m171@1Tx97SXz0)td6)Ss{*~009yNTpN~KyyIlfGO zjVZ>D5=FfGtds5@BaV)rPFO++e3N_Y#Kpujh!y7%2cV&|2E?(KMzol_Cv%syp1b>; zJ1$i0>9Ki+m`1iqpJMt;JNujUX7iaJZm~y=*=xynU1a~}AD!ygMLwCw0mi4qw+7yi zaTa_Uw#r#zf3xQ;y?6xs4enAt!uxL<3C|Lm#P^W%i&y7iM7!-F*p_mhpuw{t+-($? zg3nnIf95f4rqi%({Np=k0EK6{Q}+jKD2c9c;I&A7<)73 zLEaX@AL+acbA&qbO_!G=`2XR-sHB;d{y6i(_r_gaV2;8sFL2**^zk+armSQ8Juqco zC~_nHl>$2jT+VrIxjQVrX}`b*&Wpg!?;#(cz3d_94$9T)%ufZTYXgaIcePtbzI7mW zlFY{+dg|~#*s)g+9y}=WA@+dCf~@!AujpOPjW2jEHbAwUNns=B-j~nuT+PBhhQ|)i z)A&d=?7+xoJ0(2VwmUqRZ>fwLljc2y_I{yjU~mLzm>YkN8#cO$Q z!#{3g|EP&&W=Vo?-XskFLiY4>&Njih`^vbG8KFIPz$fJ$kZSr3u!ajP>32vxH^w4p zCw*64nCpAQh0W&3eFv>kW7?hmYv}(hJ=gcB4DaKJtXWO{@(l{Pr+*k8gi_q2O&V60 zyo~mm@$qMA9UguNT}0o=`ttvGKPwCR zd4hg4tE*q^T+V9Ds*6*W@JINW*RfT5U3%E{X)@CMwdOIleMTXp7{gc8_C0!w$%l& z29C;{__*HLSM=*N8Ql&+;sH{;-C7Y@6}RZf0M@IXvT#?_w77Ol&1tJ7v9)`%$7Fob#=8 z2Y0679q=}>=6wNJVm~(WtTE>LixZrkJ9usSSbwnv-KwJ<8S#zEnj~i;Lff3bxYg;` z86P-^zg^BKYUyhVb-eTtxia^2PBi(HpIB$TlJ#pR&(#6f=Wek7Zgzd{3Guo2*uJFe zbMMQa4RPO{HTqg;-r0riHFGiR%}v?hOl;lQIy1er)jq&k$5@9av$OZG#_qbe)!zN@ zyiap^tNrp*&bL1te&v1Wa{luP2j_TpHfy?^|H`w?j@-oM`w^8jW8_oQO9EXVtGw$Qb>ufvf)c^@Gb z=r}6<71KvKZOXwRP5mv-TscLL?}GC?$cf-=bARD2((fZP%wJ2|f0H(XFK52Yu~Y3> zFDsvM+r2@%$4T$@v=iK<-MWHy_*5!I=B2+M(oSe3_IS$Eb_;Dc({6@a?+0$Z82MX0 z_1fJ&lGLq2e~7N>-g1t4;NI29*lPPbl;`cU_G@{^@6(zi^HF5|8Du=~qtQvrnW>zg zwvxV;;G8)nkbm~baxSV2x}uZ)xcL9DU-(`DzQd-q zW{P_X-`0;`ET7nsvtqZa$}Pw07P0y7RuG+ymJhQ0ANK)NzqDMIW1sl=(CA zyAwyyfj4Hw-me7~KKktQeMhWDZ1ywmGp*`w=6MS;6i3gX6Z~;>SGvg@#@WC#;1uG$ z)d$D6m}396SlBP4H}`J$A+n!)P?QuH|r*Groo*r@ngQsJaWdsUP8`9{o|Np ztOdLE{opdkl6%bTWipzMuI4@vWhjkVS=JNI$#1Ym63g8PEn#F^(I1WI+ta_uJEbe& zJ2(dLfd;{^XZ%5PANS{HaCd$Pcsls)ebB`ZTX7uk$Xvv`aeTwF?xGe4Kk0uG_ZxJ9 zZ=x4~*_i0IM;$Y*swu!v%bvB}4Due)*718C_;NQtz@3MdCdSV@%4?nR3;ZSreu?fQ z&cV;0Tc?>fvR2FZs(xfxjgfBqlkWJqE*yTQo_&-h_HMH&=Pz5LFFE(yucogOY+|P` z?zHFniqY2^vu-&0U8StL;kmw&w6BP9KMvX5k6dWjMZVG8g9kr_eOZEie`I)<)8@$V zW}9{O%{+d>r?8Gq4W7l=%W|f)TkW@=kMFngb!5$}NTC-@6MNXOoIVn{K0@?SL?3*& z$vU!}`<00z^T_gCABU2?_MwF6fL1dYYlV(4J9M1R*ovTk%SPzfw6TBPARX8K4?4;| zY#}mQgWpF&9w&L8iX*e=bJ?56`$gw%wq+g8ufetGaw$KXGJIQ^IfLt6TV@H*a%*s# zja_Iv=kkW!FE*?wu;pAZ8tJupJH&Z!U*><$jG*(LpZI6b`W(L{jI?^!zG?2-cgUUh zDrHzFSLW6|jrk<^sy;gJb7#zNAP0w;lM(F5>o01_L^yBO@RhGMxoekxjqk!WoANI4 zbCI7~?dcZY*V$n1TK1N;b3bb`=QzdOZQl|Im|KED(>J;uA3HkaK&#mqY%?QfhrQeU zsr78M+p4}=?4aASqFc_siT42Lm%Rac;HTD^J*L%Ya36?yHHW@Rm|HUUv74;vo}R?qqn>BZZh+(`G3e}-p-J@Ex78lm?u8wa-`Wr z*O;5fy=3l1FUeeHTvl!_dtow{&+6t*a+6heR&Fkv8oJ**m+_nUz(eM;h8$pPSVzv1 zd(2J5xp|hyLukHfv`ce$-Pj#}*?K(rH@q8w2I0?A6@4?DkPo(8th-X6F0Y ziSI`CY2v>*D;0vDUHzJFWJlDMiEoHIqVS8pMF%89MQO?E%q=|2*-EQ(dPCOB zRL;*@M;~?6twV2onR;@!SoDUx8!YEKqCZ4$IQj$KVVr%=NA$;bSAU#z^~WE6$vrBu z8JH9I>_OK-Pno-QssntScOk;JZ@xD zdbeFS1A2DK9l0($m|(3)8rhZ6fp@uIYL%zBClc)%317<&Uv&RSo}WJF)Ax@o;;bXY z{!HM>`K``-2$4i9a>~1o^c!KnBslyDJGR(O%liT1S3G0$I_BBzEW8NIw;F;%d&VRC zBW&tQfk}LhOvd`c?oh`rZA~YQ} zeriU4ns0q$@&fWJ<+xh?+Ed& zldR0CzBpyrgtESg4Dc#cWijRQ`!RdYli$Ud?2O~{Vuyi)kzy^Up2%c0x?|*9lePts zMQo1lk-Ov5tsyaZ5$Bz<^Io-L;Pmb2bZa4S-w?jl^(VePd6fQ#fWNdEid;l^&)_KU z=4`S>-`!-XlwrLK4?d)x)cKGyxszTFjf4*J{ixPD86W4O3@aW|LlVPMGl4bB7Y)xZ%w*?jxRARyyF~y7V!Q9*Vq0gPVE4hU+1UzUS3n!Fi+rMwl7=O7y9_+_7`Qx;dyks^ zU8UF|Vspxz?@8xyI72JT;ZVXI;ZEL($L8}T+VKxJxj3|+!l4r!exvNZ4GJ7)Iym5q zIVO5N3T)A>|10>Z9DLR~#+ZX2&>yy)ady6J?OH}37v}ol-NDM2=pz_4tQtLc*LOl3 zdx~-TvD?>h4@&0Zkb37V-|B%!tQ(f1{8`|OPPxX_DUWl9Oyp-!r-=T-zw`n1`r@xx z>yxTsJJtgO2OVJlPfNx+RW8$}bhrPg2_BijCv9E$2KjmCi=7Y5V9&KeF?4gd> zS+dtro_QcTu~ulvyko4~lbfA=p0O;UzUY2O=R>n?JeyPSY%R}b(67?*m;V#{Tkr{> z|9#}2(_gWk)AR2!&B|8jWkF<3lW%m%eeP6nJ?}AX<2|NryvM|QdAzsOLmGauuC-X( zFIjJke@W;s{D^iUZ^93SJg5G5b1Elqxj7Z!zSu|R)rGlvCGwV^SLZ?d>Gat?-laW0 zi;V@eAIg~Xv|a4dHZdkg+gsV6JSFv`IUU0txQyISY{B;5t6!w zANIr%z4l4{RjYsn$#p_C^KYMEWmql=bBo8NNG2xvWRt^547VuT#!%yB(V- zxIoKq%iSHuAm0VQS?cKc11OtVO1a|R6EIUeUkVN(x6M+0t5m>*XZ- z0mkRha3yVSqRnA>MnBtWBWa=YVfG8%mo=MmfBI2$ubfHd+byEqhv4U3XHJrD7dWwQ zd;MVFTW$FslJp(oZnxYY7Z_rb&H;veYdI8|o_T||akuS1%UBaK)~)s^>WHm4jWW5n zCS#ShSMprW7xMR@qt^%3?wR=erv~{!=Wc)O1>Q|)3#vo)xwGrMFZ5rZe){Pn>}PgT zt`p*f?SxeX@rnM+Ti0b;WpBgWnFtNW;O9PgMX!DEzMQ{D?oW&D`PQU0_F3S$n=nFK$6)~E$NQ=1y zI9*y3_og{xVxG#`=VMXUz|tm@yMVU#EItot*!4=2iJ#oLQzUidel~XlSc^OCYT!BF zY(nqJJK22lmb;cR?`J#jp^2PJ`a0)%&K||?Dx1JJF{ZOOJI-B#qnEqm8A0ESM`Jwb z6~@Ee)ckmqj3>_e7i-2|ZiAoQJI@4v)tqXKZ?qrPjVAPv*>~Pn=RJOfuI?J!V)kGY zi=Vdq+!6R(TTI!9OYBFl%h@k4!-sarOTFC9um#kwyV(@N6=MKBZ%-uDW_Zo+G zPT@>MzEg4@-{P5q9Wcv!mv?K=j6Rq-tkgB*80XKi-;dxM2+>x<*KD_tK|rZHC2OU9Sz#%TFQ#$PVt{hT!R5I)nAKAv$uM&{8E z(7Scm+H%jm8hHt+vMl59vxfL(Jn{_?-Zc#t>xRZR86++(Mo#uJUu2&yege5GD6$}X z9#z*nlC^wfMJM*xxqi$a?){q`wzF5k?v0#VX1CHt?6kYFpPJyI_)lJ@tQdP(;6!;| z1+0{gPepGwxAP94;2LGD!cV39%v7ARL~hRpu9iPSU~Y$^?A-;gKIS%OjoaIJw=Wek zxf|-d$Jg|%VO{!Z{2wN=h^-~(k0GU-e+Ey5@BG7qCARu>3G;w&BS5bs!|_vMABsIA z@7hgZ%|C(fCdHawoNh8tq}SL7<@aQe1NNZw&zghX=x6UB=f}KX%DOf)8%+sLlpz!H zzV?wxz{Td1@$xN1`)2|d->K|JPeeI01&;LbEno{>1?TsXKe<;S?E{|{TFtUVcf222 zb4eq1asc{F;M`>x&jp{c&~{?f@9c49AKMClW6pM5eusq*M}D%^K7A9qNhx!via36Ta^qn;mBuzx+d1ED&#*R|H~_4WQ8hAR zY#3S0oK(y^xhq<(HrVXRkCihPnLjcw%9%?tuLS4wDf>j{I@UP+pQUTiQ+!W{yBN;A z!)`0)8}MVOb18GX1%IW;Ncsz9_>5>DX@;Koj!6e&Q_TSKph$DqG1KjypBx;wzzm{K z(oqfi$1U!woA^2^g$7aH9l7*6(HZPT3Dd~Wh$DBOu4WD6dp76_zv>=*?|2UOoCc3) zfWs7v-*P3-Js)h#cvgHvCbnZ9mccuw@_sY=5xVnz5O^TzU945Rv5$Q*YzlO$Z(<8| znr;0*2adnZdLjN2xnG2yw;caV3_lcWD|=Mh%b8kPrU)I}D1AYzO#$Kvd**S>6Kn*Z z#C$_h($F*;aMMF1jekq$IlrFn$d~vu8H+swe4-bOWz6!8^}jvl;Kz*leX&Db`hAi6 z6z`CC*0eF~!;nWG>yzknxg-C9$TN53HP0Hr8Dg90aYtW9+EO1N8&AZIreI%-xfEK3 zT)7R2+=AP5WM1sN77nTgDs7ft3Qd=Q!AcT10@;7xKick=679QoE#1v1W@ z9VIdjpJdKgyd$#X=Uk~QC*$Z6nU4YNbJ;(XP$usThtiRek#rmW!?@*7yE4xG5s`7x zVKSGbZ}~=4z(C$pjIFbvZ|1#wt-swJ8 zLp~UvfxO?4)s5ySs?*I+K#%96+)*xxj0QJkJJK?evk7$3Tua3{r$=UVCHHu{;dz`p zCCG^?F~uhlq`vsosuNwDv*Bw>%y4`?z_syR@-1TS3+;z<%3tyqcV@%v{*Yb z#(O;Rc4z$(To22b`*zs!Teq?g-~Iw;OUyx82Sk4tnqkTTIV^3JO49pyJr?vnbzlW#oq0Y~iE$0^^5 zUAs|apZP8NWjp(a)_gyyZhO+->8IT7Cx6#-4*lHZ=||Qxxo0quex}pUmnq}-fCu06 z*!#+&esX4j{I){NAjl);jlq^Y-&Q*v<#Bl|LYscRrH5^UHj(<^or~ z<161T;46a1W&ajTGjG}ZYx;lM&CvH*^)Tye1iMF7&O;V1P_xRC{N^t=5@fzsYRbrx zKPun$6qs^%cI&TlIze=&7SG-PmTzG8Q6}_zK+27H1|NT=>f5WI^sW6@l-KE-d->g53F$Iinft zeM9~ab#DV_WmWb4U*~0*VHoiYGbrLD2N;!Ttb-1PM0LQSP^k`riiUNt(MWk}EG%ws zx;^vC00Rv#Dk{iPv73x+#8JtpMkGar92JcW^SpRD+=xUyR8(~He1F%=oQuPZ(erfw zKcD~ke6G*AUiMo1ZSA$zUVH7eV@aQ{E*TKq@2zrQt8dRxzE=x?Rrxf=U*#Klq*ZR> zSRB|-yB*{@%rz!rpVzlKvOP7sYo)2!aZ^~23k>06UCoEgYlO!p{0%9c+oc#^TC>p{ z#qytoFV<$!ze3B+u94XP+Zmf(*Ju2b;X_Yj8w)F@B>y8DQYG8jGa2Q)mr>WJ+GY_W zGsd1q>Nf@)4V5cWp-+81z2i~&;4U}i3w`!WUr%plKBE|1+8b_!=ObUgoEg}Ke2;34 zlZ$!{Ou=v4(b;0_&B?T@`mrQ-Nu(%VIzG^q94CL{f>=wWDCQeK_<_|ugD-?0lJ%r# ze8WG2#5665Gp2zriPyuc*ef4l-h>_&h$Y7v zP8!447<`8MAN#S-I74`b5Ce25x*qYRrgE>Cfw}h~bQAZz>Rx~zj}PMLL9(5P?SI~l z#E)Za_YCGQ@aZptUv+t$7)U7C_xP>0nqsvTbsDERf!kJv53K4b9M#~o74tOWE!l0w z{LO8vFnR}bOvNztJ8ktCG-mZdm_CTvec%r_M~cF}@#+iZVI+~sgG2Kf_Mw|H@lyT$X|VBmtm$=2KsDd0b`;dLEj~oo8P58 zvL#qClG?SFNh~WPwh~?HB6!_s&O%C$7!|$HKPoxyY{Qr+y?P|=v<8@+;nn62{3=S| z{d>6I+0{5+x^s&AJx1er$%HsOt=nic_eC4cRJ3t?*Ere=I_(-qY(DhN9X|H>bldiE zgZz~r`Ce2L-Y_0J;8^MCC8-uRYxU<=xKi?d%LvD)1HTdgfKzk(KAe-wA$Kt12e zky#0BVvd}L1N#uL z8y(o*es=2bqpxNFyN>S>;FSNfDt{gI=Q#=T3S8d3mqmBxY*t36?YDaL7XhRE zSw=UP_Fz=;zko~eyCo|R&%o{q?VS)m2H#!L9m1=4&)nR;n9uVpx`TYjYLAvhcj)#m z-Hoy64qrg%jzL$9Er#_t(HuTM$bE$~Q+d^buo5G;;;t@jJC?hB{pA)Il^eDP+^F>M#IY zO8Wq5#gAkI_0mkpp_w-5Lo_2=5zSciVx4RGKgg>JZC-WU=bj=H2`8s;zuK6<+lld}R9KRhxi66<+nC;QQcJYk@x%UiA~; z^Lf=0U{8ftZ3Z@*ZDdO4{@AD@#s> z;a7!2EIH}YUU}E@jCj_qqP;F?4_f>;_Sw5U>l%1ge{wRjuYcNml5hEP@~^ymKiWHs zb~}mo9G)dPDW3HSwL3hkisx$hoaBSXdoi#%o|TicF^6YaJP*Eef#9J#@w}rBzmS|$ z*+`p}Prg^yiEsVP!}C4>ym+2sEGcinz6-4QR%afp_~nm0JZ~_t;(4te*nPl?UutjA z3Hp#nPKuAM=es2*X@g_(W1tOOIq9~+^Wak2Riy2U=T$khx5uHq!}+ww`8Sf2t>|Bh zpJ?l7_`SxZbGdpN_CDn0Iq>3I<`tVSUTf)TtchFllDMj4W3Ha&p}#80OX$xxU`Y0J zq!s<)JGAsT^GxLD(uzDfG@!!@;)%Vkb*@A#^Cjrenx{*CHZuRE|KG017gF-Go%ug? zFB-Sjl&_Ghv$?S(%lU>aJ|j79>ujx=t9d8eYSFk>vySyX&DS=9)7@+RExtcz^~qi6 zY}8pgTf)}a7Lbm6OJ|dRj{0IA@9Ii(^mrriHXqEvYu$u!JK0@%c+UYZc@38zH}{r4 z)d=2lp0(H7rL!5}L}!CeayV}Sb}O(c=!$y_b^)+^dEW1#vnjx$vz-8o{yuyY=~O1k zP6{$#&r8dl9hg*|1=H>A-0d?B&IT4YKxz%<(M8B>G|Y2hkU6k zJaZ1>16i~jftHK5AX<&riS1w|sv3J>I<^Km7&YpSSug#}8c{(B-H9CEpC_H=mzA0qm*p(_ag|4}Pk$ zoeDpF9{7BIdKa*#!cU(9HlLri0{hSM(@jnrsiA-6`p$F zjsAJ+&-s?mQ~k8p`|;F~eAga)FaPR`r`9j~pbx+w8UEj>Z7Hv^l$&+}qn#XHeiPk?px1i@XWx#DWDl-09bC&v>*~CpQd-(jJX(5!>Z>*^ zA+7K>khU*9VZo`=H1^1OQyjfFF54u&(b(^M>k+c!o16=;t?}?_%Vu42WfsHi*a&>O zK=w(G-YdTf=?cDJS@wF1PhXYAM7q@F&)?F#%h44IpylDBW7ddngoZ1OH_b5XG{}IZ zqHWd`i)FVAQuhypmS-;gwH<%crS(o|VUtHEzK!qw(fa54rZO~nbmCRKb7}oZd^hWg z_TCt;Ewh6(*m0nb+*yjlqN%cd(3W%x?z39Qmv5fny|zsG-z9;68Tczm_fj6776d%puK+CZ1@J+l*CYq;oDbyFLxa@wGhcdl(pZSW;4HfUVG9UjkPTwbXl|7*^?ZHR*7loPl#5DgBz9azv4CHkIFo)5}K)aPf&7Ju6TqSsi?u8D0NdL_mJ^t#BR*Q>KPORr$9as=?u@KWvn5e;6z z+^Z^1tp2^n-~EH}TKe0fW%^2VEPbOYy4$=_`{kkM#n7|b-rWP*ej&EM2jE?rzeR`* z#~An}c;su}*FMk(`Nkfc%pAs;J%?MGd53qE)*Nmrz8Py}e=U>WI<|j?%FOI&%0GtZ zD)OSdwNnRvcI2zQ*S_#;)>>(B2z^EWuqKo#3QtMN=SY6N0oJ`( ze|<{p-xX2Th_LN8;=3^@vNZLPkkgsKPP+L8m2XDy@;A}fq z4zKoign^GaI1l3ABHNYbn`1mUe+e(A7;9g+A?ZEc(Tc=H|`6aMl=esq(6duObPgDomdp5Z0oN{Pv zwSudbw3ggJ#yqNYF?^j!H=T4d1n;!rcSw5;Y5N+7?zzBgo$=WATy8wNw&G6SSvrx% zWCHo&j!DL+H74VIk4bd*;;3g#wpnBH>g-n;lU0n#!n`s0Wp_-X7qLGCU4M3Vjb}_Q z;~x69*5j=)S+_j1{a5ywT&OWgU&}Ab9h3FeI#VpOiZNN`$QZ``QjJr|mEoQV{Lzx6Y2KSzIR+zw#e`ti;4jN2DZ zW!xt4z0M!EM=0wWXyl(Aw`HO`+C6{Vs;x9`uYe9W@$Vh~8fy)20lPF0b|if+-q4iyUVKA(p366WD_@Vq$@ZZi zfb&)dR^u027BbQ1X*+iCYHQq1wPY#deQB6^yQ>RY@-t%FjRqjYh&@zR z5!-Fvp*1eX=6sJ%XsvNOI-%^M8voJ>cf4-vgwwjQlj37@6xuns(y@~!SDSz2yW-3A zWhZ@sZz{{qUY&4B<}}*c)(My3Z*2RzNWS4{c7nZhEa|XAo}?FQ?x1;)r57?6`mFji zezLuEIPl%TOLt<8@dP~5>!e$09JqSj$HAlZPVYMTKyc*irr7X`rAz)e52y4!?DfMn zr+4+e^T657bIPGL@x3Foi7jVy`tAYXta0J!dBapEWXu|1H#xAPQxn*GoqTiS0$M`W z_l^q(N1=lw1`gL=Dja*jq465wy{i)+lRp={MS7*y$tBNJ*5^rkJ8AopXI;*C*ah8} zL;tS5v{#1l&Wd-#c=#XJEg27|s#}JrlUKK7T=dGZm#np%Q`0R!2A=+8R0-emWz^?+ zmy=QdI^FUi@cyfH%ge#}f1_L8BsyX&=F2Fxl}+y~A}o{DbyQDF0R%OJ4-tZrGUI`ko1+po{W-g za^+O-xJ0*~te9oa-0e%G?=Nmy zHQyAsY?nu_e4BULV^cJ433Io*PSOFjzL*eQO;48F^z=8elHJK<`|H`^&+ z9r&e#d2MW;1h?#jOB~svdfcdTIP~n=*i?^;NUMDQqaWGg&Xo>2^nBEjF{0sKpBmYX zp{1j@I5xI~=-7^_)LXBRy|yem_WEL>Gofc)i7&M6i)Go^Jal}%hmO&U#>zh7((w&@ z96F9C)1M%nWU6Z~TS&j_j6in{`4Q5}2dgjLsF82dji&X|a7*S{-r2fQi^-Wh)X%n; z$>ybaI+|O*O`81m-eGauUM70s-qQ06owk=>g6oT=w%-H1>}6g%a13~G4i~*}Z`rE; zjQ@jZNVYF`ZY`Z)2k=ij@V)Kqtlf%!t^nTFjdJ$qbHFd%Nc6+KRlc{tFWn>_%F*`^ z!7Vu<{wMl*U1eY#$VMxBmvFmd;Q`WWy`wMP)k=E`?zZi}A%HTr+=7HqUp{8)|4GlyTLZ?0$W zhW2V;-;uxHt-u7YK%a`Vq#m!k6W+&p!nB1Cdrt?k?*#WaaK+(ddd(2qe$_$UWXJMj z^SX?CBN@w_iLPEmngyhht~^NZvE?>NhYv5$#GUsAyjNbDoBPRQOY)g?FhMMS&Zl}j z+(Q2BXE9QbRT%3ZQfz+Fgv*D+{tf0R;4AnK3qO0%%P)kU>+m zKpwJ5Yi{>j@aQZjHzw0S+EOt&_5CrvNd_$H9Y0N(Kk?3%0Zo<Pm`w?&v}&bOyKk9 z;+k`4F1{05cIO=DfWM39UC_4Zx&Yh1+k zJ=rIsj&y40jkL31W&3w+i|XrJfR#MA{bF0;}QPl)2Dqzs&ie;X>Z2xd$080-rM=6_GWKDZ+jPj z%iG=>Z{q)BNHZqHIOO}UoI000A223xP2%##UJN_+J}TOC)|dC+V$+^4?&t|8@OxWN zIE#Aks22^|K4`Abw)BJ!ct^g^w)6zW0GkEeSmc4$)#M`~-|ePS_-3570Qg6edW!FU z6~1fHCH`jeMVL)qmcMrUYV$#>o!0hAmkXVCnm`$Bx@^t-gm-p3wOVvJkaiNE(wQ0+ zgQcgBM-1j%=OTC-~n2uQ9RE1G^bm(KY%4{5c1E4X~^u_l}8|fYq3A?G?&* zJ+P#+d>>u@r7=+m&vM5^b>5hm4ef|mMftbv6-ji;ZxJW!EzZ+!hgQ%b73V=~Va(|z z+p$I3{(IxuPuxumrZDd}U%cAlTi@ZGY{UMNnc1)SjIo`>X$s`6;m)<02*k{U^SfeFVfPh-=MhCh|xBS7mP_t>WkpfL;}YVn7FW`3PeI+N$8HVxMFnx|BVr z?ijXdIRQ-?kv*m_!8`_hf!}YqE9x^2?0Ly&tmCS9*=KZh`;0cOI9Hf!Kktjkhccj} zX;0pj+PgE_r@ce=I+#^9*5lH|RDkx0V;f!mIJyA5;R{(}K@3N)pzYwjinUMqSMsii zID0|j1QbQy=#j0$ALA@GaIazi(fmkC_Dbe{C&K-^0|(!+;aD@X^nfU_P)8|V)vWXn zfd5~8!22VYW)xo{=rf-3hiL=af%eP>z~QfMv|_vsh$hqWvrrkO=eaO{rY?QKSZxoC zbX(PRP&Dalri`M|UcFIt@rAO?Zt#hJZex%68!y}ZlR3y!9h@B{o$O5LROJ!x{1W$S zbM>qC7Pz?Q^@STdUzdYB4(?|h+~9n0cKHUvV;_H5cwKxez*ob5_iA)WBSCx^(krG} zby)Lc`;6Q{;B(J$`y$_UPk*OF>}?mXDIuSv;DD(HwupURI)BcfUD?BADjoaUQnXxQ&9x=-d;)NK*r0|EArsh$v!y`+OBb1lD*@_cV znObjtkiPVVw`V&eyV4!0`m~?AZwc>0Ur)YFTfTZ8u|o!hnJ*aY&71$5e(T_D!+0{? z^?4)pQOcxm!maOL=DXgrzInp?>zw!BW5`aPlcbSsk}gn5 z+ViQ)e5FITl0OynO1YL>>)EnBx^nC+(q2T`D#=aqjEviEN_Y60!!uqbKd+1#7D<)S z4nucyu2czlhK1oxz^NTBA|LT}#dK0$nrpkd*zs=qJr5V>16jD90+)2Ib3C}h;L=>X zJCwt52pm7k!%<56UgMN?Q{K0u@L$Q!Ydm=6Zx-jB>tCWa-V5Hlft{3>_BcKX)x2|c zf2G|`+7+br%1-H6(yydvxiVB|Iz*A9hj}Mk%|iaAb4lNF^~_DY+r?$+{?N)k=F#?E zIXf<-t#7h+fxapIsf&5Ddxq3{o=2chEkmE$yq&n)=>1rGKps*4p zqN>3c3^x||jdOUvx?nzO2A{V*kQi&f5Ar^4R#@rh@vZQDU_NMnt1YNtj1ZF-oQaVQ zin*$E<{aJ+9=$!g;(~@WxUDp^!BI%MS-+@&2JN(JheWF0Z0f3~o$a}g*2(70&CPv^ z>EHhL1itl>ql@?Im@S!6q_=g<7RH)A_wm}ICi8tI<4J8YjdIea1+k&ji?;C57M#Ur zwM7l()wk(9A&re0 z*=dXoU(qbt;Fku%4Jm8h8o{(x_Uv0;lDU(2|HuYEi+oP9!N*-2{OgttUi+|q2QGi4C1-<=w`2mRVuPoDWrIIL zKOJGtDBI^<;F1k~FVFwT2Jg;op2YVXpObqURt{gc-cX{^P3xh6|HH^^+jv0-F%iqbNP1j|4->I z;?P}KbXNx5m05bC_Er5Ox=a1Cf4X~|Z~1h0lz0Csx|=~8|EuWkFO=(_rMt8Gp}Tj$ zbt-f>uphd69r#nByT9P`kWY8d0edQRH|Krm&R54e3H;7F_Xgf)o%@t@{9>Z6UrcV@ zvC_lyzUA;d^n|6MQGN5g&pJF$djJ*BL1V8Np11#u5OPB_7&4RC3`Bdb@7Ydx(KdLV z?9;B#=w#|Dp4XSp=ox&|y1vd;(A=nW@RH0mytCK!`(Ae(P1(io7@WCtlpvTd`iXPkk z0Uw;#A3ZA8QG%F%x3b=)H6Z#y^8kO+vj*hYcrelw4?2w%USzLbAK84Y3^U-p8Zt!>|s96DN*U4^PC|7GdkzRts&#T8I(&>CBwn$KTx+#s-6a^$O{?jeOm@|1I~OqjLA#JolZWJ?}mD zot2*Vp8L-6dOtHOoZCjfmY(rQv-IKYo64i|H7h^a9JV?4zt;P6?e}~2-nsvk-k)c` zXABl^`>5_`W>0TV24?fD`uuH|#!t?scV>I4Yy;n9yNpR^#lP%M+7R8oc&OU&v{_l{ zJ9qMbS~MAWocL0#;~!{YJkj1(nw{K>KMYp-(`RMBqV)V1ksewv9zyy%Y5&uCW>2)z z9hie%LVZxoJNB25KWV^Iz%%hfiVJwYoM-y0_-p*rpT!qC|K9R{p7OKjV0Z|8+*@lZ z-^V{h^ltFZ!0v&rm6qK97JVsO{UC>S_WL=1nRlWcbjM;Z-p_#-JJay3%)z<0)=O6l zZ(_N*iFX}Ca{6Z+9Fj4E+p*Qere>Dh!kmTqu-f~{NOSr=+FZ7n1TY^XZDFFBID&Pu zC8U>8FU6sGf%jUo-ay)52!6!K^G$Q`r>5*qe~)L`xs^um-s8D2xs;fN zb}X-9Q;W?loHe5|xP4m!o*K$p$o~}n4^KwF;U7Mj{Ura4!|Wvf{rpele-Ga$@IQcO z%htkwHP5mMNXPmdZ4wGDXDlx_+j+MMzUj92aLOMdZ4emQ3kLIlF8>4hAI*Ppdon%q zk(SJ(+{b{07Oi%^7@Rdc#~oaf7lq)u(uT2Y%Rbs#u(H=-Bew3f79DWz6@w<|+~3W8 zfpZUEJ21exU(J1?bKlN=5%-6a8%*s{8p!=J{s-}|wY(Dk7xRA_|IPe+X=OUJ@?mJD z4PB9Ys}4n?mE>}B5%07{r8ZGLUZamxcQ5=oz@G#BbkcHf!5_sxBg(VuHz?R=)!)F% z)~7!G3~ANAt{yt;g@9pf=d>}L0FrZ9Gjwe3b@1ROzQwubbNLx;e$x23s(4<AI+?eX*oGZn2s)WCK*9!fj zZPEotazz_08$!jo!QOfEVd}mwnwvLIqV8IU?rY7kRPBOJ;Km%(`I)nLXV06Pdgskr zBiYFOV`FH8`AxpVH|U4lnjf;%-s49)%{A|$4yF+lRHlO76az2QRt#OZrhZ z9li@HHo0XJ@l z`nZBTthKWQvB_Rk|MVSS>-&6D{~V?5ZJlj#<{Z?pR7SNfYV_j20p&oe2T`jWU+1x3J0?p?yaWKo2)Px9S8 zOXW)HdX%n+#JkBOjA1FRxNb5JtGvDL8)=pcY zSDQALWd4hHvg_M^qntsQx91Oivv>}9oout3>iCV?HY?59rqB+u9Td2Jqxm+g2y->~ zo4dcS*Kf3Lxn;9D25lXKCXYdr2PoGX+9AdB``N6Xgtj_Gqwths(I~j|ybT=UN#ZHL zQXQeI&EWIS)x?wj6L`_IX#B7ER=6~taNtGb&jBxAN5#I_ST8!a z{Wzq*OFyWF&fDqx<~$knVTabGM~G(yX}3aX=D_pfS#{{rn!n(?Y{k%g?qjaM=ThqC z@+|Qy@h$h8_LhVxgLG-d6Z#No``bHh@J+s;>U*0mTQisN&h`auHQNnzTyz1=le}_j zE@^&Y^~s5P#Ow5lC8wZ?X7wqsUO6S2xB^(w#EW_Gq6yZ;d+nIFIyB*xQ=*9}z>6mE zTRuTfiYA@_-j!4G5z3cS7Xd4p5Iy!q3!5Dp*n@o1dXnqE>B_0tjQ-_RIek?Pjkt1Z zqSg1-nVd_*^nJemG0Krs)?9`${J7KS(l^SD^+R15bP&4U7thI{CrQ_z3~J+>WKhnJ ztR?dj@A73(5}d9d*&)8?%MMot)sar)bBNOyTK98x2KB{Tz`8QX8(&dJ6QJ$ep#|*&inUKk-|2ZbJp_972z1ielqStu_-~#3m1x zTRdnG^XXTyDd5X-kK{xs@zWwBa-Y6s1DyM(`0z{z?oljzKjVz_#r|>k^|;@Dcl`KL zzyJD_;v^fs>r?A0gJ!~LOo3-VzN}frYL;xUp1~>kA-a-Y`*SAzvU?9$cENi)I(6>Q zz2;PWdt{qHzbUTfS^KA6D8LWrT-u5GO@KDNlr@#L)qZmg*IwF4aJ@Q_1M@5}3)V0n zua`U}7BaNtQy(S7n>Yg~FON;6pH>5Z#J58E)L`pr8o$I0#FCsJwZu$bNWJ(kj|9zD z{zLq)*!0&9g$_`POfgQJzV>^Qe17owsWoFTFbSIGp_bw zkJyJVM&RF@IFn@=K3~hsl338PH%d0gu`TY}sdJKMrKiPO-u^Rm(;*v1S2Fv+>5ZA= z!|+$3ZT(%B5D&i5?8JB3UR#Z&wVv9lwBQ+hL1U&O)cCgK?=jvlAWy4J=QJRv8}J2a zV6Q|2b1C)pl@_ed=@M-5X$_ej7cVh8xl&x+T*tT$at)if#4O^f=Bnh1aYec2bIsvu zr0;ClktwWDl#Q4EeJc~|S|F>z~ucG~yw z^7rKLQ54H(ZhheW@acQac5Fi$i;9&^OzrH>vGwLIu7l9XVXpaHN4So1 z9pf^_Ei>c%*Kq~8ujPLc*Fr9XYaW+(Y@vh{Z>0_z4>t^8%utpEKF+(KJmLq3jb-MD zLUc#bA@7&--m+0elWFXL#cmsWaTYL^)Fx$=Tk`Dqb2cuNRx2j`KxfS6kHIs6J7#P! zKR_N|{YWya^}&NY*Lm_hXe=v;gGc$g{NXIhZNyXXFwVikziq&>pB|Kt8*OXtAJq8; zuc!>v0oqA_34B($W1MME+!u~m-k|jEE3^2ipw3BWg6BzZ zYWnbG{lo7*CC=>1%{kW<7{)7?-mJ3&w$9}%%FOpTTUcju#lBi#=!~v!ac;mpTt|_o z{!qv$+7&QDy9374H0ZEL1BNeb7`u1v+NJXdHoX)uM#c@8=J8LJ@-KaDQaAP+E}cD4 z{Y1c+#x;!RQNZoxzr+X_r*Rpz!LCZaC11|@ip=6!{v!LpTlsgtG5eK(aXaRp=~wxT zt@8_v=#AsT$%RJ^_beV}Sz*BP~Bh2oJwy!4hP}+KS_H5cb!TV47 zv44@@QR9+yQ+R~wizKt%6C2FxC}Z~W^2`qcebeGkq3;RM%3;#^Kg#)^lv8?ieB1~# z0DT-0O&H1S@1PISbuIM%4t*~er7N6h!zk?v^lW^$^-mvT3;G*I`qQBi^wHtl zobgo1d}S~`S;H7}70!3Q6)?{UR792)45LgH;d?E)7pzI7tnm;`a5lj*b3f(qj;SQ| z=xeO4@YK&o{d`V&RrZ<-?K*C9>iC3H<~nfy#8bzBr;ZDOsc_Oi?4&nH|AHrd{l&R9 z*+lwbPWtaZ^hNaTE1vYq!%d$gebh-GC%yVc z^phZukoXa_;O)Ol>8BFoUULs{CG`2eOGcQhLy6StA?Qk>d-2Omrbo*cnR9~zKH|_A zi6Mp$n&3+CZTdXp-I8ixu$_+Ptm^>&RR zw2k=aG3xp;+D9--ue5@Z4X4#ff0mn`w%kVAF|^Zy_%hmRW|s34QU$R@YBtYvV#_#_ zXqgGew9=^ks#tR?A^k$`Kj<^=35J?7_~yXFQ(0drWs4Dih`g-*m`;9we-=9edg@Vd ziN*^kPqbi!`4;ab&q^rA!2;u{AbX#J#y7L4!8Z!(@TqNvj=_hW4NW z0|e2*P`lwtS7rA}{?y5LlD%l?BSHMwbau6D6??dsp71BXanA|kl|Ghk@e=iO>#%41 z2y~iU9n`+#;V(y{_nI$K-a_WWI`dlfDfBhuLzuiB{Qqa#e=KNh>!e2nk1#fWD8MsrV@aP#fIc+c8=wvv3S>bPis%P*Hc zC>aM2jjibk^Ub1dr%uR>;$x&6*0tU|_bQ9OXPajbuPw5=M`hBvY-+=Ez>WM&Z&EwP zIg2&A-twK-nr#eyLFtbC!X8US^cD7M+S?gNPF|lTuUMFKDn2&C^q*Ij^2L(@VjveA zK6pH7CQ*)KbTbq`LYYiuWo{!_xYr|hkWPotah~O7H5AbIQy&H51jnkJoz0`dZ%vEd8wsIkjcY#ddwl&l+L=f$u}fN3`$im~YXy zQPOCxekOiMb==pH*41T9;iP!s-&W9WhFJzpoi7z+XNZZbp=vr=GN!iwj zzL6_MqndgUawq8Fw?OBDE2Y2s(#IzyNG#~B`*#F#g*p)%gz*pVCWE^pDdLh||%-^9xnRoVPS8hTBF#oi%rGL}UgQ>4um)A7DBA>}XN6aIpqyp^C2&7h|#uq2> zci7Cl0G((!=TR}Xf{{l|?3l&);~zNYmX-0V^7O@)%R1(?5$1EAvQGL0 z?Uk_iNYs#~<^v zEX#S1W-H@K?|+Y9)mrks?<HZuHe@HM)4_$J>F&5uiXx9LjF|2gw3ir&UI#zNM|IQ3JOzw=!>f)P)q z=fkTOFvfjd_Sh{^A5dpM=_Pk+yOx`Od*=ANDnsnU&{w1^5~@! zwdC})*z(Nb%H8QGJlK}icbp)r3nI`|n6)tnAGFN=_j@h*{3q6HALAU5j&DLjWSQxn45a+_<>1b>IjT@-_L=$1)5Wv zZKhm;>o|Rcc?=lQ%+P=_yi?_++~pthvzBMm$G5>Hn7t0nK45<5z?=cheg~$Nww@1u z(MZ^8mn*a10#;*GWq=m53n+t^Mv#FP9nSBPK2Vlr>}NYax;wq7dc#nzf*YyRO$Heb4Fq z&teRBknZE>u*PaU&Aj50^lwR1g1&h*dAYoCJ!hY~dELXlqB`XTuPKo~3p909v=)Y^ zMezM8!_KVlR zM?Ce}2JAw8ck1&1@Yhfuy(|7euHD)x|7@jWT*+r?8~HXl`KtZb0C(7v?`ZlAp?sjy z$@|B^#L2skycdP;wa!v6p*#u4zo4Su&w-vReT%7ncyyGQS7pNGIF zy|$WX#e#LyeuK2)hj0G%c<~#QXCwCLkQ zsPk{<64z%2{ZhByyz~5LQVWcG%|hnv&*?nNi#WqF#Qc6_JLg$8rlj*VYQ7)&OiJ^} zMt?)9an!1wa?VuO8NDT4=z!c8@n52M{1@?W80>Z8ep-GRI3rW@Q1<^WjZ@cy*ppSy zo2jSP1Fdug*IrN ze}7!>>3{X(DALX(t+fv@&iP-YmCYkW`V!h(`_a*#_RE(~FdDx@feA+MHh%+Lwcb;v zPXHI@K1O=C9D_)=nKU7#0e3ZNwEkJ;q!BJZY1UAG_+xtAOV9ny;J5mvo`C+3ez?Hc z&(-eOO!u;WR<{M)DR$9H?5?xmX$-#~$1Xu1?a=A#FK$_VX>leJi+vToEqWcDM0P>w-IhtL2^SwVlQY^Q@bZ6?Mt% zXSUmVSjz>;?5+?p0UmpJ-tP2iw3lcxMcO+^Be_AJ66a`{`PQ)$`Do=|wf>B3k0bl( zBl&kV@jR?_L~kEG0FJX6H(vgG9(sj%W-)n{@vRizDj$?G{-?le%lT(Huy`o{pX7f` zLo)r}#<1)L#?&$XFXr9C#P8n0e?@0r6c30@LpOc~8K|@8XP2!;4?apc)~Ot)0`9BWa7}B}rzrlgqB9_U!7OB6~HMU7w(h5 zb@N>9fhz&7IS(!Y+%XHzrpE|yRoGbPdEoy0Y2pIr!F>a`YSKxsRXs-mSIhG{58Pqk zzM2PD3*3Cc0W%bs1oyi+PhJn=Xv0M4BUlza2EhK%>(x)a0_`}=YjhHaFuy* zBY;bI;9df*gXeA!+Vz{P?4bspRv;5K{UntkhPzx<)=_`5d)dm1vP2zkR?zD&G$HtCoTF4cTZe0d*f zO87ny`6Ist|5^6?*Lm;w$~-JtS{O;No|3%Z2-mS^%%6E?+{-=KMKXqQ{S}2qJTsF# znX_hGUm5!!xGvzjfQtYZ3CNZL%$F?~&F3^nEdzEJ>jZVikKTEe{r85^$P&ggzFoej zdxS@O1p?SYz<-bAqTWTq_$`Jw*ASg_RhNC<`gWbQUMk&`v(|NQL{lFhO#Z%A7M`0Y zqY+Qs@)fOZPjYaAtG*gs!PEsduGIF_n63?`aLrDw!%ioB_w|%`@s&381P`x&+l%l2 z&t)|5CzbBGD$tOc&3eJ8(deJPhLpc_WsfoHdiLC0lKS{3S}|*IFQ|Powo60X1C=cRryQrLB%(J1}k+uoLXBhGGhOq*luoc)vS8#U43Ue^DqrQMPv0o&Zb0n~1Cis@<{ibz(;Vn_jbm;yxVBY#U z^Znc2e6R2e8{X@=dFvsA`<{Q_dZ=Jf%b~(SkwYcj%=?9xw9>idAJH}F@k61%{{9d& z^K#d}|NYPzH*a`Pc+0`t^9AA9{oYhy2Mh`y>KgRZL%!nW@1d|C(7ui$?1b*TPG#_c zmzb`Z)54r*Pgyj^jxhep^YXo6_wLE9w5#?zh(quPY)JLJ79vAoD-NSbM@;vw(6Kyq8~UmhyzK z+Z090u~E!Q6C)>ukKFJOWz+fN*4f0Ioi07S8y$TFW!E@Te0l?&*NC^K!uK<$qP#70 zi5(?BT6A2-Z}BkUU>{y^24n6P=%rn{OENo;G(pOs{Db3G_ZSBI0w_ZlWhusPBwLr{ zpuzdqf92gk^4Lk;gkSLU`+@Hut@hqowlZL4>p8%?1*DhW{RVMu2I5mL9E-r$dOzij z!;2#Km;)$Ju&a^sukHzj)|v8aQcR)}?uSt}#Uy&&x6djwdquEcuH#y6zLwqx&D;S` zL7(ngXU%h0Sb2hzxor>eh1_;1YPQ?)AnkaB>ll~2kG`(K_Cxj6**^EZ{(&KTA2T-5 z;@I^$U(~rgYpwTdjGaRK?icYdyFe}JSD)LMdJrBPSjiP#0#94n(-fVW8VC(FMVnF= z601afz0mdnv*MS?1}OVnY326xnKcdcQ=@75tKyf`oMfIHWRXdB1=2kZ~l z-W%lNAAg2i?B|^E7x*SW*f}FNSnG?WgIhAE(ax?PY?Ar8XeJ6yH(qiT-?xyD=Hvw( zcK(AN#g0L`Eur6}bv8sT`x{iQf!IX0gkMa{PwFsyuCMg>vllKuy}j4t#68Cy&~FDg zBW9IPK7+xvmFeCUDwp!|)nKgl?XEt24xf;D+}Dxb?ZZ2OQy=ETst;M$w*92h*|q|!e8&J^?ZAuvnt@jzdVQtU z2d$k4p9U4d!>M6e|sXP;AG(f-AG<@*n2?xk2Ps;*v~J6q@}2{z~X` z=#vNBI7sqs_(yS&_I1)`N!D$MZ~K#%BVP0OQTCu zz6iE-_FZmVz_^LDrUiRDu))aURLd8e(|*QlXSg+e@Xmm_|Eho$!`R)+qxMi+pi}h5 zRzwdSKBt;;BvzZV=#N?4&nmb3Qho}f=!*`Y+9{T8q)w;vABZFyv285%?r*&|nJUXt z#t`t_TQ-#__)2&#aqww;4hG+^p{a+qAj7DqFES|e4e-Y4n;{148WGujSDHKU5%z~0 zvWojO=J@e<&qdBl&eRnY69 zj@s)H3aw`i9GfU@(G*!_&i+NQIct0|cIUf@169m8z_&F_j8>yGON^zguTte;-TnMz zc1*Z&Xhm4@md?()>HMT4-cr_oo}JD=CYLVgNf#8p^TBt%gU^%BjY&0(I=eBc6q{+l zXgjUGD=rgphOFHrDg9MNZW2_4)j&pwhdXg06Vu8=bwJP5&GyWzO%l6oNxFC zW#*4^`9f3XKX_-yd9mX=NBzt9ix#5b)p-QdhQJ?4^I`HDK_9y`5rHQDjd!HYhUiCP zvb}o@{U>I%X)^l+&(pad#P`RzpT<4q&USEL!@YD8V$;1lkA4c#PlmPUVP>|7KAJSN z*qq?>kz!m~v9Crq1nk&XXJ_?JF|YjR+V9kVigy*ZXs8k1wAzYw3+xDB4bpON!7h?7MY|o- zuEYa>De&ca@RtLBI`CcaM(!>6`Iaw+ecnK~hu>Zdd@v7wI`GF?>q!95y#+r<@G;g{ zc(=v_|3To7U}G0AnZHfzV4m0!;CT-`n%|u1;JFMulB2UcIEH~E3l3LiM)!TAaR0Rh z#=J%7#&d1iDcih!n-{^qH^aLX&suUc;qdXp{O{o^+Jg<8%iRlIeg%39I<&3-oxEqZ z8vb#_BU3Nqc|5xASJ3jU3npKaL~&x#;2t4 zmImZ_GJQ@sh)e-y^17Ze<2|9Sy^)1MA_Dc__6=JsE= zWZHP=%6Im*S!39{uk8nXf7GIz+CNI#H%7$LpjJLF^G=m+jzD#3pTc$^98V)tFAM>qQb>D|6_b+fhL zTuYj@wB;ne%YVk(e(F2vW@>NsU7YsQdfI%_ySmwjfqOsQO!~0eeumTbl|0M7BzU#` zIl$-ZW^TK0>`yO?Cexc%IdeGlF~#xE>16g95Fe+VTN|X4G3Q_$$`4iT&KZkKeaz)< z=D#V)7~|Z|5gI?^T2sC_YhM#zHP5(SHn*Ah4BTyQ{D$JH;M)OAad@%6FuZsOcAJcU zZhepPRD5R5%ZkSOjT)}1589XFT?w}}2FEopFXKFiq^)li*SDs2P26c(dwLk7g7Kvv7~gfyM>X$) z%<)to&G8IqR&zY*V;8ZHPW2NH+Y)&Z-*fq1+-<79+9!XM{_hV~XIS(H`Q(D(v)O1r~fEZ!oUPc)gH z1`S)XD&DuPVj{FT<{P4OJ8s9-Jdc3RYenDfqVFZC669R~x++O>el3008GeIx^()eh zfr$b1e9^q@Nb;$!?OJQa04_{1FQ5*h%V7Hw%f?ZH-@E8CP`a+CAzYL4MU&Zva6@X~ zjONriL!M5TkE&;`{~G%b+InQ;=}^3E13HNRB5lbp>{A*u=Tk=JCKC&|hfY>+iM+5< z<&=#raLZyV7SXPW&mlvT0hOn$I_Vn|X)D-EJ5Gc4CfwrCIBoyTQ=;*{V~DKfn`GFq zUK(GV`3>)E8eeSUE3{wzbc}vG1|K{Y+F<^b@AAdAY5EO|rWa{%s722&ST+l<9YJ*T z9JJutAkKsqB^x~044jp;vQ003Kc+zHnm_o*^2v z^`UDV8oW_77-_BzP0q9 z_O5I(UQN62TA>5QgHRlxdDVN&(V}nGL6mM*L78n&!=^FMr*dN((TL3+Xw%nKy8qZUJ7cCM$;(#}fk!X>)Si`k`BU-!xSd~*et|nyDvS{%_ z;6;mf0q@e1c$%dz)fX7y`wM&ZrX3#neZ)got{pZw#gXCgDaG&2$#6TqH1TDM-@YZs z+hhY#eCe6cRX6Q^R@kP-y&XlVOTbF`ATE&ta|~RFQn^upP`*1(9Sw4i;$oum^j{2u=Z@u;n&clpxKffFr==DhKf zMGM<7)C0c(c+zH(rMtGIW0Q_bA|d6Q)yosr{Bl0A}-%<-1WJ}=u>kad_{ z6FK)Cy-s=`@}QZ$#&^J55}n4LdY6A3r2O79i7F|7fBfSwTkWyC%^ABolV}p@^Z7>- zoNg?MFYw*Aah^D)rGu;O)t0x@mXZ(d{6}N@v%skz^I_GGntN#sYfRUvA00i#JOB9v z@ajjeeNk1Eh0qBF&}{@qhTzLG{jdNS z?^xOgoci#2Yb@saUwufw*nFA(KaTH$@>QRD$I>p~)rUX!j3t*R=l5l#uf9|~syH$f zUD1lu6BSQB*)}qn{yBI{jwjEv`tvF)X8GQFWX;62^ds>IlF5sTW65#&@lD*}y!!}! z{-3{Wwf1Rt_~?N_GG6F36S0K8oM6rRYQC+we2?0oU^K-m8FMDoS--`Ur-pSCSc;@1w%@8e#y zl&W)L1tnISVfsLPy{~g4|M;YApzM9`rKQE038c4U1uaI8S#D|{rtG2{!Ml-k8$%n+ zt4Q;pMH4x@h-l&k$}SobPq4mnKhJ}gYiO zi5{6F_3~!f-I6Uni$A-3p~j)5>F{ICTVx-x_%dy@ac=*7`Ge4n&JA?=!lx`cx-vWc z6!^j{_<~~B^~D!zJbXd%=&MQR@&)Z(N))f|G&M_=~G zGya`#(i?OA+?4ql@7|ARyvcXuEiyPa?$t-T=p$E`lh2a++siXv0#1FTd9@c-^no7Q z%QNJ&)ECeAIq>2cTDNs|IWNy}?X0q^&hCq6ICIoGY%#ex>dCek@r)SrSl1SFi`Cb& zvj0I}Pr49al<=oB(v68fd{2bufN@oNHs6}+G=E*}%pb6supX|p@L|?G^=`8WUR6Z; z6XvRn?*}y(=x454*w0*5<34|`DjrcqS(7t1jEApG46+vQ<6Zj<=qB8}in&HRd}8&M z&@;?m7u)k!Y#*#=VMp5O%wO;BoxdUj4k#vFO+E1F_matF^g(|%n*C4Mv}B!w13xMq z+?x3i>1|qS?VZ2M-#r8!he|nTlJ6VI=U~hl%UO5MFFj!kI_!&zdrkS6bEjXn=q6{^ z7y#YCD~4}{&Q!Kq=tlF&4xU}TQTpvT@*u5q)>{r!3Pb*!cB4bH^WjZx9^KL9Pl>tx z^C#JDYbWRUll;mx_qe1F{v?_t-r#WQD*5wN%jOOqcg}eiecB)InD6v=&gR~f`4R8( zd572L{!6~wcH129m_u7iXWXoQgunmc=l1xQT-hAT@%WzuOWLgH(#t>8kNC6quE}SB z_uAY=OC7+fAEh&TVbzbKA;}Zv{i>7q7@l37Q}9XP)sLN?ew3c#%9Rcezv=Y!T^%~- z1lDdsv}s>+#xv-rqcQcHZHxF2&(hluc5#KckgFQ!k%EA^!`E!?HCr|Swd*{-D`xW-_||Q;XKpT~zIcoFbmvmH)1Dd=URnKF z;M5nQ19wd9tS>Ou1r6hjfMLvs0lV$G(`i?&n@Lu??J0iIm%b+(&7Np(97vy6`|a>G z(RNL=T@!8BMB6pfcFnY1b8lN|ZnG9xx2^Wl9-{re+Ukc+Th;Zp6?>q0XSbETPjWW+ zX7hb^2wq2>weFw4UZJ)Ut%>%kX{$=^<%8+!HU7TZYO+1g+?&@n)_Ng&OxIjTzD1=I zpKSjcL7OCRQ5)GdSn&$k76Qbxm;%309HW@kZdYbc=fBx#PA%lS_SqV~rj*fztrZ`I zl2Cm{egP%nQr4>*Gof&Rwkm~QXQYdC-xV;Wvx)~#fY(3!8ov9`rF;jgz}IVINUS!$ zW3_ePcF`;NCLKjKPuZ192QSXt!8@DJIJziv4C$g?Uh^1f^5a&DKHsEFF0c93&rV+7 zdk8quXFi`9?eLj8r@wXrD?KHj*Qjiy&F0UmbhhZv$lK*LTY;|vmv?Nm0IRb_y?jQo zwu)8_>KzmNoqpfr@R_6hSGhX(L1QS6odJnMW>BY|Hp zbdrj$XAGTq*61$!yY3;;#y#{Sem6Xiz%P6#em`^Y`?=7uVflFWMW$v(kmfCD#vl1~ z2EU=~B;Jo9pUVf&%#Pu{3K+$-6MPZ!h;PjgMw0cEr#Y1OEI8#EV7&+tIeeRFg*nhb z=x%>-gZas;jCTuevG-K(dmBBfy)jiCg0F>%mGDv`bKhpxcGyEd`XcHVz9bbShQUB+ zQS+id)9${m=1lv50Vzy2sjbk{cTP`azGoy@w`xq4Jl&YOkC*|i`0MzfvGC~YQ;Wid z<^)5&G8Gz+*}4Vj=Uw>c;DcVelRQ$%^mW5oTceGQXmVu4SZ;>-UNpVYR4fH!SECuM zVtjD8h9Cs;QWl z*eTNf=nDMr+jm=#$04 z3n?!&Bid42uUhhB&9Dc*Cu`5Pe{y4L@OJV`;y)b0_nth9Bl4-dLhX}QzStKnd}o8N znzpstf_9gTRoyG8uVVDrbLp9Bd~;0cXxB}me6*cnQi%TqMzNkbsy?Oj{`O_ymlu+6 zSA!Yhd-o8^6>jVq+|}4)(a`6Ay8l1-96xYfRTJ^^8#3W8+S5;ZVEo(bdvs1|FrF~k z+uGAUivBn7cc6Xz@x~tff)9vJDc2oUVJ_&G{%CHDBv<2>o$Nm%&z<+PHa+eI^LN~PeO7AWGasSNcEMvMSMDPZ@u?j= z?}QJlOlt3&!9&_?WgfhE6CpE@Y2Wrh|i6;?h)V*O%0OS%@EjK&rw)UFCvA3z$8TsbHcZ7?G+wyp^@`US;aAM<>^;$* zpptg{O4^fwl4R0nB$H(&U3ZyfpZa>bgnEe=3yB3}j=9ybw@45Om_;1~r8Eg&kN&M*Rl0Luc zPJ553TR)WhQt?Ld{bAr#c}waRTlJPdhLPl)(7JlFg1lJQJSnfjBzv!tH)S65tEE+T5rh?k`sfS5aGo=?7l)CV4&=K2w~u%dT>({3<*17v=}K z{$ZW4cwOCkGfDdvBIg-17y0UvqZPM~`$hwQI{wR`t+JAM{m{Z>GOe@4P8FuYfswDJ z`nP}>SOxTHA?-3IxxRpBpVpW3Ey%Z^=Nr$y+x4v-+b{VJ3@6hQdDb3=q8aFsn&Y*z zKYWWl$D2f-xO2Q3^dx=1oo_Yh28Vlf>E_IK-c6!EG{@Vh|2T1==)WZQ3E%Sll1J;f zA86QT$gSmGzFP8emAuv*UAE{=@VD2&b;#m}xjCVD`YLF|wGI9iKNImoJumd|!{>k% zPhU)(yz6_CM-9NcbIJq2Yu(qop0E{I$)kn9x^v1R_9pf6PWY%hrahXC zD;L2dyJq%1uY@raZ(@wQ`xagmuf(S^Fs>!FgEP)WG1u9Wyt8M zniI{EAHf^*9~1udW+cTq=XLO(@Un~n?FKBJjy;O#${}hKf5v?w_viqu)5A0I|2h|3%AV{OJeGdkFkXm|XLSPJ zk-o#Mc=`@=aB^{~sC{~BpmA+FTt|Ns6 z$KNT(_TV$7P}auOlla;{6?r~g2~O#iLU3<+xY&o#PjLM zxjw7D=y|Cp6RXml?XRYvNWRK`GsZdeBl#Mo?Q}N$1lsRtBQcPY%gs;FjuXI*{>A>e zOYk+EY5BNWb7}UANOnn|Ji#8oT9D$c%fF~3vMeJVU-@i}JeS@vu7w!qEyP7=A-;JF z`aJQxy6w4!#({LmflfXq?Hc8sbi_d9GAr*C@1*l?V%*hGPQ}v>Q}$htGPYDk(FHm$ zJ|V;RjozIWKa&m;NM4pwJqETA>%D_{BB@9|R9Py#IjgCepQnjLT~3s`gX8R41LsZuM={J}0b= zJmBl;0^0mV@W?NtCTjcooM0P?rpnN(hf8NVhws9F5MK`Qfax9_Pl00vIHr)MjsHvd zC;oCT50G6+u|pKITH`;=nAiAU$oSVff$T~h+*|8FT2o{$w;3Hsya2v$ttt8wo;@@U zU2TP~T5EIs;2oZ8jcati;nG^k2y~`e^pfB4oX;m-Carjcc!l`H6nsjf%=x0_T+DM< z4-BMM7Wz{wi+ri}XBt!O+Z$5tzWP)Zv>}}YUXy-cR5E@45Nti1g%jaD@*@3X?lq^9 z{pAVnk23Zpha>bsWNHO_j2L6^A#9Fsq~JqFN_%gXWh~yq{4|IT6hh7qrhHrLy26>5o4zUh_=JM;+6nw-8Q)`+$K^NTAL2LRBr+JDQ)e81=SibAU7l_>JCo0+eW_@sl5gMS{ooXF z{t``@CwRXt44(--pWc#sKK+ydziBRE&6crmw83xI!Ed_ZH{EtTKH5ZlM*POhYevx* z|F^v6Qrhi*iq}kF?&sw-k9M5MYq074Kl7Ts@Uy+}iM^%p1MrL2bn#B}pwk$8=mLxn zVjl5sD`_;2#XEmZ+K-dgoybe*>&+hc4_^!PXJKopz|jpPTlFN=w_zmhSF5QQF%``&H7qI#0!Q%m_Yd7>co<&-)@C-uJe{|K{(td0!3x z6={)lj)-~-1VlD{VFEXw)^Jh_+Ks0-Dz~4@#i2Wg0juemDiizAQY+tWT^Yr2uYtXGIXeV%8ZQIj#Q2QIF2W3NI#Ncv6Ikl{oY-U7(P@>i}m5a#edvis(nnkC*alUBpYTlhJ>lpzP9@MRQ|C z3*Znfx%#tc;m_njT5DX;UTJ%+D>ojbM{fjAM0gm}!@wi^vv-~A9dJmGzQ;pTE*-i0 zbB#ksN$91MOR_Vs8kcK4xW1Z)%e&6?Nxm^( z$t-Ym8l9zCuk=>`G?~g%wwvjE*I2usZ)y`aPxKn-7uoILsq*0Yx(Clow4q`oXl$zO zy4j;6-6KhRN%!d0Ig;4oXF7gNaa-re`Ig%H?Hbyw>yljC?&i6(QR8hG`vzx9_TRIK z@5RXANA7FF#=9idIS$<#+TTJuVsFU4gf6nSvK;!pB6}n7CE+Fihqm*NkMgSW|1&>A zhLFOL1RD{Xfu>uuX*G-#7 z67poYPf2I_G4Je9dDl}%<{{et{aR~+y^mxGHiLIp*We#QC)g1!DsBQB{j!W1N$s-i zGM8=}l8rO8>=ttz<)Bw_K^`|tM<3iR>PQU)}Y7B4xu>NhA%~k`*L)4 zV-S1GgV@INviB@18UtDEKm1J2EagAuwoUC)d$P=>4Kena4`#5q6hx-A&F~f;irazyaz|*{mgkJkOE+LFc3LP3NSJqb=p6+3zXpR$44; z;h%oKlDvVzbYb(09cIMbWz9f`3qHiTD*5|{CQM&ucElE#9WyqX#G*cbg0ZuPwasy4 z=>5M_T-jpyl5=$Ei(wqI;zpFdZh}^Wp+Myz_O^Cp$z$?+uomZx7WA$Fa_%JKLh@`9 zF?@j-?V@el>%-hP6}IlAo*wF1!I-u0k8A6F>P0>+3rbMQf6 z#mnC`?jauJnf!ggl*-1n^ieWGGOb7%YQrPEKf%~lInq%EC`Yn!RSCRg$4cPSF8njV zujRSV2m1wJHU5{Cz{Y@mKd_poRrev)4Gv_R@aAaMy$N{o78e2U&C`;pidXvHoNvs~ zy)<7Ymf+|&M)vTvJmScTBgj{s)2MZdCu>jgt?5mi1;cvf6f(CVF8Rth(maQb&%C#z zmh?uxYYn`XGue;&_WO5pr^j~Qx7SD(EG%A3`ibw;2l4duT=9g?I7u+3XgfNx70}th z(X{MrtkwCCrQ!8}8o-+Rm&hKF^ zdlTmlweWnLb<)g>c9)&~?Z!g<%4*KwWIku@l8kbe@gQd@nAi|5Ok2&xw6&l*Z8h*s z_F%2gwWnG>Ze-sZ#j|CpXNJxq>-I9PWxLS12merJ{6ap!aaGu1kq?i=b%x90;up(| zscY~#22xqpM=kk@0prPiW-!r=Ov{>6G|a@qoE=U9WlZ9h66za|^edK{+h?YamIX1_^MjQJu%2l<{x5jhx;F-|Fgy!H%z+_ z*$)3aRwunLS=<~tXg(2yXK2&B8B5LJAh32B%glE0TuYlOC-)C^(DzE>u{(n8Lk4nE z^8{m;|K4EO%vceAl}5%2#@mhk$Z^)yWld4pIW}A8y`vp{(>`N^xq`jajJbunYlnPu z=)c;d^;<((&>2gVt8r#Tdl`Sd);M_Ai1k|K)Enkq-@}Ps&fn*mdGM4w&k29QHukg` zH|Oih@X7FAhD;5lenL6#*0~l_b#B3U+R|EPJQ<4R@+Xnk@&lJMPG)k3KWEApWZTtx zP4m3YSr76rom)CWAAGJd*bmNJ`w9Dgg?s7CN!H1VN1Yj~wu10K^1FH~V@0&mn7F|( z9@vR2w`mpS94gLZJD{`Ds&29A6%xJd@!;@lIMHvu6@GQbmob+zYNL$X;4S91NzCm5%H%wK%8Umz zm-HWe44P-mgS6)`WmP|Yi&=R<<7WZ7#=H^!0gqb`X3Q)8mObykr4I44tCM8V4~!W8 z`Z&Cc{!tU}fG+JePQ|~nu?%7JR$szV(Pv@)n_-7OA$(co@n?pDjs50q&LR&$hhyYj zgU%@4@%V+FLp&qj@M!d#mA(v_OwgZ`)Y(@YG(*TF$+j=eF$2*7l3mdU{AAQIM>a54!mCMKb zcG>NFc43|ML&{0aTW(Ig<90LLv79@w&_~;sBj4r~B!9#0JBEw|cYmz9-CWK55Jpb4 zFX|o2%)VrhvCsDw5`*|6 zQ@Ma~VB`7CgUbrRSlZlYQ10}NW`e$@1Na-0AJnMBfH$a7V|KeKSPsn~R&Lx$RZiGnSbKZ4ORPxwC->T zFz55ngfeyJg>}{y?;zff_h#@n=>GTd|LqUtir>48Gv;`n0}dDPfBMF!^0k>dtBm@` z_tAzJXBkB^g>h!4z+E$i?ep$3w=d{4cMf8|rfo}r$ws+bW^RX>H2HrzYi|GHMsxeN zUUPdtI8@(L8>~x~!ApUAhJ@?A1~fA=g?;=-_~+a$>!M&TznuSi{#WuZzEhss1Z56n z&6bq%!UqGHLW*yFcim;KNJDFQaeiW#by;gli%>9gwHu6kI=V=|>V6_gQ3oT6{cezh! z;WBvPRx{YfIUX_UKe*EDLT+YLlo{J#b;jOiwzfd4H1p?y+sxhp^uXX!vz&GtjLWU> zgEo{GS-4|p!cz8!r#4vAm*w)w=q_ss&uPlZCgz&qfn2^f@iu!LuO+QDflp-aJwq!8 zcUc>wb4}qqGWnjNO2$Gj-^%m%4E6A>a_Nqt7T(iQ=JGylA_h82Uq*39g!{zBL0|OhZB;R^yUpmfsOlq9>n3ZYzIe3>@7VjC#@?A2ZhkUK>b12E$ zB-Mp(#JxgE_*HO5+D!6ZX7mgl;=PZ)wFk3iS*mBKCY34dzp~Dn&-eD(*`ei$UDhRU z?JUUd86oZ{OnL`2Lq|5prHD(aT5d+z7f{odMqgNN%D0S;X|=aqZ!T|xm)fw~lNKGv zIkD-D=qwwDj#+iq+8BMG*2$d`yR7>bbud@;Sn>zVzM1h$`@(Tz@M&*lTMxX|W8L?g zw7G(^TYzhQuFeWWqmIH|L*+AWHDd*h>&o_m{FGq};pqQ9=74fE+o>*ICMz1z$l>>j%G zsf~q3zK6};!bFq(mf*T3iSF0t=zxlM6`gx-mVD|mtFONWnvj1kb`kK=y{K!bCAAA# z0e+J3cH7&{afJ`t{hyfsu(=`yAJg9me4B)p6WZ??ny_lePwqQ`;fv+z4c0`n$4sQbXF6@wB-7SFdY845JoORy449r^=gZ&UCY=Xm&h{4)|tywtP{yExXb@7Q>aPNUe=M4 zYv0ltx7Zven(W)Vr_NeQd8;X}YqQ33XCcB^SWdo4%ukaB$_p2F&@T8SjJ{sk)(cL0 z?Y1l#6bxr3F+W$fWuX&uvYEB!Q)e=<%R2nSI!n0B9$YshS|^y3D(!!8!FaFXxiy9? zBIZzXzXSf%c-_u7#rV|3+G!hilrg7O^1Oh!#0cLPMCtpiOgg}LG2YVO*+=YRaC+KQ zoYWxq%qs3v_rU}vr_;>u`300cDHbWLjzbIPg=a<^Ve&X81 zuw4w>#CoxMi zrtT)ydi?hs>a1eRiVQPJAuWM&7Gqtx^amyjrHXSj_rLEeZ^N8AU;bFTC3)F@JKgP#IPj{Qa z+@@I880!<{lW_MK{fKc-nC$8od>tEI6nv*RLl9bHpK)SSvHj3@%tecfUBvn=B42Vr z9e2?%mINDP>_MAxw57~IU(vl|)9S2WLX+Dle*t%(P23{DIOCxhze z!DaS(Ac$SGa&QDEm4lnjZP-(e0CO0XTuTkN=6x z8AjlCcxj%QUgYQ?k~J*kS^5(z2riQW$=-6ZJnp{LrbVxOWm9+~)SZX5%P* zKeVx^J9=VI*I9G!L?2FdnA143xRSa<&~qGdl;y^fcG-3!W|vi#?if=4D+jx*)YEmH z4F|wMTbGN2F2?hA;X!EL%I^d1cZSp4U)qU0r~d~1hu*2* z)pcH$_wfMf6Y2k#)qn0H3zgCzeY?`=AXc7#a68fF?~(p9Kbre~%v}`+;Q?^kV zNcR>GR35n9>>ZqE_73wv+WLsw|1kZ>&-1A6JoEScH0@B|{~a0LWcFE&UF=6M<^M1| zU=aH^4m-@Jb~`)(p2U9+?~4cE{Zbw)$9@@eY-0A^1T${2ZNwqjh-28X+&Lr&UIwrcZ@%z= zE$ipHGEnRE%IWSp9bdZif*ZL+FXR?vxP5mUdAGWGm8XgPbI2bya`|QCZ6#m48DHb9L)NFUU1@&Ny=$jrYxUW% zUJq}50vpyl$RCX6^3U^shCILBIQn}0m-pq0d3Qa2h z)VBvau;#Iqv~hsvfoi8Onv>p4`}WY5wDQo8Thxyv`Wo-OZa(!RPCm8mZ)lU`dyqMw zamoFRl3^WY40~q{>lk8{b_53QD%6(r?R@Y$&8_1G)iJQa`Z_k$leR5*#E$n#XmB2R zPh#&?d#-WYb6oGf_Egdy@)m=%rwKW`zhk$R`C)IN7G3=8p~K8Yy~X#@cctY@(+>68 zvbx_+-(}TtAIwj|fn?fh<>mfW*D^?VLh8*MJLy`Tp<8 zTU(M>ezKpDzt+t^p8Qds<5dnVgyWxm#qpCpMOzd{_JHRV;$PfQccxx4D-ym&mN!n*=+W>7_>y}?o{0z@KePs_&Hu;KQ27XQn zJm+LgO}MbnsBQ3%#)0B2mr#cKmIIzW%FpYZBY(T@pzcl7tyl;3?J*br6h6RuV7)Qd z2RwO;UDPj`Fd>o4zn8m!ng*d?%q(vF%h~7aqu`%Bt9jmDVjuoAbtuM2cOhsjD4xnl z=uYDiU!}&wr>IAEr?Pb;d{9;$EfaWlNU*Shi)qO<8tk#W~0Zo%lt_IE)=ycIjg*96TRpJ>Qc2 z6#Gz1o)>xE%P-B(+uN(ND@NNZtr(6&NyR{H1y75$hrQwiF#=(upLcXxBUkP1d(P2c z|GsDI%T7$Z;!C`E9WRdKQPLC-GP0Mqv+x(bIq@LQzUNlT^Y-$-9vriW6hHJxjJw8% ze=qM`@LUf}Lv(}(CIKfuvf_J&{lMTyu^_9#nPNjq_c`yIJ320iEw0$Ia0D0HuX`d1 zE{M%g%s6LLR4hqsu~z5d-$ZjkJgjvNIym&s6#fE_k|1oe=2Y3!r1~H;f%1^In;?p1X z=yA%x_lqA(d(vM7FGrIaSH%6K`mH-(hBk{`+W60<+EzlFJ(TC2Kl2UJbf!?)5FV2D z*?nz;(4eI6?CYcN57YMqx|q}V?~OuJohu-JzQ)dBVtbl+_U}8`L4BgB9cx7&b+(B| z+wZ~m^4(0lcw|3&wuH`;Nb~sq^cZ}vxHl7gd3=8n@6S?~c;8^&Y`Dmp&xlKa775@4}OROvGsWSZ4wJ`@WTQShfR zp%^paPw`#a>+YSWE1tZ;#osw&qP=W*uf~=Ve0jJ!G6t^3i7%5QxH@EPnSxSS;o+cE zF8rE)j)jAVT^#u3!hi5>EV*z#ePM6F%gTkffP+%GFn%-~xN>37U)ldBUZMZHU#9=7 zN9q4JU#9;*Bkh&?|7T)hO8fsq;Kq`RkNlPWKl}>){~&dbMgK<9O6P@7^KC5p-%Z*p z_5a(W^nV?2WA*>YU)ld7uh9QG>K?2Ar}n(G|5v?C|8IU3{r~JJ{eSz*^#8 zq5r?8k7M=!;ZgekAAB2&{^!4n{=a3E{*Ql|{-60P`+xKm`oH^S`oDUV{(tjj`u{W1 zUWxzz{MAv$KX7C5f6cc>*Na}Q{6F>z{r@0!k467R(q1zD`8F2)?JlRyp~y`13;fjJYv+o!N<>cmZVvxif59^I$&6 zeFM7RZrV);^Pz>@JwP4vS%c`_!Beblw135mdw##o2ivd}j;s%-5$mM%*`(QLVY2p* zMV&pApX8ep_uOU0IV)IY@1@MWr!dksj>*}C8kV!WUSF@eqCe^#4W)LEROnfLvI zHf$^C$tmD@E=pH^a38qfJw;jQ_<8BdpQFsDN?_kinE_xp)BT(>|C92fz$;DV?V>!{ zHNt$;xqDujAEnGkN?<=ud$4WUXK3(j?{nG!e3X73LVtfY>cER;?**PS-Os6;ePi}s z4E0sEiaS*|wu&BLcluy&1oj?aSMc4=OFKW|wo_}1HaD;Oo*=LGd1@_Y_la^>xaDd; z%X~Ml+H@s(wfD+fhy6BJZpT{dtZ)Ba2Fu;CwFEna>=9e|-^cF=zqR~M>b&3tw&z7- z?}M5T{^Pze_7QiCeTuO(4cnN`lh5FrOV=xIa*^&VsIcSLiS5_kmD{iz1o-CdGkOvF zV6U@nJdfOu{DmLA{lwQZzJy2h@d@%h0k7#im;WRmscv4`VctKT zaksR?>>6BP2Fc$`48@csNby4(!+&vjh8dhFG%n@t~DH9vI#~ zRYQ9tOWnS;^;_+5aF0gbQp@+OsSiXuOFR50U2xv=^>+L33{p z)^%9m7zTr{(S_@ztzAGpCR}!|9IBEJI32zB_7-jyyoft64(H+@aFKn zJqLkR8@0}vp<&&Q2p{g?g`5d&a2W09JMX zfj!=e-{q|7!noWsL;gAg(e`4WUGIB~ioH33{88Vw1FL?Dw#3hY_r>`iQ}@T*y0PUv zuXcI;`vrbRyN=k;6~j{;N1Su44}PT1U}J`}4mMcYtLw+l5$f{bpL_*)#Z_+wzMgZ3 zQm;dv#kLD?#ZA@3m%tCUEX(&2$9pY4!OcS%+8ixDO{^RD?_IGmUpY(XiQR404TkKq ze4>@yRfO#_6zCXg;0&TL`=^%6C&*s?aD0>Px0h}B&u7oRai-B-(6J7-j`%2T;t7^O`40~(=4s)9KWI3vpaH8T{)$G#ru7K<`o;OY4_LF-20$<41m zD}MuRseh7l@)E*X8r^BT)iI;j%msisUS%1`c4Lro@$L75L&ebwhc%Cx`R6p(nCxW$ zmlFpo&FB@qjFApYeH1S027`tBXAn;!oZ_nu2HJ-j4Ei&u{v?avcKf3k`yg?@Hckgg z2X9;6K!3oG+O@eMZMVmmo;Is4TVNW*fK^>a?Bc=0`3q)H=k)fWnbcWlIQ3m%y7lF$ z&xgOxQSc|+2`BFPcP6@NzJ|KRtJ-52h#?2yR~v`*A?r{0)6O)pcPpX&o!}i_vbj!{e<{?f){K4;fpzGbwvQybAB+g_m$Fm;V6xkZk)S zGFAL@J^Z@oDhJp9ll3&0)Kg16bN(0Vd1FaEw@}Zs?70)~*!{uZKPuir2{WyI0)e!d zW*oL6_g@ZgQC~e8zrtMubs4iZ4TTt&#sO#Ch0r-9vuZd$RO9gM1G2Ml?&seikAPE5 zn#R37<_+dl#(J7D?~V5mW!CuHU*&6m6?=H9mzwRO`-R9b#i~v3FguVRt?=&4wcp(B zqdV=`3x6$g`S?bj7rM{$c}^4ep|#2##FtI8$B27|VltPnH8jU2hvU^wG6ug)z63DR zaWrSO@~p8WokVeKI(w#`XWe6L$FhkZ;l;)&_|(9w#`QPxwd#Jo%b9yMPBe~!@p%Qs zs00RXEau+aP*m>Z<5!d z{F$+Ce3S1jHq#!I{fasCP4hQ<*b3Tv5-<|>Ihh7~VP^Jm=1*>ewO|0e_Mw~P(7!2L z`hkJGj3kkp)Nwv#XA{(wys2O$vU#odMDHfzHW+tB&6VCfSq_XhS6<-GmDSWcg}L&- zGf)1VWNgViIf1t5+ow3oP;;v0WzDIYTNjU#U*`tTq@8`lR|E!WrvY!WC&gZ8UG!Z+|hd0r&^mP_XHvYbx^NF; zHF3#kPkRz9OSv}+p8s`_W6$kiLEB-AV+iIoQYkqN) zvo`)5cM^vC*r$N5ZxCM)Lidv%Q^~u%k8k!Ci~Ys<|D@lUYt|8;o;3~RDSr3o1JP%W zYoB?5cO%N4zbej^r4F{8J`jxxr;~LrQiaa-2v#mGHn`t)fBYL*Z^YX;`!Btr5W#N1 zeom;d%IpS)vU77hs2sED|Idx97iuZ?BCHXfzzD%Svpn2f2>+-7TfZffB?J<}%X z^hd7gV*kt8=UUF|vc^Z!`ISdD*fs*MJZu>@F4SjYMJl{L>#P{TYuwnl2H&DVEqJWw zr~A9b>#{R2e^pP(!IuN@7l4I zcMJl1JAMBH^TVg(#0{y8zMOp@qUu+jdACl<)?e}b_tfd}?!2>S9o~&jE})wRs^H(Z zlCIb|wP%3mQk&}EGB&;Qrq&YYBKy5(6IC5I&>q=T8-exYv(83|y>ldHO7qSV?4g>E zlFU6l%t@Mmyz{MF{+Y8*kdNqh`G)AdRxl--9_N20(Vb;GjNfb9L|4Nfx{tuKhyILr z`NNLGPrBm(I~f1(E)pYe`^SRVg|z?b4Dryj*-I?muH;)b4&B-~A8E^OtNRY_ZQFA` zbboL0eC%fd!?7(!r(hd-O?y6C%P-uX|L8Tw3!+PyIb$AUt0%@hfnBr#-fpj=jGD=m zv9Q=ko67jVnmk?P8Al%JHC~=^q|GL+le99@G&a06?xZUQW4TZ)wxLY&RA**rjAv+L zD|M%9%B?kx<+Oplyv^lSvZdUb48OHTIiDh2ZcPjCwyd`rFD$X!iNA`xtmCWEU*XwA zVLo{T`$O}r-52q(^sLL&=48g~oUzVa&{$>5>IIEK+m6xb%8t?cqW&UZIY0Ye&Il8Y zCQEKr%*vTX&a-`fv_1-kxWcKL+vumIGYVHo?zi<@$BCm*+bfeBEc^%deqEhq9OXI6 zImXT8Yj$I>HJ1Nz=h+uN1AG~@6m3LHje!O9`$zD8BmLD}{shkno;41?&vOGjVNh0N zP_$uhVd7qEoQbb;X1m#cQO0b|mRnzVkp0XD*O?P0-(~Ck?a}$>M)1>~W`8d<5iQE> z|4M6|{U5Y+SG8!;vb@|XAH>#&Pje3SPME%BNWRT!JqPm>+OzmHw+zX?GVP*+dEI58 zc1^p9^~1vK&@yNv+3w+e0X`_nPT|yO+hpAfj?~xA!A|Um8M7OUp^;Q8prv$baJX{6*0C{<~eWX_cuQ4iIN^UCz98b$sag^ zUvz2N@O{aB$l$E&t6Fi)k;g}PKf%x7y_R1Szx}+sdUpQkB4TGt_1IxQs8O*W-NK7i z=!M>r&FHu5_rk-&e$+$N=p9R5;z!+p4-Va|!n$2W4EZ(_1#AJStya_OYU{pvY12sNinbkXZrYSR-^Sx_HRy$4t?KG~}Bv(Hj4hQ?;)-DrL-&mOb(jpjtk?4fMCy$2u9*I-|t zNV)R)s_bNAwI%eHFbdR`Y_Dc@M}e7ZYbpYFqq1Ffs&)7`_f-=`Z$b1u=N&ic+s zIr>X9=J<3aw{87Jb0e_=uf>JA=QA23cU#iYZ|7b5%DSMjzK`!+=&PC8-FB=&IeKU^ zXiT;5%i$Saxq21(qnf*Te-F>eJ=Ip#>#r}Y??W$+t%KI+OM~6)HS8!XSzc+)4->PH z;{1+qrL~6VX82>G*>0}ky}7Z{juB`uu~kBsIQNXriEYDA6JqVAwS86bi};e4$L_Tz zqg!ID3zo+-RiVip%w6}g|8g5Pwq!9pxQTn&9J`|SjD}oaQN7ADDQ}SS(5b@41$KF^ z56>OcLiqTj*S;v-StRev}>)nYAd=L?LF7L}&FAJ-gbOeuP}`V#u9V47mAE0{+eyK296 zBQjC`MuT%v*wbkH*5c%G>JHj<%Qxrv7Vow2&jrh49aX9)h%HfVh{R~apxWU0)y|U- zZ~Qd7EkSIP+Fueds`BX=zHoHPJ&BII+ToPBh&AO)+hFfEM$Vn(tRTfD$k#WQa>N7Q zWPF^&57Wr{eh%x$e%E%X*n`Z=_!pWe$63qY&04-^cr9O!4jzf2o87~>gzk=C>0axX z)RRrHj-u`Cm8xEy_}z0y__q3gq3(Yushjwb=hHs=b-MKXWb${gNtfj!$@Daf;et^fNCfSi3uZbGr?Lk{fEnG`kJd9i;9m)h$@nFF&cq z=~>pEwTw~DO0Mw6sN&bQu&(obl{Qb!7~5Cb1pLeK)D=Fu3KtrC%-1$wsec|{DdujP z>ir+$#M%HRL_@1e}6qO$7lMIK84Qp`Ra^Q zhyOPM&Fj=xM_t+%+;oRipKN_$#$pY!T5Z#v z@rtb&w)H_z*0T0G>sHds-~*j?9D_e}SLXwKYeb$!W_4S(J`&$v2*ll(v3QOJ!{Xv} zWXDYOlB1j08;I>Ln9R+ZnItAFF~6YuPy(@MZF|Ca>IpJVg7IuYcfsfkQO09|GocIE zmY>u*xh&poGG`Sp$9AW+uGVx~ze--zNRIKWIHuM3p0w6#W3AW5n(r&L^DFpfbiQ$X z*5h_;-EX0>f8D2b#trC&Gs&;@=HtX>sC?}e_OBVYP?pvb*Ob7^R-*eouP*tH4qC1C z+!3wUDEC3iJ;t*c9a&3$jxwdImaYS}j+IYCa9;#&56}C2aI1h*ozqI{l#Y8ouuELn zEpA_=Bl}}-mjW*xw-R_;AEuslmsQJG`#7qj+8WkG-|XS4m?$6q?`_ zkIzr?%~{WMTPG7w*?u6|5~h)7+T`8VB=Dno#DD+vMbLnK7xV7)a`*loLP37(BtZT}bG#XsCVa1LL1Jn^u*PC5f0)c!JL z%;7h@M!J?5Y-pdtHn0HO0XmV*2f?&#yxx9?ZT9*|`p2Ak;sy4_lJ23r#Oq%}-JEq3j9^P7PK-P)jjzFHMth0xkj^?De5ehw zEvgM#dviV}{~=3lkX^uTLo9b8-!58kg!OjjJ11=ZoIA2-Ax=5ED`B)f3uUBf&%y|Q zW()KA=ImL(zn8{O;O<+fg-2`Q(OP))!{qr|37y5G=+#qCxID66F-b|s)^#H4@Tfih zffbMbqy%0(_7w1YUHH!c@8?g!s%_%2QvMW=`T6rUx9;VXwc1yA6Y%0O_AQ(}}p6_Gv;BvRleU#zI$ZX*&zB*Np#=uU>>?O~3>iGfb z|4coN;74)n8=>DI{S>_=pI+GQ@ZJjESCXH3-qt%F0gk*yKdkr;Ki%;C>c@bUtZMSX zJpi2ePIm`+bCxHUG)KJ%?O%k>$23pz|Ex>@ldfFSUF)`7a_K&rT>5X(9$SZKA3%Re zZOB+2{XH2l7VR$;UdNz)khD_TU#I*o?E}zWbeAu2G}+_vlV z(|)F}&K&+2$wWWxuP5D4zf#(-9E0{(1NTa_fBz`7zXZ5fL;Ew(zW!G}+Rt_7r1`5{ z-6W+ke>Zc1Hy;syjlE8~$xPpvzseo&7ctH|XMM+}9h5ONTlP=Pvn>^whcR%Etqnl)j_ZS&>JISLxStm$$ zbQ5%Y`l7K{%lMIQ;^_vJF8(zZ{bTRvz>>G<=q4lZ8h_GF8pyA)d*(hz_BK+VH*TK< zRy5H08Q%Ciihk&g!OBM+zi$ipula!^d%b;GamHS=?N4~AUPxR{Ma@FVTj#8p88+@) zikC~)&dr+OxEP+>NsI~SLBBOSyie&kZ9SHB@!ZyL-AwzmKXf!aH;^VgKRk@*&ces} z=HR)LK0EuAym%wYw~eI#oWA;XK;cPvmP`|#9s*CLI8Fm6JeBH?8Y4gUaGXT{2iCJw z$EiGF(qf}i=hjFr7oI@`^}dZigQj|H-2S^Hc1qAga>6yk;A znf!L1i35^uR@D2>E3+?|6OV%n|C~6qWo)^)pR`iBcvkse<(&9Ba7wJuOXkEUDPt_T zxZADMFBc2GI!Bum1Jom1gEuEiC-TpUe5z}TC=QoBe^7Tt!YJNCuE!YyaW-bp~t%t(I zUWd{3!}!s{Rz1HZ;jk624{t4_-5P_((XVHog*SM%=X3UsMOAh@*B_4OGI3x|LFYkq zx*TK5)3M$}TgKw238aaK`=*cRSnuSU$HR($@OVl#jyCei-m#KAyKOmi5Aq(GAnz|o zJNu9m&$gy&?6^}8k(Xy1z72S_{Sm(T?{a!8@Lw%~Uk?0U;IriA*@jO6ueojw-+H4X z?Y|Uw+3Nl6p9TDO;G4MEv|e$=E_%%m+-Gc#!Pix3U2&KZ1<~c|4xJ3|8&7uCd$sp1d)@eLeV^!igK$x9jM(Vak%c)EuBP z`l$0Y3A6a3EmO!7b@YGESe!Z>hmz)gIB?>`p(u`fQJ(d{)SB3a!pE-B+3_1#gR}mo zEwa7OXYQI$JA$;svAd0w%l?FFd{0xSkN51!yZvo%Eav$`S8wS5h zYxTjb1ttlMHwW!q%l(0@n-bp-89@w5H{oC^4?8imTip34hy1Adx}&Qp7G*8p*Juvn z*URrke$uUVmzAymr&yn_a@V-Y(bu>afw#4DN9LmiyelT<&CEev(?4u3hXz6R2?nDX z^C9D3kTc2R6|8H6*ybmmmnno7F^-Wb;X%gI-~s!NN68(FDTJ!|UeDfS(Dhf8DIMY2J{%)Z+pF zx_OUF^Ovlfq2uk9KWYe>A`iDm7X+Y2D5$L(lrl$e@MAJ&->qPF;2p{aQ z%Ao5*vBA7ImxP&1YGc)9!DxFxcQI&QNl$roG;KbDeCF)XbL=3DdFU z=;>dd`|0_xOV3_xAj5VL*9JMK!=vYR<`vHd<(!u>7kb9#jf_v$Q}#OR7U_tqw3fQw z3@>x-P{aa16w?@8r1N8U*n4{n#%3^M%c>yH4;csY(ibM4*HzFtum3+|)myvQv&S-G zL<{Jv6=i+bo8vcS&6?TwSn}P-exv<xmhzB++ytmSJErsulxe!`cXPbBMpVT9ZYLHd-oSEvkO!AK<5B`}n$%BzIXtIUVeDmai z=r0@jQF!Ml_Mf9sWIXNI!+30@-O>;K1^yl&-C*v2D>RSJxX0S7cjo$iz_6LEu?+W{>FY;;)0FXRx4VL?~^<;jrOu>M*EcTAp6KTbKZ#+ ze77C@rgVKH&lK{MF^5&tzks)1SqE3#} zr|=oRIl2z_W+l*dkmWiIdm9_K@CF(^hY~Z+@Al5T?kW#;EzL( z7o!dzNI&TYp8Q3{tCjAf`4MI8b7}biWr&X+c40-!HNc9N2TEXND?+y#=A(NRV?^EJ zqcuMG6~Jr#qJ2&Nx-SKuvyz7S=q|P2?SDOGH2KQ7nKH;<%=!4q}J;oZ|U_OIG)$A<^tANO;*SBPE$N`vaZ9@8X|-Za`J~6yLGY+JG_!%jlr2hlgFhF1YW$R!#eu$ z&fz#`#dg$FuV;Jz$>$wic{H2wS4gwnF#!M zf!97_@$Gq{yZDweX7bIWyZH9!ltKRDLq58vo*&u2WxBNI)Qe%?=~~g9Gp*9l`XsnD z(#U?+u{|A~X1cM~(%vEzzls{o`>e}?rs6%hPr9D8lw^X=7$6>dbuG5xoaoNlG0T3Z z>1N_kEq8@=;y+zjEFXAVw4o+4rE>6{=FHviAz_~eF%Ys>!eq1@ykccjOFn^PaP zZDN}?bDw5tNj`t}?2ZN5sHMB z7{>dGfgJk@`=V25U-fHtteYI}Gp1I2BMUDnAcJdABKLu_X#t@UokToSIuo>+?=u@?SLn}6Df4T1M0-&<>(Z-0n$o+97a z^TryTeed+QoO`B2iL5!5wq+N=|LmjJdU>~4Bb4Q==OwGojSu<~X_5moT{%!6z{jTi&_{7_^3iDQL@6&q z9<5W$8AsGpwDlEF*KHfA?_uB-dpDZgS?AU_qU&}S4v$h_j|qW7|&(w-~c z2Cp0g=ZYKD`6SQU&#n1)^W249%ZS}=$ww8K${Ge;*1gJ$-AvjlSlUt_}8MMr{<7TEI=GekM z0^G~ZA+o=V7kYtJy_%2q`{32K(dLjSIA05$zf;nt|D-+3-7-I|GSl3v%e#MV+)SB* z{Ti^czpMV0%8TAD{%VenwEt>1?-zaiWcG)R1h#lTUxmNz@K(+@4;|zAkme%!^b_nS zSmo*vcm_Eo8p&h?odoao9x^y@m`!0 zaPefGu^--$Eol6Q;s^7(7czR)$i6Cn9`TyiOUhGg96Bdo1NBX#EuLPoZ-aPh+oNV?XerTl~sdRQkZhbH@=hhHc8SK!1?PnN(+ zKA;~vItlXOH1QXb50WpQP9mIqA9(T8qb2b0Lp8okM<;8kZMN`FLTN4^qgOSKtHU<10=jM&t`?)C&2q(^K$Q>lr+#}?E9JJ5 zuQkg4fAX*{eF;q^Bc#`9oNWfL((N0_@5zW1aGs3tWVWZ<&vbDa#mj5^fn}Efhtt}f zx!j4}-EIY0FOG~S*15#U)POhLd*b=CuvGn&(YV=A0|!n*NCj?Dg1+tW9dGup_xPE*A+hH z&pQTh9)s5&Cl9eK@aDPqDv38GW5k;;5DOvN%GU1j=C^?J@acz*ATP8AYI9+a0V{d2 zf_J|@qqdRPT?38OJsx=JLYi+>_hI1GZqe4$XN04BfG4jVd+LpicRxR}{%Lh-8h6J> zEB~wc=`44R4cTKAW25<}0e#caXE?v2&-Tl4_N^1|Sq1&tkV~HaE1hOM`mc1FB{p5J zvtuSM6kYpRW5%%WMV&cb^HCEtl^pWUK(}d1c{WXR{nj+$0sYeN1M=)5zrU-Lrrm`` z@;f?7w{<%GR9vaMa~;e$4tgLbDF)1KUuz6viDp8?NCB3Te^MVAQekk1ocb!y;z$Di7v zJbYu_GgbQ_HGZ`(LA>~&o2L0l?;qsdw&x_M_r{t6yfHNmuV_tQOW)V=Gx1aBVv6nVy)2XA!i8(H^c3mbj)olH6VF#0G{>z;ND1s=U^Q#j`$Lr5NZ$GmhJxYH(9+*r%hX=Gy zKX>i>9Ce5Xyts?K#%}8k%5U=kcL!7ccFO959$UcA+e!N=cv-`@wv<~(8~P#TjHS;$ z<<>EuGCX}Y>Z?OzTD~30?wRC?Q_tn3D;7@uyGM9nyuF`qetLLx=z}hzMM^ZlP9=RN zeeG^5T_nCqa+z^mZfrTRRcjRjlY|Up|rmDo(N(iYK-1z&&zvl{I?dn780Mv z^SE56Ift?;zK}IvY@BMZBUnG!-}TM7aw==P#d*a*jEiHtQCy_rCfm$s&eZGti$$Cr z$9ZKJRa<9Phk)nqXS9G9{HzXh!9ca8v$dWk zeL@r+0(hN8^&h-XGuUg#^EH&CJm1$h;?(g2=hxG}2z@oSR@gW|R>Pe^H&3bCNTLY}!(uXdAvLWJUOiZe?@D7d19c z?gl4ntL#Th1)EG37`HYK6jP@@eV6b0zg_<>4m8H@R{oR|`|xWw&xiQu{_^}K>JN1X z;o}#HdAyT89Ac~-N@7c(EVjm3wd7Syh|bXU#<`n5i3HHg_{pHu_3xky>q z9>X4Aex}VVwvaE!*wmQSIbmlQpZodMK1kd$|EKkgrYqU1yGOn8yjuB)k?2_!7}mSC zATwW$-bFxi#mZG9dRG(gS0YcZL(d8_=If5Hdx&71|k zD9h8iz6T!1(z&*fCO!J}Fpj$mKjYhII+tYgQSu!HhyP9<#~(1F_xzo!bL}Ocbf(uT zz9}i%a9<5D2JMzyd=6Ohx-khO@Se^kJxlQ8ftL-Z%?GQtjiz%2U7f2zu*{8rBJM%* zS#za-etaBw@}8q}d27r;SKn%cwk!BaHrqBqWV5G3w~V1fugOW?NFFJU_aO0J@-M! z@JDp-tz%=;+~&n%yq=vUGjV#eUv<(7SGzg zk_i7gmo(<1_afic`o7ik zZHRAceBWmBt-v?K_iY;Ae#y5LzHhaBJF0xtD;i5@PQ|;dG`}`}VeTSY&i`6|Yxo)b zR`4V2t|E*d;n{eu*dGj;S6+}Q{D5-KT=!4Kdtzx3w<5&J4|Re$N`8&_2+L zXVa_&uUTK%e)UX~ctmu|hb{H_Vaf@!()<&&ewOxW9^EuPKppLcuab7U&#U7-?BDFN z&$SKXOAk}-N8#lVal94jb!KI{rw~rO-7fb^%DoTR2>x@G8;!0n$To8i-%iE6@)qS! zQ=a0_wN^-%?Y7=Zy7+l6_uPaNcU#eR^?h|wXB?cOuhY`llGuBZ$lXw2tUMpJ@1cHg z{vy)j?)bnLP|?&$d}<%K4jsy`rOf!?7VBi@sr)o>z+Me}to#pf7Hw=pAvy({x3SAQ z-SJd@4zd^>#7Ycou=3pwoW7sr>|>QDIKdp_Onkv8uV9p4<*Pl<0GAkG%o^{_GghZg zOCyWvdq3?66Gw0geh72UO@Q-mZsOY$6~qj{3z7$?Tw1@K?`h7?yq~fdkHsh6+-V(F zKJthc=90dg^c`;cVbV`huEv36+ZxhU=1IzX(fuyCJNO<4uGfYmzL@ltKDdv%a4Y#< z>5dJRx7__+36Dj6-#_4fkLnIB+IcG8ZSB1h+YSHw-1#hq95T{7tb3?aXLV>U^X4(h zCZ*p_8fRn`wqNMze&Uq}mEWDmRJOO5Sm$eKUTV7nKPUO*H(x>A_hIsTvN!i%igg^( zHF|kh8%`1v(FEKa@_VxIyTE0MPxadQan(CUJDW*UJ5SCSX=k_c``Rh}RC;M?d)3w_ zX79Gt-b1W4a=@*n-V@{Rw$uiV0X_dz&-B;4y4&((?3e$Gc=3w6t%u!wdRBjx?+p1o z`MaBZG14Az^Xd7Zo3HlG-Igbtx07!UX=;P&)$=26zDDwSa(ff`T1ngH=F{`n+|?+MZp3wK)|bMxxG)6F|bUT+S$oxCPv=oUAho z&#T;gJ;WJ!b5DwVCrEpjn@`WTy7{u?YlXIlq388(x}HDirXPZ4myo_z`YXEc4e;f| zJU<+D_)>avEqRY}cFb$<$`y00VI;Ti>vH(7>(X4Ym%ITZSCo8O?$)t}d@qtmvQu)? zND~i$4E-ng|CiJ!TJPlFvrlOKB7Iov8?ATb&pOJvoD&VSpXK4fN&Fuo?FhfC5|g);j1o|EG9A4y^1;+C!Tq26QwX>7&S#m5a3YkvwzG=}|sCOV)Tg_22Ov zp1#d$W~_+M1I8W2V2tz7-`sBHF6v}GO?q^jbs~n`(>?V8WI8@_BhC;1d07909lY~1 z*w4YgbiQC^wa%8Gx(2;V_L`aCOXcsox^p;AqcK65*f#$i`7QtLRn!{*ciIQCpLO%i zz^JTu@qJ)2?KAGS_VF!BTW0e8w6WDX6;=P3ucF8+(tFAGMe>}CZM9yEZ?%q-=R`@K zmE_q$o@3-$&a+8A!EWW-8NL~;ox_uzZwBQylV>Ao5z;Ej8@04PL!i_0f*e zVn)t%I(EAKtQ%wCYx2a-!ZqY~>~x**WX?WEW)JCm;NK6Dww5}yPO$BOls`%NZTai$ zX_1cXoso0gEq{qy{u0`$_V~+hrmSYt=TrXmqVe9 z(Ec8tE13`D*gvbzUY_?qSHu&ADtJG{orCkyX=($UO33dtV{d?;p1t46l6UX>X#axM zSwRiZn)z0?BhD@7>^tI!(Fg5)m0CMrLX42sho`~YX>g`_QMR7b;M#5{c3Pd!AwQVz zMtnVe*0bW!8niZ{%@4cj8pEuGnR~N#eyxxGjo7zF<)>bqE7eOqs`otVZHVFD)_ZJ& zea5g?_s^;O*U-(WN9XZpEnuJ5S(!upi^l4g*B{9Z#a+9}T$Q>Ql&utL3A7O`(>&XVO~N5Mu~#QU%H^iZOz5C$hf;HkN8D!LQEj{HF6Io{HA+}y}e`q%J&}d zpn5b8b+%I@<%I3Awp~18-?N}Llp!mWCqjA|_KFt9lQW)s3O5SI)^~cWapajpnR7^2 zyu@v!eH*+6-M-hIan26++9Laz@GZP6md3Ni)Pj4(XdQL&ZsdrCy2J5zjTW~%54`zf zv08aQj+{G=Z2nGGG=J$H#1D`s3{Ujfdk}B3_aKHl=x1AxeP%;9dl$<4y13H}9L3jJ zcT&!ZXm_D3#`=bS2H49m<01o_NV33Ks#sxYUjg@r@w|h);RN?b(C_ThF4JDKp+jpN z**+-fU?5wS9-+)8?p6DJtcw_qE>pPv67Vs;=~>^l^DZ3fY`XG9*O1y%NxS}hntGDN zK^W`KDO<5v!~2rx<9C4{%fHo6ntUmThH08D{FHB=Kh4NFafEv*b1!*h%lmiI6$^Dv z+)mQ0w)Z2F?}DURlpa&dc$05@4KVcAvHt<9y?FBtd^SA$%V?qu<`_HvhG)Bsa>|fh zugO=&zXGSYLQjrII5_|YO_-qy%GB8c>`ArdNLhTn*<@r3nOWVY`~Zf*8D6oBCI0<0uqxwN z2AcD~K;xOX$Fx2@m0mVEMQjpk#oB~A9;n(5y7?JB&FZ;rjU%Q}^QDzCLv zIpvFov^V7xc>g={h-MM?*@*{N824HyX@~sgmnY2PFZdT8J-L2C!mO5j5zp{kl;u)1InbZxO+T^z#3J)!AoBZ|8q26x-FP|LGcDp<(;_8J4^UqBmPm`Q6iTYz3o^T#-8{$nDX<_$X1p z#kn^B+*Eug|7)RLcpfp%b2IP_d77SGP|0 zTTS3Y_LtFg_wz{8yf8RCFLW1@d~@c7ZrZ>)1{}+dp>drfpJKjmClB#2Hh-8lokr{~ z;t$0?h_0ejA9T{V_TnGJA0Gf-{Ncn9j=+jPG_D2L;iIqT-x2J)fE9lzM%h0%c;kAB zOW&MtTzl~kYZs0k|DZh%DQNG_1#hzH+ETn0x@!E+hvwP2%x}p0u-24}<4Yv}li5PK z{H?U@-VSibdQfw|^zR7zfcC8^?N-t>hMOhR(XY?Y&y%TH8hllCZ zRd`Ny**aMl_;Td=0A=c41I4wKfhUc>Cf0so=9uNYA9HC&+!SMLWPh1xcGO3+3rC^Z z@2O8TBNpx)*kPJ6rl*Kzv_)gmqnY|%09G`UFMc$dwYfAK^wUi761iM{CN_n!&gVkT zR!C>`#v=Z8#^QU6Dh`E zhQ6vD8YkK>dNp-v>_^b|JzZaI^mP66NSChv>@Z(3{srUNHgnF{e*}6yLY_z9tB;d@ zKXjB1Bi%)O`wiYjU&cMWF*2UTS3iZX+JM)X-astGN}fG=uXer?VQ#m`^TT z#QQbKa_u+GCWzAz-qGX2%T4;aQt4uVNY@%(&t7M0eSb2t}NBrCgFX?&lr{oe0$-g7vE-g`fP zedqCb&)M(&W9_xqT6^ua*Z%SDSN3Ge>OMH5i|N;6ME^Yf`Y`3m+wZ)&?{ASWWxJ*O ze%r6#;@7vY=0f-EUS#@ZN6^0V_6)u!+M`oN`w%?XbW?ZQr@wD2`Ls8-bI-PP8#oVS zJ1-zjwo;JxTZr>*=bpfQlRoXgM*6$ap8apf(0(W7-V5#bQZ^s$w^IIiw12?TK77!d z8;SNY{8;jD=={2D>g-y!xA&Vpo273@rBCH=#6QJ*t!#b=JL37R$r$67vBkq)e#w~5 zvpt7g6*;W=AZMe&%kwXIzPvH$NcHnQhG{eM8ipMdAP(eQoXE&0hu!|zajAR6}Mq2Vu7-vBiH zGigB@cG&Vk8a^E;pG(8%^U%=PV^y4;EceIuV`UM4WvTX&`LZZGO0p;$YC=_4#&55= z#}4utUvg|)dL6h7@+=GQN*p`PL)s!2E9?o|?U*P{MdW=2}J>LB6!1VYrX}R<$dl!0~{T}GC zFb_RGcpQ3+{(npl_c-+EJq|rKF()vD#=4_*Ty#1!EFR+Gz9l{#MK6KCSN2QBAzuw%0<(ni>mKaHB{uwd$C+`)>G8fRv_|CeJ)PL>k&o{zt+OUDk8Y;3Iq4ClOLzXRD6&N4^M*zAJaV zo}_&7jTapG(Uor>sXO(tBeMt>-uNNjpE%M}dh(Gilq=vALWuoMTPZV-Jn4@-cI5dR zcfCINBO&sxKXUOk)OU?n-$mCvgnO(q)k7QiUGu2Hy=TVB1{d(`429#{!ZS*agozu> zyAzIg6~^Q%zdSWK9uE;0Dxz$dy&a+rbG9zZZ7Ynkul_dHLR|L1b*@hgaq+`M@MFZb zro%Hv9w`f-#9s1~*v~(b?-t{O?--eW2D*M3JbN}5A9;qg=X)>N^?L2zJJNOF-*ZFp zk=v$qChD$y?8x9mJaz9d?x~&^%!9HN{6dxLcvZy!CbYIV(t;0G=9aiXG;r^c~q)+>y*YXjuxM)TlGK z|KW!Z{Km3guYusU%}t_@f32!+-hr5>zBfv`?T1LhRp@;FARf^!@3SWH{-Y1 zbmrcJ!skkVQmlEX#@?CFQ|^}U9+qEvujW3Cf2V-sH|T@q*vBRGz3ss8$HCNRn4@7= zMUkIH(6vzQMpoxT+c|`bBttj84V_OpavAv~6SDi^cdCo`k5ca9D{MJruSR)d@l-jm z!Z(yiHu|E()ot!Q629T&Bgjpvo%f)Bqnh!WGnS)tPlE7$jlC1vT6OYU!kn@*rPdR*~4n?HQ1uE@by{k-zaAd zly`qlCSHD?^4jmJdkvsB@~*!GEY)Ac{<`+Jx7p?4spz8R@K z?k`|#xO`x`;zjmvSi3`epO+Apt-Bf>`vBn}-98D<>!I6($QXn3PK)z2-pj+pxt{!e z3Y^KCx<04PD=6PW`PH`kR$IP}_qIU!D=1Iil_*y4l-L0@2u4zclq%bkO15_`z6jS>5&v^z!BG7vV0`Y0?*;=nJE> z)mJ#rG-`ygD=Yb)1>bg|zGA*#touoyoAHZuG4iN+kz`-@ofKKwub@l`zS`3r=d9rR z#B$pFCT(6rJ>qkeHdlnf)s3f4n7KJ=_J+9HE7p}Pp)W5PK|V{zkxPsQB*Zj31hNSbV(vw!8w)8aqz9V*BfV`NFQ( z53tWpwryQ9}+Kyi$->hjgLfRMuxCi(t|HFD&|duGoF~Dz9mda1oB7^tq7=RL5B3v4Blco$OP@*q);g)V>l8Xp z0M;PVzR4P*>JS{!dOK%o#9w$fs%UfP>-uJ(VCvg;K1{uj=%v0qX`MYcoBEud&NKt^ ztGZ8I{`!~LU*fN!DeeKQhvg%D;L}H6yAs}&9H+i<1J$=9TVGZN;gO3Rp7o!|;o{UM z@e|5Mk*`|!Adcl%djJ?OO2>gqE$uJv*p$%s!5XVsJv=1TR5;^eynhv9}#G z-#6QfEyTCKPg%Mi9fQAmQRl(up6xG7ufW64(VpjSGcuYgxJ9%lsm+kM!%fr|L;Gq8c*MuY4vm`wAR{ZbePvi zG{!B2#?r5+0zcUAq+j1pS;v-bp{&*}bWU_cp!~-uFa3H#PWh`TKZx?u=hE#fD6jsi zekwRG1a$nlz#xC>@9e7!;!p*Qzp(FaorSR|2%~4KIj5+;u6BG7801gAk^`d#7zcpi z`##HoQ4YMT0`;FrS@NZRqy2s6FYz&dj;S%g-)FI!uboQw%O}^_={W1q@o%H!H74w? zD9)UJnLxhzJa_S!v&ZONoohPYIv9pTY1_5ZyWXCdvy3b*)m?L|=4$!ZuC69cbG5fJ zbNQQ+_Y&vLP5Q50?T3E*$+sUGexE$K>rTj%IZOTk`NaDads^gI2#)IyQBHD~dxqxI zlx0mM<7a(=vXYlt%KPKG_$}PXYi)|GkNh)~*IDw3w(fnly!;9N8j8x_MtSn)J=e6w z&X4xNKl!Q-@awz+FYUgyX^VV6%dzKv-v{4D2K?J+7(OmZMc|$M1}A9!D75Y1V8_9> z??`rli&=BP7kB_1Gk)zD_d|l?Kz{8%-RSY_(G0&fCeI_k@7IzXx(QvZa~{Ki>*G-jKb7_(@HH-Pb`p=wdYQFI^DQvX-~QjgiB7h@G-&%(@_PF+ zv-|h`eVL!J{M5ZsE_&Y$?MgqX{C4fAoW801tkpiVMnXQFHT^w#v<`U)n!gmYds?ct zrEe8Hvo9@MS9#dGJG_Q8!FwztJ2xf2to*jFF!sB~-#9QOJ6fau0qL@#gZ#e*{&Tmb z*H_{HE9?&u|0PR)UlsqqMOpHiJ57V-kty@t6Th$in(_)yr#@fyzCu~a-dCvG*U6iZ z5q~X8d){^J_56B(@MiY1zx!BU9vBe^s zzd3PhlKqiJ9!1-5bz=@+z_+^xGml8jg*VRJ!AKNbl0Uf{)R{{5IAj>HT3u3Itl zq|z|wh|Z%uqMJ{zQzD7sq@Vm7(o3A3&=%RmH*g|yOnkblTH}5^^{q&E-}8mL(&H)r z9P@x8w-`PyPVHk}vTqx6GB-YKZx=Lkx2B&Ujx)0%_Wlmjxf;zqu=$7WA-;g`$n(8} z^lrktQ|LL~_1$y&Ut#F%`0(w+nPWV?D}7=(9_lG1|KWJypu_Ruv-ideXF2i0AzR~x zAJ`fnUfvTg9JVt)yo|CX?#anP=fsE8XGV?KyVIQW(zn9(t>3bqo#_+lQ{ja0y*d@z z8y`j=4V8rB!`LSmD&WpetxXj2%f00x$;Bqx=ujr)tkM3@LfRhsY$Rdm_)YqXi*HJO z0qIOlQQjWYzgchHnI1X=f6b7})NR0g0h@l1+npE`;hyx4r}}6+`?zAf6MLe z^J!fOEY&QQcyrq^`+X#zS;+yUxfaWH= zm2jaAZze3imh#+0nEeAL+)Y^iRmFD_#xG;SpCJq%P54H_LD}iBvJ<2K9%kI>a1$xr z&nmpvgSYIc{lx3u1z*1|1m{}dARh+i${d*7cTu{Db8y1r3erxn`K}^dX2YK#{5~80 zcux7#EKZkz(=zJTw=R5~J_%0qi3`q0&IX@y!61H9An#(~AKRMN_anv)%i=$V{L^yk zlzuzRI1(&B+m@H@dMGfy45j=y%0EH;DS`UVC;uMe_6G76k@o}S)qa5(VW0Nj>gn#2 z@8o9N)-d%qQ1<2kywh#{%ZT^KMvWVPSc^OX(;wTqyO~Gu6by2l0+ccx!U++crH61q z5$`;+3C|{OHsM)3nwMxy+{0M77yZ279|!pz&F-1`JXJi#hDBE|tM+Z!W6xl7w@~TK zS<=~BAFNF2-1bm>K+H)tYfiC2`?lP!#G{dIecJysj(+|p=ReWOW-s^SXF?mz6N_Y{ zly@aGH+kap?#vqF8_*#0-9+r%8fY-kUhCc%JJ*}1!cxf@$W zXJYOl9lMmik)0Qe#MY3V+eSY1hwrh+LuJLM;QpCgC`;Z{?z}{{ismKLZ27NKK6n4j zI?Bpc*_Xpl@y2nE9`$XO$E?lU@4EropH;GMf+Bh%g`MyE^8r1#%IZYB^{6z5y>@%R~qz3Bbu#Yto! z9dchZcfdSP|6d8Lg2;yCV7@nx-9w%Y$->@Sbl&Xo&GF5N()i70f7hhR&vM_%PUMhr z2^dgV>!1@|I)3@g%lYgAnc*q_ymRgR^3$7BegoyHV^oPPe;Vbr$4oY-1Kp(y4pWwVse36K;vBLIJ-T?`nFC9*co(pw zvxLhDz>=Tk`EVB2uYo05yfvq6l(IKdwnJsH*|lfM_nU9G^f{q z>^fu+U8ntxGX;bCwVw)Gy6*>+DW)yzBNc+hd`s?cim#QFm3(^oExVVz8@Z5v^Zk9-QT}cCSTYQFv|D_fOIh+6{T8fS^2lCP z^PND+!z#+=%Hw&Il{{jv^}}28NMAAMs#JEFktJ^($CpRdJ)ZKC$1mCP8D7JC$s==x z()FaPulRB~&%lcJjiIb~H<9+3JBkBxIg~V&lV3KUTt08*@r7qSd3-J)k8k+$i0nY6DPqy#lYvEnvY8CS6peHxrUoLdkCmxC1ZpPIski`Pl7f)dxWc;G| zqjje!Lx1T;aQ5Zx8F*~=<3Yb3S3@V-IS zT?xtfnzQdr|Erbp1Mo-ni{{wE=m2l6=w;$7C?~$X%Ki)KfPIt^ttEGJsVArd9wqI0 z=qwwq?AmPqs3Bf{$$aN;rjyqHyv0q)8;SFM6}q>SySL4~rTgITK5T#&&^>pPXE$~E zcV+&Ih2#6nb~wAz^#M4ZTxNae>Q7&$eXQ7&wuAuK) zed10-H?RDClpjag@<7=bWz{FP1Y}S4pX`K}tlUk1jWtMo&`bE0o*cn%=6f%)8Oovg zA}f1w$sT(@dxE;q^F>WUX5!V7J#Sra9`BNgNBMUywh z62mbb%sm`h14154-SVC3(q85ao!pbwF`s)&=HkzEUe!G)n(r1>J(`ve>0Dq8=3GUH zv0C@%iEfP1XAO2XC-{z-ccwZP@B8X?vOAXb756@S@DI>UcE(PX1%{Dx=D-J_pZuf# zxvdu|Gtl1C2T4;~e17Y0?bnI(IJbW4Em;xqeEQs{-v>Kn4nwf1ltZP)kzUK;z; zzAYod>7Jr6=aVZFN6zb-ea(lvW_xoq*7|04%?`P8B^*vl;$$LNMk`U4gyT z%^u{f$u~G}RSG=a=^=mG=i?bnA1WL4W2&!oQg#(cZ}xX1A77!ocpmg)wo_JpUGnAgSnEjo)`mYXaK7j5+q(;1KjZUTeH{MI z#P&A(yWzXOky}s|3p{V)O!eF7-a@`ZQbF9t zDJv5p*6|CgHzr1uZ%uB*w=_D6kC?WPtkRg_jKYWhs_t@;-7a5}>~II&5P}{$>!3Nq zbM4TJxu4eQ#aq9>`spF^EB+~)PkWrn`>MXbu=-y5Gj)r;x~nZgo|4J;WX6J0+8~^Y z!=4TDDCvSbbF9z%&B?zK=kb0sXT-4`7!OVW-e};DCg12`yV55^|Hlm9v)@M+-|4f) zSD=3VVeuWgk)Amm&g%FBHPD zf*mCKaW}%K7oeGc_eE5+Mqahnrv2_NGHk{<@@Oo06u#VUX^KDKRq6jNQCmL7{xH%8 z+TVLJY4Sz1W%SO5J-c_jU>F<~yeiLe%_y_t8Fi}s$MMtlFwx<-V(8P2w}_LfC-#=zyC zf-w6(EX~yaBxgm8u^N*Kso&clFB{L?V>?P?lHZQ`v|}E5B!}7`pV|N4rnYZQ`g_-h zo!FfmAy|eU-D!C9s()XB+M(~9YQ4s9hw%09*1)FaE=UhY+42jKhts&{!*8eXT}@kk zoPSB(@)_kj*YGuqm+n;X#)t0Y5#oHj)Hc~-<4D(?7AJzU>htL(`U_v-E_#o%^w)WX z9hCEN%7;5Hxzcsu*&7m1j6ZDDjqF46Vb@arsz5t-AEzB_Z9Ap~+VMnAJ4ORD)5<{GK7Q6{9KjAgB^4WFkY}w(I)w;DmUVn$O@&!(y{IqaZ?{A_!dHebE_WVos z8v+q47gy0AV?4X1Gwr$OEzsBO_o(vbgPHlNox^d~(YwEvJFP_H`OsMFV%W&?r*2+y zEo+X<2Oo)izE5NM81jdh3x=Wnh^p>8&L)q)&qKa)-CyhP^B4-=1MTxT(CX3u?Tk#_ zl>89+{dMLldmb(UEfVBOK#z+^_tyTiG(5@Dzn6R(J3nP<_yF(0vF`%Pk~by27UW-; z^0JL%w)_>8|0;W4wck}^XpoQp0u1t}bVfM{Lo)DPV93@I4hw)G9tQ2MQz$DLV4ThL zi#_Nezi-U7JbVJ)Y3zH6N4)g=iF=%WQUXtk0{sO4``bWd9yk{h?=(?HlFZyd~Q=ytyj-7QJt3*uL>$ zL)VX|E<)zzzZ-9Viy{{#hJUQN?|$}X%FzF&^;Gy;`iHE_yA>716%^ibvi-+F}^o{kZ8@$ECppIKe zdGRpVKYSjpwmh5w?>czYFRlvk@o>52V=TXp(>U^6RO5(jLvI|}OZ}P;eEr<6$k81Kw5KGG?s$mu`QnkIYN1Evrm%uS7qag3trGmuU`g*3@p zkgit{cRZcEmUQjQ${nwQI(cUvx?V%Md~`iEhpx8{K-ViNPu{$A-EHamrlo7qkt|*R zr{kRN*q`t&G=2Trfob{_X}L5leixdKBmLcEb$%Y2zJCCkuF9e5hXrm_JU=eA&zW%vAQAD-PCW!I-zYbtGEEcErGY(SkSdZ2j2i`d-1S@I;h zvh3sRz0iG=TaqIZTjVc&C^R~Le-YowW$nE)#2GEdzOGQ!tYkr=yRVq?yl)Fd3fB*I zPV?5Ms8@B}yB8k{zLPCab|>~%JAch82|ki3;RUpEKG&~?CFN4|Ao=s=$D z&}ZaJE{SYOhQPDPeH6QPNneqBe_D95H;z7)UANL0`C?$4dxpISx%&G~;HppkB%r_7 zT%5hn;u*`sC6DQ8>^5N(-GU3g0J%63-oGtg#ZtJg;Z&vqxB3apEf7Qk$;?-zi(!Z{tmlFZ)D2YS7%UOx^n`!4RTqdcjIS_ z#-<6ym>bgGf?mFR6~TY#YQ6qf%-7?6kHOm;fLq||F2LE`>v3gYD0UP1yR}~btiI@N^095v}u6r?+mO z_0h>kgwHke9mR69Z>PTvbRux%+X&uq<=a61y7Yc4BkPb6xMi!QNvcUe0j4VX0 zEU-_d(8_}7rElCz7Ub)7dcFM|xiawuU~AnkC=;wFp)>l+1om!5CceY|57~b~yI8c6 zOvvx*+kCk)alp#NZYvYo(<>b?n|;T++gANQ)D!Q!4*grm-LxIW&a2U?LZ_VPvE}Sn zu3^8!ib7`sPrRD@T|Qapl<~ZM6=Mj`0iHIVBA)G6lAmWHkINJ0ad_UiqR>h3JkPV2 zrhgXq@XAjQ~o-I71MO1yv*w5BBv*P9{qc!F24M^bZu4Ab<30cXY5G7^z07KQpZzMBU-QM z>YF*Gt1rY^^}&%l`y$28s7F7R<0GX%Yrn%6i=EO5(L^eRe3l`1J>S^H-l)n{$0YW@ z4ev_q|J3d2`|pkSeXiKKXLHBqM8k5{_d9P+3_&JUANuLlGMzpc{U4_BP#mI@aUuRVYCDfR&n2QG){OWVOy>o`^vw2;YPyyE|qN{KXPSi&xO0ve=~f-w@rz8F0{3~!K2H>y`P();IR+!MAal;Bwkx0$ zdMY#bvN~%u@-N)ZWBr@`51Z$givFw6%NGNiK2_S2o_Yc26wt{Lbg~oHns*lN=mFqf zoq@Y7wFi2>akt>E2JU>|-VNNl(^IGChZ~2U`#zB$-zmU751YI5pGP+vIHT@PyY9;5 z)bnXO__^*y$;ml*(!SwW!UwBWq^JRCc#p_Yxgx8V)UPl|TWx=B? z+{0ZY+N02u9?P93dtdQz{0HA3QQZ0az4yQJEt~I>2&Bh?MLsO zp6`dAB^PA*{Hu4e^nBqR_T7`_)ART2r;skFB~J7#IVH>IuYvE^19*JDz}dX#hwmMa zM+*3s^7&ajz8S#dVemLinvchKEgmlsCp@~}pT*;5@Yo_e#8>2R_Mbc&-4wv>K5z^F z4{*B^+zxOS&L7uq4d8YQxJ3iF-Dzn`DRYF^zS@SPuf1mBy}_A1!8^oxJk@_Z zc*~yk;JuUsFABV00WX)vKYPZ*{dv+vk1ze$dw-61$;c+^_S-BuQD4FDh#t}Y{+{%A z{(%i1<*p2!8;Qmze~)s~D81_wA#jMuMN;r=MXjhjdE`al-S7ld}D0JMI6x#pCF>y(!rl-v*Zo^0AhdWyHDqWd%d`o#ECC&;OEKhyN}pBpR{ly_jzSL!Mpm}332azsZIZk zeP3kXckc4iFXdf*caXFFmC0Z9;^q@4T-MlnGkpMAkQ|8T>vwv2FSL2JKU_ElW&gK3 zygbv$qjqS0Hn$zqY@V~pBiPq`!7KA2-gD)5_rG~*E@{5p?b+tVoth_q)ootdh(P|u zcX)9_l|OQuX-hcLkrvHv+U}*51oD65b}z2b#wp)X3-_P@$bJ{+YY#s7V9B5H5zHz! z`-rp$XYL#L9sW@0Jcr+CHAeTkKjJeX?k#@j@;t#ajrbRMj(*m0N_c;c^eSeHx{KuK zQODUqn${rYo7zO0*&80%Up0X`pQDatJn~z;fv>8Ea4zju_jv924{%l+_I=KK|0(ae zd574vAKJVtZ}sv%W#6CP>b-xDckyhheSh4h>+X8#f^YHe%k-};-5(-OvTz_W<``L6 zf2UXO0Sot)&wKB?dC$eM*rx3yZJF&u_t$>j15(R-sn2LCP8~ry7#Rs#2l9~`?D5C?_{+j+E-4#5b?^lhBWo5 z_y3m%vyJy${BQrIm)2r|ujf72#{9g6v%==xVDnyW-%otWD|-d+qHXze-utC`r@bM34?o1uD<8y@{L1%pHuH*k|6qRi126Av@;Of6AHf&3$^zd-lUkV;&isIwmvzxc|tGKOdxy)uj14e**iB zH2$n1PWrxgxPHAk%$uJ&`r2Rre)QGLnRot$U)lAg$irVAg|JuG6zUIBZ zm;>hzzxLvPPQ2!se6L~i>LSr-C3Dw{vU6hQoB8I%XF;RwOU9&Y(1*h9QLB@`13a~} zEV7+%X54n5j5UlB?4FXncQfbVm%KV3ScHFms_m~|x48b*;{Kq0@3gk=m+kwhU-NLj zk9XaDpt;f`jKP{GeN%dzxDDVXJ5l|P4d6!q+-dSJO>O`C(NS;2f7&NotOOnn22Xug z`qH0z`L>YnM^?sfCR}7?X(QoTR^Gb^f5wJ82>-)|KTUYLEqepuE$5BN_>gwBA|uda z@5y6~k8C$-k`ejHu0ckc$S+&R_kHqhsNwbC`aJo}*%$27_mj^%=jH9$)w-U4*6Fo+ z&+j?14( zKjkHo(`dZ!BI2&1yf5?Ds6EJh196i1ZeaQ{e*v&(kpE-ApU!VNzvuJ2LwWH#Tt!)@ zV{7^W-XF8&v=(Cspo*rFMIie07U&e^SH2#jM%<_R{1u)@-CFyS}dVQ}jCW<4i9_k852%o|^sJt>oEi z)>}r-Aht&S@Lz)ST*$dcdSwalOQ~}t>wlO3&8ti2q|q~d4)ELH8Ta7zVKe=Lx?fb; zN2p(YReD}~&til(BsL^EfA+7*Tl={8Zf^y5k$vybP-oAfLg$NzMywu{4ucyjCWl@= z>E%A1HRqe+rCRSE)Ds`JICsdHcYi3o;K^-+!_Sl! zkDNX#J>|qo?PZ%W<)d?wXMuy(&Ca3yZ?>||#na34MvM2v33v0vc%nRWd0d_{p0~(z zm}mYP)`0k($*;;y;CCcX8P8kSW0&zLejmT9d3Nwbc#3#lx{h@po;^J4cvkZ);hE2K z7tf}4(%OjUso5*x`lZ?jcTe z6HOW-+fN>J?Y4tjCm(ce*FoiztlXw@b&N|_W8Tf)G@zb9lA^8 z*g7sYb)-of5}Tj!;atc2QSM<`5^|oM{SnR*us8PvY@OL3VI5j>6zxifICJl}Q)jzN zVna-MzfD@FD2d(NFRn^)^n?3XTbYE5;ro&`BF<4wRwqwab`al3yJI3 zjRae4)FBr@EwA;lRJA2&2x10K$@J9ALtY_V7 zds^!h!smtnj=!WoJi3y8A4aFH+nCh2!5ku=FlAcW8l@0=aUl?BN z8%dk+A*f%jiM+qK&|M!IWMPjR#QDPU9l-4YF7x%1@g*9#jC&TYbj*(PIt-qY$2alQ zl+br;BU(GcKIvh7Cblj86zz4w(PTKh)qJP^8@z|XN#AaC7#Ez#FVi9L=mM{Y-5%~x zJ4tJa+@V&<9cq=s7ok7cr>^}7qlQ4ABJ{8H`yB3|>K@O2rc>joCxO{U{S&EMetCM# zJ+-|1-y%H^Sy2AZSla6h>gHJD5nm>r$KM(%`9x|+$Fmoll`99xz*ORvt)))|`qtBw z=_fDrt;^TZZu-`T$nVR&FSq|r{*gH_9?k>f6bs}1z+gc>C0jMrdr5Nk+4L9Ax1KO| zePZ_6vrV6OI1hC%Fx026aq{#j`jXQ@pMvkg{Z3oobHG%Yt0~if&d%+NgT~%|Q2kK% z*s84dJE*NaloL)3J=@a?8@zJ*vG#`yntb!Y|DrDC{eqt_AO0P;r}qP!{@jnY4V3lu z(7b>i3dP_7{jrwxyM_$z*MFB2=kf427kpb`+B<~2!e8J0?#HVqyAFPjIO))@^3Cvn zvthpJKI%cj=*l!>$U(-CbfbM|%sI%Ildd*-xl4`x;poibk(5^-dOu}z$8^p6H9kS( z;??f8^JWD0k7MH#27wxx&Xz?&O@_j?QP1n_eQfQJn+ zOgOSmF{%i-vMB`jotTH`Ta$&~*7TdhvUvX3!u<<=PsznmnOHFsTr_sjs^ zU$A(yhHUy7;{qPu5=#t!0zS?J4q>y#dke7U3U1HV%>4qAwPltTy})uf$EI(1`RDN- z__OC1s^zzcv`zfVpQL*f%Xrs4in5Cf_FtN;o#Wl{C%gP-EBPMy819QE{4ntKtM}VP z6Z#I5*T<*hdzBqLZ8*MA?u^?`nTa*vNBVQ68`GQ6F~W^Lktz(wQws=(?I!>yo6%q#)g;9 z7#!Jmur^YZzJxusp=F#IK-Y}uf%ed=7#R#rh^NMdy)(%B3-~^9M>NTuSC7w+j8MN# z$!^ykx?oKt$G5sYSE{mseQKayN0KhXB^cCfG9OjVZ{V$W3Z?Mu&l>a};$32v$o;^11r^IQ$`e-+i@^O2H zIOUJ^D+g?ZwqO-Hd#*$7dXTE7-p^xz5h9`lYki*RGB9odQ|byzu4j4L10}9o;}3Hqnxos z2Gn;iAYSKEu5!gUZ%=In@}u-J(kdeT&j>Fh?kae!Gs5bZ>Z{AZYgw4@|B)8N_j#-# z`E>W${y6d>{I=8h{@$C+QD)J94NDhx|DHX9k9&S*`GR%c^APb7 z&Q0ihk5wV=imd|=c(H`=)6^?o%_n>xVfCGf$h__fnnv7L$U9xMCjObyF52moaz8iu z5-FYg)VX4vuMheu#Gf+a%4W&dg;vn_FmMLC+wDoxbOu1@3|;!V{1ipt;_VafPVa$E z;)8Ug?tjzSA?+VM-Qb(WV>|IdJTz~WKJ6~g;_>XUc#Nt5W(E1o-S^a?x@vjX-qETs z{hN9ohyVWB?K>>K{@HEeyPr58-&e`I5B@zIa-1;y@%{7SlYf?2d=bw^kS>0SZ)V>( zdRF(SO3yh}J?W1F7aN1VhQ5O~Rqh!^cC=>58evL%tf!MFb_aW*xr4PRO5T~wMaVB* zX5j3m@TI-P)su4&?m<*zJe8)AStha-#9ne%3&l8yMTpWnY3z`oq1y z=o_swp4b!`A2(b}UyEFvkZ<1DTkht>1HgDV{1o$^t?AcmX+vZuXC&@Q7rL7gJ*=ln zCLihD+*kDQ`gC~3`t%5XN1n7keJeOR;HG(4aqQwmVFZ6a@CS2;|KQl>MA_+^L_5>B zACCN}?;QDR$s?OUGA3HfW)pqqM~e)-tM(S9e*w)*bL;Tq{oi1n`QipjSKfEaA1oUT2g?P+%HzZJWsvzi`%^r* ztAv(OXs36LvH$iaa_AJL^-a{Ga5rPZ*5m`kiRX%+7>VESINe{GA1V5h?zET|zB}Ev zUh~RfN%lLAYGYhBdK%sHQ~0KP*L*$A8JJPpqDh_8U zzmxX>`c!`2BydIhH+g>(-71)}+rB~m?~~6TkN2y7XdIdzPd!h*3dUsVeIJMW$^XNg zHp@R=MjO^BA8q&%Fmz5tXNvrCcTi3~?gGlrcXp+JRpar(=fNq!_y>0NGz;Tfz|fh1 zXaGhxFvyqsBlfg86EMfq>$}$#J4?~|((^Oz*xQYM*L@fMT^AAF$C0+3->Z1GNbh^! z6G-V>JWlyj>4GRaJO*9hH+SSFpHSUZ@xB|WH-!A33UB?i-K0sT+H9Kq|9+a{H<8|G z)7U%d*~ri1yJpVf`jOk!M-e&h+6zXNJ?EeuV80Z3^Sq&0}_He#xC<8^LK)VlR8%r_fgU^5m;} zbQk)7vm;KJ`4Qg?AJWVBeV0Q^?w&H^5&Pc1$~p316+)Nr7mPl*7kL;VA4^(dc z+t~xa^TIaHLGU#2gn4qyzI{8sW}cVsAP-L&&pOH-x|2NuJbwP@=Ye$_{^-v^@6FkG zKmGr2zh$&@%dPnPX>+uPJri5;|8K$mh4!3F>njR#*37y6faGJG?hisX-bbI(8d;&! zfiKgOoe$cy6G?M(((vh-azjazO%*IR)TVLfEIA=3t;D8r1}>?ycENHX(q43bNgvbv zY%%gFAN0obSO#Uz(pG^DzcTCXL>|}HHC!w_~vv`n0vI` z&B*!Yba*fC=mdY=tKcJAd$=hbDo><>@#>#^>oNJhDBm8SAB+Zvqu{_@fSW_^vj=p4 z6MYi@bw{Fr^Vp(4%qcB~SK^V@Fti?wFYvXO-IodDbEZ6JOBfqj8>3G2N$GFVDM9|K zjLLsabCbPyrj=F#?}dl(DWkqY&UzyU_t`wEQ}rp$mxC|ZG+z#aY1?g@F9*T2t)#se zhM%<0!+B9kXYw3(V_Ihiid^w@aq3~#etrqx)uwL{Ut;4ql^x9A}HT}2!6)4YrI%$w4m zp?Rv`ow+EdcCW&nw5R5S(M5@nNN=Y_Rg{L;GgPV0GTB|D=CJ=ttmkka%DJ9l$2j-GhqnBTo_Yurg>XpZtF8uzWOp zK)3{SK~M+WOIlGF-AkW2I^xMx4}08?hMzw-{Sb8e42e{n*4&p{2N+Hwu;f)8pj29Dme9%y$G@wS&uhtQ(~g%lSjUm z2IOloc=+qzM@Yfqr33~aUKQs9+g+dD??nPX5!G=GkA zWs-6Fv|p|;51uc)oo%oA?yark9nLK1JWH?-s=j9e`GYpjA9XGTy5E89yu#Us*YlKR zpLkx{CT&^CA?H~h7}cJR-JKhfkv@1O^`2UBy1ujaw$ zh8%p}#-9+x=WoFA@%ds7KKBIhd2aEpG;0aRw0DKzgL6iI2l~*J1JJJ9)+3&EzH@Z5 z--kX!y!w#huY;F26qEg6u#GzD7Z_Unn@e6z)Ql5n;<5#2SQPCT&O zAPa|p7f&jIpp@eS9IPY$m75moeZRzc@X>`Y<1{wNz120i!49X??T}1 z^Z%cmE%9~eKi)q2_^)g~8IG>|D|;^sm>+PSS&Y!sYlRoQ<#ig8W-p_bj8X63Q`0d)4JzVC&A{JOul!3Ma2mgd^T} z({!JmeCF7yrB$r2mb#qpMqg=-?{|_DR_ zE_f|krm*(}ll>y4Wfo?ch52J(zGC`bG}X&Ia;}xvOTlA$$JV6aeeh><*bDgBp|S*b z;oYutSYJP6&LXlVIs`sAkz3Q#!MCh~xgmI!B-q2$vneqRoQ6729J;yh)-l#4`X{#} zelm&u#n{;FksJ;^H|zT{MeYuKw9w7aW^rFtc&Q97(?nJ9nnXoaXri4qwe!fj$WnFZ!x~J7MHH{Pe-Oz%yyCr=ye>!ge2tu9@#{ zP45(M=mTTU-J0w|PPR-Qjt<(Aevx?Dn7EhI%iy8t2OZHzm8mf86JD$(k17=Yl&@mk z>l(YB^%uUM?ViNFhU^Emcuxaw$|;}O&!sj>_O&h(2H&#q&a~vf=sn@dnZTaTLgKe_ zPNFbq~^ZD$n3wT~M7R^a7&<$|+i-|*0X&dDI>fiG;Ky)<#ji2$ie#j~ zOOuVk8qVM{VggZ-Z{0!o4I7GN}h>ia+ z@irVH+*xAdrxS0(A;O)5ZTtnq+i-|*=O7z@KJhjjBHUSQ);=CoT}L#Z)cLn z567oIH#8o?HY^OvjPg%KZnF8<;~q*pT@Z>ta*356_P!YTY0oP^@)s;F9BlM2zO-TS zN5G3C?at%KVPV0r1XooghBKzVTB5a+s&ihE%yIV%>6NKr{9fcZuN5U2YoC|z^Sg&U z++)ek@RJEY&xJX89LJ_3gI$rQJz2KlDFfs+aBZG5bMpk@fS1~Y-NHDEZ}2%0{R(tdFn4$VS}+26+v%n??pU9pL5C+1ra9!gYlntB}QZ0oiPQQ2?9Aq z9SMxdgj?qI;idddl|>?_q7gplk$r(C>>PYp3*ra9z>I}1)8(>yG+w<6)jE0V)^#QWUdhU7{2+}tt{qzMGpj_I)*mPukU9GKX(} zE=dgT_(7sDvMo{4@jxO}z76~Ifn>;C%-#-tFL!C*AnIYC^;t#b+Y`mnFC~PZyR){}@I!TX5k@aL0W9KM%3Aft%_+FxkoY_xb0~@&~-uD^!a#3|}-y1i5w**?ILb^{Xa>s!o zv_bQz!A`tyDtfVqy^z`)M7~4gZV5S~c_cq&@Dm!P3L~FOIPSLeM?c9v;jJO3M0|(e zA*aB(*Kr(ZRz_AqQY?&Tz1jI{Ml-nn^xAZYHz7;TIIIXwbr${)lIc-eap3OtiGw0 zDvncj0TtC(H`L#t!u8F|+uQ0JT9>!R>YaHlEzK?YE39v7t7~a#kF`1gyb43z^sezaS zb5&j2^6TnaT0uh%RxlUN_*S2mrTN=NqnFc^rq-2pEi+u+T>s5B#5Bs_*RMUQ8*(&L zB|pm>t7HA!3qzJe*_yVNhUJaTwKTndy2>9fJy^cBewEsFO#OcOeCfgRF%Vc@Ti*{`l+U|m;@z1TlbussHrmq-5xgw?Wgd23sB+i__V$TPpLp`qDb+0xwT&hfg< zMbV3AjdeagZ*k>>Ejj8QB<1y=+8lV|~9YV!G+4 zK=y!^ItvyqpR;6fg?s73x$`8!E817N7rNtXC%P>w8%@Za(7L+0y`k1!QAhll0I_9e zxDB<ax2!xs#{z8 z7kalcEo)TW|Ek>T`eTc|D~@gd%lasnx4zL|D|B3*aXb@wrt!?=nafkbv!H2ZGins_ zTHRXL)*hRYRcBJXvHDf9dJJq|=e~!`Rwp4-`QdvYU8@w4H6z1Trw8FLSJhTAT^wDo z&^<#kEJcM7wq|wSF}PjdQr}i*N;AGV&cdZjoLLo1*b>Hfohz1b^xXVL?C)gzi&#a!QwL^sH`ZsjIGCo27PL zt?E5a9gXd6b!$xi42AR1I8#w@>=hwhG-P{BgHPT%%-A=>)sOhz-V_+sG~CzMNCi3r zw9S@ot8c8Ub(`DU7@*b)Oha?cHTpHUtNiim_8RCH@rGzq<`~(ak+w!FOs&;xTahG< zqt)*0S##a_vo4!k!NIA#^;Or_F0Y}>%*fx&cPUd--PELEA1LlQZrz%iy1H6g-B`V5 zKv@RgY@JBJ!dM+fNqbX`XPp>h#J#@0ZM9p2bXzdT_8-8s)HOC=S7)&|FCuSsld%ds zHhF+nV`(*bppNMulEHp}+E&+D9vcc;(X4BXwXGG;^3ng8@~yGDn);RXP{LMyju>)| z0Om20{^u}TYM18)%=l4nR7iea8%wECHfvsy&dck8%ERRe+veK48#c-uD)=<}|K@{y{?f8D` zEr#vhw%n+W0VwD=u8Z}sbjgB>%H@^O1#YDoM!?PG@6rX83*BhFF&m`kP29rD1-WsR zb1n%+nS7VEH?F8_ahq2fqGL5#dJBf@&Y8a;Y8f#@BSWcUqLosa1(k`bxWuiD(NirH zKVAr5>WwHyi2Qhi_asaxP;I@w8dc#{?x)X@7__a81&1O(ZGLr2t?7@Ry!u5QKV83> zJoP?C9&P+Qne-ZfG}SfuKr{zKNi;Sh%{AWSroIN=HP)|zROevfS%H~kf>V$kM{dWwHjshU&I9RJzMEE#k&nnpd?{H#Qnm>e&30T~Xh*qPl678Kg9t2*2yA zTj~}wGH7lCNXMw;g)K2KuB*MQxeaaLp~#@KvZ1|o^`$7UmbFf-ZFME%LS5UE)e>u` zaqaTf#ue?Y%d2Z@u<0DZzr6aox+QBW+p1gI9F0WtFQ3zZAK0mFtX{zDoE5-S{2UDz z#48-V{8I0C&ZP#%l6sS*`W93!ww0N@Z5LAoa=L`^s^uc|FgVz#==B_bbDR%2Q=D4o z)6O%_-<_V&o1tq9+`>bJ$)e{6?;8>yx@ma$L+)joOBXquBf=dSc1BRfbtX_QO364S zdqQqu25x0t%XQdM`U^S3cyLRaFgNWv+){7EORs(_ z)ZV$5&RQjq^;2ptm&LQF-D@!Ki=pf6wai-lH1p!+_0#57w^jE`Hxb!9mp8Z6_REur z@bW}kn#Ha;)eTIv{5r*s033tY>_8;>%xkc)ExTaKoNP`X?|zYiw$8N{i7o5D3J(pg z`b9J}n>V;sGPu^Pp3~g8q8{0_adoSt`C66tiu#!2pr|ut2#ZdNGi?wY!poR}JFRss zrVf6y`RiJ|wu#S>Y@UFJ5sX)2!R(pczD873AcO+!rYVZy6TpT8=6;C zH^_AJ;#SwyTobKpK{AZqHu)4+0r4-wv0?L(r9QU0u0=(aXBJb_8ia;!==GE8Rdx6T z4AFhqS5zAl(WGCxqIr#tY**CWaO^J=)&TurxNe`Ff)e09wEk;!(OG zs15rBQM~%Cet}7_w!Lj_&Dt7|B;@w~y0`0h{q6R(-;YT%>5jAE4zDh71r|P&RT+Hq zz1LMYEY9Td%B*auySBZqsb*~er)-=m?%uw;U;RO>x}9#Dwtcrn$-H~?@l=3qYo#;- z1Z%CkzP`3?wTHL#-kb(_PATQn`>fj9%j&N0_kOWAs`rZn9+E@3mg?(mF-ErloH;FZ z#*yK}_1>dMc^1yxx`sMQu%8DVT-QVf|9#Ht>U#0ke_yg@c6%#EV)lKO)K%cUvaOlE z<)=$->T6n>CCq`i`Sq(-=Zn9zdQB!enBR~s4~%^Az{o@gVVE%_2Z!7^%9JmW_9uy6aF;2&NgN zpc&TKykJx?ecl?I|I%i9d$UByE5D?re$^^(Y*%{$XU38M%!_lQy?hcV;pa6bx2)<@ zoEhrZD$nIiVJhoyKz^rJVGjSaf6h;jj%Y-c0{nUT6S-%C4hzBKc2?f_^gqTg^`K#X<#fB zJ2;ziNWDK+_p&$UF`j)q@b6-e)|e#y>(4~kdhNSv@bvy-`et=2CRb~7dkaIa;+1!a zhJq%~N7-7xYQCBI!F{DI2#hBNE^<*3qB$1pHfGCW(=V8T{4n6N)4Dv#Pvb`xJ&S0@wK{An!Jn5sM# zEO01N^++K7aAiYX9V*wv!cdP|F0kJSB zmyJUj1eZ8tjkMZD4jZ>XuGy8U zWG~Hxr=VNrHe<@+JI6k&X^AqkFuxjSc&}UwUf9bQ)vs*!yI}5|qhBA%>aPV&vjvpD z@fW${m*^Kaz02<;rbsoDYGUp6I5l~1vGblGtZa^7Groo>XFM(_W~r@=-gpUc%Xo!6 zugp1ZEp>HfA<8eR>9B485}_|%!cK$)oWXWu!yf^Lb#k+nThsc5Z_qWxO`TbT)vHEju=Im**W<05R^H(lhe#yLf(ODG>K0c2%49x&?fM@Ap0r+I8fjGGsXJ{9|xuxz?U|)yF zT+Bfnjl5ZWy$0nF%BHnkFW#|!s-K!h!$}5(>I=uWW@OE}U9&DVxKBk)1zq*(?5HyV z##*?wbu8HkqpUB=b0W&|V)N9rHK^9w`s?)5+ESyRNej&XmHK}v|I`qhKiDSpk{e@6 zW854eknQa8?oO*2I7*`IG*R;ybXg8?khaKD1T(@gzqp zD&`8wdZEOxL9Ma6b+z7cmC$LZ)xybr7lD+ou6C8WhTDef#$~P`aMrl(3V8&lb4y2{ z5+>C&uzod3WzE0wwUcmswi}&q>rt9quJY^AD0FO{X}XU`z2RhIeFL*gQ*bsZmWoi}fnWKMLeTd%33Y3xtv zm;WsD=U%)}>qy>9sdMhR>~7(ibk@iCpMjTAvXVSotzXv)yvAO-T`sfuR$e-LX{GTa zqM?n%w6@1Qk#Se7b!%JdnUDnV7aX8x*W4@|n%ZpIY+2{mo53jnBNOMY_;|Itc_7We zCpJ@og`X|s=_3{K>rfeDGe!LP+%m!H2F!DP79~HA;43;)!2me9E86L|rnh8pI|c`% zj?}-d9N+p$T47?}4V zkXx&ydE-~qG)|d56(_cZ#n9iz@a74W(UpK{Z>Uq1%a!?p$n;6EYRnlt8!c#3-wQaI zdLvnyH{rX&c=McsLfpb{Yi`y)jkTFHUm$FHmRI#n*whS@S^+g7Db|L%CJ!|qUVT%H z1yWdm_05pk^dVmA%vP!_E&v!flizMLAu~K{iZy%8Yw~LIhi$Pb%gKPP*w(gIc%tiP zSGSvSf#5{}ejA>VE5kq1C<6t zb35&qoUXN9#rHlM4xGZqrFXCsC_t+Htp zP_OZZ^NbCYO|LahQq!v~o#&WtY;u^P-iK-CI>s>TZ{qYzXH6@|0?P1DHZ5zLY$dS+ zjIGbx)TPl+lHY&qF?H57&`xh(fj>0&t5af~o58|1ZZEJ3ZAb$8-2TEU&HE|p3Ym8o8v7+G`adZU>3x;j|+9LX2SKrds&RBoEHYpzy z;(_vL?xCrzp`V#ugCMJ8>Txk@*~o&<^cPTzUaI9K zNUwpA_XP}vp0Eaa*~mJRHy!JbozZ94)oA;fr`tRm{hY=XSsJM5F+}T+n`_|T5X3N3 z9-O>YuH)dS?z>pCVKoA|&xUKVVftGp9@+N8K{~1Z7+F`2ul=M?1uhIc>nwM{WznTe zTy^z13ol!;cwvP*yJF#-ODbjf3jnjsH7;_z#)bZCJcGhUG_Aqn*DTS}Gsj6_R*?G> zHA|bEyen~+_0Jkzpj~&%qYD==@!?~nnF*Mt3T93glsJon^;h&4wEXzx&FYMw9BDU7 zE%=W((IO@ptaY91>~9UfP?QN-tt^hnCx6=%$Fn?}8=Eo*lN+A73j2Jfsq^`ilaxpi3@Ad}bC`BSnlU=Dn<2z)%)CPRO?e!DUYzj?}g z^zxT)ZH7SInYPC=YrGlq`84wI$27)qVcMG`gH7#?-rD7bJkuayJZt^)X69zBQncj2@zBLaZFU=~ zTks3!(3U>p>2xxxQM^}HD$usZ#7|T+^}ce2{x_KaWSX7h-}AN*vWBl*b7pHMqR{Mx zC~_~jBoh?5eL884-qoraYX<#F`$b(_F2EyC(@C>s`>5!3y)n!+$DQcLYTD+^zR)%{ zz>}Oj!q3l@!6^?cA#qGTgL{nWCFcFRVsCLU^&2YX!M#4KT73E_|8kG2CYVoe8<=@? zGm}IuY>5y3WV61B**{Ca2qqGP=aE;fBe@f*HJ*9?3X89w0Y5Ts1GlM;br}tQ&CGo3 zVu2Die^3?;WLq!;+U7IcYHjrm&CNkb89sP*W7`|)ZDtPDpP!~2UPIJw3!AI4<(ZA* zA#U><6p$Hz&B$+$ZSa)y&a*AHc(pgx)~(d`C{LQa3T;~D+*zV!<(vxrSPc=VLvlkN zAInTTO#GaRW8#(99~uVI6;}TTcOsw+(4QN!`4qwL|*HdHj z+Jyq+Y~a95wF$@pZUSc-HQ|ewFSB!u0@3DC(Mh{UE!i#!(9Js5a{DmbR{POhv@_dK zE$jU{OuY7`=81^Ro}bFzX$y*r)&F06?*nFKTG#(S{8`0Ww#5~5 zTv5;NvdX$J%s*geqYaqhpF6w*m~m(39M3regN}-dMn+1t78Us=rKF_q zMM{Q=ii%0L6%{2F78MoNwqN>&wtYkA_j=!d&T~J{nN9QhUf1vUyRLmMhR^f9KcD-) zKlkVU|L-|o-dx4-*B9a(QM5{=`Clzm6$I;=#y&y0apRF`^UB(C+MM!>LDfDd&Q~3z zA?9QpZtbb0S~R5RM(nm=$?n0KLIdWk?HAW=>FTZ0uH(w-e4<;WImu)n&f7 zH*T?e z2F%bSr9&w1^C6uaAOE!c|3dAwKR-5+vROAkNynco?ZF@<$iJ$L0-9!AF|D2nGX-nn z)xIXqH_Ej&os@I&+BG6ZP{9hC9kST{AMGlQ2J&WFi{HdV%7ryJFjg7K08Hsp`3Wat zahyIKW>nZ^R-(W2SltV!%A5}?-mi?)D_+&6PQZKj>G%HWM!EQ;Lf_Bs`ktD(nB_a) zF1@M;Ryyfk6J}t)L>V-B?1s)ROgprQ7mE_|K{$%r?pFqb6coSdii`&oN)8{0ly0>{kW|}h+)5#`wcmq2SmNQ@+zPJB$d)Z-&<{PGT6{^|W zwj0;l_nCIjn+vA)NQTllJXZHya?+=UH$LV=uiEFk)jzb8a+{<2;AG(p(=5-u1dxZ;wJ z5jRireT7b1DIuL4&9TzkOLH%@gX=i>B3?7XlP&$^Q3v*nSHcQY(4G-&#$EH#^P z$+l8u-ullBn}xPOKkTqly#64bPXin!FIt9A06;$a;6mC&I4{&zr!B^Bp%>m1<{hnJ^rC%0m4 z*mirmCq(B8OX`F zsO^B&Z2X!7Q&g8YUyK5&a5Q@}c6Q;I*_<2qri1Zu#q*bV@lvP5Ordh&nD#?kWaikI zD+!Kgw$8po9D~JvW}Ibvjz^`}ObnSuxiB1 zv6F^KzCrW(m$zr^-59Q#uO>gZ989(q2Et7)=Z_Awmrl)}2-AL@eNXK{u)f};+=DiY z`UM()+|8%O%O&`n;;V4cDols$WUfP`uX{XXcH`7l+s(m6aT91=C~i*oR2advknbjt zpv?N~T#Q5gG`FTbb$Qy+8|2%6!=Kjq66Ni`~NB?Fs@_X`I zy?XoI_zvv+z1fHz%l~FP?mFLh<13LLrXBche7Q7fD!ZMpMlf{d&`F;APo`x_hRNO% z4Oy=_)cD^B+l~8Z;i|hmMXn#^!}E;O$*_aUTE#TuLk>EOtAE`4U{$}tX&3(D_Q)R8 z*v*BP)T*BjuQQ5@O3xWq;!F`^xII1ujnhcfE^X@48`8`tZI++llRnjrRGqbWiw;}F zg3k8l9K0G7ht+wQ%QEH?ik$*SCB7J;rHes&9lwULt56w|zbPJlL;Ty&5xxcdE$Afl z7W5GGKcPpVd^2|HB$RJ|PMv}BjmD|VP`-&cbqo4U=-mID6@3G`0LnKVry8OE23-l| z`^Hn&o-KJZjG^zWfNpx2-kDBlO4It=|==m{v_y`H)Ny$Zbn{cC9bw`N6u z1#N_W4Z0o5?+8y#LjMwa6v}TAOr3%9edeia(96)d-<}nH6}lM8?*>nGLHTy|)CBYr z^bqte-?Tc`ZLh0&<{dq-!?mX61o`r)6i}x-|n6og8n3Q z5_%YV2>Jo&N$C5b=b=9Vy$n4By#f7k=PeR`V zJq3qzuxmG7l?)#)> zGAtRtS#K`ZfIG*FBf5jcap`_eT9`R5`%jcfP?b!myC& z*72Og8pd_;;d@=Ja{kPLX6|?tMmVKHL^kTkZ2oFu-nc|pwL{D;ZSMW+oSfya07iCM z)H+CBXUHsS=G`BjvQ9euwyd#nNi+Y+Z@d6yeYkU4oKol?AE{(G!0IF5joWeC%@b(=%OJ2%ciz^q@?p$V*M|erpC#R?AoB4a?8DGai)23rgd><+2TywVkNyviDvD9iMg2| z8!61uWD=6FhqZ(RnwKqU^?bYF>D6nA?549BO|V2Uq{XU;iHltm$@Eu0J>$!qWw5Ve zC!v{#Gp&(MrTU4qOHM1QMC$pqlc~9;RkXQvNsHCQhi3*Vu0YC~(I z7zHFpVKw{5^1I9w%tsdWY=2-W?@gN)^=*G3j$?>R4#AjEC-s!(styT07Ui3~J^yht~cI0|P5tyXRh)G$LElTIsa~N#$L8 zdwcXS?f6TCKa`I&4%`Hl1)5 z>K>+P;(PR7&uZVr*xkFy7UN^CyI^wEua7~iENt4y@gg$`%PdkH<|HP8Szgz)yfF&B zxt_zPZ|qq#likr~X9u0JGz-hFe#;J?r<0ax9vkF3b4T^`x0m@|ragt{t7p~5-hoUo zc^0&xy7y@Byb)jE?&@Qe74mf0y2? zde(N5H5cuiofArAOjB>daPHNnOtz99Vc69|4o^BI3WjqF3y}O9U3JACzVu7`kz44e ziYd&dvc}y{b&Jin1vN*wc@r3FhzuQ zkLTo}E+?|>S!(NFB^=$W8(*eFcmC5n6LQ>!RsG-|Z8IMV_(wJjDHLwUd?H2i8L>1bL|YfUktTI>A1{y z7^v^N_$<8g%r-}Mo!O3gHByY?c1H!ze413pd}sjtMO@;hwmt{fkIeXWiA`Ls@rPec zPET{8UG@t4Tm|}EeQjJ1Y~O@elMcC?a_yXzHo5t->v6Thaf;jy9vIZ}6(8}b<3wwY z@S1gSg`GYWEVpW#Y&{rR&T0f<_*lbmZDVUknzU2yl=Jk5m`BY0UMmwEHYRTSu@1VF z_-G>O*0+aqQF_qg4o)hajb z@VvJ1&nBS;eqr-v+~W;m8&B<~u>F2gcyY|@WHugc(e!b!O-j4#bT)hyfDoG|%7rN_ zm?5`%AuE{J%(T6ec3ef{yq ztO?tEa|#_8BvPO3=ev|Iu8TLFgs|H3=PIvZeUaRA)fan6)%Me_R3eN1`Y{ge40i9U z3>C&m3qveF^U&yaO~Ii_aIyqr%y(3Tz|~UGsk}LTnxDHzrfo;eMmW_DU?P+j6UUU|k2N z?DvG?N#SxrxNhy%O_?Ft+h?p&Ybu7OhGZg>*g^@hzRXw{sM{E^qIGraItV3vI`nZZ zdsf3v(d*W&&oE^)=V+~S)r8tj=1(}4M;^%`Mw z7Q>`XU%!+20wII$b`tEJDvcag(e6rQFLz+fOH!=^@S z)eXeD4c$gMH{d-aq!WWl^SesbWYlfg#_!E|U!qEBH6C~1v#!oGgJD^-B~4A3%MD() zm^<%w5@T1 z+MTDD($6(%BB5VFkPj2UBPIafnwc@4`66-VIfAjr`Ax|(0r_ARSkD?0m@|vj#*{=| zWz2MP5#S-yVcVquQveg*JMmsE#Y%fzj7{)dt0l2cA_!ihR_Rn6uJk7&u?e0=M{~9? z2*9MYR!P;K>JuoTGzQ5t3ajpvyl^0^?|g^$F!@&#)i!HRvu@%u*Vewko_6wPWT?Vn zO1H_J)tW0CS>-Vx!`D9 zmEnqsO*WoZ+8WsjV{jvFt(>R>HtfL6){BuzsrH6U+Dy+err5MpKWEfSi{aX0H5O*( zYueyV>kVK1hJDl`1Z*wSR0}r}o!U1y?OS*xtZoxO^6;E;TD7)E$Li`ft8@fip)R`G zrQ+(Z0@Q7uzN2G^7|3k#+2UxAzRqn{?2>Z)7DPhQd{&rFD+sHud#M`D-Eo&*wUOMJ zY>(&bx>t9ur^i%-Wgi);b_OB2UgPe^2MTLixt`v&9NA|B-9CI#Y?wlrcf;_yZqu1N zrbsns5-mJ(%3L2CatY<*UPE7P*ct?9!gFW!MuvBp#X;W#boays!gxuI&Orx zVjEP64*fuYsnAZ)G=wDv{`)jg(u+dgVzatB%_#fM-up7YyP$<~BNFuYcutP<;_lIo z@@f<`9kRDv+?zg!baJF;n^1KuW^7#(w&|TrzoQ6ZX{9wK%)qV7te9#`1>v<5`2qG0 zm`>XXw42V>^>+2d*`rWx0{x`X8%P%lO=oEahImR2I&Ef|tk+<(Iy(!zD<+i$V_^hX zYVx`89sO;@pIeVX!;SVzs|$Oiu$vzOTGZqO7PDHzy_C`Zkq7FSY9-5*=3WD4-r)-r zqhh~09nV5lJS1vXJT#Q!AnvqiT*%szr4Bv?G~}pPSizY~`5a z`T14dwx!#8HukN>7vg$sVY42H+!TXtom*_UW@i^6Rd2h`YkEw!Iqb*ntMj&PTUW1V z_N&zcJD=RfZio5JG5?EBc6GWAK{ZriVbK>mOyBEv#AKhzOf`V8sBPQ2ruT89w)@Yv z6T^dcgdW=&ZQjUU_$_3SY#gS4Oe5TCCFE^GA( zLz6Qo(YHO!2C9V1edUC{?Z@8m5-#RdjOZpKyLXghAgVo~=(zc1K{Fgxpgm!zNy#`D z7)hE>+h|dApFVOyRn2pkPVo-qCo+rpn&9B@1iv}Tam2zvMSB$9>4C*{oGQ$h_G;mR z7Zw*8xNz}mT)$FC)g-taKUzl%*icuucEVm;tgB>c)2yBQfGxY zuM!yaKHH+1HhP&A@Pj@pbzergT{X2D91|CtaRnl4oM>*OO}0|D&61XGYHhf*I-34y z*4?F#nT^iJgL*F##XOc+{>%uIV^4A=hpdsnG5%T8C4q@sPq$-VMH;Vp$bI!F+=fWDB(QT8EHHztihn8ovST2_S0z0xf{EI zl|0ECyFj-}uveoW(XJl-*{~RX7}+jk;>Z;TQR_};Zus3BZJ4%rMCx4Su1-``ot~lU zABE}C45r7jl)lHf)4y1X7Luc*uynO-vTZ9pfuZY7{A%v49Bs03rvWy!*XXZi6NtZF zd56;QS!&9+8XpOmMhLpPvoqr7LZgn=#uQPc*(S-EZ8+@n9ke?5V}9zU<&{D$cDXz+SUR2R9`MGo<&Vi|418|8_z(;X=>-BA9F z|L(_nRaMC6USpS@wS7?!2I%Qp9Vu6Ph=w0e)}INh_pvom@8i8uALiY*dZW>2J0Wc+ z;G?&0y<>&~d;WRe0?%9Ec?&#mf#)soyak@O!1ES(-U82C;CT!D|G)yrUp*t5Hbb3a4kx;FrBQ z2RU~}^a5z*wi%JuR6c;f?a+Dry_7#av-Dr%ZRU3wR{`b&U(Daj_&ao$e(jrZpm#wR z@%Iw`=J5CJsj0%H7te^eYF1DfH=sA?N$daKGiRwZwa;bJ`TXDicWvPFNmS)PWyO~x zxjp~$N}c=?5o z64CyT|HJoH1N|;uj(z%$==#{KX#cOyiuOD`EBbW%?C9!G&yI$^Jv&TUcfTOI z__Y^A|8n_-(Ye>YDB6FkE|Q=9TkeWh?N!U?oRiTc0=Kt7C8NIzf0MX{ z`VHf@Kjqes+X39nVSnD`ca*<_xV;1Fb=k%HA>2aS58-y$$@z4=3AZO*c;4>_Zbxu? z4^(oBLj~(7Zl8zxM+?8laFfnnP79XDQ*P>`Pv9oI+{T|Cxt&b8X>I&8ZeiNC;&ukN zFdgcH&pN-}&MR;`k6UQJ<+wfN{CfS?;&v(Jwhp%|xP1ZYZSaG{lmk^+UML#Vw5EVch297N)lyw*|O`w(rDkA#T#yKWlhd zoN{{zw^rOjo36xdWy)<8Zk@P=@$SH_3pd5<)23#n54X_HOL5zga+`r$&bfK}$!#d* zhEvpf58npR3y);<6p;(v)W1&PraGfXa_Va*U3lJ2?fib+RMvdF>X#3s?_(~>eE4gj>;;e-IX5n@*6^Gnzrrg+OiEcSJUQHZ5(AmThwl8d*M00UF z0QI)&=6$}?#g|jIE~5o0zmMX!5Vy}m{j-Ia#m=wXls5Glm(e9G2Xbpo$&KN*61V+O zuginHcRD$*%VOL%;1<@I*WuQUTUg)Th}(AOmsg|92I$U|-}Sf+Iln&Nv>#e@eto>J z$87?)Fujl9w%@sVxp}y4|JL{3w3@GZbxtnZKbk4n>w9#JC>5u z9PI>d=b+wxujKs{Zs!BH4DV-flP*5JKf?Pt+`a_$k48cloSfX0mreX#O!?)fNTbWR zDL;I8&AeZAZoC@5uYz6={L1~+{M|^o-Gke+&P_6kcbQ(Wfppd*w}t%8!7YsUUfkxT z-0s7zKINuxGPs2{Xu)k!N-l?6BW_{+ZN;rEC6}QRuEI^@5u@`OTNuZKxE)N%EynFIZeiM%;Pzz7tpT?qDYvD#9Zk74;&v?M){NV6+}>(ZYt!3; z+X>u4+qdF&GUc`mx6>)N<+zVQH%I^>0b}=Ql z61U4KxgW&sYRc_l+^*pkruT<%yN+9!-c`6goswIP+l`dm8r*K;7V6xA+p{UTPTZn< zlX0xYZ8mNiTkBC-Yr<^~ZegCU!)-~a&v^NayJs$#aj%r0=ILW?OV2EmI_gXn+ZOe36{9GR*2476ie?+C zwJ?isGgND27TeyzuVKxK?1)2aT$ZmM(XNGAte(KGky)%+on5Q5STj1x5Nld`W|@^d z%Guo>YgS1cdEDwbEBZcz7gzC}1~0(YdS;nbJLIeQ#l~H0ftG)lp;`;HSR+WvVHIms zNLg^}ZL|E!4QqdTWP>ol zdDMzw2MmvAfEB*h$L(`R^d??C_Tl^Ttre|3@IBUwwDeVc_NkAaac?3%zfJ_{0T%yOfEE6Hmdfm7%lo+ii+?G=@_*?5SYG^N0TzG5 zYm)pO0TzGzYm@wu0E<6wQIfwfz~bKwu;QQhKrAo*=>Us=A;97vd0i|o{+R%azvICq zzZhWgS1peD!j0AXW$XX;0DsVnBIomaXUhMP085|a0ao}|11$cv0E>Skz~bKwu=s6D zyb`uOSruUMcLZ4ecLrGe$pDMLKfvN&39$HA11x_1uf^@fF;o%G;6B5(mu&(6j8_%D zw^x0GceLg6R)7`X+@(qWqUIQj-xgr$Gru*-&jeWfn*o;p*~?;i@vjD0{F?z5zx4-V zdGR*{Sp5AfW4>_T$71_@8J4hmLT{g;fd7}?D|~OCb3u65;0s@X@7t%zAM~DV{vQnR z4OqfMf&8_AFa2)>_!jcQhXeV^hm+y&5Acf^@(73FUkUgM|9XI5fxK`%Lkh3|nN`W~ zF9cZjJGCasH;p*%>d)FVflTd*KVOoD=&$-xd2;dZSG-9+rOK4Giske2aQxz9~NeE+D@FJcNjsKhl?! zKNaBjAuoJ1kYBttDc=>~qsR*%rbznmk3AmCpM*dEoqP`%6NoSUt_1uq!WS-v@%?b@ zU-Df6{u9LqZVTjdJCgDT0(=8`;SGWO$sb9|KNaBrgS_yZK>qX_lk%4W`~uP~JQ?K2 zynIr=JHRhSUig-?hstC0L{h#hz^_1F_-3Hbg3+Y>ssL-gDZG$$`25&jNXq8|ta+Vq zC_nk;r2N4EzaDwvVi5kNa#H@;0B=LyV5ryUoCZDSvE|{}06!sl@Ux-(TaxlO0z847 z@T#ExKl}EieB(P}{G-SVUt!a)s?U$d{GWtB=RIT&QxRWZ=N^jrN8t;%-X8mZ_GeYnd??!;dZ~I7+KN4W^Pycd~e?7qB?>~{`p9!$|vp<&Pw*^@IOTV7v&;6e<7XQSl z7)$@F0TzGzCzAX_0T%!2CzJflZ^c;rBflMEg?~A~;-C6Vl7BtG;;;OCl0O+>@#mgT z@;d`8e%J3L`I7+_|M>4F`8NVAe)sPs`I7+_zw@aieq-9M0E@rypOgHq0E_?Zza;ss&%{{#xf*1d$ELq6z~UeI*ChXZ zfW^=K?TdRg!-rz~Y}`DX(x{>0mp{1X8d|I|B@{7V5A|MdP8 zKfs3n&J;huhX1YK43cm8UUe=xw}7d7cNk8Qt?1z7ya-%9e&23Y(jKb7R453u;HXOsL~fW>e8Y?8kt zz~V1Hm*j5`u=uAwpX6T-u=w>~O!8L-Sp3c}CHchwi@);~(Y6 ziGXkP`ARG={*?fWf9(&F{MJ8=vG~_7##sL6{ZWj?Kl8^i7XNC1#b5lVN&b!ii$D3* zB>zN!#jlV)`?&HDVDXRrWs-j~z~UeOT9SV;z~bNh>m+~i)fkIE`)^~c^tA?9{Oy02 z9}BSf8?Gn$l>m!>U<-;(^(0lrQ=!bP@feS8;gCFK`>E5-`%%v6jOpT_(4 zvFqcx0E>Sr!18}_Ml3J>(*YKL)vP2x7hv)C-xl-vX{_kU;;g9t_PD;C3iy&g|H4>a z@!bfp_-9{~XZBf0T#dV@+AMs z0E@r>RZ0Gh0E>TYVTvDM@t@QIBJ)`N&jwihNC$_^WBCgMEdI*ZCHX@E7XR#nN&bZZ zi(lWAOvdL8z0Sp3;Kz~iv;XH|g3KiZb$UktE`Ux#zdW5e$Xu=p7r z$_e9H}Y{KtO@~;J0{QW&i{_y~de=5MT@96-Ge>uS7UkR}I$NG}tUkI@H zr?)2gR|3pWwMD{bULN-^krwgIWApRM_82R?>q9Y?KKqAbEPh)l#^PTKu=o>iP4Z6$ zID0jHQqEr$YXfcg0xzTknps{9kx) z5*I)2@tx6f^cB{*cJ0S*1Rn(JT&wU7@NqEP4+a;&r@%U^EB?E{=K}me@WlY10AB^` zWR~PV4SpJYyTg9~em3C$4R|(-d~+QCCU|auU&vO$e6UV_DZIP2bpX~$F5xBMMzG&s zUIp$9_`Tph@IoiQ6Fd>%H-V3Wb@EH$y$yU4tn<{u2f&xXK0QARz81*;8u(_w{|q=f zZBLfT|3&aTu(#*mffs)J1-jo|6SldurD83@Q#3A0uKfJ_kfE5J_4Qy zo{KMmH&K&7uk z0ev#I$5!xsu+RTt@WKF3f?L5pz7K#mfW3V`4DJK_{P<094(!u&0bC65UxFvW5TnmC zyc_`g{Fy<(M*{gfz{kNpz6>v?z`j1TfX{+`c|xz5w?2ngU-2`~15L zkJrIIzwQU$0(<+kf#;ox{p;7zGhpxkjo?PGmwz+3GvFTp_XYT8!8x$k=hwiMfd3ir z0kAKx7r{>k{J#Sq2mAQG2|feX$x@~FMKrt@!M;8(0ACN}mx6Bv@@v5JKNZVA23`#I z=^FyC1bh3u72FN>@xLFO1EYqC|Chi;FvQ@~yi9^IyTM-u9|ZgI^B3U5f&YI29}WDg zV4n#1F9Dwh`}DmA{1n*h+YY`0_V()nKMnTvEepOC$d|x#e>;|c4|qXcl^_v9G{&XDv2f*{eK0O}>F9Q4W^PAvRV4Xx(dAb1J9?1VCcm(Xz`wVzL z*y}%of_)h5^J6~vB-odi*MiRl{D;67!Cs$D;A>!?zdOOVz!0NPnU}d|T$762;F93V{9|pIA8U7mikAR23KK@UFC&50wzY9JD zrWzUfE8rsm{$JqZV7hI?pNYqlU|&C93O)n&^?4Eae1Lxdd@+#U489WJe(-g$FQ22} zn*o16Sev>&zMlrq1^f7Z1zaECPk|SLeRxlS8^J#Pe+}*gQw&Xd{x`TU;NM0@4}pDt z)PpAj{2=&nApbD$({1mPfd{y!s;m~=u-wGP!UBe6ao0Ur$TDexh1y|e#sgO7LJ79C_sUjDxb zUc4pt|GVHu@P5a?1U~g>%>Nqrbb$XEd6(xd7h-J|EyE z;0s`%AMN0);Qdbj)!>~w;_$bCa{>Ml@KAuC0FMOtE#P8+e+*m+@DcDtfPV!%8Q|04 z{Q>?w_&|XF0DLgOSHOn?{7>M+0e%+zWPoQeFgp_9JHbc6-X5<2p9cH-aUZz#M`C+4 zgWCeU2D~!BkAhc$ZGTiB?Er5G_)mbl0z3)s2CKp-f8QxQ40iS5knqTD=H8GgkKiL< zUtf=cj|TWS_*j5Xflq+FKA!@g3iw|DpAPU>z-Iz{349iOj1F4ye+|52GPc*(!4OS z0{MvhkrxB({a^Wz5C4wnFW_GbaN7UBPUC;UFU9_6s-U>XhkqmB&!2|B_`|XP%ryL! z0blm01bFE*^7{k6UpAPVG`F9UP$LPG`BRwjQcQK2(1lIY`E{FdnCI4?Jd>a!(pZ|Bwyd(O4 zu+FDnqQ6#n_iN(l9>upDtn<5E88H0y;A=}}M2+wyzbz#{0@nS>ryT!%VC|=Ox$u7; z?CXQ#KOuRYuX5r47FhT3GS2_+NuKkk#~l7D*gw+m>jBPyZ_`BCJ>tIteCgeB_}{pf z{=wa+%`m@dg752#^jQtoe&9L$Dt%kQ+F#X`2H~9wPv_Yjp7RGv04ofqzN`aA>H{f{$FekSqVSU4-vl?dr~2iQOI|0?iG&UamM{06Z4bB$LdzYMJV zJ3jvPVC^sa{COPgABFdJu+FcwI()_I1VF8p5rU)m4T;oku3e3&mke?YHfOWt7tdk!C-(Ab7+|4rcoF}|IT{}f-%cN*zZl%7|BFX?=#lV1YXdB`~~ zzBOR&uj_t<4bw5g3EdQSd>;C%F zF1)XS&G~G{zXA4-;-8ga{6Ky6_3_1E|A>E2#v_FvMJ-^B|5nifD!w%-{^MYsC-LQL z0Id7)HzF(lo|OF0i(k$E`sgHB=k+zeRrse<^1lPtee9Km z-h)TZn=f|x_ex>+D1FT-+zHnCJ71rBQ~W1VcoMAp5SLu|2U7eGfpwopM+lX^kAii- zejafPe*vuVaVra?D(_zbpX7TDzP?=n>pbkO8CL$E!5S~h|Gkvw|ByW4`S$USdtq=t zYQn|$Qn1c{`tiU$;E`XPS#7@`0PDQ&F(=;!_K)oI!(g3<=AY4bFvZ^o)_JEzu04D= zSoi;YdH89tzCYo|PajYDzm&rN0M_~4oJ;S&f&KVl9`<>t!D}adcpo=TOq>4wDgKj&KQqd>{67lT_b$$%mh$(v!Md;0?c%?X z^8b%uok#Ne{hxrJA&eI-WH?TH;>*iBQ{#_U!q<5~-yS!Cb)L4`9)UIfds^{RUb?{k z`z9}*`LgKW77>YiWY5Rp`$xEx!Yd!}Tx|KR+t?_ci*>wf1+=l?;l z&b!lvnfR82b^pt^e;r_*r+e1L-v#!M(*H)V&i{71@WxX7w}W*bgDPmk|A`d;e~3?F ze1H9Mu+A?IIr-0k=QqUlw6hD9sdJhoyXtr_(#G1k^Mde z)_FHSp84Gr|L?&%Z+qJLzX{g&GOpoQ>AT~#J~UzaIFVj$v>Qu?@8e| zrSN-F_=8~Gk3Hn{`A~{~DuurQ)_GdrUVjaI>EO(0#Fe*E##`Zd>L20jeueh^mA-#X zg?Bp@L-%{zTz>q=z4Z65LK&C7m&4cnSgO47e=k_~{Z2Xg2Ji_A<7_A23D$jvHYfj^ z8HHpY*>f9w{|FC*b^pk;7wESKtozy*UHCr=4%71iu+Gb#xy|ZxMEszC`W3M5d-(kN z1lT`{?@M5vH?G!$fpuR0fb;*u zV11wJy0gztu+Go=^bdn|KVuHMD!(Sc`d&-t3oL#=Soe)5oPB;4>>s7?SHW6e^Xd5n zSof>__~=Vu-M3rd^tlAq_mr;VSMhyoG3&LAhcjS}51xjv`<1>u`Ziei(JnDBk^J1( z(f`q2>~#KL2iAQ!-~P0NwO-gtha&kkVE;(pKCtdf`1+m)Ydwh}rfEM)Df#z-^*te9 z-hLs)KM6jo_J^?5{(J_k^QH5hy?z(0@3X0YyN6x+t6<$v>~{8e2CVy(PdPmELE67Y z`Wxr}F0g;3|H2er0e&_JzZb0UA^7o0KX?P>Z54iHj{;cd*RQzp{7$gW>rcA)KM2j!-RHRC_#-L)+fw)gVBNR9?&N|18+A zmnuJh53KJo`TYMYu%LEy3;z+Y&ii*d{T~JE{=F~1Zv^Xm4D($5E`fFba<23LPO!dbu-N(k z39#aMtPHo*ECoK81e-toy{*o&1|q{KF~yODX&t zV0{nas826g_uqFoeZG{E|Fe5YpIcA8Yv%3IeY8*hk$wIYd3_(E?}au!S5p3;0qefp zb_$fzdmHOpx<9tV<s=3kb)UM+@qZAk`(l1P+zZzC?YzE&;2UdZM)O?zJpuNQ z^!u3<{uo%_XY=iKv~;{&S~@bkYw7NRfu;SW(Iq3pW8)J`c8`tgtDZxHOWT$4vzib2y=`uEBSIIJJ4Sm-c=aS4ibI)1yO)c@q1eFCa4}oiS44Y$i5Wji+i3sh!rs-DjU8*E z(S3cxqj`Q2e6$!9D?R|bX}hRdb?hGos-tj|~03|I8lJziO}uacK`8+&`4s>5Ry*{2uX zW#M(>V*}1)ICbwGjX`g|w5JgDlaR_;xcYPtI`n+G&1sL#ZOtpvQY+ePoZ1?jQc|srZE2~N9V zdiuw9Q!4h3cI+D%F^x%Rv!-P$ny3v=bPVoJMc&+6n~>JFw1J!3TGGjFTCpN6)wH6m zR;oRnkfs&2+0fQlleV@dwRMR^v^Cd+)z)02L|aQb|C-vC)p}gsS`&PY&70cVYoyv6 zYn^DmQmVE$*J$6~k}gC|?X^~IZ>{yXJRN*(J9@YEb!=#$MM>9`md4gvr)9OV*EU#<%NwKa zZJRptgQ-&3(nzgO7EGLKV=<$Ou%@*%wx{c3OH<=AwII{P(o|DZTbi0`TC}E`%G*Ng zn^v!>rAFhXW$El}p$Vz+SkuzBP_olf&9zE2x70}0b{#D(t?8-%H`WBdytXhcZ>iC1d2PvAUYlvlTWbuxyry$#Szen%%WIm8mgTio zeEIU4++IZCTM)Q&v~B*EA6;+H0!S^4c7#9f!2k_QEY~HC-D{ zH70DU8Ea7pYtq=(T9avQwGG_zTJ2Xf))bQ!wH;vF@^tXc?KRCPCSv|8F~ zYi(O?*0$AVT3bz@*wR*;X>HTQSfgr7du_7YYsN{`Ny~c#CjWuAy+*;cU;#3n>Q_bL^mF6JT8niam)F+(MrMs1xP>sjdbOX`a zR8z%Tn`(x4txav|+#}dZ)6-#<_cr1xMpPBlWt;3~?6xkw0Sdo!-TC5Od6+vV$rDmKbdDgb<%<&Z6`5fmyczi5hX0jnh*^%aUjBmLz{cmM8u1G5AM%)3~a z%9qw?0m7QwDk&*eXBe_e!n`#_EKul;mZ6m2VWVoDdUP1q{ zcW_|5r2l2T?C5wUKS898D^^6K{TM!WSZ?fU)@k-dV_L4+$MOP3*Rq6pE1UHL^QtUh zS)`YxCCzt73oJPlN`_S$ACva^XixtLincKE8XF%~7H!T~R_Ai1s2ser8mZNo;r@}~ zaz5t_;bzf_#4M>8&CGfT*|@GJ%I{&B%`BHhdvk@|Hrjl#P-3C33+9ONUMuXR(wPz0dv$Tl$0BNjHyRqvtEp*hj9f#L8?Ws1?<^{gWJ_k5#&Jyp#k-my z^X}?vM`3)dV!~ZJmg}yR)O2iOEw%wWQ5IZ0Ei33tq%4bWRkt=w=K1X`UJSCrX_R9b z*)F$5yYrRJMI63>47R#Dn>&lM6rPvala~Ka?a(hN|*^#jcaf^lGfKp!`ZWdJJ_{$Bq zg7PDSOSG`qQ0R@Q-4phW8B5RVO>47jH+N>U^oNqDE^p_eZ0F;fS8v+bk>r%M=o@9% zcWqg-x+}Y7-MZejec8U%Yr58Em9tv^we3=Nd`wN^s#WW|Hm>Q&HaDmrqtFdx2g)q$ z6IoR1->dasip$0^vzJg92*qhE`4_rmQ#Oc(n+Li}1+6A`uoXdoG(@2XszzsjrGNFF z{^61ST_gE;Iexr6lFt{Tfx*%KC-Nu~`U-a+vSfOe75#xqX~eW2wj$7A=H2RVRjFZ2 zZn#Pm4XjzEb~^;wy(KE4*&I-^JI6N2hq}i{%4QieQGkqM>0JU-$O*rk5B1(OJWwi>$*o=GGE3^@H^pPH zP|S~ovDO%APrjs`2ipg#f6;=uQD*OOt}+x=2FyzSbB00Us)jBNDq_1>9~7w^^`}(W z7X~p{6R6BV-1JJU?&fUj;*v(EZqjOZL?nxx`-p}feGu}g10|Aeb8aj`LtyD``x z1*05lqOcfgb#7Wcz^=&{9a*wIq-%qVTg{WN2@E^}O&Mws-F5~S>_XVWwwbM|t#r4h z%{GQ9Q@2Ac7|RV56BbfDwB)X|y6`*Jtfn&;i`r+Kb+i4D$ki}MiZmu!Mg_K@n8K`X zH`|zPY07r6Ur~y(`5bjkb#j~dW<;dgm$HMJnNVYA2l7B|{(u(Y6^8L1R&J+kH`zl0 z&nH?I@$Bel4ZDLhCbnI6iDlrjK0ij07%1QA} z{FX#J;^H==5@RRz4y%jonNf$u4C`kjtwYRBLxnWxm zmCT@^X0sD&0mBGgX{NnWBUUVoB>D|)swVpdGQ7LLRQCPUz#!@s%Ef#>7lvwVW&6Ug zT-4~Py3dYg|A2OZ!vM+^daHyP6{Ip|&~$pCY}IB8UShUO9WD%15{VH@2I-(`(hJzm zIt(bT*}dHx=~_sdDsU*GT&ryf<8rFuoQgnUFxQ`GFd0zxCrm^JZ=v^#tC!I#5m&xs z+Pt`qDuEO+MyCa4&1&Px4m+L<&8)`IPKi)VEeo~BR~ilU8siX2;&{=HAW%pp%#_o< z((vxxbP<$Y71>nTuj*`uwppb&m+pvm?V^6$Mrv?uw4V+1FllP(T-t28)+Tywxu*D2 z3dW0-ES;a(?k^7v<#T5EMCB;Z#_iMUK^QbP%8%^{UCiXrr-)M>^d5Eu!i0AOy+c`* z5t?#NL(oI^(qNmU<3dtc7I1@2JATo0IrK}}K-)sHys&2N4>F?PiKz9f-MPuh*a%lQSQ) z?VSpQ?JP_uVvMnVWSHEv6Jx@06B6EX<$}v6fK_N$jVk#{aX3*AimZ+d6RiwVjs~eY zp*056<;rQQ8kf+NEV2{8ZYKTJKBkkCD+Bo`yKxIi&9S;Mt~CXPVLSHjejHrsjt^^4 zU3@MndQ&cU?V?SvKHPUq_G??)v+IsQT9_gq`p=dgU zp+w;^0kA}(^%hr+7PN<(Io6{+I3cr zQtdjKY-X4!i#5ZHQeX^!seIKkS)G@e_Q)j0tQTRE3hQRER$82@Hd&kO%2BnAF+(V^ z)3K4`tkJDj4W_(N^TrA)ZRS8xT2=;jdL^PQaRO*h-i~?5z_Dq1WTSV!vQ14GOG}aI z;Pqcr9;>w*1%IMHYp27Z5=?}dWVN?v%jJRovB10(UTHI0g&JfOosJ_x(j7kF1;=nJe4F7=z#*Tj~iH7bse zjEom^W3KY}nURT4bz-bgqoyh9tK-U9ZS%=JGj5eX6M*lmG{S34^kg!@Ky57vOkm~? zG!K5#Lv7Les!#b7!^shj66gkln&)bu7tB$k!2!+7!ld|swBQlC8%t5ld}XI|Ft+Ja zQ4!O&I3|6uB`Nw9W{G7T{=(?CPaGYm$4oR8bn|OVrCzNHH4pUeRRhd{ElQ4S&D=O| zbAE4fAWmnfn!({kmroUjyCaVkI}3ZQc4j;@BwedI?KLw8X`CgZq3qs%Hvo{Vt>PMX zm{DNFA}!r*jUB?1Z?=n|*J9bInhkF%B%6`c`9#~AMp(k~=>W?v@8{jgse+M#zd zYh}xsyOgs1V~IhRL`(|JR8mN7C-d^^2`#x%Smb7w0@KyAm0Zy%JgBkM6&Kq`p-|k# z#4b!e`C~Hi(Q%!t#Qs6&rpWvpgX$%|jXs_$)Ab}eeq|uGF$+bSNhO%H1yyFvuwN;) zi#Cdc#?mjgJJ`*fWVjqJuE+qcNijvP9+95UGJo?=VDqq~uG4St6?zAo7+0B2jAz+csiV9tP7? zs*t6sF&*6#nU>KtIl)>d0|wU1O72W>Vzo>mQ(&;J+v;!~b9pGwS|L%I+&7~;tR<@@ z=DG52P0QBNK2w|XyGPlIuxC#-*mmgur zbe?(N=o4c}A3p2+5=D;5&cKkShl%EHbYFLWWoQj?k~SsBBu%w5u})<=&*W5ATB{Il z+oZ+x@%-4pzH0S1<%NkK1I;iM{ks^vg%0F;q7gs`lQK61GgF^ZejqX2$Lvb;=o5o% zBP0Stp|}y=M%z{$;BPLl#_NW(DyV3ju!76=L|U9%vLqxcsOdba)rpCCuUSHj>@0@T zXkNw{--UWs21s^#;+d%U@T(QSx~ds9l=qER`gcKD|235Cv~Ol`Sd1FR3YC1r>NOje zRM?och_n6;yT*q{a!XhMY!DjiFAqfxxqV}VXDR)T;keb<1jxcG2EWC zxAP~t%5B`gLEr{=KKN&JlZ@`1ZRHQ|MlQ#tpxoRj`hb}3A$aq=k^iS$yf?Z0bra8j zg`1C8@#yaf{=V(xF1?t0T6_=mFm8%h@%W(M!uyN(lb_sO#LMLqU3K)~NlteT-_4)o z*6?=-xkJdUa_QyMXeK<#9pX>ADjwaxd5Z7Uk(BD=wPg3PJa|7bAD$ZC)yt`xPr! zd>3@Vy|ekV-(tPPiTdzHAR&k@Gx>`u9)s{(bz(_WDlL)rML*$$pu?JDr@q zH+Ahf2_|Cm7Hw&b^d&K=DSsmv!YXS zaF68l_Y8ktPTxZ~#W#}bRe;^&wPq@UE4CeqD6CqFnV`u!k4 Vg`