bug_fixes_integration_tx
meexmachina 2021-10-11 01:21:17 +03:00
rodzic ef16efb6c5
commit 9a6db91a87
9 zmienionych plików z 54 dodań i 252 usunięć

Wyświetl plik

@ -228,14 +228,6 @@ signal.h
time.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.cpp
math.h
-
Cariboulite.hpp
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.hpp
cariboulite_config/cariboulite_config_default.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/cariboulite_config/cariboulite_config_default.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.hpp
SoapySDR/Device.hpp
-
@ -270,37 +262,9 @@ cariboulite_setup.h
cariboulite_radios.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/cariboulite_radios.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSampleQueue.cpp
Cariboulite.hpp
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.hpp
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSensors.cpp
Cariboulite.hpp
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.hpp
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSession.cpp
Cariboulite.hpp
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.hpp
cariboulite_config/cariboulite_config_default.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/cariboulite_config/cariboulite_config_default.h
SoapySDR/Logger.hpp
-
mutex
-
cstddef
-
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteStream.cpp
Cariboulite.hpp
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.hpp
cariboulite_config/cariboulite_config_default.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/cariboulite_config/cariboulite_config_default.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/SoapyCariboulite.cpp
SoapySDR/Device.hpp
-
SoapySDR/Registry.hpp
-
Cariboulite.hpp
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.hpp

Wyświetl plik

@ -6,42 +6,6 @@
#IncludeRegexTransform:
../src/at86rf215/at86rf215.h
at86rf215_common.h
../src/at86rf215/at86rf215_common.h
at86rf215_radio.h
../src/at86rf215/at86rf215_radio.h
../src/at86rf215/at86rf215_common.h
stdio.h
-
stdint.h
-
math.h
-
string.h
-
stdbool.h
-
stdio.h
-
io_utils/io_utils.h
../src/at86rf215/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/at86rf215/io_utils/io_utils_spi.h
at86rf215_regs.h
../src/at86rf215/at86rf215_regs.h
../src/at86rf215/at86rf215_radio.h
stdio.h
-
stdint.h
-
at86rf215_common.h
../src/at86rf215/at86rf215_common.h
../src/at86rf215/at86rf215_regs.h
../src/caribou_fpga/caribou_fpga.h
stdio.h
-
@ -192,16 +156,6 @@ at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h
stdio.h
-
stdint.h
-
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h
pthread.h
-
@ -228,30 +182,10 @@ io_utils/io_utils_sys_info.h
ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config_default.h
cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.c
zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log/zf_log.h
cariboulite_config/cariboulite_config_default.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config_default.h
cariboulite_events.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.h
caribou_smi/caribou_smi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_fpga_firmware.h
stdio.h
-
stdint.h
-
time.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_radios.c
zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log/zf_log.h
@ -280,145 +214,5 @@ cariboulite_config/cariboulite_config.h
at86rf215/at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_setup.c
zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log/zf_log.h
stdio.h
-
cariboulite_setup.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_setup.h
cariboulite_events.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.h
cariboulite_fpga_firmware.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_fpga_firmware.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_setup.h
cariboulite_radios.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_radios.h
latticeice40/latticeice40.h
/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40/latticeice40.h
caribou_fpga/caribou_fpga.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h
at86rf215/at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h
rffc507x/rffc507x.h
/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/rffc507x.h
caribou_smi/caribou_smi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_spi.h
io_utils/io_utils_sys_info.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_sys_info.h
ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/ustimer/ustimer.h
cariboulite_config/cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils.h
stdio.h
-
string.h
-
stdint.h
-
stdlib.h
-
pigpio/pigpio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_spi.h
stdio.h
-
stdint.h
-
pthread.h
-
io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_sys_info.h
stdio.h
-
string.h
-
stdint.h
-
stdlib.h
-
unistd.h
-
fcntl.h
-
signal.h
-
string.h
-
sys/ioctl.h
-
sys/mman.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h
stddef.h
-
stdint.h
-
pthread.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40/latticeice40.h
stdint.h
-
linux/types.h
-
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40/io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/rffc507x.h
stdio.h
-
stdint.h
-
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/ustimer/ustimer.h
stdlib.h
-
unistd.h
-
stdio.h
-
signal.h
-
time.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log/zf_log.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -88,6 +88,32 @@ at86rf215_common.h
at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.c
stdint.h
-
math.h
-
string.h
-
stdbool.h
-
stdio.h
-
zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/zf_log/zf_log.h
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils_spi.h
at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
at86rf215_baseband.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.h
at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
stdio.h
-
@ -108,6 +134,14 @@ io_utils/io_utils_spi.h
at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_events.c
stdio.h
-
zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/zf_log/zf_log.h
at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.c
stdint.h
-

Plik binarny nie jest wyświetlany.

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -91,23 +91,28 @@ int cariboulite_dispose_radios(cariboulite_radios_st* radios)
// If streams are active - destroy them
if (radios->radio_sub1g.rx_stream_id != -1)
{
caribou_smi_destroy_stream(&radios->radio_sub1g.cariboulite_sys->smi, radios->radio_sub1g.rx_stream_id);
radios->radio_sub1g.rx_stream_id = -1;
}
if (radios->radio_sub1g.tx_stream_id != -1)
{
caribou_smi_destroy_stream(&radios->radio_sub1g.cariboulite_sys->smi, radios->radio_sub1g.tx_stream_id);
radios->radio_sub1g.tx_stream_id = -1;
}
if (radios->radio_6g.rx_stream_id != -1)
{
caribou_smi_destroy_stream(&radios->radio_6g.cariboulite_sys->smi, radios->radio_sub1g.rx_stream_id);
radios->radio_6g.rx_stream_id = -1;
}
if (radios->radio_6g.tx_stream_id != -1)
{
caribou_smi_destroy_stream(&radios->radio_6g.cariboulite_sys->smi, radios->radio_sub1g.tx_stream_id);
radios->radio_6g.tx_stream_id = -1;
}
sleep(1);
cariboulite_radio_state_st* rad_s1g = GET_RADIO_PTR(radios,cariboulite_channel_s1g);
cariboulite_radio_state_st* rad_6g = GET_RADIO_PTR(radios,cariboulite_channel_6g);

Wyświetl plik

@ -180,7 +180,7 @@ int cariboulite_init_submodules (cariboulite_st* sys)
.tx_control_with_iq_if = false,
.radio09_mode = at86rf215_iq_if_mode,
.radio24_mode = at86rf215_iq_if_mode,
.clock_skew = at86rf215_iq_clock_data_skew_1_906ns,
.clock_skew = at86rf215_iq_clock_data_skew_4_906ns,
};
at86rf215_setup_iq_if(&sys->modem, &modem_iq_config);
@ -291,6 +291,7 @@ int cariboulite_release_submodules(cariboulite_st* sys)
//------------------------------------------------------
ZF_LOGD("CLOSE SMI");
caribou_smi_close(&sys->smi);
sleep(1);
// AT86RF215
//------------------------------------------------------

Wyświetl plik

@ -302,11 +302,12 @@ int Cariboulite::activateStream(SoapySDR::Stream *stream,
printf("activateStream\n");
int stream_id = (int)stream;
caribou_smi_run_pause_stream (&sess.cariboulite_sys.smi, (int)stream, 1);
return cariboulite_activate_channel(&radios,
cariboulite_activate_channel(&radios,
(cariboulite_channel_en)sample_queues[stream_id]->stream_channel,
true);
caribou_smi_run_pause_stream (&sess.cariboulite_sys.smi, (int)stream, 1);
return 0;
}
//========================================================
@ -328,11 +329,14 @@ int Cariboulite::deactivateStream(SoapySDR::Stream *stream, const int flags, con
{
printf("deactivateStream\n");
int stream_id = (int)stream;
caribou_smi_run_pause_stream (&sess.cariboulite_sys.smi, (int)stream, 0);
sleep(1);
cariboulite_activate_channel(&radios,
(cariboulite_channel_en)sample_queues[stream_id]->stream_channel,
false);
return caribou_smi_run_pause_stream (&sess.cariboulite_sys.smi, (int)stream, 0);
return 0;
}
//========================================================