From 653d1f401e3cc5a7f93c8782f33cabba36eb4c75 Mon Sep 17 00:00:00 2001 From: meexmachina Date: Tue, 17 Aug 2021 23:48:21 +0300 Subject: [PATCH] bug fixes, mixer, io_utils, spi, adaptation fpga --- firmware/io.pcf | 11 +- firmware/top.asc | 18896 ++++++++-------- firmware/top.bin | Bin 32220 -> 32220 bytes firmware/top.json | 16240 ++++++------- firmware/top.v | 8 +- .../libcariboulite/src/at86rf215/at86rf215.c | 16 +- .../CMakeFiles/at86rf215.dir/C.includecache | 56 - .../test_at86rf215.dir/C.includecache | 122 +- .../src/at86rf215/build/test_at86rf215 | Bin 232120 -> 232120 bytes .../src/at86rf215/test_at86rf215.c | 12 +- .../src/caribou_fpga/build/test_caribou_fpga | Bin 225608 -> 225608 bytes .../CMakeFiles/io_utils.dir/C.includecache | 92 +- .../src/io_utils/build/test_io_utils | Bin 220124 -> 220124 bytes .../src/io_utils/io_utils_spi.c | 7 +- .../test_rffc507x.dir/C.includecache | 26 +- .../src/rffc507x/build/test_rffc507x | Bin 259852 -> 225132 bytes .../libcariboulite/src/rffc507x/rffc507x.c | 51 +- .../libcariboulite/src/rffc507x/rffc507x.h | 3 +- .../src/rffc507x/test_rffc507x.c | 8 +- 19 files changed, 17856 insertions(+), 17692 deletions(-) diff --git a/firmware/io.pcf b/firmware/io.pcf index 356be36..d9dd7d6 100644 --- a/firmware/io.pcf +++ b/firmware/io.pcf @@ -60,9 +60,6 @@ set_io i_iq_rx_09_p A4 # Paired with i_iq_rx_09_n @ B3 - positive logic set_io i_iq_rx_24_n A2 # Paired with i_iq_rx_24_p @ B1 - negative logic - needs to be negated set_io i_iq_rx_clk_p A3 # Paired with i_iq_rx_clk_n @ B2 - positive logic -# MODEM -set_io i_radio_clk A12 - # DIGITAL I/F set_io -pullup yes i_config[0] B29 set_io -pullup yes i_config[1] A40 @@ -71,10 +68,10 @@ set_io -pullup yes i_config[3] A41 set_io -pullup yes i_button B31 set_io o_led0 A38 set_io o_led1 A39 -set_io o_ldo_2v8_en B32 # SMI TO RPI -set_io i_smi_a0_irq A19 +set_io o_smi_write_req A19 +set_io o_smi_read_req B19 set_io i_smi_a1 A43 set_io i_smi_a2 A48 set_io i_smi_a3 A47 @@ -91,7 +88,7 @@ set_io io_smi_data[6] A13 set_io io_smi_data[7] A14 # SPI -set_io i_mosi B17 +set_io i_mosi A22 set_io i_sck A23 set_io i_ss B18 -set_io o_miso A22 +set_io o_miso B17 diff --git a/firmware/top.asc b/firmware/top.asc index b84ebb7..2bc4e7d 100644 --- a/firmware/top.asc +++ b/firmware/top.asc @@ -40,10 +40,10 @@ 000000000000000000 000000000000000000 000000000000000000 +000000000000010000 000000000000000000 000000000000000000 -000000000000000000 -000100000000000000 +000100000000011000 000000000000000000 000000000000000000 000100000000000000 @@ -59,70 +59,70 @@ 000000000000000000 000000000000000000 000000000000000001 -000000000000100010 -000000000000110000 +000000000000110010 +000000000000010000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000001111000000000 +000000000000000000 +000000000000000000 +000001010000000000 000000001000000000 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 .io_tile 5 0 000000000000000010 000100000000000000 000000000000000000 000000000000000001 -000000000000010010 -000000000000110000 -001100000000000000 -000001110000000000 -000000000000000000 -000100000000000000 000000000000110010 000000000000010000 +001100111000000000 +000000001000000000 000000000000000000 -000001110000000001 +000100000000000000 +000000000000010010 +000000000000110000 +000001110000000000 +000000001000000001 000000000000000010 000000000000000000 .io_tile 6 0 -000000000000000010 -000100000000000000 +000010000000000010 +000100110000000000 000000000000000000 000000000000000001 -000000000000110010 +000000000000000010 000000000000010000 -001100000000000000 +001100000000011000 000000000000000000 000000000000000000 000100000000000000 000000000000110010 000000000000110000 -000001111000000000 +000000000000000000 000000000000000001 -000010000000000010 -000000010000000000 +000000000000000010 +000001110000000000 .io_tile 7 0 -000000000000011010 +000000000000000010 000000000000000000 000000000000000000 000000000000000001 -000000000000110110 -000000000000011000 -001100000000000000 +000000000000100110 +000000000000111000 +001100000001000000 000000000000000000 000000000000000000 000111010000000000 +000000011000000000 +000000000000000000 000000000000000000 000000000000000000 -000000111000000000 -000000001000000000 000000000000000000 000000000000000000 @@ -145,72 +145,72 @@ 000000000000000000 .io_tile 9 0 -000000000000011010 +000000000000000010 000100000000000000 000000000000000000 000000000000000001 -000000000000010010 -000000000000110000 -001100000000011000 -000011110000100000 -000000000000000000 -000100000000000000 -000000000000000010 +000010000000100010 +000010010000010000 +001100000000000000 000000000000000000 +000000011000000000 +000100001000000000 +000000000010000010 +000000000001000000 000000000000000000 000000000000000001 -000000000000000010 +000000000000000001 000000000000000000 .io_tile 10 0 000000000000000000 000100000000000000 -000001010000000000 -010000001000000001 +000000000000000000 +010000000000000001 000000000000000000 000000000000000000 -001100000000000000 +001100000001000000 000000000000000000 000000000000000000 000000000000000000 -000000000000000010 +000000000000110010 000000000000110000 000000000000000000 000000000000000001 -000000000000000010 -000000000000000000 +000010000000000010 +000000010000000000 .io_tile 11 0 -000001010001000000 -001100001000000000 -000010000000000000 -100010110000000001 -000000000000000000 -000000000000000000 -001100000000000000 -000000000000000000 -000000000000000000 +000000000001000010 +000100000000000000 000000000000000000 +000000000000000001 +000000000010110001 +000000000011110000 +001000111001000000 +000000001000000000 000000000000000010 -000000000011010000 +000100000000000000 +010000000000000000 +000000000000000000 000000000000000000 000000000000000001 -000000000000000001 +000001111000000000 000000000000000000 .io_tile 12 0 000000000000000000 000100000000000000 100000000000000000 -000000000000000001 +001000000000000001 000000000000000000 000000000000000000 001000000000000000 000000000000000000 000000000000000000 -101000000000000000 +011000000000000000 +000000000000000000 000000000000000000 -001000000000000000 000000000000000000 000000000000000001 000000000000000000 @@ -235,176 +235,176 @@ 000000000000000000 .logic_tile 1 1 -000000000000000000000010110000000001000000001000000000 -000000000000000000000110000000001010000000000000001000 -101000000000000000000010100000000001000000001000000000 -000000001110000000000100000000001010000000000000000000 -000000000000000000000000000000001000111100001000000000 -000000000000000000000000000000000000111100000000000000 -000000000000001001100000011000000000000000000000000000 -000000000000000001000010001001000000000010000000000000 -000000000000000000000000001000000000010110100000000000 -000000000000000000000000000111000000101001010000000000 -000000000000000000000000001000000000010110100000000000 -000000000000000000000000000111000000101001010000000000 -000000000000000000000111000000000000000000000100000110 -000000000000000000000000001111000000000010001000000101 -110010100000001101100000001111001000001001000000000000 -000001000000000101000000001101111001111100000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 1 -000000000000000000000011100000000001000000001000000000 -000000000000000000000110110000001111000000000000001000 -101000000000011001100000010011111110001100111010000000 -000000000000101111000010100000011001110011000011100001 -110000000000000001100011100001101000001100110000100000 -110000000000000000000100001101000000110011000000100100 -000000000000001000000110100001011010110001110000000000 -000000000000000001000000000000111110110001110000000000 -000000000000000000000000000000011111001000010100000000 -000000000000000000000000000101011001000100100000000000 -000000000000001000000000000000011001111011010100000000 -000000001010000001000000001111011111110111101000000000 -000000000000000000000110011101111001000010000000000000 -000000000000000111000010001111001000000000000000000000 -110000000000000000000110010001111111000001100100000110 -000000000000000000000011000000111111000001100010000001 +000000000100001000000010010111011100000110100100000000 +000000000000001111000111111001101111000110010010000000 +101000000000001111100000000101101110010100000000000000 +000000000000001011100000000111110000111100000000000000 +010000000000000111010010100101111000000110000100000000 +110000000000001001100100000101101110001001010010000000 +000000000000000011100111111111111000110101010000000000 +000000000000000000100010000111101001110100000000000000 +000000000000000001100110000011011001000111000100000000 +000000000000000000000010010001001101000110000010000000 +000000000000000001100000000111111000001000000000000000 +000000000000000101000010000111101010001001010000000000 +000000000000001001100011011011011111101000010000000000 +000000000000000101000011000011111110101010110000000000 +000000000000001001000010000011011010101000000000000001 +000000000000000101100010000000100000101000000000000000 .ramb_tile 3 1 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000000001011001010000000 +000000000000001111000000001101110000000000 +111000000000000111000000000011101010000000 +000000001110000111000000001111010000000000 +010100000000001000000010000101101010000010 +110000000000000111000010000111010000000000 +000000000000001011100111111111001010000000 +000000000000001111100111101111110000000000 +000000000000000111100011101101001010000000 +000000000000000000000100000111010000000000 +000000000000001000000000000101101010000000 +000000000000001101000011100011010000000000 +000000000000000111000010001101101010000000 +000000000000000000100011101011110000000000 +010000000000000011100000011001001010000000 +010000000000000000100011010001010000000000 .logic_tile 4 1 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001101000000000000001000 -000000000000000011100000000000001101001100111000000000 -000000000000000000100000000000011101110011000000000000 -000000000000000000000000000011001000001100111000000000 -000000000000000000000000000000100000110011000000000000 -000000100000000001000000000000001001001100111000000000 -000000000000000000000000000000001100110011000000000000 -000000000000000000000010100111101000001100111000000000 -000000000000000000000010100000000000110011000000000000 -000000000000000101000010100111001000001100111000000100 -000000000000000000000000000000000000110011000000000000 -000001000000000111000000000000001001001100111000000000 -000000000000000000000000000000001010110011000000000001 -000000000000000000000000000000001001001100110000000100 -000000000000000101000000000000001000110011000000000010 +100000000000000000000110010011100000000000000100000000 +000000001010100000000010001111000000010110100000000000 +111000000000000000000000000000000001001001000110000000 +000000000000000000000000001001001100000110000000000001 +010000100000001011100110101011100000000000000100000000 +100000000000000001000000001111000000010110100000000000 +000000000000000000000011111000001000010100000100000000 +000000000000000000000010000011010000101000000000000000 +000000000000000000000010101101101100111101010000000000 +000000000000000000000100001101111010100000010001000000 +000000000000001001000111000101111100000110000000000000 +000000000000001011000000001111101110000010100001000000 +000000000000000000000110101011101110111100010000000000 +000000000000001101000010101101111011101000100000000000 +110010100000000000000110001000000000010000100100000000 +000001000000000000000000000011001010100000010000000000 .logic_tile 5 1 -000000000000000101100000000011011001001000010000000000 -000000000000000000000010110111001000100001000000000000 -111000000000001101100000000101111101001010000000000000 -000000000000000101000000001111111011100000100000000000 -000000000001000000000110110001001010010101010000000000 -000000000000100000000010100000010000010101010000000100 -000000000000000000000110110000001010001100110000000000 -000001000000000000000010100000011011001100110000000001 -000000000010000001100000000001000000111111110000000000 -000000000000000000000010111001000000000000000000000000 -000000000000000000000000010000000000000000100110000001 -000000000000000000000010100000001110000000000000000000 -000000000000000101000000010000000000000000000000000000 -000000000000001101000010101011000000000010000000000000 -000001000000000000000000001000000001011001100000000000 -000010000000000000000000000001001011100110010000000010 +100000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +111000001100101000000000000101100001010000100100000001 +000000000001010001000000000000001101010000100000000001 +010000000000000000000000011000000001001001000100000001 +100000000000000000000010000001001010000110000000000000 +000000000000000011100000001101100000000000000100000000 +000000000000000000100000000011000000010110100001000000 +000000000000000000000000001000000000010000100110000000 +000000000000000000000000000101001000100000010000000000 +000000000000100001000110000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000101000001001001000100000000 +000000000000000000000000000000101010001001000000100000 +110001000000000000000000010000011011000000110100000000 +000000100000000000000010000000001111000000110000000010 .logic_tile 6 1 -000000000000000101000110010011011111000010010000000000 -000000000000000000100011111001001010100100000000000000 -101000000000000000000000000000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -000000000000000000000011100000011100000100000100000000 -000000000000000000000010110000000000000000000000000000 -000000000000001001100000001101001000011010010000000000 -000000100000000001000000001111111111000000000000000000 -000000000000001111100000001101000001110000110000000000 -000000000000000001000000000011101110001111000000000000 -000000000000000001000000000011100000011001100000000000 -000000000000001101100000000000001000011001100000000000 -000000000000001000000000010000001110000100000100000000 -000000000000000011000010000000000000000000000000000000 -000000000000000101000000011001101100000000000000000100 -000000000000000101100010000101101001100000000000000000 +000010000000000000000000001000000000000000000100000000 +000001000000000000000000001101000000000010000010000001 +111010000000000000000110100000011010000100000100000000 +000001000000000000000100000000000000000000000000100001 +000000001000000101100111100000000000000000000100000000 +000000000000000000100000000101000000000010000000100001 +000000000000000101100011101000001010101000000000000000 +000000000000001111100100001001010000010100000000000000 +000000000000000000000000000001111010101000000000000000 +000000000000000000000000000000100000101000000010000000 +000000000001010000000000010000000000000000000000000000 +000000000000100000000010000000000000000000000000000000 +000000000000100000000000010111000000000000000100000000 +000000000000010000000011010000100000000001000000000000 +000000001110000000000000000000011100000100000100000000 +000010100000000000000000000000010000000000000000100001 .logic_tile 7 1 -000000000000000000000000000000000000000000100100000000 -000000000000000000000010110000001010000000000000000000 -101010000000000000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 -000000000000000011100000000000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000010001100000000000000100000001 +000000000000000000000010000000100000000001000000000000 +101000000000000000000010100000011010000100000100000000 +000000000000000000000100000000010000000000000000000000 +000000000000000000000000000000001100000100000100000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000110000000001000000100000100000000 +000000000000000000000010110000010000000000000000000100 +000000000000000101000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000100 +000000000001000000000010111101000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000011100000000000000100000000 +000000000000000000000000000000100000000001000000000000 .logic_tile 8 1 -000000000000000000000000010000000001000000001000000000 -000000000000000000000010000000001110000000000000001000 -001000000000000000000000000011100000000000001000000000 -000000000000001111000000000000000000000000000000000000 -000000000000000000000000000000001000001100110100000000 -000000000000000000000000001001000000110011000100000000 +000000000000001001100000000000000000000000000000000000 +000000000000000101000010000000000000000000000000000000 +111000000000000101000010100011111011100100000000000000 +000000000001000000100100000000101001100100000000000000 +000000000000000000000110100001011000010010000000000000 +000000000000000000000100001001001000000100100000000000 +000000000000000101100000000000000001000000100100000000 +000000100000000000000010110000001011000000000000000000 +000000000000000000000110000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000100110000100 +000000000000000000000010010000001101000000000000000000 +000000000000000000000000000000000000000000100100000100 +000000000000000000000000000000001011000000000000000010 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000001001100110100000000 -000000000000000000000000000111001100110011000100000000 -000000000000001000000000000111100000010110100100000000 -000000000000000001000000000000000000010110100100000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000001100000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 .logic_tile 9 1 -000000000000001000000000000000000000000000000100000000 -000000000000000001000000000011000000000010000000000000 -101000000000000000000000000000011010000011110000000000 -000000000000000000000000000000000000000011110001000100 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 +111000000000000000000000001000000000000000000000000000 +000000000000000000000000000011000000000010000000000000 +000000000000000001000000000000000000000000000000000000 000000000000000000100000000000000000000000000000000000 -000000000000001000000000000011100000010000100010000010 -000000000000001011000000000000001000010000100000000000 -000000000000000001100000000001000000000000000100000000 -000010000000000000000000000000000000000001000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000001000000000000000011010000100000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000111100000000011000000000000000100000000 +000000000000000000100000000000000000000001000001000000 +000000000000000000000000000111011010101000000000000000 +000000000000000001000000000000000000101000000000000000 +000000000000000000000000000000001010000100000100000000 +000000000000000000000000000000000000000000000010000000 .ramb_tile 10 1 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 +000000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -415,32 +415,32 @@ 000000000000000000000000000000000000000000 .logic_tile 11 1 +000000000000000101000000000001000000000000000101000000 +000000000000000000100000000000000000000001000000000000 +111000000000000000000010101000000000000000000101000000 +000000000000000000000100001001000000000010000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000001010000100000101000000 +000000000000000000000000000000010000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 .logic_tile 12 1 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -452,12 +452,12 @@ .io_tile 13 1 000000000000000000 +000000000001000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -000100000000000000 +000100000000001000 000000000000000000 000000000000000000 000100000000000000 @@ -470,9 +470,9 @@ .io_tile 0 2 000000000000000000 -000100000000000000 000000000000000000 -000000000000000001 +000000000000000000 +000000000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -487,208 +487,208 @@ 000000000000000000 .logic_tile 1 2 -000000000000000001000000000001100000000000001000000000 -000000000000000000100000000000000000000000000000001000 -101000000000000001100110010101100000000000001000000000 -000000000000000101000111010000000000000000000000000000 -010000000000000000000010100000000001000000001000000000 -110000000000000111000000000000001000000000000000000000 -000000000000000101000000000000001000111100001000000000 -000000000000000000000010100000001010111100000000000000 -000000000000000000000000011101101000111100000000000100 -000000000000000000000010101001100000000000000000000000 -000000000000001000000000001000000000010110100000000000 -000000000000000001000000001001000000101001010000000000 -000000000000000000000000001000000000000000000110000010 -000000000000000000000000000011000000000010000000000110 -110000000000000000000000000011000000111001110000000000 -000000000000000000000000000000101000111001110000000000 +000000000000000000000011100001000000010110100000000000 +000000000000000000000010011001100000000000000010000100 +000000000000000000000000001000011000110100010000000000 +000000000000001101000000000101001110111000100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000010100000001100000011110000000000 +000000000000000000000000000000010000000011110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 2 -100000000000001101000000001000000000000110000000000000 -000000000000000001100000001011001011001001000000000010 -111000100000000000000000000000000000000000000000000000 -000001000000000000000010100000000000000000000000000000 -010000000000000000000011100101101111010100000000000000 -100000000000000000000000000001011001100000000000000000 -000010100001011000000010111000011100101000000010000000 -000000000000000101000110001111000000010100000000000000 -000000000000000000000000000101100000000000000100000000 -000000000000000000000011111011100000101001010000000000 -000000000000000000000000010000001100000000110100000001 -000000000000000001000010010000011000000000110000000000 -000000000000000000000000000000000001010000100100000000 -000000000000000000000011001011001011100000010000000000 -110000000000000000000000000000011101110000000000000000 -000000000000000111000011100000001110110000000010000000 +000000000000000001100111000001101011010110100100100000 +000000001000001111100010010101111000000000100000000000 +101000000000001101000010100101011110000011100100000000 +000000000000000111100110110101011011000010100010000000 +110000100000001101000000000101011110000010100100000000 +110000000000001101000010010101111000000001110010000000 +000000000000000001100000010001111100101000010000000000 +000000000000001101000011000000111110101000010000000000 +000000100000000101100000000111001101100100010000000000 +000000000000000000000010001011101010111000110000000000 +000000000000001000000000011011001110101000000000000000 +000000000000000001000010111101101000110110110000000000 +000000000000000101000110001101011010000011000110000000 +000000000000000011000010010011101010000011100000000000 +000000000000000000000011100001001011000011000100000000 +000000000000000000000011101001101000000111000010000000 .ramt_tile 3 2 +000000000000001011100110010101011110000000 +000010000010001111000111110001010000000000 +101000000000000011000110001101111000000000 +000000000000000111000111101101000000000000 +110000100000001011000111111001111110000000 +110001000000001001000110011001110000000000 +000000000000001111100000011011111000000000 +000000000000001011100011010001100000000000 +000000000001000000000000000101011110000000 +000000000000000000000000000101110000000000 +000000000000000000000000001001011000000000 +000000000000000000000011110101100000000000 +000000100001000001000010000001011110000000 +000001001000000000000000000111110000000000 +110000000000000001000000000101011000000000 +110000000000000000100010000001100000000000 + +.logic_tile 4 2 +000000000000001111100000010001001110110101010000000000 +000000000000001011100010100001011101110100000000000000 +000000000000000001100110111001001100111001000000000000 +000000000000000000000010101101101000110101000001000000 +000000000000000111100000011101011000111001000000000000 +000000000000000000100011101001101010111010000001000000 +000000000000001101100110010101011111100000010000000000 +000000000000001011000011011111011101111110100000000000 +000000100110001000000111000001111010101000010010000000 +000000000000000001000110111111101010011101100000000000 +000000000000000101000010100101011101101000000000000000 +000000000000000000100110111111011111110110110000000000 +000001000010000000000110011001001101110000010001000000 +000000000010000000000010100101011011110110010000000000 +000000000000000001100110010101011111100000010000000000 +000000000000000000100110011111001100111110100000000000 + +.logic_tile 5 2 +000010100000001000000000011000001110101000000000000000 +000000000000001111000011111001000000010100000000100000 +000000000000001000000000000001000000101001010000100000 +000001001110001111000011110111100000000000000000000000 +000000000001000000000000000111100001001100110000000000 +000000000000000000000000000001001000110011000001000000 +000000000000000000000000000111100000000000000000000000 +000000000000000000000000000000000000000001000000000000 +000001000000000111100000000000000000000000000000000000 +000010000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000100000010000000000 +000010000000000000000000000111001100010000100000000010 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 + +.logic_tile 6 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000011100000000000000110000000 +000000000000001101000010110000100000000001000000100011 +110000000000100000000000001000000000000000000100000000 +110000000000010000000000001101000000000010000000000000 +000000000000100001000010100000000000000000000000000000 +000000000000010000000100000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001100010100000000000010000011010111100110000000000 +000000000100000000000011010000001101111100110010000000 +000000000000000000000110100000000001000000100100000000 +000000000000000000000100000000001000000000000000000000 +110010000001010000000000000000011000000100000100000000 +000000000000000000000000000000010000000000000000000000 + +.logic_tile 7 2 +000000000000000001000000000000001010000100000100000000 +000000000000000000000000000000010000000000000000000000 +101000000000000101000110100101001010001011010000000000 +000000000000001101100000001111101101101101000000000000 +000000000000000101000010110000000000000000100100000000 +000000000000001101000010100000001100000000000000000000 +000001001110000000000000000101011101000000110000000000 +000000000000000000000000000101001000000000000010000000 +000000000000001000000010100001000000000000000100000000 +000000000000000001000100000000000000000001000010000000 +000000000000101000000000000000000001000000100101000000 +000010100001010101000000000000001110000000000000000000 +000000000100000000000000011000000000000000000100000000 +000000000000000000000010100001000000000010000000000000 +000000001100001000000000001000000000000000000100000000 +000000000000000011000000001001000000000010000000000000 + +.logic_tile 8 2 +000000000000000101000000011111011110100000000000000000 +000000000000000000100010101011111010000000100000000000 +101000001000001000000000001000001000010101010000000000 +000000000000000101000000001101010000101010100000000000 +110000000000000101100010100000000000011001100000000000 +010000000000000000000110111001001110100110010000000000 +000000000000100000000000000000011000100101100000000000 +000000000001011101000010110001001101011010010000000000 +000000000000000000000010110101000001110000110000000000 +000000000000000000000011101101101110001111000000000000 +000000000000000000000000001000000000000000000100100000 +000000000000000000000010110101000000000010000000000100 +000000001110000101000000010001001010010101010100000000 +000000000000000000000010000000010000010101010000000000 +110000000000000001100000000000001010000100000100100000 +000000000000000000100010100000010000000000000000000100 + +.logic_tile 9 2 +000000000000000000000110110101011110111000110100000001 +000000000000000000000010001011001110110000111000000000 +101000000000000101000010110001011101100000000000000000 +000000100000000000100110110000111101100000000000000000 +000000000000001101100010100101011101001010000000000000 +000000000000000101000110110101101011010000010000000000 +000000100000000001100111100000011000011010010000000000 +000001000000000000000100001111011100100101100000000000 +000000000000000101000000011001111001011010010000000000 +000000000000000000100010010101011001000000000000000000 +000000000000000101000110000000001010010101010000000000 +000000000000001101100011110111010000101010100000000000 +000000000000001001100110000000000000000000000000000000 +000000000000000111000010110000000000000000000000000000 +110000000000001000000000000011101110100000000000000000 +000000000000000001000000001001011011000100000000000000 + +.ramt_tile 10 2 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000010000000000000000000000000000 -000001000100100000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 000010100000000000000000000000000000000000 +000010000000000000000000000000000000000000 000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -.logic_tile 4 2 -000000000000000000000010101000000000000000000100000000 -000001000000000000000000001011000000000010000000000000 -111000000000100001100000001000000000000000000110000000 -000000000001000000000000000001000000000010000000000010 -000000000000000000000110101000000000100000010000000000 -000000000000000000000100000011001100010000100000000000 -000010100001010000000000000000000001000000100100000101 -000001000000100101000000000000001110000000000000000000 -000000000000001000000000000000000000000000100100000000 -000000000000001011000000000000001011000000000000000000 -000010100000001000000000010000000000000000100100000000 -000001000000001011000010100000001110000000000000000000 -000000000000001000000000000001011010010101010001000000 -000000000000000101000000000000100000010101010000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 - -.logic_tile 5 2 -000000000000000000000000010000000001000000100100000000 -000000000000000000000010000000001000000000000000000000 -101000000000000000000000010001100000000000000100000000 -000000001110000101000010100000100000000001000010000000 -110000000000000000000000000000000000010110100110000000 -010000000000000000000000001001000000101001010000000000 -000000000000000000000000000000000000000000000110000000 -000000000000000000000000001111000000000010000000000001 -000000000000100001100110001000000000000000000110000000 -000000000001010000100110100011000000000010000000000000 -000000100000001000000000000000000000000000100110000001 -000001000000001001000000000000001101000000000000000000 -000010100000010000000000011000000001011001100100000000 -000001000000100000000010010111001100100110010000000000 -110000000000000001100000000000000000000000000110000001 -000000000000000000100000000011000000000010000000000000 - -.logic_tile 6 2 -000000000000000101000110110011011000100010010000000000 -000000000000000011100010010001101101001001100000000000 -101000000000000101000000000111000000000000000100000000 -000000000000001101100000000000100000000001000000000000 -000000000000001101000110010000000001000000100100000000 -000000000000000001100010100000001000000000000000000000 -000000000000001101000110100001011101110011000000000000 -000000000000000001100000001111101101000000000000000000 -000000100000101000000110011111101100100010010000000000 -000010000001011001000110000101011010000110010000000000 -000010100001011001100110000101100000001111000000000000 -000001000000100101000100001101101000110000110000000000 -000000000000100000000110110011101001001000010000000000 -000000000000000000000010011001011011100001000000000000 -000000000000001000000000000101001101100000000000000001 -000000000000001001000000000101101010000000000000000000 - -.logic_tile 7 2 -000000000000000000000000000111100001100000010000100000 -000000000000000101000000000000101001100000010000000000 -101000100000000000000000010001000000000000000100000000 -000000000000000111000011010000000000000001000000000101 -000000000000000000000000000111000000000000000100000000 -000000000000000000000000000000100000000001000000000000 -000000000000000000000000010000000001000000100100000000 -000000000000000000000011110000001011000000000000000000 -000000000000000001100000001000000000000000000100000000 -000000000000000000000000000111000000000010000000000000 -000000000000000000000000000001100000000000000100000000 -000000000000000000000000000000000000000001000000000000 -000000000000000000000000010011000000000000000100000100 -000000000000000000000011000000000000000001000000000000 -000000000000000001100000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 - -.logic_tile 8 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111001000000000000000110010000000000000000000000000000 -000010000000000000000010000000000000000000000000000000 -000000000000000000000000000101011011100000000000000000 -000000000000000000000010100000101010100000000000000000 -000000000000000101000000001101100000100000010000000000 -000000000000000101000000000101001011000110000000000000 -000000000000000000000000000000000001000000100110000100 -000000000000000000000000000000001001000000000000000000 -000000000000000101100000001000000000000000000110000000 -000000000000000000000000001001000000000010000001000000 -000000000000000000000000000011100000000000000100000000 -000000000000000000000000000000000000000001000000000000 -000000000000000000000111100111100000000000000100000000 -000000000000000000000000000000100000000001000000000000 - -.logic_tile 9 2 -000000000000000000000111100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000001000000000000000111000000 -110000000000000000000000000000100000000001000001000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000111100000000000000100000000 -000000000000000000000000000000000000000001000000000000 -000000000000100000000111100000000000000000000000000000 -000000000000010000000100000000000000000000000000000000 -110000000000000111100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 - -.ramt_tile 10 2 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 - .logic_tile 11 2 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000000000000000000001111001100110100000000 +000000000000000000000000000000001100001100110000000000 +010000000000000000000111100000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000000000000000000000011111001100110100000000 +000000000000000000000000000000011101001100110000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000001000010000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +110000000000000000000010001000000001011001100100000000 +000000000000000000000000000011001101100110010000000000 .logic_tile 12 2 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -703,14 +703,14 @@ 000000000000000000000000000000000000000000000000000000 .io_tile 13 2 +000000000000001000 000000000000000000 000000000000000000 000000000000000000 -000000000000010000 000000000000000000 000000000000000000 000100000000000000 -000000000000000000 +000000000001001000 000000000000000000 000100000000000000 000000000000000000 @@ -739,180 +739,180 @@ 000000000000000000 .logic_tile 1 3 -000000000000001000000000010000000000000000001000000000 -000000000000001111000011100000001000000000000000001000 -101000000000001000000000000000000001000000001000000000 -000000000000000111000000000000001010000000000000000000 -010000101100000000000010100000000001000000001000000000 -110000000000100000000100000000001000000000000000000000 -000000000000000111000000000101101000111100001000000000 -000000000000001101100000000000000000111100000000000000 -000000000000000000000000001000001000100100000100000100 -000000000000000000000000000111001001011000000010000101 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000011010101100000000000001000000000 +000000000000000000000011010000000000000000000000001000 +101000000000000000000000010001100000000000001000000000 +000000000000001101000010000000100000000000000000000000 +010000000000000111000010100000000000000000001000000000 +010000000000000000100110110000001011000000000000000000 +000000000000000000000000000000001000111100001000000000 +000000000000000101000000000000001001111100000000000000 +000000100000000000000110001000001000100100000110000100 +000000000000000000000010100001001010011000000000000100 +000000000000000000000000000001101010100000000000000000 +000000000000000000000000000000001101100000000000000000 +000000000000000000000000000000000000010110100000000000 +000000001010000000000000001011000000101001010000000000 +110000000000000000000000000101011000001001010000000000 +000000000000000000000000001101001101011100000000000000 .logic_tile 2 3 -100000000000000000000110000001000001001001000100000000 -000000000000010001000000000000101011001001000000000000 -111000000000000101000000000101111010010100000100000000 -000000000000000101000011100000100000010100000000000000 -010000000000001000000000000111001101010000100000000000 -100000000000000001000000000001011001010000010000000000 -000000000000000001100111100101100001010000100100000000 -000000000000000000000000000000101111010000100001000000 -000001100000000000000010001011101100000110100000000000 -000010100000000101000000001001111100001000000000000000 -000000000000000000000010000101111000010100000100000000 -000000000000000000000000000000100000010100000000000000 -000000000000001000000000001001100000000000000100000000 -000010000000000101000000001101000000101001010000000000 -110000000000000000000000000101100000000000000100000000 -000000000000000000000010110101100000010110100000000000 +000000000001000111000011100000000000000000001000000000 +000000000000101101000010110000001111000000000000001000 +101000000000000111000010100001000000000000001000000000 +000000000000001101100100000000101100000000000000000000 +010000000000000011100111000001101001001100110010000000 +110000000000000000100100000000001011110011000000000100 +000000000000001101000011101111000001010000100100000000 +000000000000000111100100000111101010000110000000100100 +000000000000000001000000011001101000101001010000000000 +000000000000000000000010000001111101101000010000000000 +000000000000001000000000000011101011110000010000000000 +000000000000000011000000001111001001110000110000000000 +000010100001000001100110010101111111100000010000000000 +000000000000100000100010000111001010110000000000000000 +110000000000000000000000000001001101110000010000000000 +000000000000001101000000000001011001110000110000000000 .ramb_tile 3 3 -010000000000000001000000011101011100000000 -001000001010000000100011110001000000000000 -111000000000001111110110110011011000001000 -000000000000001011100011100011010000000000 -110000000000001000000011100001111100000000 -111010000000000101000000001101100000000000 -000000000000000111100000011111111000001000 -001000000000000000000011110001110000000000 -000000000000001011100000000111111100000000 -001000000000000101000000001001100000000000 -000000000001000111000000010011111000000000 -001000000000001001100010100001110000000000 -000000000000000000000111101111111100000000 -001000000000000000000000000101000000000000 -110000000000001101100110111111111000001000 -011000000000001001000010011101010000000000 +010100000000000000000111111011011010000000 +001000001000000011000111111111000000001000 +111000000000001111100000010001101000000000 +000000000000001111000011111101110000000000 +010000100000000111000011100011111010000000 +011010000000000000000011100101100000000000 +000010100001000111100111111001001000000000 +001001000000001111100110110011010000000001 +000000000000001011100000001111111010000000 +001000000000000101000000001101000000000100 +000000000001010001000110100001101000000000 +001000000000100000000000001001010000000000 +000000000001000000000000000101111010000000 +001001000100000000000000001101100000000000 +010000000001011000000010001011001000000000 +111000000000100101000000000001010000000000 .logic_tile 4 3 -100000000000100000000000001000000000010000100100000000 -000000000000000000000011111111001001100000010000000000 -111000001110000000000110000000011111000000110100000000 -000000000000000000000000000000011110000000110000000000 -010000000000001000000000001011000000000000000100000000 -100000000000000001000000001111000000101001010000000000 -000000000000100001100000000000011110000000110100000001 -000000000001010000000000000000011111000000110000000000 -000000000000000001100000001000000001010000100100000000 -000000000000000000000000001111001010100000010000000000 -000000000000000000000000010011111110000001010100000000 -000000000000000000000010000000110000000001010000000000 -000000000000000000000000011001100000000000000100000000 -000000000000000000000010001111100000101001010000000000 -110000000000001000000111000111100000000000000100000000 -000000000000000001000100000101100000010110100000000000 +100000000000000001100000000111100000001001000100000000 +000000001010000000000000000000101110001001000000000000 +111000000000000000000110000111001100010100000100000000 +000000000000000000000000000000000000010100000000000000 +010000100000000000000000000000000000010000100100000000 +100001001000000000000000000111001111100000010000000000 +000000000001010000000000000111011110010100000100000000 +000000000000100000000000000000000000010100000000000000 +000000000000001000000010000001100000000000000100000000 +000001000000000001000000000111100000101001010000000000 +000010000000000000000000010011101110000001010100000000 +000001000000000000000010000000100000000001010000000000 +000000000001000001000110100001000000000000000100000000 +000000000000000000100000000111000000101001010000000000 +110000000000000001100000010111000000010000100100000000 +000000000000000000000010100000001101010000100000000000 .logic_tile 5 3 -000000000000000001000000000101000000000000001000000000 -000000000000000000000000000000000000000000000000001000 -000000000000100000000000000000011011001100111000000000 -000000000011000000000010110000001110110011000000000001 -000000000000000000000000000000001001001100111000000000 -000000000000001101000010110000001011110011000000000000 -000000000000100011100010100001101000001100111000000000 -000000000000010000100100000000100000110011000000000101 -000000000000001000000000000000001000001100111000000100 -000000000000001011000000000000001100110011000000000001 -000010100000000001000000000000001000001100111000000001 -000000000000000000100000000000001000110011000000000000 -000000000000000000000000000101001000001100111000000000 -000000000000000000000000000000100000110011000000000000 -000000101100100000000000000000001001001100110000000001 -000000000000011001000000000000001100110011000000000000 +100000000000000000000000000000001100010100000100000001 +000000000000000000000000000111000000101000000000000000 +111000000110001000000110000001101110000001010101000000 +000000000000000101000000000000000000000001010000000000 +010000000000000000000000010001100000000000000101000000 +100000000001010000000010000111100000101001010000000000 +000000000000000001100000000101101110000001010100000001 +000000000000000000000000000000000000000001010000000000 +000000000000000001100010000111100000011001100000000000 +000000000000000000000000000000001111011001100000000000 +000000000000000000000000000111000000010000100110000000 +000000000000000000000000000000001110010000100000000000 +000000000000001111000010100101000000000000000100000000 +000000000000000001000000000111100000101001010001000000 +110000000000000000000000000000001110000001010100000000 +000000000000000101000000001011000000000010100001000000 .logic_tile 6 3 -000001000000000000000000000000011100000100000100100000 -000010000000000000000000000000000000000000000000000000 -101001001000000000000000001000000000000000000100000000 -000000100000000000000011111011000000000010000000000000 -000000000000100000000000001000000001111001110000000000 -000000000000010000000000000111001001110110110010100100 -000000000000000000000000000000001110000100000100000000 -000000100000000000000000000000010000000000000000000000 -000000000000000000000110010000011110000100000100000000 -000000100000000000000011010000010000000000000000000000 -000000101110100011100110000000000000000000000100000000 -000001000001001101100000001011000000000010000000000000 -000000000000000000000010100000000000000000100100000000 -000000000000000000000100000000001101000000000000000010 -000000000000100101100000010000011100000100000100000000 -000000000001010000100011000000000000000000000000000000 +000000000000100000000000000011100000111001110001000100 +000000000000000000000011110000101001111001110010000000 +101000000000001101000010101111111100110011000000000000 +000010000000000101100110110111111101000000000000000000 +110000000000000000000000001011101101110011000000000000 +110000000000000000000000000001001011000000000000000000 +000000000000000000000110011000000000000000000100000000 +000000000001001101000011111101000000000010000010100001 +000000000000001001000010000000011001001100110000000000 +000000000000000101000000000000011001001100110000000000 +000011100110100001000010010011000000000000000100000000 +000011100001010000000010000000000000000001000000100000 +000000100000000000000110000001111010010101010000000000 +000000000000000000000100000000000000010101010000000000 +110000001110001000000010001000011000010101010000000000 +000000000000000001000000000001010000101010100000000000 .logic_tile 7 3 -000000000000000000000111100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000111100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010010000000001000000010010000000000000000000000000000 -110001000000001111000010010000000000000000000000000000 -000000000000000000000000000000000001000000100100000000 -000000000000000000000000000000001111000000000000000001 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000100000010000000000 -000000000000000000000000000101001001010000100010000000 -000010100000000000000010000101000000000000000000000000 -000000000001010000000000001111100000101001010001100000 -000000000000000000000000010000000001100000010010000000 -000000000000000000000010101001001000010000100000000000 +000000000000000000000000000011111110010101010000000000 +000000000000000000000011100000110000010101010000000000 +111000000110001000000010110101111010010101010000000000 +000000000000001011000111010000100000010101010000000000 +010000000000000101000011010101100001010000100000000000 +110000000000000000100010001001101011001001000000000000 +000000000110100001100110011000000000000000000100000000 +000000000000010000100111111001000000000010000000000000 +000000000000000001100000000111000000000000000100000000 +000000000000001001000000001111100000111111110000000000 +000000000000000000000110100011011001100001000000000000 +000000100000000000000000000000011101100001000000000000 +000000000000001000000010100101001101000000000000000000 +000000000001010011000110110101101000010010000000000000 +110000001010000000000000010000000000000000000100000000 +000010100000000000000010100111000000000010000000000000 .logic_tile 8 3 -000000000000001001100000001000000000000000000100000000 -000000000000000001000000001101000000000010000000000000 -001000000000000111100000000000000000000000000100000000 -000000000000000000100000001011000000000010000000000000 -110010100000000000000000000000000000000000000000000000 -010001000000000000000000000000000000000000000000000000 -000000000000000000000110011000000000000000000100000000 -000000000000000000000010000111000000000010000000000000 -000000000000000000000110000000000000000000100100000000 -000000000000000000000010000000001000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -000000000000000000000000000001100000000000000100000000 -000000000000000000000000000000000000000001000000000000 -000000000000001000000000001000000000000000000100000000 -000000000000000001000000001101000000000010000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001111000000000000001000 +000100000000000000000000000000001111001100111000000000 +000100000000000000000000000000011100110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001111110011000010000000 +000000000110000101000010100101101000001100111000000000 +000000000000000000000000000000100000110011000010000000 +000000000000000101100000000000001001001100111000000000 +000000000000000000000000000000001010110011000010000000 +000001000000000101100000000000001000001100111000000000 +000010000000000000000011110000001100110011000010000000 +000000000000000000000110100111101000001100111000000000 +000000000000000000000000000000100000110011000000000000 +000000000000000000000110110011101000001100110000000000 +000000000001010000000010100000100000110011000001000000 .logic_tile 9 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -110000000000000000000000000000001100000100000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000010000000000111100000010000000000000000000100000000 -000001000000000000100010011011000000000010000100100000 -000000000000100000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 +000000000000000000000110100000001100101010100100000000 +000000000000001101000000000101010000010101010000000010 +101000000000000101100000010000000001000000100100100001 +000000000000000000000010100000001000000000000001000000 +110000000000000101100000010000001000001100110000000000 +110000000000000000000010100000011000001100110000000000 +000000000000000000000000000000000000010110100100000001 +000000000000100000000000001011000000101001010000000001 +000000000010000000000110001001100000000000000100000000 +000000000000000000000000001001000000111111110000100000 +000000000000000000000000000101000000000000000110000000 +000000000000000000000000000000000000000001000000000000 +000000000000000000000010000000000001000000100100000000 +000000000000000000000100000000001001000000000010000010 +110000001100000000000000000000000000000000000110000000 +000000000000000000000000001101000000000010000001100010 .ramb_tile 10 3 010000000000000000000000000000000000000000 001000010000000000000000000000000000000000 -000000001100000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -001010100000000000000000000000000000000000 +000000001010100000000000000000000000000000 +001000000001010000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 +001000001010000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -921,6 +921,7 @@ .logic_tile 11 3 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -928,48 +929,47 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 12 3 +000010100001010000000000000000000000000010000011000000 +000001000000100000000000000000000000000000000011100100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .io_tile 13 3 000000000001000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 000100000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 +000100000000000000 +000001110000001000 000000000000000000 000000000000000000 -000000000000011000 +000010000010100010 +000011110011110000 000000000000000000 +000000000000000001 +000000000000000001 000000000000000000 .io_tile 0 4 @@ -991,190 +991,208 @@ 000000000000000000 .logic_tile 1 4 -110000000000000111100010100000000001000000001000000000 -001000000000000000100000000000001000000000000000001000 -111000000000001000000000000000000000000000001000000000 -000000000000000001000000000000001000000000000000000000 -010000001100000011100000010000001000111100001000000000 -101000000000000000100010000000000000111100000000000000 -000000000000000001000111100011011010111101010000000000 -001000000000000000000100000000100000111101010000000000 -000000000000000000000000000000000001001111000000000000 -001000000000000111000010100000001011001111000000000000 -000000000000001011100000000101001100001001010000000000 -001000000000001111100000001101001001011100000000000100 -000000000000000000000000000000011101000000110100000000 -001000000000000000000000000000001100000000110000000100 -110000000000000000000000000101001100101000000000000000 -001000000000000000000000001001000000000000000000000000 +010000000000000000000000000101100000000000001000000000 +001000000000000000000000000000100000000000000000001000 +101000000000000000000111000101000000000000001000000000 +000000000000000000000100000000100000000000000000000000 +000000000000001000000110000000001000111100001000000000 +001000000000001011000000000000000000111100000000000000 +000000000000000101000010101000000000010110100000000000 +001000000000000000000010101001000000101001010000000000 +000000000000000101100000000000001110000100000100000000 +001000000000000000000000000000000000000000001010000110 +000000000000000000010110011000001110111101010000000100 +001000000000000000000011111011010000111110100000000000 +000000000000000000000111100000011101101101010010000000 +001000000000000111000000001111011011011110100000000011 +110000000000000000000000000011111010000000000000000000 +001000000000000000000000000101101100100001010000000000 .logic_tile 2 4 -010001000000001101000010100001011101111001110000000000 -001000000000000001100110101101011101101000000000000000 -101000000000000111000111011101001110100000010000000000 -000000000000000101000111101001101011111110100000000000 -110000000000000011100111011001111010101001000000000000 -011000000000101111000110010011101011111001100000000000 -000000000000001000000000000001101001000110100100000000 -001000000000001001000000000011011111001001100010000000 -000000000000000001000000010111011110111001110000000000 -001000000000001001000011001101101100101000000000000000 -000000000000000000000110101001001010000111000110000000 -001000000000000101000010010001001110001001000000000000 -000000000000101001000000011101011000000010100100000100 -001000000011000111000010001001001010000110100000000000 -000001000000001000000010010000011110110000000010000000 -001010100000000101000110110000011001110000000000000000 +010000000000000101000010100111111100010010100000000000 +001000000000001001100110100101101011000001000000000000 +101000000001001000000111010111101010000010000000000000 +000000000000100101000011011011111111000000000000000000 +110000000000000101000010100000001101001000010100000000 +011000001010000000100010010101001000000100100000000000 +000000000000000000000000001001101001101001010000000000 +001000000000001101000000001011111010010100100000100000 +000010100000000111000011100001001010010010100000000000 +001000000110000101000010000111011011000010000000000000 +000000000000000001000110000011111111000110100000000000 +001000000000000000000010000111101001000000100000000000 +000000000000001101000000010011101000101001010000000000 +001000000000000011000010000001111001101000010000100000 +110000000000101001100010001001001100010110100000000000 +001000000001001101100010100111100000000001010000000000 .ramt_tile 3 4 -000000000000000000000111010011111110000000 -000000000010000000000010011101000000000000 -101010100000001111100000000001111110000010 -000001000000001001100000001101000000000000 -110000001110000001100010011011011110000000 -110000000000000000100111110101000000000000 -000000000000000001000000001101011110000000 -000000000000000000100010010001000000000000 -000000000000000001100000011001011110000000 -000001000000000000100011101011100000000000 -000000000000000001100000010001011110000000 -000000000000001111100011001111100000000000 -000010100000000000000011111111111110000000 -000000000000000000000010101111100000000000 -110000000000001011100110111011011110000000 -010000000000001011100010011101100000000000 +000000000001001111100111111001011100000000 +000001000000001111100111110001010000000001 +101000000000001000000111111101001110000000 +000000000000000111000110011111010000000100 +110000100000000001100110010011111100000001 +110000001000000000100110011001010000000000 +000000000000000001100010011001101110000010 +000000000000000001100011110101110000000000 +000000000000000000000000001001111100000000 +000001000010000000000000001101110000000100 +000000000000000111000010000101101110000000 +000000000000000101000000001001010000000001 +000000000001000000000010000101011100000010 +000001001110000000000000000001110000000000 +110000000000000000000000001101001110000001 +010000000001000000000011101101110000000000 .logic_tile 4 4 -010000000000000111100000000000000000000000000100000000 -001000000000000000100000000101000000000010000000000000 -101000000000000001100111011101111110000000010000000000 -000000000000000000000011011011111111000010000000000000 -110000000000000001000111000001100000000000000100100000 -011000000000000000000100000000100000000001000000100000 -000000001110000101100110000111011011110000010000000000 -001000000000000000000100001011101000110110010001000000 -000010000001010000000111001001011111100000010000000000 -001001000000100001000111111001011101111110100000000000 -000000000000100001100010111111111000101100010000000000 -001000001011000000100010010011011000011100010000000000 -000000000000000000000111110000000000011001100100000000 -001000000000000000000010111101001100100110010000000000 -110000001110010101000110100000001100000100000100000001 -001000000000100000100110110000000000000000000000000010 +010000100000000000000000010011100000000000001000000000 +001000000000000000000011100000100000000000000000001000 +111000000000000000000000000111000000000000001000000000 +000000000000000111000000000000001011000000000000000000 +000000000000000000000000011000001000001100110010000001 +001000000010000000000011100011001001110011000000000000 +000000000000000000000000000000011000000100000100000001 +001000000000000000000000000000000000000000000010000000 +000000000000000000000000000000000000000000000000000000 +001000000010000000000000000000000000000000000000000000 +000000000000001001100111000111000000000000000100000000 +001000000000000011000000000000100000000001000000000000 +000000000000000011100111100000011100000100000100000000 +001000000010000000100000000000000000000000000000000010 +000000000000000000000000000000000000000000000000000000 +001000001100000000000000000000000000000000000000000000 .logic_tile 5 4 -010000000000000000000010100000001010000011110100100001 -001000000000000000000011100000000000000011110001000000 -111000000000000000000000000111100000000000000100000000 -000000000000000000000010100000000000000001000000000001 -010000001000001000000000000000000001000000100100000001 -011000000000000001000010100000001001000000000000000001 -000000000000000000000000000000011110000100000100000000 -001000000000000000000000000000010000000000000000000000 +010000000000000000000000000000001010000011110110000001 +001000000000000000000000000000000000000011110000000000 +111000000000000000000000000000000000000000000110000000 +000000000000000000000000001011000000000010000001000000 +110000001010001000000000001000000000000000000100000000 +111000000000000001000000000111000000000010000000100001 000000000000000000000000000000000001000000100100000000 -001000000000000000000000000000001101000000000000000000 -000000000000000000000000010000001110000100000110000000 -001000000000000000000010100000000000000000000000000000 -000000000000000101100000000000001000000100000100000001 -001000000000000000000000000000010000000000000000000011 -110000000100000101100110100000000000000000000110000100 -001000000000000000000000001101000000000010000000000000 +001000000000000101000000000000001010000000000010000000 +000000000000000000000110111000000000000000000110000000 +001000000000000000000010100011000000000010000000000001 +000000000000001000000000010000000000000000000110000001 +001000000000000101000010100111000000000010000000000000 +000000000000000000000010000000000000000000000110000000 +001000000000000101000100001011000000000010000000100000 +110000000000000101100000000011100000000000000110000000 +001000000000000000000000000000100000000001000000000000 .logic_tile 6 4 -010010100000000111100111000001111000000000110000000000 -001001000000000000100100001101101010110000000000000000 -101000000000000101000111000000001110010101010000000000 -000000000000010000000100001111000000101010100000000000 -000000000000001111100111001011100000000000000000000000 -001000000000000101000000001011100000111111110000000000 -000000000000100000000010000011011010111001000100000000 -001000000001010000000000001001011000110000001000000001 -000000000000101000000110000011100001011001100000000000 -001000000001010001000100000000001101011001100000000000 -000000000100001001100110011000011100010101010000000000 -001000000000011001100110010011000000101010100000000000 -000000000000000001100000010000001010110011000000000000 -001000000000000000100010010000001110110011000000000000 -110000000000000000000000000000000000011001100000000000 -001000000000000000000000001111001101100110010000000000 +010000000000001000000000000000000000000000001000000000 +001000000000000101000000000000001000000000000000001000 +000000000110001101100110110000000001000000001000000000 +000000000000000101000010100000001000000000000000000000 +000000000000000101100000010000001001001100111000000000 +001000000000000000000010100000001001110011000000000000 +000000000100000000000000000101001000001100111000000000 +001000000001000000000000000000000000110011000000000000 +000000000000000000000010000000001001001100111000000000 +001000000000000000000000000000001000110011000000000000 +000000001000000000000000000000001000001100111000000000 +001000100000000000000000000000001011110011000000000000 +000000000000000000000000000001101000001100111000000000 +001000000000000000000000000000100000110011000000000000 +000000000100100000000000000000001000001100110000000000 +001000000000000000000000000000001101110011000000100000 .logic_tile 7 4 -010000000000010000000000010000000001000000001000000000 -001000000000100000000011010000001010000000000000001000 -101000000000000000000000010011000001000000001000000000 -000000000000000000000010000000001110000000000000000000 -010000000000000000000111110011101000001100110100000000 -111000000000000000000111111011000000110011001000000000 -000000000000000001100000001000011000011111000000000100 -001000000000000111000000000111011011101111000000000000 -000000001110000000000010011111111100001100110100000000 -001000000000000111000010000101000000110011001000000000 -000000000001010011100000000101100000010110100100000000 -001000000000100000100000000000000000010110100000000000 -000000000100000000000000001101101100000100000000000000 -001000000000000000000000000101011101000000000000000000 -110000000000001101100000000000001001011110100000000001 -111000000000000001000000001101011010101101010010000000 +010000000001000111100010110001100000000000000100000000 +001000000010100000000010100000100000000001000000000010 +101000000000000000000010100011011111000000110000000000 +000000000000000000000000000001101010000000010000000000 +000000000000000000000110110000001000000100000100100000 +001000000000001101000010100000010000000000000000000000 +000000000000001000000010001011101110011111110000000000 +001000000000000111000000000011001111111111110000000000 +000000000000000001000111110011001010001000010000000000 +001000000000000000000111001111011011010010000000000000 +000000000000001001100000000111111010100010000000000000 +001000000000000001000011110101111101001000100000000000 +000001000000000001100010111000000000000000000101000000 +001010100000001101100110011011000000000010000000000000 +000000000000100001000110000000001000001100110000000000 +001001000001000000000000000000011110001100110000000000 .logic_tile 8 4 -010000000000001101000000011000000000000000000100000000 -001000000000001111000011110101000000000010000000000000 -001000000000000000000010100000000000000000000100000000 -000000000000000000000000000101000000000010000000000000 -010000000000000000000010100001100000000000000100000000 -011000000000000101000000000000100000000001000000000000 -000000000000000101000000001000000000000000000100000000 -001000000000000101000000000101000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -001001000000000000000000000001000000000010000000000000 -000000000000000000000000000000011110000011110000000000 -001000000000000000000000000000000000000011110000000000 -000000000000000000000000000000000000000000000100000000 -001000000000000000000000001101000000000010000000000000 -000000000000000101100000000000000000000000100100000000 -001000000001010000000000000000001000000000000000000000 +010000000000000000000111100000000001000000001000000000 +001000000000000000000010110000001000000000000000001000 +101000000000101001100010100111100000000000001000000000 +000000001100000001000110110000001011000000000000000000 +110000000000000000000000011111001000001100110100000000 +011000000000000000000011100101100000110011001000000000 +000000000000000111000000001111111001111101010000000000 +001000000000000000100000000001111001111000100010000000 +000000000000000000000000001000000000010110100100000000 +001000000000000000000011110001000000101001010000000000 +000000000000000011100000000000011001001100110100000000 +001000000000000001100000001101001000110011001000000000 +000000000000000101100000010000001001001011110000000110 +001000000000010000000010000111011110000111110000000000 +110000001000000001100110001001011000101000000000000000 +011000000000010000000000000011101111100100000000000000 .logic_tile 9 4 -010000000000000000000000000000000000000000000000000000 -001000000000000000000010100000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -010000000000000000000111100000000000000000000000000000 -011000000000000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000011100000100000100000000 -001010000000000000000000000000000000000000000000000100 -000000000000000111100000000101011000000010100010000001 -001000000000000000100000000000110000000010100001000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +010000000000000000000000010000001010000100000100000000 +001000000000000000000010100000000000000000000001000000 +101000000000001000000000001001011110000000100000000000 +000000000000001111000000000101111111000000000000000000 +000000000000000000000000000000001100000100000100000000 +001000000000000011000000000000010000000000000000000000 +000000000000001000000000010001100000000000000100000000 +001000001000000101000011110000000000000001000000000000 +000000000000000000000110000101101111011110100010000000 +001000000000000000000000000000111111011110100000000010 +000010100000000000000000000000000000000000000000000000 +001001000000000000000000000000000000000000000000000000 +000000000000000000000000010101100000010110100000000000 +001000000000000000000010000000100000010110100000100000 +000000000000000000000110000000000000000000100100000000 +001000000000000000000000000000001111000000000000000000 .ramt_tile 10 4 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 .logic_tile 11 4 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +101000000000000000000000000101101111111001000100100000 +000000000000000000000000000000011111111001000000000000 +010001000000000000000011100000000000000000000000000000 +111010000000000000000100000000000000000000000000000000 +000000000000010111000000000000000000000000000000000000 +001000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000111100000000000000000000000000000 +001000000000000000000100000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +001000000000000000000011110000000000000000000000000000 + +.logic_tile 12 4 +010000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000100000000000000000000000000000000000000000 @@ -1183,51 +1201,33 @@ 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 - -.logic_tile 12 4 -010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -001010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +001010100000000000000000000000000000000000000000000000 .io_tile 13 4 -000000000100000010 -100000000101001000 +100000000100011010 000000000100000000 +100000000100000000 000000000100000001 000000000100110010 -000000000100110000 +000000000100010000 001100000100000000 -000000000100000000 +000000000100100000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 +000001010000000000 +000000001000000000 000000000000000000 000000000000000000 -000001110000000000 -000000000000000000 .io_tile 0 5 -000000000100001010 +000000000100000010 000100000100000000 -000000000100000000 +000000000101100000 000000000100000001 000000000100000010 000000000100000000 @@ -1243,166 +1243,166 @@ 000000000000000000 .logic_tile 1 5 -010000000000000011100111111101011111010010100100000000 -001000000000000000000110001101001111000010100001000000 -101000000000000000000010111101111111000110100000000000 -000000000000000000000010111101001001001000000000000000 -010000000000001011100110000011100000000000000000000000 -011000000000001111100010110000100000000001000000000000 -000000000000000011100010000101011000111000110000000000 -001000000000000000000011001011011000011000100000000000 -000001000000000000000011101111101110000111000100000000 -001010100000000000000110011011001101000110000000000010 -000000000000001101100110011111011011010110100100000000 -001000000000001111000010000111111100000000100000000010 -000000000000000000000010100001001110100100010000000000 -001000000000000001000010000101111011111000110000000000 -000000000000001001000110100111111100110000010000000000 -001000000000000101100000000000001011110000010001000010 +110001000100000000000000000000011001001100000100000001 +001000100000100000000000000000011101001100000000000000 +111000000000000000000010110000011100000001010100000001 +000000000000000000000110001101010000000010100000000000 +010000001110000000000000011000000000010000100100000001 +001000000000100000000010001011001100100000010000000000 +000000000000001001100110000001111100000001010100000001 +001000000000000001000000000000110000000001010000000000 +000000000000001000000000001000000001010000100100000000 +001000000000000001000000001011001011100000010010000000 +000000000000000000000000010000011100000001010101000000 +001000000000000000000011000111010000000010100000000000 +000000000000000000000000001101100000000000000100000000 +001000000000001011000000001011000000101001010000100000 +110000000000000000000000000101111100000001010100000000 +001000000000000000000000000000010000000001010010000000 .logic_tile 2 5 -010000000000100000000000001011011111100001010000000000 -001000000000011101000011111001111101111010100000000000 -101010100000000011100111111111111001101100010000000000 -000001000000000000100111011101111111011100010000000000 -010000000000001101000110011001111101000000100000000000 -011000000000001111000110010011111110100000010000100000 -000000000000000011100010101101111010010110100100000000 -001000000000000101100110000111101010000000100000000000 -000000000000001000000110100011111000000001010000000000 -001000000000000101000010100011000000101001010000100000 -000000000000000101100110000101001101101101010000000000 -001000000000000001100110001101011111100100010000000000 -000000000000001000000010100101111111000010100100000000 -001000000000000001000000000001001010001001010000000100 -000001000000001111000110110111001011101000010000000000 -001010100000000001000010110111111111011101100000000000 +010000000000000000000010001011001100000110000000000000 +001000000000000000000010101001011111000010100000000000 +000000000000001000000010110001101000001100110000000000 +000000000000001011000011011001110000110011000010000100 +000010000100000101000000000011001111010010100000100000 +001000000000010000100000001011011001000010000000000000 +000000000000000000000110000011111001000110100000000000 +001000000000001101000000000011011110001000000000000000 +000101000000000001100110111011011101000110100000000000 +001010100000000000000010101111011001000000100000000000 +000000000001010101000110101001111011101001010000000000 +001000000000100101000000001001011010010100100000100000 +000000000000001000000010100111011001111000000000000000 +001000000000000001000010100101011000111100000000000010 +000000000000001101100010101001111110000111000000000000 +001000000000000101000000000011101100000001000000000000 .ramb_tile 3 5 -010000000000001111000011110011001100000000 -001000001000001111100111110101100000001000 -111000000000000111100000000111101100010000 -000000000000001001000011100001100000000000 -010000000000001111100000001111101100000000 -011000000000000111100000000111100000001000 -000000000000001111100000001001101100000000 -001010000000001111100000000001100000000000 -000000000000001011100010000001001100000000 -001000000000000011000000000001100000000000 -000000000000000000000000000111001100000000 -001000000000000001000000000101000000000000 -000000000000100001000000001111001100000000 -001000000000011001000000000101000000000000 -110000000000000011100011101001101100000000 -011000000000000000100110010101000000000000 +010000100000000000000000001101011010000000 +001001000000000111000000001101010000000000 +111000000000000111000000011101001110000000 +000000000000001001000010110001010000000000 +110010000000001111100000000011011010000000 +111000000000001111100000001011010000000000 +000000000000000000000010001001101110000000 +001000000000000000000010001111110000000000 +000000000000001111000010011011111010000000 +001000000000000111100011000011110000000000 +000000000000001111000010110011101110000000 +001000000000000011000111101011110000000000 +000000000000000000000111000111011010000000 +001000001000000000000010110001110000000000 +010000000000000000000000001011001110000000 +011000000000000000000011100011010000000000 .logic_tile 4 5 -010000000000000000000010000000011000000100000100000000 -001000001100000000000100000000000000000000000010000000 -111000000000000001100000000000000000000000100100000000 -000000000000000000000010100000001010000000000000000000 -000000000000000000000010000000000000000000000100000001 -001010000000000101000000000111000000000010000010000000 -000000000000100000000000011000000000000000000100000001 -001000000001000101000010001101000000000010000010000000 -000000000000000000000000001101111110101101010000000000 -001000000000000000000000001011011011100100010001000000 -000001000000000001000000010000011000000100000100000000 -001000000000000000000010010000010000000000000000000000 -000000000001000001100000000000011100000100000100000000 -001000000010100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000110000000 -001000000000000000000010111001000000000010000000000000 +010000000001001000000010100111100000000000001000000000 +001000000000001001000000000000100000000000000000001000 +101000000000000001100000000101000000000000001000000000 +000000001100000111100000000000100000000000000000000000 +110000000000000111000110000000001000111100001000000000 +111000000000000000000000000000000000111100000000000000 +000000000001010000000000000101000000010110100000000000 +001000000000101101000000000000000000010110100000000000 +000000100000000000000000000000011010000100000110000000 +001000000110000000000000000000000000000000000011100000 +000000000110000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000001010000000111100000000001001111000000000000 +001000000000000000000100000000001000001111000000000000 +110000000000000000000000011001000000001111000000000000 +001000000000000000000010000001001001000000000000000000 .logic_tile 5 5 -010000000000000000000011100000000000000000100110000000 -001000000000000111000011110000001011000000000001000010 -111000000000000000000000010001100001111001110000000000 -000000000000000000000011100000101111111001110010000001 -010000000000000000000010000001001010010101010000000000 -011000000000000101000000000000100000010101010000000000 -000000000000001000000010011001001111001100000000000000 -001000000000001011000011010101001011110000000000000000 -000000000000000000000000000000000000000000100100000001 -001000000010000000000000000000001000000000000001100000 -000000001100000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000001100000000000000001000000100100000001 -001000000000000101100000000000001011000000000001000010 -110000001101000000000000000000000000000000100000000000 -001000000000000000000010010000001110000000000000000000 +110001000000000000000000000000000001000000001000000000 +001000100000000000000010110000001010000000000000001000 +111000000000001000000010100001111010001100111000000000 +000000000001001011000010100000100000110011000000000000 +010000001110000101000000000000001000001100111000000000 +101000000000000000000010100000001010110011000000000000 +000000000001000101000011100000001001001100111000000000 +001000000000000101000100000000001010110011000000000000 +000000000110000000000000000101001000001100111000000000 +001000000000000000000000000000100000110011000000000000 +000001000000000000000000000000001001001100111000000000 +001000100000000000000000000000001001110011000000000000 +000000000000000000000000001000001000001100110000000000 +001000000000000000000000000001000000110011000000000000 +110000000000000000000000000001011010010100000100000000 +001000000000000000000000000000110000010100000010000000 .logic_tile 6 5 -010000000000000111000110101001001101011111110000000000 -001000000000000000000010101001101111111111110000000000 -111001000000000000000010110001001101100010000000000000 -000000000000000000000010001111101010001000100000000000 -110000000000000101000111000000000000000000000100000000 -111000000000000000000110001101000000000010000001100000 -000000000100000101000111000000011010010101010100100000 -001000000000000101000110100001010000101010100000000000 -000100000000000001100000000101100000000000000100000001 -001000000000001111000000000000000000000001000000000000 -000100001000100001000000000000001000000100000100000000 -001000000000001111000011110000010000000000000000100000 -000000000000000000000111011011111010100010000000000000 -001000000000000000000111100011011010001000100000000000 -110000000000000000000110001101111100100010000000000000 -001000000000000000000000001001011011000100010000000000 +010000000000001111100010100000011100001100110000000000 +001000000000000011100100000011000000110011000000000000 +111000000000001111100010110000001101001000010000000000 +000000000000000001000010100001001100000100100000000000 +000000000000000000000110011001111111100100000000000000 +001000000000000000000110001001011000000000000000000000 +000000000000001101100110001111111111000100000000000000 +001000000110000101000011101001101000010000000000000000 +000010100000001001100000001101100000000000000000000000 +001001100000001001000000000101100000111111110000000000 +000000000000000001100000000011101010101011110100000001 +001000000000000000100010111101110000000011110000000000 +000000001110000000000000001001001101000000000000000000 +001000000000000000000000001111101011000000100000000000 +110000000000000001100010111001011010000011110000000000 +001000000000000000000110000101000000111100000000000000 .logic_tile 7 5 -010000000000000000000010100001101110010000000000000000 -001000000000000000000110100101011001010110000000000000 -101000000000001101000000000011100000000000000100000000 -000000000000000001000000000000100000000001000000000000 -010000000000000101000010100111100000000000000100000000 -111000000000001101100010110000000000000001000000000000 -000001000000000101000000000000000000000000100100000000 -001010000000000101100000000000001101000000000000000000 -000001000000001000000111000101011001110001010000000000 -001010100000000001000000000111101111110001100000000000 -000000000000000001100000011001011010100000010000000000 -001000000000000000000011000001101010010100000000000000 -000000000000010001100110010011111011101000110000000000 -001000000000100000000010000011001000111100110000000010 -110000000000001111000110001111001000110101010000000000 -111000000000001011000000000001111001111000000000000000 +010000000000001000000000000000011000101010100000100000 +001000000000000101000000000001010000010101010000000000 +101000000000000000000111100000000000000000000100000000 +000000000000000000000100000101000000000010000010000000 +010001000000000000000011100000000000000000000100000000 +111000000000000001000110001111000000000010000000000001 +000000000000000000000000000000000000000000100100000000 +001000000000000001000000000000001011000000000000000000 +000100000000000001000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000000011000000000010000000000000 +000000000000000000000010000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000001000000000000000001000000000000000000100000000 +001000000000000000000000000101000000000010000000000000 .logic_tile 8 5 -010000000000000000000010100000000000000000000100000001 -001000000000000101000010101001000000000010000000000000 -101000000000000000000111000000011010000100000100000000 -000000000000000101000110100000010000000000000011000100 -010000000000000101000111100000000000000000100100000001 -111000000000000000000100000000001010000000000000000000 -000000000000000000000010100000000001000000100100000000 -001000000000000000000000000000001001000000000000100010 -000000000000000000000000000000000000000000000100000000 -001000000000000000000000000001000000000010000000000011 -000000000001010000000000000000000000000000100100000010 -001000000000100000000000000000001001000000000000000010 -000000000000000000000000000000000001000000100110000010 -001000000000000000000000000000001000000000000000000000 -000000000010000000000000000001100000000000000100000100 -001000000000000000000000000000100000000001000000000010 +010010000000010101100110101000000000000000000100000000 +001000000000000000000000000101000000000010000000000000 +101000001000000001100000000000000000000000000100000000 +000000000000001111000000001001000000000010000000000000 +110000000010000001100110000001000000000000000100000000 +111000000110000000000010100000000000000001000000000000 +000000001010000101100110100101100000000000000100000000 +001000000000000101000000000000100000000001000000000000 +000000000000011000000000010101101010111100010000000000 +001000000000100001000011011101011010010100010000000000 +000000000000001000000000000000000000000000000100000000 +001000000000000001000000001111000000000010000000000000 +000000100000000000000011000001111011110001010000000000 +001001000001010000000010001011001100110001100000000000 +010000000000100001000000001111101100000100000000000000 +011010100000010000100000000101001011101000010000000000 .logic_tile 9 5 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -101000100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +101000000000000000000000001111000000101111010100000000 +000000000001000000000000000011001111110110111011000001 +110000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000111000111110000000000000000000000000000 +001000000000000000000111010000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -001000000000001101000000000000000000000000000000000000 -000000000000010000000000000000000000000000000100000111 -001000001010000000000000000111000000000010000010000000 .ramb_tile 10 5 010000000000000000000000000000000000000000 @@ -1412,27 +1412,27 @@ 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000001000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 -001000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000001000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 .logic_tile 11 5 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +001000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -1443,30 +1443,30 @@ .logic_tile 12 5 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000001000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +001000000000100000000000000000000000000000000000000000 .io_tile 13 5 000000000100000000 000000000100000000 000000000100000000 +000000000100011000 000000000100000000 000000000100000000 000000000100000000 000000000100001000 -000000000100000000 000000000000000000 000000000000000000 000000000000000000 @@ -1495,170 +1495,172 @@ 000000000000000000 .logic_tile 1 6 -100000000000000101000000000000000000000000000000000000 +100001000000000101000011101001000000000000000100000000 +000000100000000000000000000011100000010110100001000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000011100110000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -010000000000000000000000000001001011101000010000000000 -000000000000000111000000001111001110100000000000000000 -000000000000000000000000000000000001010000100110000000 -000000000000000000000000000111001001100000010000000000 -000000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000001000000000001000011101101000110000000000 -000000000000000101000010010111011000010100110000000000 -000000001110001000000110100000000000000000000000000000 -000000000000001111000100000000000000000000000000000000 -110000000000001001100000000000011100001100000100000000 -000000000000001101000000000000001110001100000000000010 +010000000000100000000010100011101000000001010100000000 +000000000001000000000000000000010000000001010000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000010001000000010000100101000000 +000000000000000000000010100000101011010000100000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000001001000000001010100000000 +000000000000000000000000000000010000000001010000100010 +110000000000000000000000000000001100010100000101000000 +000000000000000000000000001001010000101000000000000000 .logic_tile 2 6 -100000000000000001100010011011111000111000000000000000 -000000000000000000000110001001011011111100000000000000 -111000000000001001000010010011111010000001010100000000 -000000000000000111100110000000100000000001010000000000 -010001000000101000000011100111101101010010100000000000 -000010100000000111000000000111101000000001000000000000 -000000000000100111000000001101011110101001010000000000 -000000000001001001100000001001101010010100100000000000 -000000000000000011100000001001000000000000000100000000 -000000001000010000000000000101000000101001010000000000 -000000000000000111000000000001101111000010000000000000 -000000001010000000000011101111001010000011010000000000 -000000000000000001000000001111101000000010000000000000 -000000000000000000000010100001011110000111000000000000 -110000000000000001100000001001001011101001010000000000 -000000000000000101000010101001111000010100100000000000 +100100000000001001000111010001011100000001010000000000 +000001000000001001100010100101101000011111100000000000 +111000000000000000000000000001101010011100000000000000 +000000000000000000000010011001101101000100000000000000 +010100001110000101000111001000001010000001010100000000 +000010000000011001000011101111010000000010100000000000 +000000000000000000000000000011001010110010100000000000 +000000000000001001000000000101011110110010010000100000 +000001000000101000000010100001001001001000000000000000 +000000100001000101000010100101011110000110100000000000 +000000000000000001000000000101011101001001000000000000 +000000000000000001000000001001001110000001010000000010 +000000001110001000000000000001011010000000100000000000 +000000000000000001000000001011101010100000110000100000 +110000000000000101000110000000001000010100000100000000 +000000000000000101000000001101010000101000000000000000 .ramt_tile 3 6 -000000000000000000000000000011111010000100 -000000000000000000000011101101000000000000 -101000000000001111000010010001011010000000 -000000000000000011000111000101110000010000 -110000000000001000000010011011111010000000 -110000000000000011000011000101100000000000 -000010100000001001000111100011011010000000 -000001000000001011000110001101110000000000 -000000000000000000000000001111011010000000 -000000000000001111000010111001100000000100 -000000000000000101010010101011111010000000 -000000000000001101000100001001010000000001 -000000000000000101000111110001111010000000 -000000000000100000000011100011000000000000 -010010000000000000000000001111011010000000 -010001000000000000000000001101010000000100 +000000000000000000000111100101011010000000 +000000000000001001000100000011110000000000 +101000000000001111100111111111111000000000 +000000000000001101100111010111110000001000 +110000000001010111100111100001111010000000 +110000000000000001100011001011110000000000 +000000000000000000000000010101011000000000 +000000000000000000000011010101010000000000 +000000000001000000000000000001111010000000 +000001000110100101000010000001010000000000 +000000000000000101010000001001111000000000 +000000000000000000000000000011010000000000 +000000000000100101000010000001011010000100 +000000000000010000000000000111110000000000 +110000000000000111000011101101011000000000 +110000000000000101100110101111110000000000 .logic_tile 4 6 -000000000000110000000000001011101111101001010000000000 -000000000111010000000011110101011000010100100000000100 -101000000000000001100000000000000001001111000000000000 -000000000000001101000000000000001000001111000000100100 -010000000000000111100000011000011110000101000110000000 -010000001000000000000010001111001101001010000001000001 -000000000000000001000000000000001100000011110000000000 -000000000000000000100000000000010000000011110000100000 -000000000010000111100011111011001100111100000000000000 -000000000000000000000110000111100000111101010000100000 -000000000000000011100000010111100001101111010100000000 -000000000000000000100010001111101110110110111000000000 -000000000000000000000000001111111110000001010100000000 -000010000000000000000010101111100000101000000000000100 -110000000000000111100010000011101011000010000000000000 -000000000000100001100010101101101000000000000000000001 +000010000000000000000010100001000000000000001000000000 +000000000000000111000100000000100000000000000000001000 +101000000000001000000111110000000001000000001000000000 +000000000000000001000110000000001011000000000000000000 +110000000000000000000010100000000000000000001000000000 +010000000010000001000000000000001011000000000000000000 +000000000000001111100000000001001000111100001000000000 +000000000000001101100010100000000000111100000000000010 +000000000000000000000000000111001001100100000010000000 +000000001000000000000010100000001110100100000000000000 +000000000000000101000000001000011000111101010000000000 +000000001100000000000000001001010000111110100000000001 +000000000000000101100000000101101011000001010000000000 +000001000110000001000000000111001000000001000010000000 +010000000000000111100000000000000001000000100100000000 +110000000000000000000000000000001100000000000000000001 .logic_tile 5 6 -000000000000100000000000010000000000000000001000000000 -000001000001010000000010010000001001000000000000001000 -000000000000000001100000000000001001001100111000000000 -000010001100000000000010100000011011110011000000000000 -000000001110000000000011100000001001001100111000000000 -000000000000000101000000000000001010110011000000000000 -000000000000000001000000000000001001001100111000000000 -000000000000000101000011100000001010110011000000000000 -000000000000100000000000000101001000001100111000000000 -000000000000000000000000000000000000110011000000000000 -000000000000000001000000010000001001001100111000000000 -000000000000000000000010000000001100110011000000000000 -000000000100001000000000000000001001001100110000000000 -000000000000001001000000000000001001110011000000000000 -000000000000000000000000001101011100001010000000000000 -000000000001000000000000000001111110100000100000000000 +100000000000000101000010100001101111011000000000000000 +000000100000001111000010100001111110110100100000000000 +111000000000000001100111011101011000000010000000000000 +000000000000000101000011110101011011000000000000000000 +110000000000000111000010010111011110101010100000100100 +110000000000000000000011110000010000101010100010000001 +000000000000001001100010001111001010010110100000000000 +000000000000001111100000001011011000100001000000000000 +000000000000000001000110010001000001100110010000000000 +000000000000000000000010100000101000100110010000000000 +000000000000001000000110000001001100111010110000000000 +000000000000000001000100001001111100101111100000000000 +000000000000001001000111011001101101010000000000000000 +000000000000001111100110010011011011000100000000000000 +110000000000000001000000010101100001010000100110000000 +000000000000000000000011100111001111000000000000000010 .logic_tile 6 6 -000000000000000101100110100111011000110110100100000001 -000000000000000101000010100001101111101001010000000001 -111000000000001111100110110101000000011001100000000000 -000000000000000101100011000000001011011001100000000000 -000000000000001111100011110011101001001010000000000000 -000000000000001011100010101101111000100000100000000000 -000000000000001101100111111011001001000000010000000000 -000000000000000101000010000111111011010000000000000000 -000000000000000001100000010001001101001010000000000000 -000000000000000000000010011011001011100000100000000000 -000000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000110011001111011001000010000000000 -000000000000000000000010000101101001100001000000000000 -110001001000001000000000000000000000011001100000000000 -000000100000000001000000000101001011100110010000000000 +000001000000001000000111010000000000000000000000000000 +000010100000000101000011010000000000000000000000000000 +101000000000000000000000000000000001000000100110000000 +000000000000000000000000000000001101000000000000000000 +010000000000000000000000000011011010101010100000000000 +010000000000000000000000000000010000101010100000000000 +000000000000000000000000010000001110000010010000000000 +000000000000000000000011100011001001000001100000000000 +000000000000000001100010000000000000000000000000000000 +000000000000000000100100000000000000000000000000000000 +000000000000000000000110100001000001111001110000000000 +000000000000000000000100001011001110010110100000000010 +000000000000000111000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 .logic_tile 7 6 -000000000000000000000000000000000001000000100100000000 -000000000000000000000000000000001111000000000000000000 -101000000000000000000000000000011110000100000100000000 -000000000000000000000011110000000000000000000000000000 -110000000000000101000000000000000000000000000100000000 -010000000000000000100000000101000000000010000000000000 -000000000000000111100000001000000000000000000100000000 -000000000000001101100000000101000000000010000000000000 -000000000000000000000000010000000000000000000000000000 -000010000000000000000010110000000000000000000000000000 -000000000000000000000000000000011000000100000100000000 -000000000000000000000000000000010000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000100111000111000000000000000000000000000000 -110000000000010000000100000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000100000000111000000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000100001100000000000001101000101000100000000 +000000000000010000000000000111001100001010000001100010 +000000000000000011100000000000001111010000010100000000 +000000000000000001100000000011001010100000100001000000 +110000001100000000000000000011001110111110010100000000 +000000000000000000000000000000001110111110011001000000 .logic_tile 8 6 -000001000000000000000000000000001101111100010100000000 -000000100000000000000011101001011111111100100000100000 -111000000000001011100000000000000000000000000000000000 -100000000000001011100000000000000000000000000000000000 -010000000000000000000011100000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000001000000000010000001111100000101001010100000000 -000000000000000000000000000001100000000000000000000000 -000000001100000000000111000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -010000000000010000000111000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 +000000000000000000000000010111100000000000001000000000 +000000000000000000000010000000100000000000000000001000 +101000000000001001100000000000000001000000001000000000 +000000000000000011000000000000001010000000000000000000 +110000000000000111100110001000001000001000011100000000 +010000001010000000000000000101001001000100100000000000 +000000000000001001100000001101001000000001010100000000 +000000000000000001000000000001100000010100000000000000 +000000100000000000000000000001111011001000010100000000 +000001000000000000000010100000001101001000010000000000 +000000000000000000000000011101001001011111110000000100 +000000000000000000000010000101111111111111110000000000 +000001000000000000000000001000000000010110100100000000 +000000000000000000000000001011000000101001010000000000 +010000000000000000000000010000001011111100110000000000 +010000000000000000000010000000001000111100110000000000 .logic_tile 9 6 +000000000000000000000110000001000000000000001000000000 +000010100000000000000000000000100000000000000000001000 +001000000000001000000000000101100000000000001000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000001000001100110100000000 +000000000000000000000000001011000000110011000100000000 +000001000000000001100000000000000000001111000100000000 +000000000000000000000000000000001001001111000100000000 000000000000000000000000010000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -101001001000010000000000000000000000000000000000000000 +000000001100000000000010000000000000000000000000000000 +000000000000000000000000000000011010001100110100000000 +000000000000000000000000001001000000110011000100000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000010000000000000000000000000000000 010000000000000000000000000000000000000000000000000000 -000000000000000111100000010101101011101000110100000000 -000000000110000000000011110000011011101000110000000010 -000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 .ramt_tile 10 6 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000110000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -1668,61 +1670,59 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 12 6 100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 +111000000000000111100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000001000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001010000011110010100000 +000000000000000000000000000000000000000011110000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000001010000100100000000 +000000000000000000000000000111001000100000010010000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +110000000000000000000000000000000000010000100100000000 +000000000000000000000000000111001101100000010010000000 + +.logic_tile 12 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000000010000000000000000000000000000 -100000000000000000000011100000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000000011000000000000000100000000 -000000000000000000000000001101000000101001010000000000 .io_tile 13 6 -100000000000000010 +010000000000000010 000100000000000000 000000000000000000 -000000000000000001 +010000000000000001 000000000000100010 000000000000110000 -001000011000000000 -000000001000000000 +001001110000000000 000000000000000000 -101000000000000000 -000001111000010010 -000000001000110000 +000000000000000000 +000000000000000000 +000001110000010010 +010000001000110000 000000000000000000 000000000000000001 000000000000000010 @@ -1747,426 +1747,176 @@ 000000000000000000 .logic_tile 1 7 -100000000000001000000010100111001100010100000100000001 -000001000000000001000000000000000000010100000000000000 -111000000000000000000000010001100000001001000100000001 -000000000000000000000010000000101110001001000000000000 -010000000000000000000000001111000000000000000100000000 -000000000000100000000000001101000000010110100010000000 -000100000000001000000010001000000000001001000100000000 -000100000000000001000100000101001110000110000010000000 -000000000000000001100110010000001110000000110100000000 -000000000000000000000010000000001101000000110010000000 -000000000000000000000000000001000000001001000100000000 -000000000000000000000000000000001110001001000000000000 -000000000010000000000000000011001110000001010100000000 -000000000000001001000000000000100000000001010000100000 -110000000000000000000000000000000000010000100100000000 -000000000000000000000000000111001001100000010000000010 - -.logic_tile 2 7 -100000000000100000000010110000000000000000000000000000 -000000000001000000000111110000000000000000000000000000 -111000000000001111100000011111111011000010000000000000 -000000000000000111000010000111011100000011100000000000 -010000000000000111000000000001101100010100000100000000 -100000000000000000100000000000010000010100000000000000 -000000000000000000000011001001100000000000000100000000 -000000000000000000000010110001000000101001010000000010 -000100100000000101010110010000011000000000110100000000 -000000000000000000000010000000001101000000110010000000 -000000000000000101000000000101011001101001010000000000 -000000000000000000000000001001011011010100100000100000 -000000000000000101100000000011011111000110000000000000 -000000000000000000000000000111001011001010000000000000 -110000000000000101100000000000001001001100000100000000 -000000000000001001000000000000011000001100000000100000 - -.ramb_tile 3 7 -000000000000000000000000001011101010000000 -000001000010000000000000000111000000000000 -111000000000001000000111011011011000000000 -000000100000001101000111011001000000000000 -010000000001001000000011100111101010000000 -110000001000101111000100001011000000000000 -000000000000000011100111101111011000000000 -000000000000000111000000000101000000000000 -000010100000001000000111011111001010000000 -000001000000000011000111011001000000000000 -000010100000011011100000000011111000000000 -000001000000101001000010011011000000000000 -000000000000001001100000011111101010000000 -000000000000000111100011101011100000000000 -010000000000000111000000000101111000000000 -110000000000001111000011111111100000000000 - -.logic_tile 4 7 -100100000000001000000110100011111010010100000100000000 -000000000000000001000010100000100000010100000000100000 -111000000000000000000010001000001100010100000100100000 -000000000000000000000100001011000000101000000000000000 -010000000000000000000000000000011101000000110100100000 -100000000000000000000010100000011100000000110001000000 -000000000000001000000110100000000000000000000000000000 -000000000000001101000000000000000000000000000000000000 -000000000000001000000000001101011111000011100000000000 -000000000000000111000000001111111011000001000000000000 -000000000000000000000111000001000001001001000100000000 -000000000000001001000100000000001101001001000001000000 -000000000000000000000010100111101000000110100000000000 -000000000000000000000100001111111001000000100000000000 -110000000000000000000010111000000001010000100100000000 -000000000000000000000110101011001001100000010000000001 - -.logic_tile 5 7 -000000000101010000000000000111000000000000001000000000 -000000000000000000000000000000100000000000000000001000 -101001000000000001100000000011100001000000001000000000 -000010100000001111000000000000101010000000000000000000 -110000000000000000000000000011101001001100110000000000 -010000000000000000000000000000001000110011000001100000 -000000000000000000000010101000000000000000000100000000 -000000000001001111000110111101000000000010000000100100 -000000001110000000000000001000000000000000000100000100 -000000000000000000000010011101000000000010000000100000 -000000000000000001100000010000000001001111000100000100 -000000000000000000100010010000001000001111000000000001 -000000000000000000000000011111011010001100110010100000 -000000000010010000000010011011100000110011000000100100 +100000001100000000000110010000011011000000110101000000 +000000000000000101000010000000011100000000110000000000 +111000000000000000000000001011100000000000000100000001 +000000000000000000000000001101100000101001010000000000 +010000000000000000000000000101101110010100000100100000 +000000000000100000000000000000100000010100000000000000 +000000000000000000000000000001000001001001000110000000 +000000000000000000000011110000101011001001000000000000 +000011000000000000000000000000011010000001010100000000 +000000000000000000000000000001010000000010100010000000 +000000000000001000000000010000000000000000000000000000 +000000000000000001000010000000000000000000000000000000 +000000001110001000000000000101101000010100000100000000 +000000000000000001000000000000110000010100000000100000 110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +.logic_tile 2 7 +100000000000001101100000000001111010010100000100000000 +000000000000000001000000000000110000010100000000000000 +111000000000010000000011101001000000000000000100000000 +000000000000100000000100001001000000101001010000000000 +010000000000001000000000010000011001000000110100000000 +000000000010000011000011010000011100000000110000000000 +000000000000000000000111011000000000010000100100000000 +000000000000000000000111011001001000100000010010000000 +000010100100000000000000010001100000010000100100000000 +000000000100010000000010000000101110010000100000000000 +000000000001011000000000001000000000010000100100000000 +000000000000100001010010101001001010100000010010000000 +000000000000000001100000001101011111000001010000000000 +000000000000000000000000001101111101001001000000100000 +110000000000000000000000011000001100010100000100000000 +000000000000000000000010101001010000101000000000000000 + +.ramb_tile 3 7 +000000000000110000000010000011011000000000 +000000000110001001000011110001010000001000 +111000000000000101100111100011011010000000 +000000000000000011000000000111010000000000 +010000000000001111100111000001111000000000 +110000000100000101000000001001010000000000 +000000000000001111100111101001111010000000 +000000000000001011100011101101010000000000 +000000000100001111000000010111011000000000 +000000000000000111100011100101110000000000 +000000000001000000000000010011011010000000 +000000000000100111000011111001110000000000 +000000000000000000000000001101011000000000 +000010000000000111000010000011010000000000 +010000000000000000000000001011111010000000 +110000000000000000000000000101110000000000 + +.logic_tile 4 7 +000000000000000000000000000000000000010110100100000010 +000000000000000000000000000011000000101001010000000011 +101000000000000000000000000000000001000000100100000000 +000000000000000000000000000000001110000000000000000110 +110000000000000000000010000000000000000000000000000000 +010000001010000000000100000000000000000000000000000000 +000000000000000000000000000000000000001111000000000000 +000000000000000000000000000000001111001111000000000000 +000000000000001000000010000000000000000000000100000001 +000000000000000001000110101101000000000010000000000000 +000000000000001000000000010000000000000000100100000000 +000000000000000011000011000000001101000000000000000110 +000000000000000000000000000111100000000000000100000100 +000000000000000000000011100000100000000001000000000001 +110000000000001000000000010011111100010101010100000001 +000000000000001011000011010000100000010101010000000000 + +.logic_tile 5 7 +000000000010100000000000000011111001101110110000000000 +000000000001011111000011110000111111101110110000000100 +101000000000001001100110000000000000000000000000000000 +000000000100000001000000000000000000000000000000000000 +010000000000000000000000001000000000000000000000000000 +010000001000101111000000000001000000000010000000000000 +000010000000000011100000000000000000000000000000000000 +000000000001000000100000000000000000000000000000000000 +000000000000001000000000001011111000000100100000000001 +000000000000000001000000001111111000010010010000100000 +000000000000000000000110001000011111111011110000000000 +000000000000000000000100001001011101110111110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000011101101101100100110000100000000 +000000000000000000000100000001001010101001000000000001 + .logic_tile 6 7 -100000000000000000000000010000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -111000000000001000000000001000001000111101010000000000 -000000000000000111000000000011010000111110100000000100 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000011001100000000000000100000001 -000000000001000000000011111101000000101001010000000000 +000000000000000111100000000000000000000000000100000000 +000000000000000000100000001101000000000010000000000001 +101000000000001000000000000000000000000000000000000000 +000000000001001111000000000000000000000000000000000000 +110000000000000000000010000101100000000000000100000000 +010000000000000000000000000000000000000001000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000000000110000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000001010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +010000000000000000000000000000000000000000100100000000 +010000001001010000000000000000001000000000001000000000 .logic_tile 7 7 +000000000000000000000110100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000001101000011100000000000000000000000000000 -101010100000000000000000000001000000000000000100000000 -000000100000000000000010010000000000000001000000000000 -010010100001000000000110000000000000000000000000000000 -010000000000001001000110000000000000000000000000000000 -000001000001000001000000000011111000000100000010000101 -000010000000100000000000001101111010000000000000000001 -000010000000000000000000001000000000000000000100000000 -000000000000000000000000000101000000000010000000000000 000000000000000000000000000000000000000000000000000000 -000000000001010000000010010000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001000000000000000110000111 +000000000000000000000000000000100000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 8 7 -000000000000010000000000010000000000000000000000000000 -000001000010000000000011010000000000000000000000000000 -101000000000000000000000000001011000010100000100000000 -000000000000001101000011100000110000010100000010000110 -110000000000000000000000000111100000000000000000000000 -010000000000000000000000000000000000000001000000000000 +000000000000000000000000000000011000000100000100100000 +000000000000010000000000000000000000000000000000000000 +101000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +010001000001000000000011100101000000000000000100000001 +110010100000000000000111110000100000000001000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000011000000000010000100100000100 -000000000110010000000010101001001001100000010000000000 -000000000001010000000000000001101000101000000100000100 -000000000000100000000000000000110000101000000000000001 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000101000000000000000000000000000000000000 +000000000000000011100000001000000000000000000100000000 +000000000000000000100000000011000000000010000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000111100000000000000000000000000000000000 +110000000000000000100000000000000000000000000000000000 .logic_tile 9 7 +000000000001010000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +101000000000000101000000000000000000000000000100000001 +000000000000000000000000000011000000000010000000100001 +010000000000000000000010101000000000000000000000000000 +010000000000000000000010101111000000000010000000000000 +000000001110000000000000000101000001100000010000000000 +000000000001000000000000001101101000000000000010000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000001111010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000011110000100000000000000 -110000000000000000000000000000010000000000000000000000 -000000001010000000000000000000000000000000000000000000 -000000000001000000000010110000000000000000000000000000 -000000000000000000000000000000000000000010000010100101 -000000000000000000000000000000000000000000000011100011 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000111100101100000000000000100000000 -000000000001000000000100000000100000000001000000100000 -110000000001010111100000000000000000000000000000000000 -110000000000000000000010110000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000001000000000000000110001101000000100000010010000000 +000000100000000000000100000001101011000110000000000000 .ramb_tile 10 7 000000000000000000000000000000000000000000 -000000010010000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000001000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 - -.logic_tile 11 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 12 7 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111001000000000011100000000000000000000000000000000000 -000000100000000000100000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000010011100001010000100100000000 -000000000000000000000011110000101000010000100000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.io_tile 13 7 -000000000000000010 -001111010000000000 -000000000000000000 -100000000000000001 -000000011000000010 -000000001000010000 -001000000000000000 -000000000000000000 -000000000000000010 -000000000000000000 -010000000000010010 -000000000000010000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000000000 - -.io_tile 0 8 -000000000000000000 -000000000000001000 -000000000000000000 -000000000000000000 -000000000000000100 -000000000000001000 -000100000000000000 -000000000000000000 -000000000000000000 -000111010000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 - -.logic_tile 1 8 -100000000000000000000000000001100001010000100100000000 -000000000000000000000000000000001011010000100010000000 -111000000000000001000000001000000001001001000100000001 -000000000000000000100000001111001000000110000000000001 -010000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101000010100101100001001001000100000001 -000000000000000000000000000000001000001001000000000000 -000000001110001000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001100000010000100100000000 -000000000000000000000000000000001011010000100000100000 -110000000000000000000000010000001101001100000100000000 -000000000000000000000010000000001000001100000010000000 - -.logic_tile 2 8 -000000000000001000000111000001101010110000010000100000 -000000001000011001000110000101101111110000110000000000 -101000000000000000000111100000000001000000100100000001 -000000000000000000000000000000001101000000000000000000 -000000000000000101000010001111111010010100000000000000 -000000000000001101000100000011101011011000000000000010 -000000000000001000000000000001011101000011100010000000 -000000000000000111000000000000011001000011100000000010 -000001000000100101000000000000000001000000100000000000 -000000100001010000000000000000001111000000000000000000 -000000000000000000000110000000011110000100000000000000 -000000000000001001000011010000000000000000000000000000 -000000001111000000000110000011011010010100000000000000 -000000000000100000000000001001001011011000000000000000 -000000000000000001100111000000000000000000100100000000 -000000000000000000100010100000001001000000000000000000 - -.ramt_tile 3 8 -000000000001000111000111101011111100000000 -000000001000101001000111101111100000000000 -101000000000001101100111111001001110000000 -000000000000000101000111010101010000000000 -110010100000000000000000001001011100000000 -110000000000000000000000001001100000001000 -000000000000000111100000000101101110000000 -000000000000000111100000000001010000000000 -000000000000000000000000000001111100000000 -000000000000001111000000001001100000000100 -000000000000000111000011110011011110000000 -000000000000001111000111101111000000000000 -000010100000000000000011101001111100000000 -000000000000000000000010000011000000100000 -010000000000001111000110101011101110000000 -010000000000000101000000000111110000000000 - -.logic_tile 4 8 -000000000000000000000000000101101011110000010000000000 -000000000000000000000010111001111101110000110000100000 -101000000000001001100000010000000001000000100100000000 -000000000000001001000010010000001000000000000000000000 -000000000000001000000000011011101110000001010000000000 -000000000000000101000010011001001100011111100000000000 -000000000000000001100000000000000000000000000000000000 -000000000000000000100010100000000000000000000000000000 -000000000000001000000000010000000001000000100000000000 -000000000000001001000010000000001000000000000000000000 -000000000000000001010000010000000000000000100100000000 -000000000000000000000011110000001111000000000000000000 -000000000000000011100000000101011100110010100000000000 -000000000000001101000011110111001101110010010010000000 -000000000000000000000000000000011010000100000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 5 8 -000000000000000000000000000001100000000000001000000000 -000000000000000000000000000000000000000000000000001000 -000000000100000101000000000000011011001100111000000000 -000000000000010000000000000000001011110011000000000000 -000000000001010000000010100000001000001100111000000000 -000000000000100000000000000000001111110011000000000000 -000000000010000101000000000111101000001100111000000000 -000000000000000101000010110000100000110011000000000001 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001110110011000000000001 -000000000000000000000000000111001000001100111000000010 -000000000000000000000000000000000000110011000000000001 -000000000000000101000010100000001000001100111000000000 -000000000000000000000000000000001011110011000000000001 -000000000000000101000010100000001001001100110000000000 -000000000000000000000000000000001010110011000000000000 - -.logic_tile 6 8 -000000000000000000000011100000000001000000100100000000 -000000000000000000000100000000001100000000000000000000 -101000000000001000000010100000000000000000100100000000 -000000000000001111000100000000001100000000000000000001 -110001000000100111000000000000000000000000100100000000 -010000000000000111100000000000001010000000001000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000001000000000001000000000000000100000000 -000000000000000000000010100000100000000001000000000001 -000000000000001000000000000000000000000000000000000000 -000000000000001011000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000101100001001111000000000000 -010000000000000000000000000101001001110000110000000000 - -.logic_tile 7 8 -000000000000000001100010100111101001101011110100000000 -000000000000000000100100000111011101110111110000000000 -111000000000001000000000000001111010000100000000000000 -100000000000001001000000000001001100000000000010000010 -110000000000000000000000001001001101000000100000000000 -010000000000000000000000000101001000000000000000000100 -000000000000000001100000000001111010000000100000000000 -000000000000000000100000000011001000000000000000000110 -000000000000000101000000010000000000000000000000000000 -000010101000000000000011100000000000000000000000000000 -000010000001010000000000000000000000000000000000000000 -000001000000100000000010010000000000000000000000000000 -000000000000000001100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 - -.logic_tile 8 8 -000000000000000000000111001001111101000000000000000000 -000000000000000000000100000101101000000000100000000000 -101000000000000111000010100000011100010100000010000001 -000000000000000101000100001011010000101000000000000000 -010000000000100000000011100000011110000100000000000000 -110000000000000000000100000000000000000000000000000000 -000000000000000001100011100000000000000000000000000000 -000000001110000000000100000000000000000000000000000000 -000000000000000000000010000001101000000010100000000000 -000000000000000000000000000000010000000010100000000000 -000010100000000111000000010000011010110011110100000110 -000000000000001111100010100000011110110011110010000000 -000000000000000000000000001111001100010100000000000010 -000000000000001101000000001101010000000000000000000000 -110000000000001000000000000000000000000000000000000000 -010000000000000101000000000000000000000000000000000000 - -.logic_tile 9 8 -000000000000001000000000010111000000101111010000000000 -000000000000001111000011111001101000111111110010000000 -101010100000010000000000010000000000000000000000000000 -000000000000100000000010100000000000000000000000000000 -110000000000000000000111010000000001000000100100000000 -010000000000000000000111110000001111000000000000100100 -000000000000001000000000000101000001011111100000000000 -000000000000000011000000000111101111001001000000000000 -000000000000000000000110010111001010000000010000000000 -000000000000000000000010010001111011000000000000000000 -000010100000000000000000000101011000111100100000000000 -000001000000000000000000000000111110111100100000000001 -000000000000001000000000000111000000000000000000000000 -000000000000000001000010001001101000001001000000000000 -000001001110000000000010000000011001001001010000000000 -000000100000000000000010001011011010000110100010000000 - -.ramt_tile 10 8 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -2175,26 +1925,276 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 + +.logic_tile 11 7 +000000000000000000000000000000000000000000000000000000 +000000000001010000000011110000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000111100000000000000100000000 +000000000000000000000000000000100000000001000100000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000011110000000000000000000000000000 + +.logic_tile 12 7 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000001000000000000000000000000000000000000000 +000000100000000101000000000000000000000000000000000000 +000000000000000000000000001001000000000000000001000000 +000000000000000000000000000011100000010110100011000000 +000000000000000000000000000011100000000000000100000000 +000000000000000000000000000000000000000001000000000000 +000000000000000000000000000000000001000000100100000000 +000000000000000000000000000000001000000000000000000000 +000000000110001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 + +.io_tile 13 7 +010000000000000010 +100111010000000000 +000000000000100000 +100000000000000001 +000000000000000010 +000000000000010000 +001000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +010000000000110010 +010000000000110000 +000000000000000000 +000000000000000001 +000000000000000010 +000011010000000000 + +.io_tile 0 8 +000001110000000000 +000000001001000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 + +.logic_tile 1 8 +100000001100000000000000000011100000000000001000000000 +000000000000000000000011100000100000000000000000001000 +111000000000000000000000000111111010001100111000000000 +000000000000000000000000000000011111110011000000000001 +010000000000000000000000001000001000110011000000000000 +010000000000000000000000000111001100001100110000000001 +000000000000000000000110000011100000001001000000000000 +000000000000000000000000000000001100001001000000000100 +000000000000001101100000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000001000000110000000000000000000000000000000 +000000001100000101000000000000000000000000000000000000 +000000000000000000000000010111011110000010100100000000 +000000000000000001000011000000010000000010100000000000 +110000000000000001000000011000000000010110100000000000 +000000000000000000100010001111000000101001010000000000 + +.logic_tile 2 8 +100001000000000000000110101011000001110110110010000000 +000010100000000111000011100011101101110000110000000000 +111000000000000000000110000000000000000000100110000000 +000000000000000000000100000000001001000000000000000100 +010000100000000001100000010000000000000000000000000000 +010010000000000000100011000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101100010100000000000000000000100000100 +000000000000000000000100000001000000000010000000000100 +000000000000001000000000000101101010000000010000000000 +000000000000000001000010000011001011001001010000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000110101101111110000001000000000000 +000000000000000000000000001001011011100001010000100000 + +.ramt_tile 3 8 +000000000000001000000000000011001110000000 +000000000110001001000010000001000000001000 +101000000000000001100000001111011100000000 +000000000000000000100000000101000000000000 +110000000010000001100111100111101110000000 +010010000000001001100000001001000000001000 +000000000000001111100000010101111100000000 +000000000000001001000010010101000000001000 +000000000001000001100000000111001110000100 +000000000000100001100010000011100000000000 +000000000000000000000110001111011100000000 +000000000000000001000100000001100000000100 +000000000011010111000110010011101110000000 +000000000000000001100110010101100000000000 +110000000000001111000000001101011100000000 +110000000000001001000000001101100000000000 + +.logic_tile 4 8 +000000000010000111100000000011111010001010000000000000 +000000000000000000100000000111101010100000100000000000 +101000000110001000000111100000000001000000100100000000 +000000000000000001000100000000001011000000000000000001 +000000000000000111000110001000000000000000000100000000 +000000000000000000100010000001000000000010000000000001 +000000000000000000000010010000000001000000100100000000 +000000000000000111000110000000001001000000000000000000 +000000000000010000000000000001000000000000000100000000 +000000001110000000000000000000000000000001000000000000 +000000000000001000000110000000001010000100000100000000 +000000000000000011000000000000010000000000000000000000 +000000000001000001100000000000000001000000100100000000 +000000000000100000000000000000001100000000000000000000 +000000000000000000000000000000011010010101010000000000 +000000000000000000000000001101010000101010100000000000 + +.logic_tile 5 8 +000000000001001001100000000001111110111110100110000000 +000001000000100101000000000000110000111110100000000001 +101000000000000101100011101011101110011010010000000000 +000000000000000000000000000001101011010110100000000000 +110100000000000000000000010111100000000000000000000000 +110100000000000111000010100000000000000001000000000000 +000000000000000101000010101000011110100000100000000000 +000000000000000000100100000001011010010000010000000001 +000000000000000000000000000011101010111101010000000000 +000000000000000000000000000000000000111101010001000100 +000000000000000111000110010000000000000000000000000000 +000000000000000000100010010000000000000000000000000000 +000000000001010001100110100001011101100000000000000000 +000000000000100000100000000001011011000000000000000001 +010000000000001111000010110111111101000000000000000000 +110000000000000001000110100101011000101001000000000000 + +.logic_tile 6 8 +100000000000000000000011100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +111000000000000111100010100000011110001100000100000000 +000000000000000000000000000000011001001100000011000000 +010000000000000000000000000001111110010111100000000000 +000000100000000000000000001011101110001011100000000000 +000000000000001001100010100101111011011100000000000000 +000000000000001001000100000000101000011100000000000000 +000000000000000000000000001000011011110001110000000000 +000000000000000000000010000111001011110010110000000000 +000000000000000000000110011000011111001011100000000000 +000000000000000000000111100101001111000111010000000000 +000000000000000101000011101000011100111101010000000100 +000000000000000000000000001011010000111110100000000000 +110000001010000001100011110111000000000000000100000000 +000000000010000101100010001001100000101001010001000000 + +.logic_tile 7 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000101000000010001100001100000010100000000 +000000000000000000100011110000101100100000010000000000 +110000000000000101000000000001101110000110100000000000 +010000000000000000100000000000011010000110100000000000 +000000000000100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000010011100001010000100100000000 +000000000000000000000011000000001001010000100000000100 +000000000000000101000000001001100000000000000100000010 +000000000000000000000000000011100000010110100000000000 +000000000000000000000000010000000000000000000000000000 +000000000010000000000010010000000000000000000000000000 +110000000000000111100000000000000000000000000000000000 +110000000000000000100000000000000000000000000000000000 + +.logic_tile 8 8 +000000000000000011100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000011000000000000000100000000 +000000000000000000000000000000100000000001000000000000 +010000000000000111100011100000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000110000000100000 +000000000000100000000000001111001000001001000000100001 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000100000000000000111101010010111110000000000 +000000000001000000000000000000000000010111110010000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000010000000100000000000000000000000000000000000 + +.logic_tile 9 8 +000000000000000000000010100000000001000000100100000000 +000000000000000000000010000000001001000000000000000000 +001001000000000001100000010000000001000000100100000000 +000010100000000000000011000000001010000000000000000000 +010000000000001001100111100000000000000000100100000000 +010000000000000001000100000000001010000000000000000000 +000010100000001000000000000000000000000000100100000000 +000000000000000001000000000000001010000000000000000000 +000000000000000000000110000001100000000000000100000000 +000000000000000000000000000000000000000001000000000000 +000000000000000000000000000000000000000000100100000000 +000000000000000000000000000000001101000000000000000000 +000000000000000000000000010000001110000100000100000000 +000000000000000000000010000000010000000000000000000000 +000000000000000000000000000000001010000001010000000000 +000000000000000000000000001001010000000010100010100000 + +.ramt_tile 10 8 +000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 .logic_tile 11 8 +100000000000000000000000000000011001001100000100000000 +000000000000000000000000000000001000001100000010000000 +111000000000000011110000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 110000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000000000000000000000001100000100000110000001 -000000000000000000000000000000000000000000000001000000 -000000000000000000000111000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -110000000000000001000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 .logic_tile 12 8 000000000000000000000000000000000000000000000000000000 @@ -2203,26 +2203,26 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 .io_tile 13 8 -000000000000000000 -000100000001001000 +000000000000001000 +000100000001000000 000000000000000000 000000000000000000 000000000000000100 000000000000001100 000000000000000000 -000000000000000000 +000000000000011000 000000000000000000 100100000000000000 000000000000000000 @@ -2233,11 +2233,11 @@ 000000000000000000 .io_tile 0 9 -000000000000000000 -000000000000001000 000000011000000000 +000000001000000000 +000000000001000000 000000000000000000 -000000000000001100 +000000000000000100 000000000000000000 000100000000000000 000000000000000000 @@ -2251,188 +2251,189 @@ 000000000000000000 .logic_tile 1 9 -100000001100000000000000000000001110000000110110000000 -000000000000000000000000000000001110000000110000000000 -111000000000000000000010101000000000001001000110000000 -000000000000000000000000001001001110000110000000000000 -010000000000000000000110011111000000000000000100000000 -000000000000000000000010001111000000010110100000100000 -000000000000000001100000000011000000000000000100000000 -000000000000000000000000000111000000101001010010000000 -000000000000001000000000001000001110000001010100000000 -000000000000000001000000001011000000000010100000000000 -000000000000000000000000010000001010010100000100000000 -000000000000000000000010000111010000101000000001000000 -000000000000000001100000000101001110000001010100000000 -000000000000000001000000000000100000000001010000000000 -110000000000000000000110000101100000001001000100000000 -000000000000000000000000000000001110001001000000000010 +000000000000000001100000000000001010110000000000000000 +000000000000001101100000000000011000110000000000000000 +111000000000001000000000000000011000110011110000000000 +000000000000001001000010110000011100110011110000000000 +000000000000000000000000000111100000000000000100000001 +000000000000001111000010110000100000000001000000000000 +000000000000000000000000001000001100100000110000000000 +000000000000000000000010101101001000010000110000000000 +000000000000001111000000001001011010111111110000000000 +000000001010000001100000000001011100010000010000000000 +000000000000000000000000001000011000110001110000000000 +000000000000000000000000000111011100110010110001000000 +000000000000000000000000000101000000000000000100000000 +000000000000000000000000000000000000000001000000000000 +000000000000001000000000011011011000111011000000000010 +000000000000000001000010001101001000110011000000000000 .logic_tile 2 9 -000001000000000000000000001001111100010000110000000000 -000010100000000000000000000111001010000000010000000000 -111000000000000111100000010000000000000000000100000000 -000000000000000000000010100101000000000010000000000000 -000010101110001111100000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000000000011001111110000000100000000000 -000000001010000000000010100011001010100000110000100000 -000000000000001101100000000001100000000000000000000000 -000000000000000101000000000000100000000001000000000000 -000000000111000000000000000101100000000000000000000000 -000000000000100000000010100000000000000001000000000000 -000000000000000101000000000011001011010100000000000000 -000000000000000101000000001111101000011000000000100000 +000000100000000000000000000000000000000000001000000000 +000001000000001101000010110000001001000000000000001000 +101000000000011101000010100000001001001100111000000000 +000000000000100111100100000000011001110011000010000000 +010000000001000000000011100001101000001100111000000000 +110000001010000000000100000000000000110011000000000000 +000000000000010111100000000001001000001100111000000000 +000000000000101101100010110000000000110011000000000000 +000000000000000000000000000111101000001100111000000000 +000000001100000000000000000000100000110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001010110011000000000000 +000000000000000000000000000101101000001100110000000000 +000000000000000000000000000000100000110011000000000000 +010000000000000000000000000000011010000100000100000001 +010000000000000000000011110000000000000000000010000001 .ramb_tile 3 9 -000001100000100111100111100001001000000000 -000011100000000111100111110001010000000000 -111000000000000111100000001101001010000000 -000000000000000000000010011111010000000000 -010000000000011001000111100011001000000000 -110001000010001011000100001001010000000000 -000000000000000011100111101011001010000000 -000000001100000000100100000111010000000000 -000000000000011111000011100001101000000000 -000000001000011111100011101011010000000000 -000000000000000000000000000011101010000000 -000000001100000000000011110101110000000000 -000000100001000000000000001111001000000000 -000000000110101101000000001001010000000000 -010000000000001000000000000101101010000000 -110000000000001011000011101011110000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 4 9 -100000000000000101000000001101011011000100000000100000 -000000001110000000000000001101001111101100000000000000 -111000000110000111100110010101101011001010000000000000 -000000000000000000100110010111001111010000010000000000 -010000000000000101000110100101101010000001000000000000 -100000000000000001000000001101001111101001000000000000 -000000000000000111100110101000001100010100000100000000 -000000000000000001000000000001010000101000000000000010 -000000000000000000000010011000011000000001010100000001 -000000001110000000000011000011000000000010100000100000 -000000000000001000000000000011101111000100000010000000 -000000000000000011000010001011101111100000000000000000 -000000000000000001100010100000000000000000000000000000 -000000000000000001000100000000000000000000000000000000 -110000000000000000000010100101111001000010010000000000 -000000000000000000000110100001011001011000000000000000 +000000000000000000000000010011000000000000001000000000 +000000000000000000000011010000000000000000000000001000 +000000000000010000000000000101011100001100111000000000 +000000000000100000000011110000000000110011000000000010 +000000100000000001000000010111101000001100111000100000 +000001000000000000000011000000100000110011000000000001 +000000000000000000000000000001101000001100111000100000 +000000000000000111000000000000100000110011000000000100 +000000000000000001000000000011101000001100111000000100 +000010000000000000000000000000000000110011000000000000 +000001000000000111000000000000001000001100111000000000 +000010100000000011000000000000001011110011000000000010 +000010100001000000000000000000001000001100111000000000 +000000000000100000000000000000001001110011000000100000 +000000000000000000000000001000001000001100110000000000 +000000000000000000000010010101000000110011000000100000 .logic_tile 5 9 -000000000000000000000010100001111010010101010100000000 -000000000000000000000010100000010000010101010010000000 -101011000000000101000000000000011011001100110100000000 -000001000000000000000000000000011011001100110000000000 -110000000000000101000000000000001000010101010000000000 -010000000000000000000011101001010000101010100000000001 -000000000100000000000010101000000001011001100100000000 -000000000000000101000010100101001000100110010000000000 -000000000000000000000000000000001010110011000000000000 -000000000000000000000010000000011010110011000000000001 -000000000000000000000000000001001010010101010000000000 -000000000000000000000000000000100000010101010000000001 -000000000000000000000000000000000000000000100110000000 -000000000000000000000000000000001010000000000000000000 -110000000000000000000000000000000001011001100100000000 -000000000000000000000000001001001010100110010000000000 +000000000010000011100000011101111010011010100000000000 +000000000000000000100011011111011001010101110010000000 +111000000110001000000000001000000000011001100000000000 +000010000000000111000000001011001000100110010000000000 +000000001110000011100000001000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000111000011110000000000000000000000000000 +000001000000000000000011110000000000000000100100000000 +000010000000000000000010000000001101000000000001000000 +000010100000100000000011100001100000010110100000000000 +000001000000000000000000000000000000010110100010000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010001111001010001010000000000000 +000000000000000000000011101111001101100000100000000000 .logic_tile 6 9 -000000000000000001100000010000000000000000000000000000 -000000000000000101100011010000000000000000000000000000 -101000000000000000000010100000011110000100000100000000 -000000000000100000000000000000000000000000000001100000 -010000000001010000000110011011011000001100000000000000 -010000000000000000000010011111111011110000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001111000000000010000001100000 -000000000000000000000111000001001010010110100000000000 -000000000000000000010000000001010000000010100000000000 -000010100000000000000000001000000000000000000100000000 -000000100000000000000000000111000000000010000001000000 -000000000000000000000110010000000000000000000000000000 -000000000000000000000110010000000000000000000000000000 -110000000000001001100110000000001110000100000100000000 -000000001100001001100000000000010000000000000001100000 +000000000000000000000111100011101011101011110100000100 +000000000000000000000100000011011001111011110000000000 +111001000000000000000011100000000000001001000000000001 +100000000000000101000010111011001110000110000000100010 +110000000000000111100000001000000000000000000000000000 +110000000000000000100010100001000000000010000000000000 +000000000000000000000011100000001011000000100000000000 +000010100000000000000010101001001001000000010000000000 +000000001110001000000110000001001111000000000000000000 +000000000000000101000000000101101011100000000000000100 +000000000000000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000001101000000010000100000000000 +000000000000000000000000001101001110000000000000000010 .logic_tile 7 9 -000000000000001000000010110000001110111101010010000000 -000000000000001001000011111001000000111110100000000010 -101010100001010101000000000000001010000100000100100001 -000001001110100000100010110000010000000000000000000000 -110000000000000000000010100111000000100000010000000000 -110000000000000000000110100000101000100000010000000000 -000000000000000000000010100011001100010111100000000000 -000000000000000000000100001001101011001011100000000000 -000000000000000000000000010000000001000000100100000000 -000000000000000111000010010000001001000000000000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000111111000000000000000000100000000 -000000000000001001000010101101000000000010000000100000 -000000000000000000000000000000000001000000100100000000 -000000000000000000000000000000001000000000000000000100 +000000000000000101000110110001111010111001110000000000 +000000000000001101000010100101001000111100110010000000 +101000000000000101000000011001011000000100000000000000 +000000000000000101000011110001001000000000000000000000 +010001000000000101000000000001000000000000000100000000 +010010100000001101000000000000100000000001000000000000 +000000000000000000000000001001001011000110100000000000 +000000000000000101000000000101001000000011000000000000 +000000000000000000000000000001101011000000000000000000 +000000000000000000000000000101001000000001000000000000 +000000000000001000000000001001101010000100000000000000 +000000000000001101000000000001001010000000000000000000 +000000000000000001000000001000000000000110000000000000 +000000000000000000000000001001001110001001000000000001 +110000001100000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 .logic_tile 8 9 -000000000000001000000000010000000000000000100100100000 -000000000000000001000011010000001101000000000000000000 -101000000000000001100000000000011000000100000110000000 -000000000100000101000000000000000000000000000001000010 -110000000000000001100110000001100000000110000000000000 -110000000000000111000011100001001011000000000000000100 -000010100000000000000000000000000000000000000100100001 -000001000000000000000011101111000000000010000000000000 -000000000000000000000000010101001010000110100000000010 -000000000000000000000010110101011001000011000000000101 -000010100000000000000000000111000000000000000100000000 -000000001100000000000000000000000000000001000000000100 -000000000000000001000011000000011110000100000100000101 -000000000000000000000010000000000000000000000000000010 -000000000000001000000000000000000001000000100100000100 -000000000000001101000000000000001011000000000000100000 +000000000000000000000000000001111011111001010100100000 +000000000000001001000000000000001000111001010000000000 +111000000100101101100000011101011111111001010100100000 +100000000000011111000011111101011010110000000000000000 +010000000000000101100110100101111110101000000100000000 +110000000000000000000000000000010000101000000000000000 +000000000000001111100000011001000000101111010100100000 +000000000000000101000011100101001111001111000000000000 +000000000000000000000000000001111011111001010100000000 +000000000000000001000000000000001001111001010000100000 +000000000000000001000000000000001110000100000000000000 +000000000000000000100000000000010000000000000000000000 +000000000000000000000010110101111100101000000100000000 +000000000000000000000010010000000000101000000000000000 +010000000000011000000010011011001011111001010100000000 +110000001010100111000011000101001110110000000000100000 .logic_tile 9 9 -000000000000000111000000010000000000000000100100000000 -000000000000000000000011010000001001000000000001000101 -101000000000000000000000000000011010000100000110000000 -000000000000000000000000000000010000000000000000000100 -110000000000001000000111000000011010000100000110000000 -010000000000000011000111100000000000000000000000100100 -000000000000000000000111000000001010000100000100000000 -000000000000000001000100000000010000000000000000100100 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -000000100000000000000000000000000000000000000100000100 -000001000000000000000000000001000000000010000010000000 -000000000000000000000000000101000000000000000100000000 -000000000000000000000000000000000000000001000000100100 -000010000000000000000000000000000000000000000110000011 -000000001100010000000000001101000000000010000000000100 +000000000000000101000010100000001110000100000100000000 +000000000000000000000000000000010000000000000000000000 +001000000000000101000000000001100000000000000100000000 +000000000000000101000000000000000000000001000000000000 +010000000000000000000111000000001000000100000100000000 +110000000000000101000000000000010000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000001000000000000000101100000000000000100000000 +000000000000100000000000000000100000000001000000000000 +000000000000000000000000000000000000000000100100000000 +000000000000000000000000000000001010000000000000000000 +000000000000000000000000000000011000000100000100000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000000000000000000000100100000000 +000000000000000000000000000000001000000000000000000000 .ramb_tile 10 9 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 -000000000100000000000000000000000000000000 -000000001100000000000000000000000000000000 -000010000000000000000000000000000000000000 -000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 .logic_tile 11 9 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -2444,45 +2445,44 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 +000010100001000000000000000000000000000000000000000000 .logic_tile 12 9 -000000000000000000000000000111000000010110100100000000 +000000000000000000000000000101000000010110100100000000 000000000000000000000000000000000000010110100000000000 111000000000000000000000000000000000000000000000000000 100000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000001000000000000000000000000000000000000000 000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 9 000000000000000010 000000000000000000 000000000000000000 000000000000000001 -000000000000110110 +000000000000100110 000000000000111000 001100000000000000 000000000000000000 000010000000000000 000110010000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000001110000000000 +000000111000000000 000000001000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 .io_tile 0 10 000000000000000010 @@ -2503,166 +2503,166 @@ 000000000000000000 .logic_tile 1 10 -100000001100100001000000001011101111110011000000000000 -000000000001010000000011110011011110110001000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010001000000001001000000000000011110000100000100000001 -010010100000001111100000000000000000000000000010000001 -000000000000000101100000000000000000101111010000000000 -000000000000000000100000000001001110011111100000000000 -000000000000000000000000000111001010111101010000000000 -000000000000000000000000000101000000010110100000100000 -000000000000000101000000000000011010000100000100000001 -000000000000001101100000000000000000000000000010000101 -000000000000001000000000010000000000000000000000000000 -000000000000000001000010000000000000000000000000000000 -110000000000001001100000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 +100000000000001001100110001001111100000110100100100000 +000000000000011111100111111001101011000010100000000000 +111000000000001001100010110000000000001111000000100000 +000000000000001001100011010000001111001111000000000000 +010000000000000000000010110001101101000110100100000000 +010000000000000001000010011101111001000010100000000000 +000000000000001001100000000001111011001100000000000000 +000000000000001001000000001001001111110000000000000000 +000000000000000000000010000101111001100101100000000000 +000000000000000001000010000000001111100101100000000000 +000000000000001001000000000011000000001111000000000000 +000000000000000001000000000001001011110000110000000000 +000000000000000101000000010111001010101010100000000000 +000000000000001101100010001011001110010100010000000000 +110000000000000000000111100001011100000011000000000000 +000000000000000000000110001001001011000000110000000000 .logic_tile 2 10 -100000001110100101000000001000000000010000100100000000 -000000000001000000000000000101001011100000010000000000 -111000000000001000000000000111001101001100000000000000 -000000000000001111000010111111011011110000000000100000 -010000000000110000000111001001011111001010000000000000 -000000000000000000000100000001011110100000100000000000 -000000000000001111000010111101100000000000000100000000 -000000000000001111100111111011000000010110100000000000 -000000000000000000000000010111000001001001000100000000 -000000000000000000000010000000001010001001000000000000 -000000000000000001100110001101100000000000000100000000 -000000000000000000000000000111000000010110100000000000 -000000000000001101000000001001011011000010010000000001 -000000000000000001100010111001001011100100000000000000 -110000000000001101000000001101100000000000000100000000 -000000001100000001100010110011000000010110100000000000 +000000000000000101000010100000000001000000100100000001 +000000000000000000100100000000001000000000000000000000 +111000000000000000000000001000011010010101010100000000 +000000000000001101000010101101010000101010100000000001 +110000000000000000000000000001000000000000000100000000 +110000000000000000000010110000000000000001000000000011 +000000000000000101000010101001111010000010010000000000 +000000000000000000000110110111101110100100000000000000 +000000000000000000000000000101100000000000000100000000 +000000000000000000000000000000100000000001000000000010 +000000000000010000000000000000000000000000100100000001 +000000000000000000000000000000001001000000000000000000 +000000000000000000000010001000000000000000000100000001 +000000000000000111000000001101000000000010000000000000 +110000001110000000000000000000000000000000000100000000 +000000000000000001000000001101000000000010000000000010 .ramt_tile 3 10 -000000100000000000000110101101111110000000 -000001000000010000000000001001010000000001 -101000000000001101100110110101111010000000 -000000000000000111000011110011100000001000 -010000000000010111100011110001111110000000 -110000000000000000100011110101010000000000 -000000000000001111000111111111011010000000 -000000000000000101000011101101100000000000 -000000000001001111000000000001111110000000 -000000000000100111000011101011110000000000 -000000000000000111000000010111111010000000 -000000000000000000100010011101000000000000 -000000000000000000000011100001011110000000 -000000000000000000000100000011110000000100 -010000000000000001100000001011111010000000 -010000000000000000100011110011100000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001100000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 4 10 -000000000000000000000000010000000000000000100100000000 -000000000000000000000010000000001111000000000000000000 -111000000000000000000110000111000001100110010001100001 -000000000000000000000100000000001101100110010001000000 -000010000000010001100110000001100000000000000100000000 -000000001000000000100100000000100000000001000000000000 -000000000000100000000000000101000000000000000100000000 -000000000001010000000000000000100000000001000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000101000000000010000000000000 -000000000000000000000111100001000000000000000100000000 -000000000000000000000100000000100000000001000000000000 -000000000000000111100110000111100000000000000100000000 -000000000000000000100000000000000000000001000001000000 -000000000000001000000000001000000000000000000100000000 -000000000000000001000010011111000000000010000001000000 +000010100000010000000010101000011000010101010000000000 +000000000000000000000000000001000000101010100000000001 +101000000000000101000000011000000001011001100000000000 +000000000000000101000011111101001000100110010000000100 +010000000000000000000010001011000000000000000000000000 +110000001010000000000010100001100000111111110000000000 +000000000000100111000000000000000000000000000000000000 +000000000001010000100010100000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000000000000000001000000100100000000 +000000000000000000000000000000001001000000000000000001 +010000000000000000000000000011011100000010010000000000 +110000000000000000000000001101101001100100000000000000 .logic_tile 5 10 -000000000000000001100110001101011110000000010000000000 -000000000000000000100110111111101010000010000000000000 -111000000000000101000111000000000000000000000000000000 -000000000000001101100011110000000000000000000000000000 -000000000000000101000010100000011000001100110000000000 -000000000000000001100110100000011011001100110000000000 -000000100000100101000000001001101100001010000000000000 -000000001000000101000010101101111001010000010000000000 -000000000001010000000000011111100001110000110000000000 -000010000000000000000010000111101000001111000000000000 -000000000000000000000000000011101001111000100100000001 -000010100000011101000000001111011000101000010000000000 -000000000000000000000000001001000000000000000000000000 -000010100000000000000011110001000000111111110000000000 -110000000000001001000110011101101010100010000000000000 -000000000000000001000110110101011010000100010000000000 +000000000000000000000000000000011110000100000100000000 +000000000000000000000000000000000000000000000000000001 +101000000000000011100000011101101011100000000000000000 +000000000000000000100010001011111011000000000000000000 +110000100000000000000110000000011010101010100000000000 +110000000000000000000000000111000000010101010000000001 +000000100000001101000110101001111101100010000000000000 +000001000000001011000010110011111000000100010000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000001100010010000001110001100110000000001 +000000000000000000000011000000001111001100110000000000 +000000000000000001100011111000011110010101010000000001 +000000000000000000100010011111010000101010100000000000 +010000000000000000000110010000000000000000000000000000 +110000000000000001000110010000000000000000000000000000 .logic_tile 6 10 -000010000000101111000110100111111001001000010000000000 -000000000000000101000010100101101011100001000000000000 -101000000000001101000110100000000000000000000000000000 -000000000000001111000010110000000000000000000000000000 -000000000000001101000011100101111111101100000000000001 -000000000000000001000011111111101010111100000010000000 -000001000010001111100011111000000000000000000000000000 -000000000000000001000111100111000000000010000000000000 -000000000010000000000000001001100000100000010000000000 -000000000000000000000000000001101000000000000000000000 -000000000100000000000111000001101010000010010000000000 -000000000000000000000100001101111000100100000000000000 -000000000000000000000011101011111011111001010110000000 -000000000000000000000100001111101110110000001000100000 -110000000000001001100110010000001000100000000000000000 -000000000000010101000010000101011001010000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110001000000000000000000000000000000000000000000000000 +110010100000000000000000000000000000000000000000000000 +000000000000000000000010100101100000000000000000000000 +000000000000000000000000000000100000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000110100000000001000000100100000000 +000000000000000000000000000000001100000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 7 10 -000000000000000111000000001101111000101000010100000000 -000000000000000000000010110001101011111000100000000000 -111000000000001101000000000000000000000000000000000000 -100000000000001011100010110000000000000000000000000000 -110000000000000101000111011101001100000001010000000000 -110000000000000000100110010111111110000000100000000000 -000000000000000101100000010011000001101001010100000000 -000000000000000000100011010111001011111001110000000000 -000000000000001000000011110000001110110000000000000000 -000000000000001011000010000000011101110000000000000000 -000000000000000000000000001001100000101001010100000000 -000000001100000000000000001101100000000000000000000000 -000000000000000101100010000111111010111110100100000000 -000000000000000001100010000101010000010110100000000000 -010000000000001001000000000001011001101000010100000000 -110000000000001001000000000001101011110100010000000000 +000000000000001101000000010101100000000000000100000000 +000000000000000111100011000000100000000001000000000001 +101000000000011000000000010000011010101000000000000000 +000000000000100111000011101111000000010100000001100000 +010000000000001000000000001001001011010000000000000000 +010000000000000011000000001111001001000000000000000000 +000000000000000101000000000001111010100000000000000000 +000000000000000000100010100000101110100000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000011000000000000000000100000000 +000000000100000001000010000001000000000010000000000000 +000000000000000000000011100001000000000000000100000000 +000000000000000000000000000000000000000001000000000000 .logic_tile 8 10 -000000000000000000000110000001101010000011100000000000 -000000000000000000000110100000111001000011100010000000 -101000000000100001100010100001011001011111110010000000 -000000000000110000100010100000111001011111110000000001 -110000000000101101000000010000001100000100000100000000 -010000000000001001000011000000000000000000000000000000 -000001000000000011100110001000000000000000000100000000 -000000000000000000000110000001000000000010000000000000 -000000000000000001000000000000001000000100000100000100 -000000000000000000000010100000010000000000000000000000 -000000000000000000000000000000011010000100000100000000 -000000000000000000000000000000010000000000000000000000 -000000000000000000000000000000001010000100000100000000 +000000000000100000000000000000001010000100000100100000 +000000000100000000000000000000010000000000000000000000 +101000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +010000000000000000000111100000000001000000100100000000 +110000000010000000000110100000001001000000000000100000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001101101011111101010001000000 -000000000110000000000010001111001011111100110000100000 .logic_tile 9 10 -000000000000001101100010000000000000000000000000000000 -000000000000001111000010110000000000000000000000000000 -101001000000000000000000000101000000000000000100000000 -000000000100000000000000000000000000000001000000000100 -010000000000000101000000000101100000000000000100000000 -010000000000000000000000000000000000000001000000000000 -000000100000001111100010100101111011010000110010000000 -000011000000001011100110101001101100000011000010000000 -000000000000000000000000000101100000000000000100000000 -000000000000000000000000000000100000000001000000000000 -000000000001010101100000000001011110000111010000000000 -000000000000000000100000000001001111010111100010000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000010000010010101000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 +000000000000000101000000001000000000000000000100000000 +000000000000000101000011101001000000000010000001000000 +101000000000000101000000000001000000000000000100000001 +000000000000000101000010100000000000000001000000000000 +010000000000000000000000000001000000000000000100000000 +010000000000000000000010100000000000000001000001000000 +000000000000000000000010100000011010000100000110000000 +000000000000000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000001000000100110000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000101000000000000000100000000 +000000000110000000000000000000100000000001000000000010 +000000000000000000000000000001100000000000000100000000 +000000000000000000000000000000000000000001000000000100 .ramt_tile 10 10 000000000000000000000000000000000000000000 @@ -2673,32 +2673,32 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 10 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -000000000000000000000000010000000001000000100000000000 -000000000000000000000011100000001110000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000101100000011111100100000000 -000000000000000000000000000000101101011111100000000010 -110000000000000111100000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 10 000000000000000000000000000000000000000000000000000000 @@ -2712,7 +2712,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -2731,200 +2731,200 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 .io_tile 0 11 000000000000000000 -010100000000000000 -000000000000100000 -000011110000000000 -000000000000000000 -010000000000000000 001100000000000000 000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001100000000000000 +100000000000000000 001000000000101000 000100000000000100 000000000000000100 -000000000000001000 +000011110000001100 000000000000000000 000000000000000000 000000000000000000 000000000000000000 .logic_tile 1 11 -000000000000000000000000000011100000000000001000000000 -000000000000000000000000000000000000000000000000001000 -000000000000000000000000000000011111001100111000000001 -000000000000000000000000000000001101110011000000000000 -000000000000010000000011100000001000001100111000000000 -000000000000000000000100000000001100110011000010000000 -000000000000000000000000000000001000001100111000000000 -000000000000001101000000000000001101110011000010000000 -000000000000000000000010100000001000001100111000000000 -000000000000000101000010100000001101110011000010000000 -000000000000000101000010100101001000001100111000000000 -000000000000000000000000000000100000110011000010000000 -000000000000000000000000000101101000001100110000000000 -000000000000000000000000000000000000110011000000000000 -000000000000000101000000000000000000000000000000000000 +100000000000000011000010100011001001100000000000000000 +000000000000010000100011111101011011000000000000000000 +111000100000000000000000001111001010000000000110000000 +000000000000000111000000000101000000000010100000000110 +010000000000000101000110000000000000000000000000000000 +010000000000000000100111100000000000000000000000000000 +000000000000000001000010100000001011110011000000100001 +000000000000001101000000000000001010110011000000000011 +000000000000000001100110100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000101000000001000001011110111110000000100 +000000000000000000000000000101011000111011110000000100 +110000000000000000000000000000011100011010010000000000 +000000000000000000000000001011001000100101100000000000 .logic_tile 2 11 -000000000000000000000000000000000001000000001000000000 -000000000000001101000010110000001001000000000000001000 -000000000000100101000000000000011001001100111000000000 -000000000000010000100010110000011000110011000010100001 -000000000000000000000010100000001001001100111000000001 -000000000000000000000100000000001011110011000010000001 -000000000001010000000010110001001000001100111000000001 -000000000110100000000111100000000000110011000010000001 -000000001100000000000000000000001000001100111010000000 -000000000000000000000000000000001011110011000010000000 -000000001010000000000000000001001000001100111010000000 -000000000000001101000000000000100000110011000010000000 -000000000000000000000000000101101000001100111000000000 -000000000000000000000000000000100000110011000010000010 -000000000000000000000000000011101000001100110000000000 -000000000000000000000000000000000000110011000000000000 +000000000000000000000000000101000000000000001000000000 +000000000000000101000010100000100000000000000000001000 +000000000000101000000000000001011000001100111000000000 +000000000010000111000010100000100000110011000000000000 +000000000000000000000000010000001001001100111000000000 +000000000000000000000011110000001011110011000000000000 +000000000001000101000010110101101000001100111010000000 +000000000110100101000011100000000000110011000000000000 +000000000000000000000000000000001000001100111000000001 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111000000001 +000000000000000000000000000000001011110011000000000000 +000000000001000000000000000101101000001100111000000001 +000000000010000000000000000000000000110011000000000000 +000000000000000000000000000001101000001100110000000000 +000000000000000000000000000000100000110011000000000000 .ramb_tile 3 11 -010000000001000000000000000000000000000000 -001000010000100000000000000000000000000000 +010000000000000000000000000000000000000000 +001000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 -001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +001001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001001000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 .logic_tile 4 11 -000000000001010000000000010011000000000000000000000000 -000000000000100101000011110001100000111111110000000000 -111000000000000000000000000101011010001000010000000000 -000001000000000000000000000011001101100001000000000000 -010000000000000111100000000111111111011010010000000000 -010000001110000000100010110000011011011010010000000000 -000000000000000101000010100011100000000000000100100000 -000000000000000000000000000000000000000001000001000100 -000000000000000111100111100011101010101000000000000000 -000000000000000000100111110111110000000000000000000000 -000000000000001000000011100111001101011010010000000000 -000000000010000111000100000000111110011010010000000000 -000000000000000001100010011000001110010101010100000000 -000000000000000000000011100011010000101010100000000000 -110000000000000000000000000101100001011001100000000000 -000000000000001111000011110000001111011001100000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +111000000000000000000010101000000000000000000100000000 +000000000000000000000100001001000000000010000000000000 +000000000000000000000000000101100000000000000110000000 +000000000000000000000000000000000000000001000000100000 +000000000000001000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001110000100000100100100 +000000000000000000000000000000000000000000000000000000 .logic_tile 5 11 -000000000000001000000111111111011000000010010000000000 -000000000000000101000011101111011010011000000000000000 -101000000000000101000110110000000000000000000100000000 -000000000000000000000010101011000000000010000000000010 -000000000000001101000000000101101000001010000000000000 -000000000000000001100000000001111001010000010000000000 -000000000000000000000110001000000000000000000100000000 -000000000000000000000010110011000000000010000000000000 -000000000000000000000111000111100000000000000100000000 -000000000000001101000000000000100000000001000000000000 -000000000000000011100000001011011010100000000000000000 -000000000000000000000000000001011010000000000000000000 -000000001000101000000000000000000001000000100100000000 -000000000000011001000000000000001001000000000000000000 -000000000000000111100111110111100001100110010000000000 -000000000000000000100110000000001001100110010000000000 +000000000000001101000111000000000001100110010000000000 +000000000000000001000110101001001010011001100000000000 +101000001100000000000010100101011100000010010000000000 +000000000000000000000100001101001001011000000000000000 +000000000000000101000111010000000001011001100000000000 +000000000000000000100010001101001011100110010000000000 +000001000000000101000010100000000000000000000000000000 +000010100010000000100100000000000000000000000000000000 +000000000000000001100000000001001100101000010100000000 +000000000000000001000000000011001000110100011011100000 +000000001110000000000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +000000000000001001100000000001101110110011000000000000 +000000000000001001100000000111101010000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 6 11 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -101000000000001000000000000000000000000000000000000000 -000000000000000111000010100000000000000000000000000000 -110000000000001000000000000000000000000000000000000000 -110000000000001001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000101000000000010000000000010 -000000000000000011100110100011000000000000000000000000 -000000000000000000100000000000100000000001000000000000 -000000000000000000000000000001111000010111110000000000 -000000000000000000000000000000010000010111110010000000 -000000000000000000000010000000001100001100110000000000 -000000000000000000000000000000001001001100110000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000011010000100000100000000 +000000000000000000000000000000000000000000000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 7 11 -000000000000100111100110001101011100011111110100000000 -000000000001010000000110000101111010101011111000100000 -101010000000001001100110000111111101101111110100000000 -000000000000000101100000000001011001001111110000000000 -110000000000001001100000010001001110001111110100000000 -110000000000001001100010010101101101101111110000000000 -000000000000001101000000011000000000100000010000000000 -000000000000000001100010010111001010010000100000000000 -000000000000001000000011101111011111010111100000000000 -000000000000001011000110010011111110000111010000000000 -000000000000000001000010101001001011010110110100000000 -000000000000001011000010010101101011111111110000000000 -000000000000101101000110001011011100101111110100000000 -000010000001010101000110100111101110001111110000000000 -010000000000000101100000011011001011110110100000000000 -010000000000000101000010100001001110110100010000000000 +000000000000000001100000000001011011000000000010000000 +000000000000000000000000001011011011000010000000000011 +101000000000010111100000010000000001000000100100000000 +000000000000100000000011110000001101000000000000000000 +110000000000000000000000000000011000000100000100000000 +110000000000000111000000000000000000000000000000000000 +000000000000001001100010101000011110101000000000000000 +000000000000000001000010100101010000010100000001000100 +000000000000000000000000011101001111010000000000000000 +000000000000000000000010000101001110010000100000000000 +000000000000000000000111001101101100000010100000000000 +000000000000000000000100001101110000000000000000000000 +000000000000001000000110000000000000000000000000000000 +000000000000000001000100000000000000000000000000000000 +000000000000000101100010000000011000000100000100000001 +000000000000000000100000000000010000000000000000000001 .logic_tile 8 11 -000000000000000000000000000011011000010111100000000000 -000000000000000000000010111111001001000111010000000000 -101000000000001001100000000011000000000000000100000000 -000000000000001011000000000000100000000001000000000000 -010000000000000000000010000000011010000100000100000000 -110000000000100000000100000000000000000000000000000000 -000000000000000001000000000000000000000000000100000000 -000000000000000001000011101111000000000010000000000000 -000001000100000111000000000000000001000000100100000000 -000011100000000001100010000000001100000000000000000000 -000000000000000000000000001101111000000000000000000000 -000000000000000001000010001101000000010100000000000000 -000000000000000000000110000000000000000000100100000000 -000000000000100000000010000000001100000000000000000000 -000000000000000000000000000011100000000000000100000000 -000000000000000000000000000000100000000001000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000111000000000010000000000010 +101000000000000000000000000000000000000000000100000000 +000000000100000000000000001111000000000010000000000001 +110000000000000000000000000000001011001100000000000000 +010000000000000000000010110000011101001100000010000000 +000010100000000000000000000000000000000000100100000000 +000001000000000000000000000000001101000000000000000000 +000001100000100000000110110000011110000100000101000000 +000010000000000000000010000000010000000000000000000000 +000000000000000000000000000000001110000100000100000100 +000000000000000000000010110000000000000000000000000000 +000000000000001101100000010000011110000100000100000000 +000000000000000101000010100000000000000000000010000000 +000000000000001101100000010000000000000000100100000000 +000000000000000101000010100000001110000000000000000010 .logic_tile 9 11 -000000000000000000000011110000000000001111000000000001 -000000000000000000000011110000001101001111000000100000 -101000001011010011100111001000011101110111010000000001 -000000000000000101100100001011011001111011100000000000 -010000000000000101100010111000001100000001010000000000 -110000000000000000000010100011000000000010100000000000 -000001000000000101100011101011101101011111110000000000 -000000101010000000000000001011111001000000110000000000 -000000000000000001100000011101001110010111100000000000 -000000000000000000100010110101111001000111010010000000 -000000000000000000000110000001111110001111110000000000 -000000000000000001000010000011001110000110100010000000 -000000000000000001100000000011001111000111010000000000 -000000000000001001000010110101011011101011010010000000 -000000000001000101000000000111001101100010100100000001 -000000000000100000100000001001011000100001010000000000 +000000000000000000000000000000000001000000100100000000 +000000000000000000000000000000001011000000000000000100 +101000000000000101000000010000000000000000000100000000 +000000000100000000000011010101000000000010000000000001 +110000000000000101000010100000011000000100000110000000 +110000000000000101000010100000000000000000000000000101 +000000000000000000000010100000011000000100000100000000 +000000001110000000000010100000010000000000000000000000 +000000000000010000000000000101000000000000000100000000 +000000000000100000000000000000100000000001000000000100 +000000000000000000000000000000000001000000100110000100 +000000000000000000000000000000001011000000000010000000 +000000100001010000000111100000000000000000100100000100 +000001000010000000000100000000001010000000000000100000 +000000000000000000000000000000001010000100000100000000 +000000000000000000000000000000010000000000000010000100 .ramb_tile 10 11 -010000000110000000000000000000000000000000 +010000000000000000000000000000000000000000 001000010000000000000000000000000000000000 -000010101110000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 -000010100001010000000000000000000000000000 -001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000100000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -2932,55 +2932,55 @@ 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 +001000000000010000000000000000000000000000 .logic_tile 11 11 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 +000000001000000111000000000001111111011111110100000000 +000000000000000000100010011001101110101011111000100000 +101000000000000000000110100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +110000000000000001000000000001001111011111110100000000 +110000000000000000100000000101001110101011110001000000 +000000000000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000000010000000000011111100100000000 -000000000000000111000011101011001100101111010001000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 -000000000001000001000000000000000000000000000000000000 -010000000000001000000000001000000000011111100100000000 -010000000000000111000000000111001110101111010001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111100000000000000000000000000000 +000000000000000001000100000000000000000000000000000000 +010000000000001111100000000000000000000000000000000000 +110000000000000011100000000000000000000000000000000000 .logic_tile 12 11 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000001000000100100000000 +000000000000000000000000000000001011000000000000000000 .io_tile 13 11 -000000000000100010 +000000000000000010 000100000000000000 100000000000000000 100000000000000001 -000010000000010010 -000001010000110000 +000010000000100010 +000001010000010000 001100000000000000 -000001110000000000 000000000000000000 -000100000000000000 +000001010000000000 +000100001000000000 000000000010000010 000000000001000000 000000000000000000 @@ -3001,58 +3001,58 @@ 000100000000000000 000000000000001100 000000000000001100 -000001011000000000 -000000000000000000 +000001010000000000 +000000001000000000 000000000000000000 000000000000000000 .logic_tile 1 12 -010000000000100000000000000000000001001111000000000000 -001000000001000000000000000000001000001111000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000001101000000000000000000000000000000000000 -010000000000000000000010000000011100000000110000100000 -111000000000000000000100000000001111000000110000000001 +110000000000000000000010110000000000000000000000000000 +001000000000000000000111010000000000000000000000000000 +111000000000001000000010100101000000000000000100000000 +000000000000000001000000000000100000000001000000000000 +010000000000000000000010101011011000100000000000000000 +011000000000000000000000001111011100000000000000000000 000000000000000000000110000000000000000000000000000000 -001000000000000000000100000000000000000000000000000000 -000000000000000000000000001000000000000000000110000100 -001000000000000000000000001101000000000010000000000010 -000000000000000001000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000000000000001101100000000000000001000000100100000000 +001000000000000101000000000000001011000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000001111000000000000000000000000000000000000 +000000100000000001100000000001000001110110110000000100 +001000001010000000000000000111101100110000110000000000 +110000000000000000000110100111011000000001010000000100 +001000000000000000000000000000000000000001010000000000 .logic_tile 2 12 -010001000000000101000000000000000000000000000100000000 -001010100010000000000000001101000000000010000000000010 -111000000000000101000010100000000000000000000000000000 -000000000000000101000010100000000000000000000000000000 -110000000000000000000010100000011000000100000100000001 -111000000000000000000010100000000000000000000000000000 -000000000000000000000000000001100000000000000100000000 -001000000000000000000000000000000000000001000000000010 -000000001100000000000000001001100000000000000100000000 -001000000000000000000000001001100000111111110000100000 -000000000000000000000000010000000000000000100100000000 -001000000000000000000010000000001000000000000010000010 -000000000000000000000111001000000000010110100100000001 -001000000000000000000000001011000000101001010010000010 -110010100001010000000000000000000000000000000100000000 -001001000000000000000000001101000000000010000000000010 +010000000000000101000000001101011010011010010000000000 +001000000000000000000010101101011110000000000000000000 +111000000000000011100111001000001101101111000100000000 +000000000000000101100110110111001010011111000000000001 +000000000000000000000010100001000000000000000000000001 +001000000000000111000000001001000000111111110000000000 +000000000000000101000010100001001010010101010000000001 +001000000000001101000010100000110000010101010000000000 +000000000000001000000000001011111001000000000000000000 +001000000000001001000000001001011101100101100000000000 +000000000000001001000000010000000001100110010010000000 +001000000000000011000011010001001000011001100000000000 +000000000000000111100000001000000001011001100010000000 +001000000000000000000000000101001000100110010000000000 +110000000000001000000000000101111101011010010000000000 +001000000000000001000000000000001011011010010000000000 .ramt_tile 3 12 -000001000000000000000000000000000000000000 -000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110100000000000000000000000000000 -000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000100000000000000000000000000000 -000001000001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3061,431 +3061,431 @@ 000000000000000000000000000000000000000000 .logic_tile 4 12 -010000000000001000000000001101001010000000010000000000 -001000000000001111000000000101101000000000000000000000 -111000000000000111100000000101000001011001100100000000 -000000000000000000100000000000001100011001100000000000 -010000000000000111100011100111011011011000000000000000 -111000000000001101100010101011011110000010010000000000 -000000000000000101000000001000000001011001100100000000 -001000000000000000000010001011001000100110010000000000 -000000000000000000000000010111111100101010100100000000 -001000000000000000000011100000010000101010100000000000 -000000000000000011100011101111100000000000000000000000 -001000000000000000100100000111100000111111110000000000 -000000000000000000000000000111011100010101010100000000 -001000000000001111000011100000100000010101010000000000 -110000000000001001100110100000000000000000000000000000 -001000000000000111000000000000000000000000000000000000 +010000000000000000000111000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +101000000000000011100000000000000000000000100100000000 +000000000000000000000000000000001011000000000000000100 +010000000000000000000010010000000000000000000000000000 +111000000000000001000111010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000011000000100000100000000 +001000000000000000000000000000000000000000000000100000 +000000000000000000000000000000000000000000100100000000 +001000000010000000000000000000001010000000000000000110 +000000000000000000000000000000001000000100000100000000 +001000000000000000000000000000010000000000000000100100 +110001100000000000000000001000000000011001100100000000 +001000000000000000000000000001001001100110010000000000 .logic_tile 5 12 -010000000000000000000000000000011110000100000100000000 -001000000000000000000000000000000000000000000000000000 -111000000000000011100000001011000000000000000000000000 -000000000000100000100000001111000000111111110000000000 -000000000000000000000000000001100000000000000100000000 -001000000000000000000000000000000000000001000000000000 -000000000000000000000000000111000000000000000100000000 -001000000000000000000000000000000000000001000000100000 -000010000000000000000000010000000000000000000000000000 -001000000000001111000010000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000001101100000000000000000000000100100000000 -001000000000000001000000000000001101000000000000000000 -000000000000001000000000010000000000000000000100000000 -001000000000000101000010000111000000000010000000000000 +010000000000000000000000010000000000000000000000000000 +001000000000000000000010000000000000000000000000000000 +101000000000000101000000000000000001000000100100000000 +000000000000000000100000000000001000000000000000000000 +000001000000000000000000000011000000000000000100000000 +001010100000001101000000000000100000000001000000000000 +000000001110000000000010100000001000000100000100100000 +001000000000000000000100000000010000000000000000000000 +000000000000000000000010100000000000000000000100000000 +001000000000000000000110111011000000000010000000000000 +000000000000000000000000000000000001000000100100000000 +001000000000000000000000000000001110000000000000100000 +000000000000000000000000000000000001000000100100000000 +001000000000000000000000000000001011000000000000100100 +000000000000001000000000000000000000000000000100000000 +001000000000000001000000000101000000000010000000000000 .logic_tile 6 12 -010000000000101000000000000000000000000000000000000000 -001000000000000011000000000000000000000000000000000000 -101000000000000000000000010001000000000000000100000000 -000000000000000000000011000000000000000001000000000000 -010000000001000000000011101000000000000000000100000000 -111000000000100000000000001001000000000010000000000100 -000001000000001000000000000011100000000000000000000000 -001000000000000011000000000000000000000001000000000000 -000000000000000000000000000101100000000000000100000000 -001000000000000000000000000000000000000001000000000000 -000000000000101000000000000000000000000000000000000000 -001000000001010101000000000000000000000000000000000000 +010000000000000000000000010000000000000000000000000000 +001000000000000000000011010000000000000000000000000000 +101000000000000011100000010101000000000000000100000000 +000000000000000000100011100000100000000001000000000000 +010000000000000000000111001000000000000000000100000000 +011000000000000000000000001001000000000010000000000000 +000000000000000011100000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +001000000000000000000000000101000000000010000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +001000100000000000000000000000000000000000000000000000 +110000000000000000000000000000000001000000100100000000 +001000000000000000000000000000001000000000000000000000 .logic_tile 7 12 -010000000000000000000011101101100000100000010000000000 -001000000000000000000010000001001010000000000000000100 -101000000000001000000111110101100001001001000010000000 -000000000000001011000011110000101001001001000000000000 -010000000000000001000000001000000000000000000110000000 -011000000000000000000000001001000000000010000000000010 -000000000000000001100110000000000000000000000000000000 -001000000000000001100010110000000000000000000000000000 -000000000000000000000000001001111110101001010010000000 -001000000000000000000000001101100000101011110000000000 -000000000000000000000000011111111011000111010000000000 -001000000000000000000010100111101011010111100000000000 -000000000000000000000010001000001001111100010000000100 -001000000000000000000000000101011011111100100000000000 -110000000000001111000110100011101111001000000000000000 -111000000000000101100100000000111010001000000000000000 +010000000000000101000010100000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +101000000000000101000000000101101010110000110010000000 +000000000000000000000000001101101001110000100000000000 +110000000001000101000000000000000000000000000000000000 +111000000000100000000010100000000000000000000000000000 +000000000000001001000010101101111100001111000000000000 +001000000000001111000000000101011100011111000000000000 +000000000010000001000000000000011110000100000100000101 +001000000000000000000000000000010000000000000000000000 +000000000000100000000000010000000000010110100000000000 +001000000001010000000010100011000000101001010000000000 +000000000000000000000110010001011001011111110000000001 +001000000000000000000010110000001011011111110010100001 +110000000000000001100000000000011011000000010000000000 +111000000000000000000010001111001010000000100000000001 .logic_tile 8 12 -010000000000000011100111001001011010011111110000100000 -001000000000000001100110001101011001001011110000000000 -101000000000000000000000000011011100110110100000000000 -000000000000000101000000000101101101111000100000000000 -010000000000000011100110010001011010010100000000000000 -111000000000000111000110000000010000010100000000000000 -000000000000001001000010110011101110000011110000000000 -001000000000001011000011001111111011100011110000100000 -000000000000000000000010010001001000111111100000000000 -001000000000000000000010100000011010111111100000000010 -000000000000001000000000011000000000100000010000000000 -001000000000000001000010001101001000010000100000000000 -000000000000000000000010010000011010000100000100000000 -001000000000000000000010110000000000000000000000000000 -010000000000000000000000000001011001001111100000000000 -111000000000000000000000000001001011011111110000000000 +010000000000000000000110010000001000000100000100000000 +001000000000000000000111110000010000000000000000000000 +101000000000000000000000010000011111000000010000000000 +000000000000000000000010000011011100000000100000000001 +110000000000001000000010100000000001010000100000000000 +111000000000000001000000001001001011100000010000000000 +000000000000001000000110110000000001000000100100000000 +001000000000001001000010100000001010000000000000000000 +000000000000001001100000001101011010010110000000000000 +001000000000001001100000001001011011111111000010000000 +000000000000000001100000001111100000110110110000000001 +001000000000000000100000000011101100111111110010000101 +000000000000000000000111001000000000010000100000000000 +001000000000000000000000001111001100100000010000000000 +000000000000000000000110001000001100000011100001000000 +001000000000000000000100000011001100000011010000000000 .logic_tile 9 12 -010000000000000000000111011001111101000110100010000000 -001000000000000000000010101011001010001111110000000000 -101000000000000101100111000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010001000000000000000011100000001000000100000100000000 -111010000000000000000100000000010000000000000000000100 -000000000000100011100000001000000000000000000100000000 -001000000000000000100000001001000000000010000000000001 -000000000000000000000000000000000000000000000000000000 +010000000000000101000010101000000001100000010000000010 +001001000000000000000000000101001011010000100001000000 +101000000000000000000010100000000000000000100100000001 +000000000100000101000010100000001001000000000000000000 +110000000000000101100110100001100000000000000100000001 +011000000000000101000000000000100000000001000000000000 +000000000000000101100110111101001010010111100010000000 +001000000000000000000010101101011101001011100000000000 +000000000000100000000011001000000000000000000110000000 +001000000001010000000010111001000000000010000000000000 +000000000000000000000000000000011000000100000110000000 001000000000000000000000000000000000000000000000000000 -000000000000000001100000010000000000000000000000000000 -001000000000000000100011000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -001000000000000000000011110000000000000000000000000000 -110000000000000000000000000001000000100000010000000000 -001000000000000000000000000000101111100000010000100000 +000000000000000000000000000000001010000100000100000000 +001000000000000000000000000000000000000000000000000010 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000000001000000000010000000000001 .ramt_tile 10 12 000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 .logic_tile 11 12 -010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +010000000000000101000000010000000000000000000000000000 +001000000000000000100011110000000000000000000000000000 +101000000000001011100000010000000000000000000000000000 +000000000000001111100011100000000000000000000000000000 +010000000000000000000011101001001111000111010000000000 +011000000000000000000000000001011011010111100000000000 +000000000001000011000110001000001100010111110100000000 +001000000000000000000110110001010000101011110000100000 +000000000000011000000000011000000001011111100110000000 +001000000000100111000010001001001110101111010000000000 +000000000000000001100000001001101100001011100000000000 +001000000010000000000000001101001111101011010000000000 +000001000000000000000000000000000000000000000000000000 +001000000000000000000010000000000000000000000000000000 +010000000000000111100000000000001011001111110100000000 +111000000000000000100000000000011100001111110000100000 .logic_tile 12 12 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -001000000000100000000000000000000000000000000000000000 +000001000001010000000000000000000000000000000000000000 +001000100000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000001000000000000000000000000000000000000000000000 .io_tile 13 12 -000000111100000010 -000000001100000000 +000000000100000010 +000000000100000000 000000000100000000 000000000100000001 -000010000101010001 -000001010101010000 +000000000101100001 +000000000101110000 000000000100000000 000000000100000000 000010000000000000 000001010000000000 -000000000000000010 +000000111000110010 000000000000010000 000000000000000000 -000000000000000001 +000001110000000001 000000000000000010 000000000000000000 .io_tile 0 13 000000000100000000 -100100000100001000 +000100000100000000 +000000000100000000 000000000100000000 000000000100000000 000000000100000000 -100000000100000000 001100000100000000 000000000100000000 001000000000101000 000100000000000100 -000000000000000100 -000011110000001100 +000010000000000100 +000000110000001100 000000000000000000 000000000000000000 000000000000000000 000000000000000000 .logic_tile 1 13 -110000000000000000000000010000000000000000001000000000 -001000000000000000000010000000001100000000000000001000 -111000000000001101000000000011100000000000001000000000 -000000000000000001100000000000001010000000000000000000 -110000000000000000000000001011101000010010000100000000 -111000000000000101000010111111001001010110100000000000 -000000000000000000000000001001011001010000110000000000 -001000000000000000000000001111101000110000110000000000 -000000000000001000000000011101011100000001110100000000 -001000000000000101000011001111001100000011100000000000 -000000000000000101100000000001101101110100000000000100 -001000000000000011000000001111011111111100000000000000 -000000000000000000000111010111101010000010100100000000 -001000000000000000000010000000110000000010100000000000 -110000000000000000000110011011011000000000000000000000 -001000000000000000000010001111101111100000000000000000 +110000000000000101000000001000000001001001000100000100 +001000000000000000000000000001001101000110000000000010 +111000000000001000000000000101011111111111100000000001 +000000000000000101000000000000011010111111100000000001 +010000001110000101000000010101111010110011010000000000 +001000000000000000000010000111101010111011000000000000 +000000000000000101100000001111000001101001010000000000 +001000000000000101000010110101001011000110000000000000 +000000000000000000000000001000000001001001000100000000 +001000000000000000000000000101001101000110000000000010 +000000000000000000000110011000011110111100100000000100 +001000000000000000000010001011011011111100010000000000 +000000000000000101100000000101111010111111110000000000 +001000000000000000000000000101011110010000000000000000 +110000000000000000000011101101111000100010010000000000 +001000000000000000000100000101001000011001100000000001 .logic_tile 2 13 -110000000000000111000110100000011010000011110000000000 -001000000000001001000000000000010000000011110000000000 -111000000000000001100010111101011000111011000000000000 -000000000001010000000111001001111010110011000000000010 -010000000000001000000010100001100000000000000100100000 -001000000000000011000100001011000000010110100000000010 -000000000000000000000000010000000000000000000000000000 -001000000000000000000010100000000000000000000000000000 -000000000000000000000000000001101110010100000100000000 -001000000110000000000011110000000000010100000000100010 -000000000000000000000000000111000000000110000000000000 -001000000000000000000000000000101001000110000000000000 -000000000000000000000111000000000000000000000000000000 -001000000000001111000000000000000000000000000000000000 -110000000000000000000000000001000000111001110010000001 -001000000000000000000000000000001000111001110000100000 +110000000000001000000010100000000000000000000000000000 +001001000000001111000010100000000000000000000000000000 +111000000000000111000111001000000000000110000100000000 +000000000000000000000100001001001100001001000000000000 +110000000000000111100010101001101000100010000000000000 +111000000000000011100100001101011001001000100000000000 +000000000000000101000010100101101011100010000000000000 +001000000000000000000110100101011011001000100000000000 +000000000000000001100110010111001100110111110000000000 +001000000000000000000010001011111010111111110000000000 +000000000000000000000000000101000001111001110000000001 +001000000000000000000000000000001110111001110010000000 +000000000000000000000111010000000000011001100000000000 +001000000000000000000110011101001000100110010000000000 +110000000000000000000000000000000000000000000000000000 +001000000000000001000000000000000000000000000000000000 .ramb_tile 3 13 -010000000000000000000000000000000000000000 +010100001100000000000000000000000000000000 001000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -001010100000000000000000000000000000000000 +000001001110100000000000000000000000000000 +001000100001000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000100000000000000000000000000000 001000000001000000000000000000000000000000 +000000001000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 .logic_tile 4 13 -010000000000000000000111101001000001001111000000000000 -001000000000000000000110111001101011110000110000000000 -101000000000000000000000010000001000000100000100000000 -000000000000000101000011110000010000000000000000000010 -000000000000000000000000000000000001000000100100000000 -001000000000000000000000000000001000000000000000000010 -000000000000000000000110000111011101101011100000000000 -001000000000000000000110100111011110111010100000100000 -000010000000000000000000000000000000000000000000000000 -001001000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -001001000000000000000010001101000000000010000000000000 -000000000000000001000000000000000000000000000000000000 -001000000000000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000001000000000000000000000000000000000000 +010000000000000000000000000000000001000000100100100000 +001000000000000000000000000000001001000000000000000001 +111000000000101000000000010000000001000000100100000000 +000000000000000111000011100000001110000000000000000000 +110000000000001111100000000000001110000100000100000000 +011000000000001111100000000000010000000000000000000000 +000000000000000111100000000000011010000100000100000000 +001000000000001001100000000000000000000000000000000000 +000000000000000000000000011000000000010110100110000001 +001000000000000000000011100011000000101001010001100000 +000000000000001000000000000000011000001100110100000000 +001000000000000001000000000000011010001100110000000000 +000000000000000000000000001101100000000000000100000000 +001000000000000000000011100001000000111111110000100000 +110000000000000000000000000000001010000100000100000000 +001000000000000111000000000000000000000000000000000000 .logic_tile 5 13 -010000000000000000000000000000000000000000100100000000 -001000000000000000000000000000001110000000000000000000 -101000000000000101100000000000000000000000000000000000 +010000000000000101100000001000000000000000000100000000 +001000000000000000000000000101000000000010000000000000 +101000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000100100000000 -001000000000000001000000000000001111000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000001010000100000100000000 -001000000000000000000000000000000000000000000000000010 +000000000000000101100110100000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000001100110010000001110000100000100000000 -001000000000000000100110000000010000000000000000100010 -000000000000000000000000001000000000000000000100000000 -001000000000000000000000000001000000000010000000000010 +000000000000000000000000000000000000000000100100000000 +001000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000011010000100000100000000 +001000000000000000000000000000010000000000000000000000 .logic_tile 6 13 -010000000000000000000000010000000000010110100000000000 -001000000000000000000011011001000000101001010010000000 -101000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000001000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 -001000000000000000000011110000000000000000000000000000 -000000000000000000000000000101100000000000000100000100 -001000000000000000000000000000100000000001000000000000 -000000000000000000000000000000000000000000000000000000 -001000100000000000000000000000000000000000000000000000 -000000000000000000000111100000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 010000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +111000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +001000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000001101000000000010000000000000 +000000000000000000000000000000000000000000000100100000 +001000000000000000000000001111000000000010000000000100 +000000000000000000000000000000000001000000100100000000 +001000000000000000000000000000001001000000000000000100 +000000000000000000000110000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000101000000000000000100000000 +001000000000000000000000000000000000000001000000100000 .logic_tile 7 13 -010000000000001000000000010000000000000000000000000000 -001000000000000011000011000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000010000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000001000000000000000100000000 -001000000000000000000000000000100000000001000010000000 -000010000000000000000000000000000000000000000100000000 -001000000000000000000000000001000000000010000000100000 -000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000110000000000000000000000100000000 -001000000000000000000100001101000000000010000010000000 -110000000000000000000000000000000000000000000000000000 +101000000000000001100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +110000000000000111100010001001100001110000110000000000 +011000000000000000100100001101001111110110110000000000 +000000000000000000000010100101000000000000000100000000 +001000000000000000000000000000100000000001000000000000 +000000000000000000000000010000000000000000100110000000 +001000000000000000000010000000001010000000000010000001 +000000001100000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000001010000000000000000000000000000000000000000000 +110000000000000000000111000000000000000000000000000000 +001000000000000000000100000000000000000000000000000000 .logic_tile 8 13 -010000000000000000000110000111100000000000000100000000 -001000000000000000000100000000100000000001000000000000 -101000000000000101000011101101011000011110100000100000 -000000000000000101000000000001101110101110000000000000 -110000000000000000000110100000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000111000000001111110000000000000100 -001000000000000000000000000000001000110000000000000000 -000000000000000000000000001000000000000000000100000000 -001000000000000000000010001101000000000010000000000000 -000000100000000101100000001001111100000111010000000000 -001001000000001001000010100001011001101011010000100000 -000000000000000001100110000000000000000000100100000000 -001000000000001001100000000000001101000000000000000000 -000000000000100001000000001111111101010111110000000000 -001000000000000000000010001001001100101111010000100000 +010000000000000000000000010000001010000100000100000001 +001000000000000000000010100000000000000000000010000001 +101010000000001000000000000000000000000000000100000000 +000001001010000001000010111111000000000010000010000000 +010001000100000000000110100101011110101000000000000000 +011010100000000001000010100000110000101000000000000000 +000000000000001000000000000001111101011110100000000001 +001000000000000101000010101011111011011101000000000000 +000000001100000000000010000000011110000100000101000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000011101011000010110100000000000 +001000000000000000000010010101101110010110000010000001 +000000000000001000000000001000000000000110000000000100 +001000000000000001000010000001001011001001000010000001 +110000000000000000000000011000000000100000010000000000 +001000000000000001000010101101001011010000100010100000 .logic_tile 9 13 -010010100000000011100010101101001001010110100000000000 -001000000000000001100000001011111100100001010010000100 -101000000000000000000000000001011011010110110000000001 -000000000000000000000000001011001011011111110000000000 -010000000000000000000111000000000000000000000000000000 -111000000000000000000010100000000000000000000000000000 -000000000000000101000000010000000000000000000100000000 -001000000000000000100011010001000000000010000000000000 -000000000000000000000110000000011111000011000000000000 -001000000000000000000000000000001101000011000000000100 -000010100000001000000000000000000001000000100100000000 -001001000000000111000011110000001111000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000001000000000010000000000000000000000000000 -001000000000000111000010110000000000000000000000000000 +010000000000001000000000000000000001000000100100000000 +001000000000000001000000000000001001000000000000000000 +101000000000010000000000010000000000000000000100000000 +000001000000100111000011001011000000000010000000000000 +110000000001011111100110011011011111000110100010000000 +111000000000001011100011111111011010001111110000000000 +000000000000000001100000010000000000000000100100000000 +001000000000000000000011100000001010000000000000000000 +000000000000000001100010000011011110000110100010000000 +001000000000000000100000000101011011001111110000000000 +000000000000000000000000000001100000000000000100000000 +001000000000001011000000000000000000000001000000000000 +000000000000000000000011100101101010101000000000000000 +001000000000000000000100000000110000101000000010000000 +000000000000000000000111011001011100000110100010000000 +001000000000000000000110011111001100001111110000000000 .ramb_tile 10 13 -010000000000000000000000000000000000000000 -001000010000000000000000000000000000000000 +010001000000000000000000000000000000000000 +001000110000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000000010000000000000000000000000000 -000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +001000000000000000000000000000000000000000 +000001000000100000000000000000000000000000 +001000100001000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001010000000000000000000000000000000000000 -000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 .logic_tile 11 13 -010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +010010100001011000000010111011111111001111110000000000 +001001000000100111000111001111001100000110100000000010 +101000000000000111100110001001101000000001000100000001 +000000000000001111100000000001011001010111100000000000 +110000000110001000000111101111111001000111010000100000 +011000000000000111000100001111011011010111100000000000 +000000000000000111100110101001011001111100000000000000 +001000000000000000000100001011001100100100000000000000 +000010100000000000000110001001001110111101010100000001 +001001000001000000000010000001111000111000100000000000 +000000000000001111100111100001101010110100110110000001 +001000000000000001000000000000011101110100110000000000 +000000000000000000000000001011000001010000100100000000 +001000000000000111000011100001101010010110100010000000 +000000000000001111100010000001111110001000010100000000 +001000000000000111000100000111001010011110110000000000 .logic_tile 12 13 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +001000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +001001001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 .io_tile 13 13 -000000000100000000 -000100000100000000 -000000000100000000 -000000000100000000 000001110100000000 -000000001100000000 +000100001100000000 +000000000100000000 +000000000100000000 +000000000100000000 +000000000100000000 000100000100000000 000000000100000000 000000000000000000 000100000000000000 -000000000000010010 +000000000000000010 000000000000010000 000000000000000000 000000000000000001 @@ -3511,198 +3511,198 @@ 000000000000000000 .logic_tile 1 14 -100000000000000000000010101000000000000000000100000000 -000000000000000000000011110101000000000010000000000000 -111000000000000101000000000111001101111110000000000000 -000000000000000000000000001001111000111100000010000000 -010000000000000000000000000101100000111111110000000000 -010000000000000000000000000011000000000000000000000010 -000000000000001001100000001011001111100110010001000000 -000000000000000001000000000111001010100100010000000000 -000000000000001000000000010111000000000000000100000000 -000000000000000001000011110000100000000001000000000000 -000000000000000000000000000011000001010000100000000000 -000000000000000000000000000000001010010000100000000000 -000000000000000001100110010000000000000000000000000000 -000000000000000000100010000000000000000000000000000000 -110000000000001000000000001011001100111111110000000000 -000000000000001001000000000101100000101011110000100000 +100000000000000001100110000111100000000000001000000000 +000000000000000000100000000000000000000000000000001000 +111000000000000000000000000111111100001100111000000000 +000000000000000000000010100000101110110011000000000000 +110000000000000000000010100001001000110011000000000000 +110000000000000000000000000000101110001100110000000000 +000000000000000000000000001001101010000110100100000000 +000000000000000000000011101101111111000110000000000000 +000000000000000001100000000001000000010110100000000000 +000000000000000000000000000000000000010110100000000000 +000000000000001000000000000101011001000010100100000000 +000000000000000001000000000011111011000011010000000000 +000000000000000001000111111000001000101000000000000000 +000000000000000001000110000111010000010100000000000000 +110000000000001001100110000111100000010110100000000000 +000000000000000001000000000000000000010110100000000000 .logic_tile 2 14 -100000000000000000000010110001000000000000001000000000 -000000000000000111000111010000100000000000000000001000 -111000000000001011100010100111101010001100111000000000 -000000000000000001100100000000011010110011000000000000 -110000000000000101000010101000001000110011000000000000 -110000001000000111000100001011001000001100110000000000 -000000000000000000000000000001111100101000000010000000 -000000000000000000000000000000010000101000000000000000 -000000000000000000000000010001111000000110100100000000 -000000000000000000000010000101101110001001000000000000 -000000000110000000000000010001100000010110100000000000 -000000000000000000000010000000000000010110100000000000 -000000000000000001100110100001111000000110000100000000 -000000000000000000000100001011101010001011000000000000 -110000000000000001100110000111101000000010100100000000 -000000000000000000000000000000110000000010100010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +111000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000001000000000000000000000000000100100100000 +000000000000000111000000000000001001000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000100100000000 +000000000000000000000000000000001010000000000000100000 .ramt_tile 3 14 -000001000000000000000000000000000000000000 -000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001010000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 - -.logic_tile 4 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000111100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000010000000000001000011000010101010100000000 -110000000000000000000000000101000000101010100000000000 -000000000000000111100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 5 14 -000010100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000011100000100000100000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000100100000000 -000000000000000000000000000000001101000000000000000100 - -.logic_tile 6 14 -000000000000100000000110000000000001000000001000000000 -000000000001010000000011110000001110000000000000001000 -101000000000000000000000010001100000000000001000000000 -000000000000000101000010000000100000000000000000000000 -010000000000000000000000011101001000000001011100000000 -010000000000000000000010001101100000010100000000000000 -000000001110010000000110001000001000001000010100000000 -000000000000100000000000001011001001000100100000000000 -000000000000000000000000001000011100111101010000000000 -000000000000000000000000000001010000111110100000100000 -000000000000000000000110000111100000010110100100000000 -000000000000000000000000000000000000010110100000000000 -000000000000000000000000001000011101011000000100000000 -000000000000000000000000000111011001100100000000000000 -010000000000000001100000000001111110011111110000000000 -010100000000000000000000001001101011111111110001000000 - -.logic_tile 7 14 -000000000000100000000000000001100000000000000100000100 -000000000001010000000011110000100000000001000000000000 -101000000000000000000111000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 8 14 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000101000000000010000000000000 -101000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000010001000010101000011110000010100000000000 -110000000000000000000000000011000000000001010000000000 -000000000000000000000000000000000000000000100100000000 -000000000000000000000000000000001100000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000000000000 -000000000000000001000000000111000000000010000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000000111000000000000000100000000 -000000000000001001000000000000000000000001000000000000 - -.logic_tile 9 14 -000000000000001000000000010011111011011001010100100000 -000000000000000101000010101101101000011010100000000000 -101000000000001000000000001011001001111001010100100001 -000000000000001011000000001011011010111001100000000000 -110000000000000000000110100111111010010100000100000000 -110000000000000000000000000001000000010110100000000011 -000000000000000101100110101001011101011100100100100000 -000000000000000101000000001011101010001100000010000000 -000000000000001000000000001011111011111100000000000000 -000000000000001001000000000001101010100100000000000000 -000000000000001000000010011000011011101101010100000000 -000000000000001001000010010111011010011110100010000000 -000000000000000000000011101011111011011001010100000000 -000000000000000000000000001011101000011010100010000000 -000000000000001000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 - -.ramt_tile 10 14 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000001000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 + +.logic_tile 4 14 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001000000100000110000000 +000000000000000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 + +.logic_tile 5 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 + +.logic_tile 6 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 + +.logic_tile 7 14 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +101000000000000111100111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +010001000000000000000000000000000000000000000000000000 +010000100000000000000000000000000000000000000000000000 +000000001110000000000000001000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000011000000100000100000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 + +.logic_tile 8 14 +000000001110000101000000010101101100000111010000000000 +000000000000000000000011001001101111010111100000000000 +101000000000000101000011100000000000000000000000000000 +000000000000001001000010110000000000000000000000000000 +010000001110000001000111010000000001000000100100000000 +110000000000000000000011010000001011000000000000000000 +000000000000001000000010011000000000000000000000000000 +000000000000001011000010010001000000000010000000000000 +000000000000000101000010011011011000101001010000000000 +000000000000000000100010000001110000111110100000000000 +000000000000000000000000001011011100010110110000000000 +000000000000001101000000000101101011101111110000000000 +000000000010100000000110000000000000000000100100000000 +000000000001010000000100000000001010000000000000000000 +000000000000000000000000000001101000011110100000000000 +000000000000000000000000000001111101011101000000000000 + +.logic_tile 9 14 +000000000000001111100111001001001110000111110000000000 +000000000000000001100000000101001010101111110000000000 +101000000000001101100110110011001010111111100100100000 +000000000000001011000011110101011011101111010000000000 +110000001100001101000111010000000000000000000000000000 +110000000000000101000111010000000000000000000000000000 +000000000000001101000111100101011110010010100000000000 +000000000000000111100110100011101001110011110000000000 +000000000000000001000110000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +000000000000000000000111001101101011010111100000000001 +000000000000000011000100001101111101000111010000000000 +000000000000000000000011100011011011011110100100000100 +000000000000000001000100000001101001111111110000000000 +110000000000000011100000001001111001011111100100000000 +010000000000000000100000001001101000111111010000100000 + +.ramt_tile 10 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 14 -000000000000000000000000000000000000000000000010000000 -000000000000000000000000000000000000000000000000000001 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000011100000010100000000000 +000000000000000000000000001011000000000001010000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000001111000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3717,32 +3717,32 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000111100000000000000000000000 -000000000000000000000000000000100000000001000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000000000000111100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 .io_tile 13 14 -000000111000000010 -000100001000001000 +000000000000000010 +000100000000001000 000000000000000000 000000000000000001 -000000000000100010 +000000000000010010 000000000000110000 -001100000000000000 -000000000000000000 +001110000000000000 +000000110000000000 000000000000000000 000100000000000000 -000001111000000010 -000000001000010000 +000000000000110010 +000000000000110000 000000000000000000 000000000000000001 000000000000000010 -000000000000000000 +000011110000000000 .io_tile 0 15 000000000000000000 @@ -3763,44 +3763,44 @@ 000000000000000000 .logic_tile 1 15 +100000000000000000000000000101001110000001010100000100 +000000000000000000000000000000010000000001010000000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000000000001000000000010000100000100000 -000000000000000000000000000101001010100000010000000010 -000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000101000011010000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001001000001110110110000000000 -000000000000000000000000000101101011110000110000000010 +010000000000001001000000000000000000000000000000000000 +100000000000000011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010111000000000000000101100000 +000000000000000000000010110101100000010110100000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 15 -100000000000000101100010101101111010111111110000000100 -000000000000000000000000001001010000010111110000000000 -111010000000000000000010110000000000000000000000000000 -000001000000000111000011010000000000000000000000000000 -010000001100000000000111000000000000000000000000000000 -110000000000000000000100000000000000000000000000000000 -000000000000001000000010100000000001001111000000000000 -000000000000000011000011100000001001001111000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001100000000000000100000000 -000000000000000000000000000001000000010110100000000011 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000001000011010101001000000000000 -000000000000000000000000000101011001010110000010000000 .ramb_tile 3 15 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 +000100010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3817,30 +3817,28 @@ 000000000000000000000000000000000000000000 .logic_tile 4 15 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000111100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000010000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001111101000000100100000110 -000000000000000000000000000000011100000000100000000001 -000000000000010001000010010000000000000000000000000000 -000000000000101111000011100000000000000000000000000000 -000000000000000000000000001011111100101011110000000000 -000000000000000000000000001011010000101001010001000000 -110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 5 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3848,6 +3846,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3855,8 +3855,6 @@ .logic_tile 6 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3865,62 +3863,64 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 7 15 -000000000000000000000000000000011010000100000100000100 -000000000000000000000000000000010000000000000010000000 -101001000000000111100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -010001000000000000000011100000011000000100000100100000 -110010100000000000000100000000000000000000000000000001 -000000000000000000000111100000000000000000000000000000 -000000000000000000000110010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +101000000000000000000000001111000001011001100100000001 +000000000000000000000000001101001110010110100000000000 +010000000000000000000110000101100000000000000000000000 +110000000000000000000100000000000000000001000000000000 +000000000000001011100000000000000000000000000000000000 +000000000000001001000010100000000000000000000000000000 +000000000000000000000000000001011110110101010110000000 +000000000000000000000000000001101110111001010000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000001000000100100000010 -000000000000000000000000000000001011000000000010000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101100000010110100000000000 +000000000000000001000000000000000000010110100000100000 .logic_tile 8 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000111010001000000000000000100000000 +000000000000000000000010100000100000000001000001000000 101000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -010000000000000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010000000000000 -000000000000000000000010010000001100000100000100000000 -000000001010000000000010110000010000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000011010000100000100000000 000000000000000000000000000000000000000000000000000000 +110000000000000000000000000011100000000000000100000000 +000000000000000000000000000000000000000001000010000010 .logic_tile 9 15 -000000000000100000000000000101001011010100110110000000 -000000000001010000000011110000101000010100110000100000 -101000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -010000100000001011100110100000000000000000000000000000 -010001000000000011000010000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000011100000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000101001010101001000100000000 -000000000000000000000000001101011010111111100001100000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000011011010011001010100000000 +000000000000000000000000000001101110100101010000000001 +000000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3928,22 +3928,26 @@ 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000101010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 15 000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3955,10 +3959,6 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 .logic_tile 12 15 000000000000000000000000000000000000000000000000000000 @@ -3969,12 +3969,12 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3983,18 +3983,18 @@ 000100000000000000 000000000000000000 000000000000000001 -000000000000110010 +000000000000100010 000000000000010000 -001100000000000000 +001100111000000000 000000000000000000 -000000000000000000 -000100000000000000 -000000000000110010 +000000111000000000 +000100001000000000 +000000000000010010 000000000000110000 -000001010000000000 +000000000000000000 000000000000000001 000000000000000010 -000011110000000000 +000000000000000000 .io_tile 0 16 000000000000000000 @@ -4019,7 +4019,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4033,19 +4033,19 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 2 16 -000000000000000000000000001000001110010000000000000100 -000000000000000000000000000011011100100000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000011101100001000000000000100 -000000000000000000000000000000001111001000000000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 +000000000000000000000000001011000000000000000000000100 +000000000000000000000000000101001111100000010000000000 +000000001110000000000000000111011010010000000000000100 +000000000000000000000000000000101100010000000000000000 000000000000001000000000000000000000000000000000000000 000000000000001011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000010110000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4075,8 +4075,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4087,7 +4087,6 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 5 16 -000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4101,44 +4100,45 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 6 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -101000000000000011100000000111100000000000000110100101 -000000000000001001000000000000000000000001001010000100 -010000000000000001100010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000111011000101011110000000000 +000000000000000000000000000000000000101011110000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 + +.logic_tile 7 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000000000000000000000001000000100100100100 +000000000000000000000000000000001101000000001001100100 +010000000000000000000111000000000000000000000000000000 110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000001100000010110100000000000 -000000000000000000000000000000000000010110100001000000 -010000001010000011100000000000001011111111000000000000 -010000000000000000000000000000001100111111000000000000 - -.logic_tile 7 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 .logic_tile 8 16 000000000000000000000000000000000000000000000000000000 @@ -4156,7 +4156,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000010000000 .logic_tile 9 16 000000000000000000000000000000000000000000000000000000 @@ -4164,7 +4164,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4207,8 +4207,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4267,8 +4267,8 @@ 000000000000000000 .io_tile 2 17 -000000000000000000 -000100000000100000 +000000000000011000 +000100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -4276,21 +4276,21 @@ 000100000000000000 000000000000000000 000000000000000000 -000111110000000000 -000000000000100010 +000100000000000000 +000000000000110010 000000000000010000 000000000000000000 -000000000000000001 +000011010000000001 000000000000000010 000000000000000000 .io_tile 3 17 -000000000000000000 +100000000000000000 000000000000000001 000000000000000000 -011000000000000001 -000000000000000000 +010000000000000001 000000000000000000 +000000000000000001 001100000000000000 000000000000000000 000000000000000000 @@ -4304,7 +4304,7 @@ .io_tile 4 17 000010000000000010 -000001110000000000 +000011110000000000 000000000000000000 000000000000000001 000000000000000010 @@ -4321,7 +4321,7 @@ 000000000000000000 .io_tile 5 17 -000000000000000000 +000000000000100000 000000000000000000 000000000000000000 000000000000000000 @@ -4339,8 +4339,8 @@ 000000000000000000 .io_tile 6 17 -000000011001000000 -000100001000000000 +000001010001000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000100 @@ -4349,11 +4349,11 @@ 000000000000000000 000000000000000000 000100000000000000 -000010000000100010 -000001010000110000 000000000000000000 -000000000000000001 -000000000000000010 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 000000000000000000 .io_tile 7 17 @@ -4361,9 +4361,9 @@ 000000000000001000 100000000000000000 000000000000000001 -000000000000001100 +000000011000000100 000000000000000100 -001100011000000000 +001100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -4381,11 +4381,11 @@ 000000000000000001 000000000000000000 000000000000000000 -000000000001100000 000000000000000000 -010000000000000000 000000000000000000 000000000000000000 +100000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000001 @@ -4393,8 +4393,8 @@ 000000000000000000 .io_tile 9 17 -010000000000000000 000000000000000000 +000000000000000001 000000000000000000 000000000000000001 000000000000000000 @@ -4402,7 +4402,7 @@ 001000000000000000 000000000000000000 000000000000000000 -100100000000000000 +000100000000000001 000000000000000000 000000000000000000 000000000000000000 @@ -4411,16 +4411,16 @@ 000000000000000000 .io_tile 10 17 -000000000000000010 -000000000000000000 +000010000000000010 +000001110000000000 000000000000000000 000000000000000001 -000000000000100010 +000000000000000010 000000000000010000 000000000000000000 000000000000000000 -010001110000000000 -000000001000000000 +100000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -4429,11 +4429,11 @@ 000000000000000000 .io_tile 11 17 -000000000000000010 -000000000000001000 +000010000000000010 +000001110000001000 000000000000000000 000000000000000001 -000000000000110010 +000000000000000010 000000000000010000 001100000000000000 000000000000000000 @@ -4441,8 +4441,8 @@ 000100000000000000 000000000000000000 000000000000000000 -000001110000000000 -000000001000000000 +000000000000000000 +000000000000000000 000000000000000000 000000000000000000 @@ -4464,6 +4464,24 @@ 000000000000000000 000000000000000000 +.ram_data 3 5 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 + .ram_data 3 7 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4482,25 +4500,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 9 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 3 5 +.ram_data 3 1 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4540,933 +4540,971 @@ .sym 2 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce .sym 3 r_counter[0]_$glb_clk .sym 4 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce -.sym 5 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr +.sym 5 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr .sym 7 lvds_clock_buf .sym 8 i_glob_clock$SB_IO_IN_$glb_clk -.sym 41 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I1[5] -.sym 42 smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E -.sym 43 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_I1[5] -.sym 44 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_I1[4] -.sym 45 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 46 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] -.sym 50 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0[5] -.sym 51 i_smi_a1_SB_LUT4_I1_O[0] -.sym 52 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[0] -.sym 53 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 54 smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E -.sym 81 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] +.sym 47 i_smi_a1_SB_LUT4_I1_O[2] +.sym 48 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[0] +.sym 51 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[3] .sym 180 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[5] .sym 181 w_rx_24_fifo_pull -.sym 218 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 221 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 182 i_smi_a1_SB_LUT4_I1_O[0] +.sym 183 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[4] +.sym 184 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 197 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 205 rx_09_fifo.rd_addr[3] +.sym 243 w_smi_data_output[1] .sym 293 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_I1[5] -.sym 294 smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_E -.sym 295 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[4] -.sym 296 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 297 lvds_rx_09_inst.o_fifo_data[12] -.sym 298 i_smi_a1_SB_LUT4_I1_O[1] -.sym 324 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[5] -.sym 329 lvds_rx_09_inst.o_fifo_data[14] -.sym 366 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[3] -.sym 405 w_smi_data_output[3] -.sym 406 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[3] -.sym 407 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_O -.sym 408 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 409 w_smi_data_output[1] -.sym 410 w_smi_data_output[2] -.sym 411 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[1] -.sym 412 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_O -.sym 439 smi_ctrl_ins.int_cnt_24[4] -.sym 449 w_smi_data_output[7] -.sym 464 lvds_rx_09_inst.o_fifo_data[10] -.sym 471 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[5] -.sym 481 io_smi_data[6]$SB_IO_OUT -.sym 483 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[3] -.sym 485 lvds_clock -.sym 521 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_I3[2] -.sym 522 w_rx_24_fifo_data[9] -.sym 524 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[0] -.sym 526 w_rx_24_fifo_data[7] -.sym 532 i_smi_a1_SB_LUT4_I1_O[2] -.sym 546 w_rx_09_fifo_pulled_data[1] -.sym 552 i_smi_a3$SB_IO_IN -.sym 557 w_rx_09_fifo_pulled_data[17] -.sym 565 w_smi_data_output[3] -.sym 578 i_smi_a2_SB_LUT4_I1_O[2] -.sym 580 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_O -.sym 594 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[3] -.sym 595 w_rx_09_fifo_pulled_data[25] -.sym 599 i_smi_a3$SB_IO_IN -.sym 633 w_rx_24_fifo_data[12] -.sym 634 w_rx_24_fifo_data[10] -.sym 635 w_rx_24_fifo_data[2] -.sym 636 w_rx_24_fifo_data[6] -.sym 637 w_rx_24_fifo_data[4] -.sym 638 w_rx_24_fifo_data[14] -.sym 639 w_rx_24_fifo_data[8] -.sym 640 w_rx_24_fifo_data[11] -.sym 668 w_rx_24_fifo_data[9] -.sym 687 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 746 w_rx_24_fifo_data[13] -.sym 747 w_rx_24_fifo_data[20] -.sym 749 w_rx_24_fifo_data[16] -.sym 752 w_rx_24_fifo_data[18] -.sym 753 w_rx_24_fifo_data[15] -.sym 757 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 783 $PACKER_VCC_NET +.sym 294 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[5] +.sym 295 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 296 smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_E +.sym 297 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 298 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 300 lvds_rx_09_inst.o_fifo_data[22] +.sym 316 lvds_rx_09_inst.o_fifo_data[19] +.sym 321 $PACKER_VCC_NET +.sym 328 w_rx_24_fifo_pull +.sym 405 w_rx_24_fifo_data[10] +.sym 406 w_rx_24_fifo_data[14] +.sym 407 w_rx_24_fifo_data[12] +.sym 408 w_rx_24_fifo_data[11] +.sym 409 w_rx_24_fifo_data[7] +.sym 410 w_rx_24_fifo_data[3] +.sym 411 w_rx_24_fifo_data[9] +.sym 412 w_rx_24_fifo_data[5] +.sym 446 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 452 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 466 i_smi_a1_SB_LUT4_I1_O[3] +.sym 519 w_rx_24_fifo_data[15] +.sym 521 w_rx_24_fifo_data[2] +.sym 523 w_rx_24_fifo_data[13] +.sym 525 w_rx_24_fifo_data[16] +.sym 526 w_rx_24_fifo_data[8] +.sym 528 w_rx_24_fifo_data[3] +.sym 574 w_rx_24_fifo_data[5] +.sym 596 $PACKER_VCC_NET +.sym 600 $PACKER_VCC_NET +.sym 633 w_rx_24_fifo_data[19] +.sym 634 w_rx_24_fifo_data[27] +.sym 635 w_rx_24_fifo_data[21] +.sym 636 w_rx_24_fifo_data[23] +.sym 637 w_rx_24_fifo_data[17] +.sym 639 w_rx_24_fifo_data[25] +.sym 660 w_rx_24_fifo_data[8] +.sym 679 w_rx_24_fifo_data[15] +.sym 747 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[1] +.sym 748 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[2] +.sym 749 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 752 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 753 lvds_rx_09_inst.r_phase_count[0] +.sym 775 w_rx_24_fifo_data[19] +.sym 781 w_rx_24_fifo_data[23] .sym 830 lvds_clock -.sym 852 lvds_clock -.sym 860 w_rx_24_fifo_data[19] -.sym 861 w_rx_24_fifo_data[17] -.sym 862 w_rx_24_fifo_data[27] -.sym 863 w_rx_24_fifo_data[21] -.sym 864 w_rx_24_fifo_data[31] -.sym 865 w_rx_24_fifo_data[23] -.sym 866 w_rx_24_fifo_data[29] -.sym 867 w_rx_24_fifo_data[25] -.sym 915 w_rx_24_fifo_data[15] +.sym 845 lvds_clock +.sym 860 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 861 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 862 rx_24_fifo.rd_addr_gray_wr_r[2] +.sym 863 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 864 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2[1] +.sym 865 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E +.sym 866 rx_24_fifo.rd_addr_gray_wr[2] +.sym 867 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 893 w_rx_24_fifo_data[20] +.sym 910 lvds_clock .sym 940 lvds_clock .sym 944 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O -.sym 961 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O -.sym 974 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 976 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 977 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O -.sym 978 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E -.sym 979 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 1007 rx_24_fifo.rd_addr[5] -.sym 1031 w_rx_24_fifo_data[23] -.sym 1049 w_rx_24_fifo_data[19] -.sym 1051 w_rx_24_fifo_data[17] -.sym 1054 i_smi_a3$SB_IO_IN -.sym 1089 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[1] -.sym 1090 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[2] -.sym 1091 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[3] -.sym 1092 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[4] -.sym 1093 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] -.sym 1094 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[6] -.sym 1108 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 1163 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 959 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 974 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] +.sym 975 lvds_rx_09_inst.r_phase_count[1] +.sym 976 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] +.sym 977 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1[3] +.sym 978 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[0] +.sym 979 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[3] +.sym 980 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 981 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1[2] +.sym 1010 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 1031 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E +.sym 1050 rx_24_fifo.wr_addr[5] +.sym 1055 $PACKER_VCC_NET +.sym 1088 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[1] +.sym 1089 w_rx_24_fifo_push +.sym 1091 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 1094 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E +.sym 1095 rx_24_fifo.full_o_SB_LUT4_I0_I1[3] +.sym 1148 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 1163 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[1] .sym 1173 w_lvds_rx_09_d0 .sym 1174 w_lvds_rx_09_d1 .sym 1183 $PACKER_VCC_NET .sym 1184 lvds_clock_buf -.sym 1188 $PACKER_VCC_NET -.sym 1202 lvds_rx_24_inst.r_phase_count[1] -.sym 1204 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 1206 rx_24_fifo.wr_addr[7] -.sym 1234 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_2_I2[1] -.sym 1236 w_lvds_rx_09_d1 -.sym 1246 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] +.sym 1196 $PACKER_VCC_NET +.sym 1203 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 1204 rx_24_fifo.full_o_SB_LUT4_I0_O[1] +.sym 1206 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 1208 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E +.sym 1209 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] +.sym 1216 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[1] .sym 1253 $PACKER_VCC_NET -.sym 1259 $PACKER_VCC_NET -.sym 1280 w_lvds_rx_09_d0 -.sym 1283 $PACKER_VCC_NET +.sym 1260 w_lvds_rx_09_d0 +.sym 1271 w_lvds_rx_09_d1 +.sym 1279 w_rx_24_fifo_push .sym 1287 lvds_clock .sym 1297 $PACKER_VCC_NET .sym 1313 $PACKER_VCC_NET -.sym 1318 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 1319 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0[0] -.sym 1320 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 1321 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 1322 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[0] -.sym 1323 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[0] -.sym 1353 rx_24_fifo.wr_addr[7] +.sym 1316 w_rx_24_fifo_data[1] +.sym 1317 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E +.sym 1318 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2[1] +.sym 1319 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 1320 w_rx_24_fifo_data[0] +.sym 1321 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E +.sym 1322 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 1323 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] +.sym 1357 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 1360 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 1382 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 1395 rx_24_fifo.rd_addr_gray_wr_r[6] .sym 1401 w_lvds_rx_24_d0 .sym 1402 w_lvds_rx_24_d1 .sym 1411 $PACKER_VCC_NET .sym 1412 lvds_clock_buf .sym 1424 $PACKER_VCC_NET -.sym 1430 lvds_rx_24_inst.r_state_if[1] -.sym 1431 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E -.sym 1432 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 1433 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] -.sym 1434 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 1435 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] -.sym 1437 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFNESR_Q_E -.sym 1462 w_lvds_rx_24_d0 -.sym 1474 w_lvds_rx_24_d1 -.sym 1481 $PACKER_VCC_NET -.sym 1506 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O -.sym 1510 i_smi_a3$SB_IO_IN -.sym 1545 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 1549 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E -.sym 1557 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] -.sym 1601 i_smi_a1_SB_LUT4_I1_O[2] -.sym 1880 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 1881 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 1882 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E -.sym 1883 smi_ctrl_ins.int_cnt_09[4] -.sym 1884 smi_ctrl_ins.int_cnt_09[5] -.sym 1885 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_I3_O[0] -.sym 1886 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 1891 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 1894 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 1935 i_smi_soe_se$rename$0 -.sym 1936 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 1937 $PACKER_VCC_NET -.sym 1951 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 1954 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 1971 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I1[5] -.sym 1972 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0[5] -.sym 1976 smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E -.sym 1981 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_I1[5] -.sym 1982 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_I1[4] -.sym 1983 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 1996 i_smi_soe_se$rename$0 -.sym 1997 smi_ctrl_ins.int_cnt_09[4] -.sym 1998 smi_ctrl_ins.int_cnt_09[5] -.sym 2001 $nextpnr_ICESTORM_LC_19$O -.sym 2004 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_I1[4] -.sym 2007 $nextpnr_ICESTORM_LC_20$I3 -.sym 2010 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_I1[5] -.sym 2017 $nextpnr_ICESTORM_LC_20$I3 -.sym 2020 smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E -.sym 2026 smi_ctrl_ins.int_cnt_09[5] -.sym 2032 smi_ctrl_ins.int_cnt_09[4] -.sym 2038 i_smi_soe_se$rename$0 -.sym 2044 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 2045 i_smi_soe_se$rename$0 -.sym 2046 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0[5] -.sym 2047 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I1[5] -.sym 2049 r_counter[0]_$glb_clk -.sym 2050 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 2063 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I1[2] -.sym 2065 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 2066 io_smi_data[2]$SB_IO_OUT -.sym 2067 lvds_rx_09_inst.o_fifo_data[22] -.sym 2068 lvds_rx_09_inst.o_fifo_data[28] -.sym 2069 lvds_rx_09_inst.o_fifo_data[20] -.sym 2070 io_smi_data[1]$SB_IO_OUT -.sym 2080 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 2084 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 2086 io_pmod[2]$SB_IO_IN -.sym 2097 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 2100 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 2104 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 2111 i_smi_soe_se$rename$0 -.sym 2117 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 2121 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 2122 i_smi_a1_SB_LUT4_I1_O[2] -.sym 2127 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 2128 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 2129 i_smi_a1_SB_LUT4_I1_O[2] -.sym 2135 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] +.sym 1431 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[1] +.sym 1432 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[2] +.sym 1433 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] +.sym 1434 lvds_rx_24_inst.r_phase_count[0] +.sym 1435 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] +.sym 1436 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 1437 lvds_rx_24_inst.r_phase_count[1] +.sym 1456 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[0] +.sym 1472 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 1474 $PACKER_VCC_NET +.sym 1544 w_rx_09_fifo_data[0] +.sym 1550 w_rx_09_fifo_data[1] +.sym 1600 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E +.sym 1677 w_rx_09_fifo_data[1] +.sym 1683 w_rx_09_fifo_data[1] +.sym 1879 io_smi_data[0]$SB_IO_OUT +.sym 1880 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 1881 w_smi_data_output[4] +.sym 1882 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 1883 w_smi_data_output[3] +.sym 1884 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[0] +.sym 1885 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[1] +.sym 1886 io_smi_data[6]$SB_IO_OUT +.sym 1939 i_smi_soe_se$rename$0 +.sym 1943 smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_E +.sym 1955 i_smi_a1_SB_LUT4_I1_O[2] +.sym 2063 w_smi_data_output[6] +.sym 2064 w_smi_data_output[5] +.sym 2065 w_smi_data_output[7] +.sym 2066 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 2067 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[1] +.sym 2068 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 2069 w_smi_data_output[1] +.sym 2070 w_smi_data_output[2] +.sym 2074 w_rx_24_fifo_data[1] +.sym 2076 rx_09_fifo.wr_addr[2] +.sym 2079 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 2080 w_rx_09_fifo_data[0] +.sym 2082 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2[1] +.sym 2084 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[1] +.sym 2085 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 2086 rx_09_fifo.wr_addr[5] +.sym 2091 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 2092 w_smi_data_output[6] +.sym 2093 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[1] +.sym 2096 i_smi_a2_SB_LUT4_I1_O[3] +.sym 2098 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 2106 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] +.sym 2114 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2116 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 2123 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2124 i_smi_a1_SB_LUT4_I1_O[3] +.sym 2125 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2126 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 2128 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] .sym 2136 w_rx_24_fifo_pull -.sym 2139 i_smi_a1_SB_LUT4_I1_O[0] -.sym 2143 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 2153 i_smi_a1_SB_LUT4_I1_O[2] -.sym 2156 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_I1[5] -.sym 2157 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[0] -.sym 2158 i_smi_soe_se$rename$0 -.sym 2159 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 2160 $PACKER_VCC_NET -.sym 2163 smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E -.sym 2165 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_I1[4] -.sym 2166 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 2170 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 2172 i_smi_a1_SB_LUT4_I1_O[0] -.sym 2184 $nextpnr_ICESTORM_LC_10$O -.sym 2186 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[0] -.sym 2190 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0[4] -.sym 2192 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_I1[4] -.sym 2196 $nextpnr_ICESTORM_LC_11$I3 -.sym 2199 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_I1[5] -.sym 2202 $nextpnr_ICESTORM_LC_11$COUT -.sym 2205 $PACKER_VCC_NET -.sym 2206 $nextpnr_ICESTORM_LC_11$I3 -.sym 2209 i_smi_soe_se$rename$0 -.sym 2210 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 2212 $nextpnr_ICESTORM_LC_11$COUT -.sym 2215 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 2221 i_smi_a1_SB_LUT4_I1_O[0] -.sym 2228 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 2229 i_smi_a1_SB_LUT4_I1_O[2] -.sym 2231 smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E -.sym 2232 r_counter[0]_$glb_clk -.sym 2233 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 2234 lvds_rx_09_inst.o_fifo_data[16] -.sym 2235 lvds_rx_09_inst.o_fifo_data[26] -.sym 2236 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[0] -.sym 2237 lvds_rx_09_inst.o_fifo_data[14] -.sym 2238 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[1] -.sym 2239 lvds_rx_09_inst.o_fifo_data[24] -.sym 2240 lvds_rx_09_inst.o_fifo_data[30] -.sym 2241 lvds_rx_09_inst.o_fifo_data[18] -.sym 2249 io_smi_data[2]$SB_IO_OUT -.sym 2251 io_smi_data[1]$SB_IO_OUT -.sym 2261 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 2264 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 2266 w_smi_data_output[1] -.sym 2267 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 2268 w_smi_data_output[2] -.sym 2273 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 2138 smi_ctrl_ins.int_cnt_24[5] +.sym 2139 w_rx_09_fifo_pull +.sym 2146 w_rx_09_fifo_data[0] +.sym 2154 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 2155 i_smi_soe_se$rename$0 +.sym 2156 w_rx_24_fifo_pull +.sym 2164 i_smi_a2_SB_LUT4_I1_O[3] +.sym 2171 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 2176 w_rx_09_fifo_pull +.sym 2185 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 2186 i_smi_soe_se$rename$0 +.sym 2191 i_smi_a2_SB_LUT4_I1_O[3] +.sym 2193 w_rx_09_fifo_pull +.sym 2194 w_rx_24_fifo_pull +.sym 2212 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 2236 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 2237 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 2238 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[3] +.sym 2239 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[3] +.sym 2240 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O_SB_LUT4_I0_O[2] +.sym 2241 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[3] +.sym 2246 i_smi_a1_SB_LUT4_I1_O[2] +.sym 2247 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[1] +.sym 2251 w_smi_data_output[2] +.sym 2254 lvds_rx_09_inst.o_fifo_data[11] +.sym 2258 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[3] +.sym 2259 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 2260 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2263 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 2268 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[3] +.sym 2269 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] +.sym 2270 w_rx_24_fifo_data[9] +.sym 2271 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] .sym 2274 w_rx_24_fifo_pull -.sym 2275 lvds_rx_09_inst.o_fifo_data[12] -.sym 2287 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[3] -.sym 2289 i_smi_soe_se$rename$0 -.sym 2291 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[5] -.sym 2298 smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_E -.sym 2299 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[4] -.sym 2300 $PACKER_VCC_NET -.sym 2316 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] +.sym 2289 smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_E +.sym 2290 $PACKER_VCC_NET +.sym 2291 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 2293 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[4] +.sym 2296 i_smi_soe_se$rename$0 +.sym 2297 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_I1[5] +.sym 2298 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[5] +.sym 2299 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[3] +.sym 2305 smi_ctrl_ins.int_cnt_24[4] +.sym 2306 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[5] .sym 2319 $nextpnr_ICESTORM_LC_12$O -.sym 2322 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[3] +.sym 2321 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[3] .sym 2325 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[4] -.sym 2328 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[4] +.sym 2327 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[4] .sym 2331 $nextpnr_ICESTORM_LC_13$I3 .sym 2334 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[5] .sym 2337 $nextpnr_ICESTORM_LC_13$COUT -.sym 2339 $PACKER_VCC_NET +.sym 2340 $PACKER_VCC_NET .sym 2341 $nextpnr_ICESTORM_LC_13$I3 -.sym 2344 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] +.sym 2344 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] .sym 2346 i_smi_soe_se$rename$0 .sym 2347 $nextpnr_ICESTORM_LC_13$COUT +.sym 2351 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 2352 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[5] +.sym 2353 i_smi_soe_se$rename$0 +.sym 2356 smi_ctrl_ins.int_cnt_24[4] +.sym 2362 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_I1[5] +.sym 2363 i_smi_soe_se$rename$0 +.sym 2364 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[5] +.sym 2365 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] .sym 2366 smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_E .sym 2367 r_counter[0]_$glb_clk -.sym 2368 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 2369 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[1] -.sym 2370 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3[3] -.sym 2371 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 2372 io_smi_data[0]$SB_IO_OUT -.sym 2373 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[1] -.sym 2374 w_smi_data_output[7] -.sym 2375 w_smi_data_output[5] -.sym 2376 io_smi_data[6]$SB_IO_OUT -.sym 2383 i_smi_soe_se$rename$0 -.sym 2384 $PACKER_VCC_NET -.sym 2385 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2387 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 2388 $PACKER_VCC_NET -.sym 2391 w_rx_24_fifo_pull -.sym 2394 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 2395 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 2396 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_O -.sym 2397 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 2398 w_rx_24_fifo_pull -.sym 2399 w_rx_09_fifo_pulled_data[9] -.sym 2401 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] -.sym 2406 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2368 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 2369 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 2370 i_smi_a1_SB_LUT4_I1_O[1] +.sym 2371 smi_ctrl_ins.int_cnt_24[4] +.sym 2372 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[3] +.sym 2373 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 2374 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[3] +.sym 2375 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[3] +.sym 2376 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 2380 w_rx_09_fifo_data[0] +.sym 2382 i_smi_soe_se$rename$0 +.sym 2392 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 2393 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 2394 i_smi_a2_SB_LUT4_I1_O[1] +.sym 2395 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 2398 w_rx_24_fifo_data[10] +.sym 2400 w_rx_24_fifo_data[14] +.sym 2402 w_rx_24_fifo_data[12] +.sym 2404 w_rx_24_fifo_data[11] +.sym 2405 w_rx_24_fifo_data[16] .sym 2409 i_smi_soe_se$rename$0 -.sym 2423 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[5] -.sym 2425 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[5] -.sym 2426 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[4] -.sym 2431 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 2432 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_I1[5] -.sym 2435 i_smi_a1_SB_LUT4_I1_O[2] -.sym 2437 smi_ctrl_ins.int_cnt_24[4] -.sym 2438 i_smi_soe_se$rename$0 -.sym 2440 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] -.sym 2442 lvds_rx_09_inst.o_fifo_data[10] -.sym 2443 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2410 w_rx_24_fifo_data[21] +.sym 2411 smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_E +.sym 2412 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2429 smi_ctrl_ins.int_cnt_24[5] +.sym 2430 i_smi_a1_SB_LUT4_I1_O[2] +.sym 2433 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[5] +.sym 2435 i_smi_a1_SB_LUT4_I1_O[0] +.sym 2436 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[4] +.sym 2437 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 2439 i_smi_a1_SB_LUT4_I1_O[1] +.sym 2444 i_smi_a1_SB_LUT4_I1_O[3] +.sym 2445 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 2446 i_smi_soe_se$rename$0 +.sym 2449 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] .sym 2454 $nextpnr_ICESTORM_LC_17$O -.sym 2457 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[4] +.sym 2456 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[4] .sym 2460 $nextpnr_ICESTORM_LC_18$I3 -.sym 2463 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[5] +.sym 2462 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[5] .sym 2470 $nextpnr_ICESTORM_LC_18$I3 -.sym 2474 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] -.sym 2476 i_smi_a1_SB_LUT4_I1_O[2] -.sym 2481 smi_ctrl_ins.int_cnt_24[4] -.sym 2485 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_I1[5] -.sym 2486 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 2487 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[5] -.sym 2488 i_smi_soe_se$rename$0 -.sym 2493 lvds_rx_09_inst.o_fifo_data[10] -.sym 2494 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2497 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[5] -.sym 2498 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 2500 i_smi_soe_se$rename$0 -.sym 2501 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce -.sym 2502 lvds_clock_buf -.sym 2503 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 2504 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 2505 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 2506 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] -.sym 2507 w_smi_data_output[6] -.sym 2508 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 2509 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[1] -.sym 2510 w_smi_data_output[4] -.sym 2511 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[1] -.sym 2518 lvds_rx_09_inst.o_fifo_data[31] -.sym 2521 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[1] -.sym 2522 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 2531 w_rx_24_fifo_data[7] -.sym 2533 w_smi_data_output[4] -.sym 2535 i_smi_a2_SB_LUT4_I1_O[1] -.sym 2536 w_smi_data_output[5] -.sym 2539 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[3] -.sym 2540 w_rx_24_fifo_data[8] -.sym 2558 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 2559 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_O -.sym 2560 w_rx_09_fifo_pulled_data[25] -.sym 2563 w_rx_09_fifo_pulled_data[1] -.sym 2564 i_smi_a1_SB_LUT4_I1_O[1] -.sym 2565 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[3] -.sym 2566 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 2567 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_I3[2] -.sym 2568 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 2570 i_smi_a1_SB_LUT4_I1_O[0] -.sym 2571 i_smi_a1_SB_LUT4_I1_O[2] -.sym 2572 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 2575 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[3] -.sym 2576 w_rx_09_fifo_pulled_data[17] -.sym 2577 i_smi_a2_SB_LUT4_I1_O[2] -.sym 2578 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[1] -.sym 2579 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[1] -.sym 2580 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_O -.sym 2581 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 2583 w_rx_09_fifo_pulled_data[9] -.sym 2584 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[3] -.sym 2585 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 2586 i_smi_a1_SB_LUT4_I1_O[3] -.sym 2588 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[1] -.sym 2590 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 2591 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[3] -.sym 2592 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[1] -.sym 2593 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 2596 i_smi_a1_SB_LUT4_I1_O[2] -.sym 2597 i_smi_a1_SB_LUT4_I1_O[0] -.sym 2598 i_smi_a1_SB_LUT4_I1_O[1] -.sym 2599 i_smi_a1_SB_LUT4_I1_O[3] -.sym 2603 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_O -.sym 2608 w_rx_09_fifo_pulled_data[17] -.sym 2609 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 2610 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 2611 w_rx_09_fifo_pulled_data[1] -.sym 2614 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[1] -.sym 2615 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 2616 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[3] -.sym 2617 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 2620 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 2621 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[3] -.sym 2622 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[1] -.sym 2623 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 2626 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 2627 w_rx_09_fifo_pulled_data[25] -.sym 2628 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 2629 w_rx_09_fifo_pulled_data[9] -.sym 2633 i_smi_a1_SB_LUT4_I1_O[3] -.sym 2634 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_I3[2] -.sym 2635 i_smi_a2_SB_LUT4_I1_O[2] -.sym 2636 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_O -.sym 2637 r_counter[0]_$glb_clk -.sym 2639 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[3] -.sym 2640 w_rx_24_fifo_data[3] -.sym 2641 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 2642 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[3] -.sym 2643 w_rx_24_fifo_data[5] -.sym 2644 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I1[1] -.sym 2645 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 2646 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[3] -.sym 2653 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I1[1] -.sym 2658 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[1] -.sym 2659 w_rx_24_fifo_pull -.sym 2660 w_rx_09_fifo_data[1] -.sym 2663 w_rx_24_fifo_pulled_data[14] -.sym 2664 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] -.sym 2665 lvds_rx_09_inst.o_fifo_data[10] -.sym 2666 w_rx_24_fifo_data[11] -.sym 2668 w_rx_24_fifo_data[12] -.sym 2670 w_rx_24_fifo_data[10] -.sym 2672 i_smi_a1_SB_LUT4_I1_O[3] -.sym 2673 rx_24_fifo.wr_addr_gray[6] -.sym 2675 w_rx_24_fifo_data[18] -.sym 2693 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[3] -.sym 2697 w_rx_24_fifo_pull -.sym 2699 w_rx_24_fifo_data[7] -.sym 2700 i_smi_soe_se$rename$0 -.sym 2712 w_rx_24_fifo_data[5] -.sym 2714 i_smi_a2_SB_LUT4_I1_O[2] -.sym 2716 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2719 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 2720 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 2721 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[0] -.sym 2737 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 2738 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[3] -.sym 2739 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[0] -.sym 2740 i_smi_soe_se$rename$0 -.sym 2743 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2745 w_rx_24_fifo_data[7] -.sym 2755 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 2757 w_rx_24_fifo_pull -.sym 2758 i_smi_a2_SB_LUT4_I1_O[2] -.sym 2769 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2770 w_rx_24_fifo_data[5] +.sym 2473 smi_ctrl_ins.int_cnt_24[5] +.sym 2482 i_smi_soe_se$rename$0 +.sym 2485 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 2488 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 2491 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 2493 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 2494 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 2497 i_smi_a1_SB_LUT4_I1_O[2] +.sym 2498 i_smi_a1_SB_LUT4_I1_O[3] +.sym 2499 i_smi_a1_SB_LUT4_I1_O[1] +.sym 2500 i_smi_a1_SB_LUT4_I1_O[0] +.sym 2502 r_counter[0]_$glb_clk +.sym 2503 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 2504 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1[1] +.sym 2505 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 2506 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 2507 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I3[1] +.sym 2508 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 2509 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] +.sym 2510 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 2511 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 2514 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 2526 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 2528 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2529 w_rx_24_fifo_data[16] +.sym 2533 i_smi_soe_se$rename$0 +.sym 2534 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2535 w_rx_24_fifo_empty +.sym 2537 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 2538 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] +.sym 2539 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 2540 w_rx_24_fifo_data[25] +.sym 2563 w_rx_24_fifo_data[9] +.sym 2564 w_rx_24_fifo_data[8] +.sym 2567 w_rx_24_fifo_data[12] +.sym 2569 w_rx_24_fifo_data[7] +.sym 2570 w_rx_24_fifo_data[3] +.sym 2572 w_rx_24_fifo_data[5] +.sym 2573 w_rx_24_fifo_data[10] +.sym 2579 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2581 w_rx_24_fifo_data[1] +.sym 2592 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2593 w_rx_24_fifo_data[8] +.sym 2596 w_rx_24_fifo_data[12] +.sym 2599 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2602 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2604 w_rx_24_fifo_data[10] +.sym 2609 w_rx_24_fifo_data[9] +.sym 2611 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2614 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2616 w_rx_24_fifo_data[5] +.sym 2620 w_rx_24_fifo_data[1] +.sym 2623 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2626 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2627 w_rx_24_fifo_data[7] +.sym 2633 w_rx_24_fifo_data[3] +.sym 2635 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2636 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 2637 lvds_clock_buf +.sym 2638 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 2639 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 2640 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1[2] +.sym 2641 w_rx_24_fifo_data[6] +.sym 2642 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] +.sym 2643 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2644 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I3[2] +.sym 2645 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2646 w_rx_24_fifo_data[4] +.sym 2651 w_rx_24_fifo_pull +.sym 2652 w_rx_24_fifo_data[2] +.sym 2655 smi_ctrl_ins.int_cnt_24[5] +.sym 2657 w_rx_09_fifo_data[0] +.sym 2658 w_rx_09_fifo_pull +.sym 2659 smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E +.sym 2661 w_rx_24_fifo_data[7] +.sym 2663 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2665 w_rx_24_fifo_data[20] +.sym 2666 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 2670 w_rx_24_fifo_data[27] +.sym 2673 i_smi_a1_SB_LUT4_I1_O[3] +.sym 2674 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2675 w_rx_24_fifo_data[2] +.sym 2681 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E +.sym 2693 w_rx_24_fifo_data[14] +.sym 2695 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2703 w_rx_24_fifo_data[11] +.sym 2710 w_rx_24_fifo_data[6] +.sym 2712 w_rx_24_fifo_data[13] +.sym 2713 w_rx_24_fifo_data[0] +.sym 2725 w_rx_24_fifo_data[13] +.sym 2726 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2738 w_rx_24_fifo_data[0] +.sym 2740 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2750 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2751 w_rx_24_fifo_data[11] +.sym 2762 w_rx_24_fifo_data[14] +.sym 2764 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2767 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2770 w_rx_24_fifo_data[6] .sym 2771 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce .sym 2772 lvds_clock_buf -.sym 2773 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 2775 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[1] -.sym 2776 lvds_rx_09_inst.o_fifo_data[11] -.sym 2777 lvds_rx_09_inst.o_fifo_data[9] -.sym 2778 lvds_rx_09_inst.o_fifo_data[13] -.sym 2779 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[3] -.sym 2780 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 2781 lvds_rx_09_inst.o_fifo_data[10] -.sym 2786 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 2787 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 2788 lvds_rx_09_inst.o_fifo_data[12] -.sym 2789 $PACKER_VCC_NET -.sym 2796 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 2799 rx_24_fifo.rd_addr_gray_wr[7] -.sym 2800 i_smi_a2_SB_LUT4_I1_O[2] -.sym 2804 w_rx_24_fifo_data[0] -.sym 2805 w_rx_24_fifo_data[20] -.sym 2807 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 2808 w_rx_24_fifo_data[1] -.sym 2809 w_rx_24_fifo_data[16] -.sym 2810 w_rx_24_fifo_pull -.sym 2818 w_rx_24_fifo_data[27] -.sym 2819 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E -.sym 2827 w_rx_24_fifo_data[12] -.sym 2830 w_rx_24_fifo_data[9] -.sym 2833 w_rx_24_fifo_data[8] -.sym 2839 w_rx_24_fifo_data[4] -.sym 2842 w_rx_24_fifo_data[0] -.sym 2844 w_rx_24_fifo_data[10] -.sym 2845 w_rx_24_fifo_data[2] -.sym 2846 w_rx_24_fifo_data[6] -.sym 2851 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2861 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2863 w_rx_24_fifo_data[10] -.sym 2867 w_rx_24_fifo_data[8] -.sym 2868 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2872 w_rx_24_fifo_data[0] +.sym 2773 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 2774 w_rx_24_fifo_data[22] +.sym 2775 w_rx_24_fifo_data[24] +.sym 2776 w_rx_24_fifo_data[28] +.sym 2777 w_rx_24_fifo_data[29] +.sym 2778 w_rx_24_fifo_data[26] +.sym 2779 w_rx_24_fifo_data[18] +.sym 2780 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2781 w_rx_24_fifo_data[30] +.sym 2782 w_rx_24_fifo_data[13] +.sym 2786 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 2793 w_rx_24_fifo_pull +.sym 2795 w_rx_24_fifo_data[9] +.sym 2799 w_rx_24_fifo_data[0] +.sym 2800 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2802 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2803 w_rx_24_fifo_data[1] +.sym 2804 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E +.sym 2807 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 2811 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] +.sym 2813 lvds_rx_09_inst.r_phase_count[1] +.sym 2827 w_rx_24_fifo_data[15] +.sym 2829 w_rx_24_fifo_data[21] +.sym 2830 w_rx_24_fifo_data[23] +.sym 2841 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2847 w_rx_24_fifo_data[17] +.sym 2849 w_rx_24_fifo_data[25] +.sym 2851 w_rx_24_fifo_data[19] +.sym 2862 w_rx_24_fifo_data[17] +.sym 2863 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2866 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2867 w_rx_24_fifo_data[25] .sym 2873 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2878 w_rx_24_fifo_data[4] +.sym 2875 w_rx_24_fifo_data[19] +.sym 2879 w_rx_24_fifo_data[21] .sym 2880 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2886 w_rx_24_fifo_data[2] +.sym 2884 w_rx_24_fifo_data[15] .sym 2887 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2891 w_rx_24_fifo_data[12] -.sym 2892 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2897 w_rx_24_fifo_data[6] -.sym 2899 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2902 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2904 w_rx_24_fifo_data[9] +.sym 2897 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2899 w_rx_24_fifo_data[23] .sym 2906 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce .sym 2907 lvds_clock_buf -.sym 2908 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 2909 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] -.sym 2910 rx_24_fifo.wr_addr_gray_rd_r[6] -.sym 2911 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 2912 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 2913 w_rx_24_fifo_pull -.sym 2914 w_rx_24_fifo_data[14] -.sym 2915 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 2916 rx_24_fifo.wr_addr_gray_rd[6] -.sym 2917 $PACKER_VCC_NET -.sym 2920 $PACKER_VCC_NET -.sym 2921 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2922 lvds_rx_09_inst.o_fifo_data[7] -.sym 2923 rx_24_fifo.wr_addr[7] -.sym 2927 w_rx_24_fifo_data[2] +.sym 2908 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 2909 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E +.sym 2910 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 2913 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 2914 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2916 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2922 w_rx_24_fifo_data[16] +.sym 2923 w_rx_24_fifo_data[21] .sym 2928 i_smi_soe_se$rename$0 -.sym 2929 w_rx_24_fifo_data[6] -.sym 2930 lvds_rx_09_inst.o_fifo_data[8] -.sym 2931 w_rx_24_fifo_data[4] -.sym 2935 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] -.sym 2936 w_rx_24_fifo_data[25] -.sym 2937 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2939 w_rx_24_fifo_pull -.sym 2943 w_rx_24_fifo_data[20] -.sym 2949 rx_24_fifo.wr_addr[7] -.sym 2967 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2973 w_rx_24_fifo_data[16] -.sym 2975 w_rx_24_fifo_data[14] -.sym 2977 w_rx_24_fifo_data[11] -.sym 2978 w_rx_24_fifo_data[13] -.sym 2992 w_rx_24_fifo_data[18] -.sym 2996 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 2997 w_rx_24_fifo_data[11] -.sym 3001 w_rx_24_fifo_data[18] -.sym 3003 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3014 w_rx_24_fifo_data[14] -.sym 3015 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3032 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3033 w_rx_24_fifo_data[16] -.sym 3039 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3040 w_rx_24_fifo_data[13] -.sym 3041 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 2929 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2931 w_rx_24_fifo_data[17] +.sym 2934 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 2942 rx_24_fifo.rd_addr_gray_wr_r[2] +.sym 2943 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[1] +.sym 2944 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 2947 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 2952 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2964 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E +.sym 2977 lvds_rx_09_inst.r_phase_count[0] +.sym 2978 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] +.sym 2979 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 2982 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 2985 lvds_rx_09_inst.r_phase_count[0] +.sym 2986 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2988 lvds_rx_09_inst.r_phase_count[1] +.sym 2991 $PACKER_VCC_NET +.sym 2992 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 2994 $nextpnr_ICESTORM_LC_3$O +.sym 2996 lvds_rx_09_inst.r_phase_count[0] +.sym 3000 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] +.sym 3002 $PACKER_VCC_NET +.sym 3003 lvds_rx_09_inst.r_phase_count[1] +.sym 3004 lvds_rx_09_inst.r_phase_count[0] +.sym 3007 $PACKER_VCC_NET +.sym 3009 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] +.sym 3010 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] +.sym 3014 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 3015 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 3032 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3034 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 3037 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 3041 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E .sym 3042 lvds_clock_buf -.sym 3043 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 3044 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[2] -.sym 3045 rx_24_fifo.rd_addr_gray_wr_r[7] -.sym 3048 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 3049 w_rx_24_fifo_data[29] -.sym 3050 w_rx_24_fifo_data[31] -.sym 3051 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] -.sym 3056 w_rx_24_fifo_data[13] -.sym 3057 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 3059 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 3060 i_smi_a2_SB_LUT4_I1_O[1] -.sym 3062 rx_24_fifo.rd_addr[6] -.sym 3065 w_rx_24_fifo_data[8] -.sym 3074 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1[2] -.sym 3077 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 3083 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 3085 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 3104 w_rx_24_fifo_data[15] -.sym 3107 w_rx_24_fifo_data[27] -.sym 3108 w_rx_24_fifo_data[21] -.sym 3110 w_rx_24_fifo_data[23] -.sym 3113 w_rx_24_fifo_data[19] -.sym 3119 w_rx_24_fifo_data[29] -.sym 3121 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3122 w_rx_24_fifo_data[17] -.sym 3128 w_rx_24_fifo_data[25] -.sym 3132 w_rx_24_fifo_data[17] -.sym 3133 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3136 w_rx_24_fifo_data[15] -.sym 3138 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3142 w_rx_24_fifo_data[25] -.sym 3143 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3148 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3149 w_rx_24_fifo_data[19] -.sym 3154 w_rx_24_fifo_data[29] -.sym 3157 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3160 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3163 w_rx_24_fifo_data[21] -.sym 3167 w_rx_24_fifo_data[27] -.sym 3169 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3173 w_rx_24_fifo_data[23] -.sym 3174 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3176 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 3043 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 3045 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[1] +.sym 3046 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[2] +.sym 3047 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[1] +.sym 3048 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[2] +.sym 3049 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[5] +.sym 3050 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[6] +.sym 3051 spi_if_ins.state_if[0] +.sym 3057 w_rx_24_fifo_data[25] +.sym 3063 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E +.sym 3065 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 3069 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[2] +.sym 3070 w_rx_24_fifo_push +.sym 3071 $PACKER_VCC_NET +.sym 3072 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 3074 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3077 $PACKER_VCC_NET +.sym 3078 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[0] +.sym 3079 w_rx_24_fifo_empty +.sym 3086 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 3088 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 3091 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E +.sym 3097 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] +.sym 3098 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 3101 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 3103 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 3105 rx_24_fifo.rd_addr_gray[2] +.sym 3107 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] +.sym 3111 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 3113 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3114 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 3125 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2[1] +.sym 3127 rx_24_fifo.rd_addr_gray_wr[2] +.sym 3132 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] +.sym 3133 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] +.sym 3138 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 3139 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 3143 rx_24_fifo.rd_addr_gray_wr[2] +.sym 3148 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 3150 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 3151 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3154 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 3155 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 3156 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3157 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 3160 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2[1] +.sym 3162 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 3163 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 3167 rx_24_fifo.rd_addr_gray[2] +.sym 3172 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 3173 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3174 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 3175 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] .sym 3177 lvds_clock_buf -.sym 3178 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 3179 w_rx_24_fifo_data[22] -.sym 3180 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1[2] -.sym 3181 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_1_I3[3] -.sym 3182 w_rx_24_fifo_data[30] -.sym 3183 w_rx_24_fifo_data[24] -.sym 3184 w_rx_24_fifo_data[26] -.sym 3185 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[1] -.sym 3186 w_rx_24_fifo_data[28] -.sym 3191 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 3192 w_rx_24_fifo_data[18] -.sym 3197 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 3199 w_rx_24_fifo_data[21] -.sym 3203 i_smi_a1_SB_LUT4_I1_O[2] -.sym 3205 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 3209 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 3210 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[1] -.sym 3211 rx_24_fifo.wr_addr[7] -.sym 3212 rx_24_fifo.wr_addr_gray[6] -.sym 3233 i_smi_a1_SB_LUT4_I1_O[2] -.sym 3234 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E -.sym 3240 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 3241 i_smi_a1_SB_LUT4_I1_O[2] -.sym 3245 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3252 w_lvds_rx_09_d0 -.sym 3253 w_lvds_rx_09_d1 -.sym 3256 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 3258 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 3260 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 3261 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 3265 w_lvds_rx_09_d0 -.sym 3266 w_lvds_rx_09_d1 -.sym 3267 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 3268 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 3280 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 3283 i_smi_a1_SB_LUT4_I1_O[2] -.sym 3285 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 3289 i_smi_a1_SB_LUT4_I1_O[2] -.sym 3290 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 3292 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 3298 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3311 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E +.sym 3179 rx_24_fifo.wr_addr[4] +.sym 3180 rx_24_fifo.wr_addr_gray[6] +.sym 3181 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 3182 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1[1] +.sym 3183 rx_24_fifo.wr_addr[6] +.sym 3184 rx_24_fifo.wr_addr[3] +.sym 3185 rx_24_fifo.wr_addr[7] +.sym 3186 rx_24_fifo.wr_addr[2] +.sym 3191 rx_24_fifo.rd_addr_gray[2] +.sym 3192 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 3196 spi_if_ins.state_if[0] +.sym 3201 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 3214 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3220 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E +.sym 3226 w_rx_24_fifo_push +.sym 3232 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[1] +.sym 3233 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[1] +.sym 3234 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E +.sym 3235 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[1] +.sym 3236 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[2] +.sym 3237 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[5] +.sym 3238 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 3239 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 3240 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 3242 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[2] +.sym 3243 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 3244 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[2] +.sym 3245 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[3] +.sym 3248 rx_24_fifo.rd_addr_gray_wr_r[2] +.sym 3250 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 3251 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[1] +.sym 3252 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[0] +.sym 3253 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[2] +.sym 3256 w_lvds_rx_09_d0 +.sym 3257 w_lvds_rx_09_d1 +.sym 3258 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] +.sym 3262 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[0] +.sym 3263 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 3265 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 3266 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 3267 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 3268 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[2] +.sym 3273 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] +.sym 3277 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 3278 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 3279 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 3280 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[1] +.sym 3283 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[1] +.sym 3284 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[2] +.sym 3285 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[0] +.sym 3286 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[3] +.sym 3290 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[2] +.sym 3291 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 3292 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[5] +.sym 3295 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[1] +.sym 3296 rx_24_fifo.rd_addr_gray_wr_r[2] +.sym 3297 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[2] +.sym 3301 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 3302 w_lvds_rx_09_d0 +.sym 3303 w_lvds_rx_09_d1 +.sym 3304 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 3307 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[1] +.sym 3308 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[1] +.sym 3309 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[2] +.sym 3310 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[0] +.sym 3311 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E .sym 3312 lvds_clock_buf -.sym 3313 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr +.sym 3313 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr .sym 3315 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] .sym 3316 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] .sym 3317 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 3318 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_2_I2[1] -.sym 3319 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] +.sym 3318 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 3319 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] .sym 3320 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] .sym 3321 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 3327 w_rx_24_fifo_pull -.sym 3328 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 3329 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_1_I3[0] -.sym 3330 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E -.sym 3331 w_rx_24_fifo_data[27] -.sym 3332 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 3333 rx_24_fifo.rd_addr[7] -.sym 3334 $PACKER_VCC_NET -.sym 3336 rx_24_fifo.rd_addr[6] -.sym 3337 rx_24_fifo.rd_addr_gray_wr_r[6] -.sym 3339 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 3343 w_rx_24_fifo_data[0] -.sym 3344 i_smi_a2_SB_LUT4_I1_O[2] -.sym 3345 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 3347 w_rx_24_fifo_data[1] -.sym 3378 rx_24_fifo.wr_addr[6] -.sym 3379 rx_24_fifo.wr_addr[7] -.sym 3383 rx_24_fifo.wr_addr[3] -.sym 3385 rx_24_fifo.wr_addr[5] -.sym 3386 rx_24_fifo.wr_addr[4] -.sym 3388 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 3390 rx_24_fifo.wr_addr[2] -.sym 3396 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 3399 $nextpnr_ICESTORM_LC_3$O -.sym 3401 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 3405 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] -.sym 3408 rx_24_fifo.wr_addr[2] -.sym 3409 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 3411 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] -.sym 3414 rx_24_fifo.wr_addr[3] -.sym 3415 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] -.sym 3417 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] -.sym 3420 rx_24_fifo.wr_addr[4] -.sym 3421 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] -.sym 3423 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] -.sym 3426 rx_24_fifo.wr_addr[5] -.sym 3427 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] -.sym 3429 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] -.sym 3431 rx_24_fifo.wr_addr[6] -.sym 3433 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] -.sym 3437 rx_24_fifo.wr_addr[7] -.sym 3439 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] -.sym 3449 rx_24_fifo.wr_addr[3] -.sym 3451 rx_24_fifo.wr_addr[5] -.sym 3452 rx_24_fifo.wr_addr[4] -.sym 3453 rx_24_fifo.wr_addr_gray[6] -.sym 3454 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 3455 rx_24_fifo.wr_addr[0] -.sym 3456 rx_24_fifo.wr_addr[2] -.sym 3462 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 3463 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] -.sym 3466 rx_24_fifo.wr_addr[6] -.sym 3467 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[2] -.sym 3469 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[3] -.sym 3471 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[4] -.sym 3475 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O -.sym 3477 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3478 i_smi_a1_SB_LUT4_I1_O[2] -.sym 3484 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3487 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFNESR_Q_E -.sym 3488 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 3489 rx_24_fifo.wr_addr[7] -.sym 3506 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 3513 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O -.sym 3517 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 3523 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 3529 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 3537 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 3549 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 3550 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 3559 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 3581 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 3330 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E +.sym 3338 w_rx_24_fifo_data[1] +.sym 3339 rx_24_fifo.wr_addr[0] +.sym 3341 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[1] +.sym 3342 rx_24_fifo.rd_addr_gray_wr_r[7] +.sym 3346 w_rx_24_fifo_data[0] +.sym 3358 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E +.sym 3368 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[1] +.sym 3369 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E +.sym 3370 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1[3] +.sym 3371 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 3376 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 3377 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 3378 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1[1] +.sym 3379 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3380 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 3382 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1[2] +.sym 3384 w_rx_24_fifo_push +.sym 3386 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] +.sym 3387 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 3392 w_rx_24_fifo_full +.sym 3400 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1[1] +.sym 3401 w_rx_24_fifo_push +.sym 3402 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1[2] +.sym 3403 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1[3] +.sym 3406 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3407 w_rx_24_fifo_full +.sym 3409 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 3420 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3421 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 3436 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 3438 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 3439 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 3442 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] +.sym 3444 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[1] +.sym 3445 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 3446 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E +.sym 3447 lvds_clock_buf +.sym 3448 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 3449 rx_24_fifo.full_o_SB_LUT4_I0_I1[1] +.sym 3450 w_rx_24_fifo_full +.sym 3451 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 3452 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 3453 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[0] +.sym 3454 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 3455 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 3456 rx_24_fifo.full_o_SB_LUT4_I0_I1[2] +.sym 3462 rx_24_fifo.wr_addr[0] +.sym 3464 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] +.sym 3465 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E +.sym 3466 rx_24_fifo.wr_addr[5] +.sym 3470 rx_24_fifo.wr_addr[0] +.sym 3476 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3478 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 3480 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E +.sym 3482 rx_24_fifo.rd_addr_gray_wr_r[2] +.sym 3486 w_lvds_rx_09_d1 +.sym 3493 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E +.sym 3495 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] +.sym 3504 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E +.sym 3505 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 3506 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3509 rx_24_fifo.full_o_SB_LUT4_I0_I1[3] +.sym 3513 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3517 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] +.sym 3518 rx_24_fifo.full_o_SB_LUT4_I0_I1[1] +.sym 3519 w_rx_24_fifo_full +.sym 3522 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 3527 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 3533 rx_24_fifo.full_o_SB_LUT4_I0_I1[2] +.sym 3542 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3547 rx_24_fifo.full_o_SB_LUT4_I0_I1[2] +.sym 3548 w_rx_24_fifo_full +.sym 3549 rx_24_fifo.full_o_SB_LUT4_I0_I1[1] +.sym 3550 rx_24_fifo.full_o_SB_LUT4_I0_I1[3] +.sym 3561 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] +.sym 3571 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 3572 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 3573 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 3578 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 3580 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3581 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E .sym 3582 lvds_clock_buf -.sym 3583 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 3584 lvds_rx_24_inst.r_phase_count[0] -.sym 3585 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 3586 w_rx_24_fifo_data[0] -.sym 3588 w_rx_24_fifo_data[1] -.sym 3589 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[2] -.sym 3591 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O -.sym 3597 rx_24_fifo.wr_addr[0] -.sym 3600 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 3609 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 3610 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] +.sym 3583 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 3585 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 3586 rx_24_fifo.full_o_SB_LUT4_I0_O[3] +.sym 3587 rx_24_fifo.full_o_SB_LUT4_I0_O[2] +.sym 3588 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[2] +.sym 3589 lvds_rx_24_inst.r_push_SB_LUT4_I3_O +.sym 3590 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[0] +.sym 3602 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 3608 w_lvds_rx_09_d0 +.sym 3609 rx_24_fifo.rd_addr_gray_wr[0] +.sym 3610 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] .sym 3612 $PACKER_VCC_NET -.sym 3613 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 3618 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E -.sym 3622 i_smi_a1_SB_LUT4_I1_O[2] -.sym 3626 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 3639 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 3641 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 3642 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] -.sym 3645 lvds_rx_24_inst.r_phase_count[1] -.sym 3647 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3653 lvds_rx_24_inst.r_phase_count[0] -.sym 3655 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 3657 $PACKER_VCC_NET -.sym 3658 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[2] -.sym 3663 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 3664 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFNESR_Q_E -.sym 3667 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[0] -.sym 3668 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[0] -.sym 3669 $nextpnr_ICESTORM_LC_4$O -.sym 3672 lvds_rx_24_inst.r_phase_count[0] -.sym 3675 lvds_rx_24_inst.r_phase_count_SB_CARRY_CI_CO[2] -.sym 3677 $PACKER_VCC_NET -.sym 3678 lvds_rx_24_inst.r_phase_count[1] -.sym 3682 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[0] -.sym 3683 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[2] -.sym 3684 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 3685 lvds_rx_24_inst.r_phase_count_SB_CARRY_CI_CO[2] -.sym 3688 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[0] -.sym 3689 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 3690 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] -.sym 3691 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 3694 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[0] -.sym 3695 lvds_rx_24_inst.r_phase_count[1] -.sym 3696 lvds_rx_24_inst.r_phase_count[0] -.sym 3697 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[2] -.sym 3700 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 3701 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 3702 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[0] -.sym 3703 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 3707 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[0] -.sym 3709 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3712 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[0] -.sym 3713 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 3714 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 3715 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 3716 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFNESR_Q_E +.sym 3613 $PACKER_VCC_NET +.sym 3618 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E +.sym 3626 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E +.sym 3638 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 3641 w_lvds_rx_24_d0 +.sym 3646 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 3647 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2[1] +.sym 3649 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3650 w_lvds_rx_24_d1 +.sym 3651 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 3659 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 3660 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] +.sym 3662 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 3668 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 3670 w_lvds_rx_24_d0 +.sym 3672 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 3677 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 3678 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3679 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 3682 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 3683 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 3684 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 3685 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3688 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3689 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 3690 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 3694 w_lvds_rx_24_d1 +.sym 3696 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 3700 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] +.sym 3702 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2[1] +.sym 3703 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 3706 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 3707 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3708 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 3709 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 3712 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3713 w_lvds_rx_24_d1 +.sym 3714 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 3715 w_lvds_rx_24_d0 +.sym 3716 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce .sym 3717 lvds_clock_buf -.sym 3718 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 3720 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[1] -.sym 3721 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[2] -.sym 3722 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] -.sym 3723 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] -.sym 3724 lvds_rx_09_inst.r_phase_count[1] -.sym 3725 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] -.sym 3726 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 3745 i_smi_a1_SB_LUT4_I1_O[2] -.sym 3751 w_rx_24_fifo_full -.sym 3753 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 3774 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E -.sym 3775 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0[0] -.sym 3777 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 3784 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 3785 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] -.sym 3788 lvds_rx_24_inst.r_state_if[1] -.sym 3790 i_smi_a1_SB_LUT4_I1_O[2] -.sym 3797 w_lvds_rx_24_d1 -.sym 3798 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3799 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] -.sym 3800 w_lvds_rx_24_d0 -.sym 3805 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] -.sym 3811 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0[0] -.sym 3812 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] -.sym 3813 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 3814 i_smi_a1_SB_LUT4_I1_O[2] -.sym 3817 lvds_rx_24_inst.r_state_if[1] -.sym 3818 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 3823 w_lvds_rx_24_d0 -.sym 3824 lvds_rx_24_inst.r_state_if[1] -.sym 3825 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 3826 w_lvds_rx_24_d1 -.sym 3830 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 3836 lvds_rx_24_inst.r_state_if[1] -.sym 3837 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 3847 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 3848 i_smi_a1_SB_LUT4_I1_O[2] -.sym 3850 lvds_rx_24_inst.r_state_if[1] -.sym 3851 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E +.sym 3718 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 3721 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 3722 rx_24_fifo.rd_addr_gray_wr_r[0] +.sym 3726 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 3737 w_rx_24_fifo_push +.sym 3738 rx_24_fifo.wr_addr[0] +.sym 3754 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] +.sym 3773 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 3775 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] +.sym 3778 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 3783 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 3786 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] +.sym 3789 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[1] +.sym 3792 lvds_rx_24_inst.r_phase_count[0] +.sym 3796 $PACKER_VCC_NET +.sym 3797 $PACKER_VCC_NET +.sym 3798 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[2] +.sym 3799 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E +.sym 3800 lvds_rx_24_inst.r_phase_count[0] +.sym 3801 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] +.sym 3803 lvds_rx_24_inst.r_phase_count[1] +.sym 3804 $nextpnr_ICESTORM_LC_5$O +.sym 3806 lvds_rx_24_inst.r_phase_count[0] +.sym 3810 lvds_rx_24_inst.r_phase_count_SB_CARRY_CI_CO[2] +.sym 3812 lvds_rx_24_inst.r_phase_count[1] +.sym 3813 $PACKER_VCC_NET +.sym 3814 lvds_rx_24_inst.r_phase_count[0] +.sym 3818 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] +.sym 3819 $PACKER_VCC_NET +.sym 3820 lvds_rx_24_inst.r_phase_count_SB_CARRY_CI_CO[2] +.sym 3823 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] +.sym 3824 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 3825 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[2] +.sym 3826 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 3830 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 3835 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[1] +.sym 3836 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 3837 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] +.sym 3838 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 3841 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] +.sym 3844 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] +.sym 3848 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] +.sym 3851 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E .sym 3852 lvds_clock_buf -.sym 3853 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 3854 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E -.sym 3857 lvds_rx_09_inst.r_phase_count[0] -.sym 3859 w_rx_24_fifo_push -.sym 3861 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 3869 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] -.sym 3870 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E -.sym 3871 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 3888 i_smi_a2_SB_LUT4_I1_O[2] -.sym 3909 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 3915 lvds_rx_24_inst.r_state_if[1] -.sym 3919 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 3921 i_smi_a1_SB_LUT4_I1_O[2] -.sym 3946 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 3948 lvds_rx_24_inst.r_state_if[1] -.sym 3970 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 3971 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 3972 i_smi_a1_SB_LUT4_I1_O[2] -.sym 3989 i_smi_a1_SB_LUT4_I1_O[3] -.sym 3992 i_smi_a2_SB_LUT4_I1_O[2] -.sym 4006 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 4012 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E +.sym 3853 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 3878 i_smi_a1$SB_IO_IN +.sym 3915 w_lvds_rx_09_d1 +.sym 3916 w_lvds_rx_09_d0 +.sym 3933 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 3941 w_lvds_rx_09_d1 +.sym 3943 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 3976 w_lvds_rx_09_d0 +.sym 3977 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 3986 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 3987 lvds_clock_buf +.sym 3988 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 3990 i_smi_a1_SB_LUT4_I1_O[3] +.sym 3991 i_smi_a2_SB_LUT4_I1_O[3] +.sym 4011 o_shdn_tx_lna$SB_IO_OUT .sym 4138 i_smi_a2$SB_IO_IN -.sym 4146 i_smi_a1_SB_LUT4_I1_O[2] -.sym 4156 i_smi_a1$SB_IO_IN -.sym 4157 o_shdn_tx_lna$SB_IO_OUT -.sym 4250 i_smi_a2_SB_LUT4_I1_O[2] -.sym 4251 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4281 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 4282 $PACKER_VCC_NET -.sym 4283 io_pmod[2]$SB_IO_IN -.sym 4284 smi_ctrl_ins.int_cnt_09[5] -.sym 4285 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 4288 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 4290 $PACKER_VCC_NET -.sym 4291 smi_ctrl_ins.int_cnt_09[4] -.sym 4294 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] -.sym 4299 smi_ctrl_ins.int_cnt_09[4] -.sym 4303 i_smi_a1_SB_LUT4_I1_O[2] -.sym 4305 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 4306 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E -.sym 4309 i_smi_soe_se$rename$0 -.sym 4310 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4311 $nextpnr_ICESTORM_LC_1$O -.sym 4314 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4317 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[2] -.sym 4319 smi_ctrl_ins.int_cnt_09[4] -.sym 4320 $PACKER_VCC_NET -.sym 4321 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4324 $PACKER_VCC_NET -.sym 4325 smi_ctrl_ins.int_cnt_09[5] -.sym 4327 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[2] -.sym 4331 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 4332 i_smi_a1_SB_LUT4_I1_O[2] -.sym 4333 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] -.sym 4336 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 4338 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 4339 i_smi_soe_se$rename$0 -.sym 4342 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 4344 i_smi_soe_se$rename$0 -.sym 4345 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 4348 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4349 smi_ctrl_ins.int_cnt_09[4] -.sym 4350 io_pmod[2]$SB_IO_IN -.sym 4351 smi_ctrl_ins.int_cnt_09[5] -.sym 4355 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 4356 i_smi_soe_se$rename$0 -.sym 4357 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4358 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E +.sym 4207 o_shdn_tx_lna$SB_IO_OUT +.sym 4237 w_rx_09_fifo_pulled_data[0] +.sym 4238 w_rx_09_fifo_pulled_data[1] +.sym 4239 w_rx_09_fifo_pulled_data[2] +.sym 4240 w_rx_09_fifo_pulled_data[3] +.sym 4241 w_rx_09_fifo_pulled_data[4] +.sym 4242 w_rx_09_fifo_pulled_data[5] +.sym 4243 w_rx_09_fifo_pulled_data[6] +.sym 4244 w_rx_09_fifo_pulled_data[7] +.sym 4248 i_smi_a2_SB_LUT4_I1_O[3] +.sym 4268 i_smi_a1_SB_LUT4_I1_O[3] +.sym 4279 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2[1] +.sym 4281 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[1] +.sym 4282 w_rx_09_fifo_pulled_data[20] +.sym 4283 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 4284 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 4287 w_rx_09_fifo_pulled_data[16] +.sym 4288 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[3] +.sym 4290 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 4292 w_smi_data_output[6] +.sym 4293 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[1] +.sym 4294 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 4296 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 4297 i_smi_a3$SB_IO_IN +.sym 4298 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 4299 w_rx_09_fifo_pulled_data[12] +.sym 4300 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[0] +.sym 4301 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 4303 w_rx_09_fifo_pulled_data[0] +.sym 4304 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 4305 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] +.sym 4306 i_smi_a2_SB_LUT4_I1_O[3] +.sym 4307 w_rx_09_fifo_pulled_data[4] +.sym 4308 w_rx_09_fifo_pulled_data[28] +.sym 4309 smi_ctrl_ins.int_cnt_09[3] +.sym 4310 smi_ctrl_ins.int_cnt_09[3] +.sym 4312 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[1] +.sym 4313 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] +.sym 4314 i_smi_a2_SB_LUT4_I1_O[3] +.sym 4315 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[0] +.sym 4318 w_rx_09_fifo_pulled_data[0] +.sym 4319 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 4321 i_smi_a2_SB_LUT4_I1_O[3] +.sym 4324 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[3] +.sym 4325 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[1] +.sym 4326 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 4327 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 4330 w_rx_09_fifo_pulled_data[4] +.sym 4331 smi_ctrl_ins.int_cnt_09[3] +.sym 4332 w_rx_09_fifo_pulled_data[20] +.sym 4333 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 4336 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2[1] +.sym 4337 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 4338 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 4339 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 4342 w_rx_09_fifo_pulled_data[0] +.sym 4343 smi_ctrl_ins.int_cnt_09[3] +.sym 4344 w_rx_09_fifo_pulled_data[16] +.sym 4345 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 4348 w_rx_09_fifo_pulled_data[12] +.sym 4349 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 4350 w_rx_09_fifo_pulled_data[28] +.sym 4351 smi_ctrl_ins.int_cnt_09[3] +.sym 4355 i_smi_a3$SB_IO_IN +.sym 4357 w_smi_data_output[6] +.sym 4358 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_I3_O .sym 4359 r_counter[0]_$glb_clk -.sym 4360 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 4381 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 4382 $PACKER_VCC_NET -.sym 4393 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I1[2] -.sym 4407 i_smi_a3$SB_IO_IN -.sym 4418 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 4419 rx_09_fifo.wr_addr[0] -.sym 4421 lvds_rx_09_inst.o_fifo_data[28] -.sym 4422 w_rx_09_fifo_pulled_data[8] -.sym 4427 rx_09_fifo.wr_addr[2] -.sym 4428 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] -.sym 4431 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4442 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I1[2] -.sym 4443 lvds_rx_09_inst.o_fifo_data[26] -.sym 4448 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_I3_O[0] -.sym 4453 i_smi_soe_se$rename$0 -.sym 4454 i_smi_a1_SB_LUT4_I1_O[0] -.sym 4456 lvds_rx_09_inst.o_fifo_data[20] -.sym 4457 lvds_rx_09_inst.o_fifo_data[18] -.sym 4460 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 4462 i_smi_a3$SB_IO_IN -.sym 4464 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 4468 i_smi_a2_SB_LUT4_I1_O[2] -.sym 4470 w_smi_data_output[1] -.sym 4472 w_smi_data_output[2] -.sym 4475 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 4477 i_smi_soe_se$rename$0 -.sym 4487 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I1[2] -.sym 4488 i_smi_a1_SB_LUT4_I1_O[0] -.sym 4489 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_I3_O[0] -.sym 4490 i_smi_a2_SB_LUT4_I1_O[2] -.sym 4493 w_smi_data_output[2] -.sym 4496 i_smi_a3$SB_IO_IN -.sym 4499 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 4500 lvds_rx_09_inst.o_fifo_data[20] -.sym 4507 lvds_rx_09_inst.o_fifo_data[26] -.sym 4508 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 4511 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 4513 lvds_rx_09_inst.o_fifo_data[18] -.sym 4519 w_smi_data_output[1] -.sym 4520 i_smi_a3$SB_IO_IN -.sym 4521 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce -.sym 4522 lvds_clock_buf -.sym 4523 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr +.sym 4365 w_rx_09_fifo_pulled_data[8] +.sym 4366 w_rx_09_fifo_pulled_data[9] +.sym 4367 w_rx_09_fifo_pulled_data[10] +.sym 4368 w_rx_09_fifo_pulled_data[11] +.sym 4369 w_rx_09_fifo_pulled_data[12] +.sym 4370 w_rx_09_fifo_pulled_data[13] +.sym 4371 w_rx_09_fifo_pulled_data[14] +.sym 4372 w_rx_09_fifo_pulled_data[15] +.sym 4377 io_smi_data[0]$SB_IO_OUT +.sym 4378 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[3] +.sym 4379 rx_09_fifo.wr_addr[0] +.sym 4382 lvds_rx_09_inst.o_fifo_data[6] +.sym 4383 w_smi_data_output[4] +.sym 4387 w_smi_data_output[3] +.sym 4388 io_pmod[4]$SB_IO_IN +.sym 4393 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 4394 io_pmod[7]$SB_IO_IN +.sym 4395 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 4402 w_rx_09_fifo_push +.sym 4403 rx_09_fifo.wr_addr[7] +.sym 4404 smi_ctrl_ins.int_cnt_09[3] +.sym 4405 smi_ctrl_ins.int_cnt_09[3] +.sym 4406 w_rx_09_fifo_pulled_data[16] +.sym 4407 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 4409 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] +.sym 4414 io_smi_data[6]$SB_IO_OUT +.sym 4415 w_rx_09_fifo_pulled_data[20] +.sym 4416 $PACKER_VCC_NET +.sym 4417 i_smi_a3$SB_IO_IN +.sym 4418 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 4420 rx_09_fifo.wr_addr[4] +.sym 4421 rx_09_fifo.wr_addr[3] +.sym 4422 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 4425 rx_09_fifo.wr_addr[2] +.sym 4426 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 4427 rx_09_fifo.wr_addr[6] +.sym 4428 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 4429 w_rx_09_fifo_pulled_data[28] +.sym 4430 rx_09_fifo.wr_addr[6] +.sym 4431 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 4442 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[1] +.sym 4443 w_rx_09_fifo_pulled_data[1] +.sym 4444 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 4445 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[1] +.sym 4446 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[1] +.sym 4447 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[3] +.sym 4448 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O_SB_LUT4_I0_O[2] +.sym 4449 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[3] +.sym 4450 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[1] +.sym 4451 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 4452 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 4454 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[3] +.sym 4455 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 4456 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 4459 w_rx_09_fifo_pulled_data[9] +.sym 4460 smi_ctrl_ins.int_cnt_09[3] +.sym 4462 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[1] +.sym 4464 i_smi_a1_SB_LUT4_I1_O[3] +.sym 4466 i_smi_a2_SB_LUT4_I1_O[3] +.sym 4467 w_rx_09_fifo_pulled_data[17] +.sym 4468 w_rx_09_fifo_pulled_data[25] +.sym 4469 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 4472 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[3] +.sym 4473 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] +.sym 4475 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 4476 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[3] +.sym 4477 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[1] +.sym 4478 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 4481 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[1] +.sym 4482 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 4483 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 4484 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] +.sym 4487 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 4488 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 4489 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[1] +.sym 4490 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[3] +.sym 4494 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O_SB_LUT4_I0_O[2] +.sym 4495 i_smi_a2_SB_LUT4_I1_O[3] +.sym 4496 i_smi_a1_SB_LUT4_I1_O[3] +.sym 4499 smi_ctrl_ins.int_cnt_09[3] +.sym 4500 w_rx_09_fifo_pulled_data[25] +.sym 4501 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 4502 w_rx_09_fifo_pulled_data[9] +.sym 4505 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 4506 smi_ctrl_ins.int_cnt_09[3] +.sym 4507 w_rx_09_fifo_pulled_data[1] +.sym 4508 w_rx_09_fifo_pulled_data[17] +.sym 4511 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[1] +.sym 4512 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 4513 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 4514 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[3] +.sym 4517 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[1] +.sym 4518 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 4519 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[3] +.sym 4520 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 4521 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 4522 r_counter[0]_$glb_clk .sym 4524 w_rx_09_fifo_pulled_data[16] .sym 4525 w_rx_09_fifo_pulled_data[17] .sym 4526 w_rx_09_fifo_pulled_data[18] @@ -5475,544 +5513,492 @@ .sym 4529 w_rx_09_fifo_pulled_data[21] .sym 4530 w_rx_09_fifo_pulled_data[22] .sym 4531 w_rx_09_fifo_pulled_data[23] -.sym 4532 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] -.sym 4548 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 4549 w_rx_09_fifo_pulled_data[0] -.sym 4553 w_rx_09_fifo_pulled_data[2] -.sym 4555 w_rx_09_fifo_pulled_data[23] -.sym 4559 w_rx_09_fifo_pulled_data[17] -.sym 4565 w_rx_09_fifo_pulled_data[0] -.sym 4568 lvds_rx_09_inst.o_fifo_data[14] -.sym 4569 lvds_rx_09_inst.o_fifo_data[22] -.sym 4570 lvds_rx_09_inst.o_fifo_data[28] -.sym 4571 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4573 lvds_rx_09_inst.o_fifo_data[16] -.sym 4578 lvds_rx_09_inst.o_fifo_data[24] -.sym 4580 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 4581 w_rx_09_fifo_pulled_data[24] -.sym 4584 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 4588 w_rx_09_fifo_pulled_data[8] -.sym 4589 w_rx_09_fifo_pulled_data[16] -.sym 4595 lvds_rx_09_inst.o_fifo_data[12] -.sym 4599 lvds_rx_09_inst.o_fifo_data[14] -.sym 4600 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 4605 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 4607 lvds_rx_09_inst.o_fifo_data[24] -.sym 4610 w_rx_09_fifo_pulled_data[0] -.sym 4611 w_rx_09_fifo_pulled_data[16] -.sym 4612 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4613 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 4617 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 4618 lvds_rx_09_inst.o_fifo_data[12] -.sym 4622 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4623 w_rx_09_fifo_pulled_data[8] -.sym 4624 w_rx_09_fifo_pulled_data[24] -.sym 4625 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 4629 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 4631 lvds_rx_09_inst.o_fifo_data[22] -.sym 4634 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 4635 lvds_rx_09_inst.o_fifo_data[28] -.sym 4640 lvds_rx_09_inst.o_fifo_data[16] -.sym 4641 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 4644 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce -.sym 4645 lvds_clock_buf -.sym 4646 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr +.sym 4532 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[1] +.sym 4536 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[1] +.sym 4537 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 4538 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[1] +.sym 4540 w_smi_data_output[5] +.sym 4542 w_smi_data_output[7] +.sym 4543 rx_09_fifo.rd_addr[0] +.sym 4544 lvds_rx_09_inst.o_fifo_data[15] +.sym 4545 lvds_rx_09_inst.o_fifo_data[13] +.sym 4546 lvds_rx_09_inst.o_fifo_data[9] +.sym 4548 lvds_rx_09_inst.o_fifo_data[16] +.sym 4549 w_rx_24_fifo_pulled_data[14] +.sym 4550 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 4554 w_rx_09_fifo_pulled_data[25] +.sym 4559 smi_ctrl_ins.int_cnt_24[5] +.sym 4565 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4566 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4567 smi_ctrl_ins.int_cnt_24[4] +.sym 4568 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4569 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4570 smi_ctrl_ins.int_cnt_24[5] +.sym 4572 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 4574 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4576 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 4577 i_smi_soe_se$rename$0 +.sym 4578 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[3] +.sym 4580 $PACKER_VCC_NET +.sym 4582 $PACKER_VCC_NET +.sym 4583 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 4585 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4590 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[0] +.sym 4591 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 4592 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4593 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 4597 $nextpnr_ICESTORM_LC_0$O +.sym 4600 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4603 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[2] +.sym 4605 smi_ctrl_ins.int_cnt_24[4] +.sym 4606 $PACKER_VCC_NET +.sym 4611 smi_ctrl_ins.int_cnt_24[5] +.sym 4612 $PACKER_VCC_NET +.sym 4613 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[2] +.sym 4616 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 4617 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4618 i_smi_soe_se$rename$0 +.sym 4622 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4623 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 4624 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 4625 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4628 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 4629 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4630 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 4631 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4634 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[0] +.sym 4635 i_smi_soe_se$rename$0 +.sym 4636 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[3] +.sym 4637 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 4640 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4641 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4642 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 4643 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 4644 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 4645 r_counter[0]_$glb_clk +.sym 4646 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr .sym 4647 w_rx_09_fifo_pulled_data[24] .sym 4648 w_rx_09_fifo_pulled_data[25] -.sym 4649 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.sym 4649 w_rx_09_fifo_pulled_data[26] .sym 4650 w_rx_09_fifo_pulled_data[27] .sym 4651 w_rx_09_fifo_pulled_data[28] .sym 4652 w_rx_09_fifo_pulled_data[29] .sym 4653 w_rx_09_fifo_pulled_data[30] .sym 4654 w_rx_09_fifo_pulled_data[31] -.sym 4658 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E -.sym 4659 rx_09_fifo.wr_addr[6] -.sym 4660 i_smi_soe_se$rename$0 -.sym 4662 rx_09_fifo.wr_addr[7] -.sym 4663 w_smi_data_output[5] -.sym 4664 $PACKER_VCC_NET -.sym 4667 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 4668 rx_09_fifo.wr_addr[4] -.sym 4669 rx_09_fifo.wr_addr[3] -.sym 4670 w_smi_data_output[4] -.sym 4671 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I1[2] -.sym 4672 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 4673 w_rx_09_fifo_pulled_data[19] -.sym 4674 rx_09_fifo.rd_addr[3] -.sym 4676 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 4678 rx_09_fifo.rd_addr[4] -.sym 4680 w_rx_09_fifo_pulled_data[12] -.sym 4681 io_pmod[5]$SB_IO_IN -.sym 4688 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[1] -.sym 4689 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 4690 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[0] -.sym 4691 w_smi_data_output[6] -.sym 4692 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[1] -.sym 4693 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 4694 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[1] -.sym 4695 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 4696 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] -.sym 4697 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 4698 w_rx_09_fifo_pulled_data[18] -.sym 4699 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4700 w_rx_09_fifo_pulled_data[20] -.sym 4704 w_rx_09_fifo_pulled_data[12] -.sym 4705 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] -.sym 4706 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_O -.sym 4708 w_rx_09_fifo_pulled_data[4] -.sym 4710 w_rx_09_fifo_pulled_data[15] -.sym 4711 w_rx_09_fifo_pulled_data[31] -.sym 4712 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[3] -.sym 4713 w_rx_09_fifo_pulled_data[2] -.sym 4714 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 4716 w_rx_09_fifo_pulled_data[28] -.sym 4718 i_smi_a2_SB_LUT4_I1_O[2] -.sym 4719 i_smi_a3$SB_IO_IN -.sym 4721 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4722 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 4723 w_rx_09_fifo_pulled_data[15] -.sym 4724 w_rx_09_fifo_pulled_data[31] -.sym 4727 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 4728 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4729 w_rx_09_fifo_pulled_data[18] -.sym 4730 w_rx_09_fifo_pulled_data[2] -.sym 4733 w_rx_09_fifo_pulled_data[4] -.sym 4734 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 4735 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4736 w_rx_09_fifo_pulled_data[20] -.sym 4739 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] -.sym 4740 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[1] -.sym 4741 i_smi_a2_SB_LUT4_I1_O[2] -.sym 4742 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[0] -.sym 4745 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4746 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 4747 w_rx_09_fifo_pulled_data[12] -.sym 4748 w_rx_09_fifo_pulled_data[28] -.sym 4751 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 4752 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[1] -.sym 4753 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[3] -.sym 4754 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 4757 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[1] -.sym 4758 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] -.sym 4759 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 4760 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 4765 w_smi_data_output[6] -.sym 4766 i_smi_a3$SB_IO_IN -.sym 4767 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_O +.sym 4655 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 4659 rx_09_fifo.wr_addr[7] +.sym 4661 rx_09_fifo.wr_addr[4] +.sym 4662 lvds_rx_09_inst.o_fifo_data[17] +.sym 4666 smi_ctrl_ins.int_cnt_24[5] +.sym 4667 rx_09_fifo.wr_addr[5] +.sym 4668 $PACKER_VCC_NET +.sym 4669 w_rx_09_fifo_push +.sym 4670 lvds_rx_09_inst.o_fifo_data[21] +.sym 4672 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 4674 w_rx_24_fifo_pulled_data[9] +.sym 4675 rx_24_fifo.wr_addr[6] +.sym 4677 i_smi_a3$SB_IO_IN +.sym 4678 w_rx_09_fifo_push +.sym 4680 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 4681 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I3[2] +.sym 4688 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I3[2] +.sym 4689 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4690 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 4691 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I3[1] +.sym 4692 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 4694 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4695 i_smi_a1_SB_LUT4_I1_O[3] +.sym 4697 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4698 w_rx_24_fifo_pulled_data[9] +.sym 4699 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4700 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4704 w_rx_24_fifo_pulled_data[0] +.sym 4705 i_smi_soe_se$rename$0 +.sym 4706 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 4707 w_rx_24_fifo_empty +.sym 4709 w_rx_24_fifo_pulled_data[14] +.sym 4710 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 4711 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 4712 i_smi_a2_SB_LUT4_I1_O[1] +.sym 4713 w_rx_24_fifo_pulled_data[1] +.sym 4714 smi_ctrl_ins.int_cnt_24[4] +.sym 4716 i_smi_a2_SB_LUT4_I1_O[3] +.sym 4717 i_smi_a1_SB_LUT4_I1_O[0] +.sym 4718 w_rx_24_fifo_pulled_data[6] +.sym 4719 smi_ctrl_ins.int_cnt_24[5] +.sym 4721 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4722 w_rx_24_fifo_pulled_data[6] +.sym 4723 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4724 w_rx_24_fifo_pulled_data[14] +.sym 4727 w_rx_24_fifo_empty +.sym 4728 smi_ctrl_ins.int_cnt_24[5] +.sym 4729 smi_ctrl_ins.int_cnt_24[4] +.sym 4730 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4733 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4735 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 4736 i_smi_soe_se$rename$0 +.sym 4739 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 4740 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4741 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4742 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 4745 w_rx_24_fifo_pulled_data[1] +.sym 4746 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4747 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4748 w_rx_24_fifo_pulled_data[9] +.sym 4751 i_smi_a2_SB_LUT4_I1_O[1] +.sym 4752 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 4753 i_smi_a1_SB_LUT4_I1_O[3] +.sym 4754 i_smi_a1_SB_LUT4_I1_O[0] +.sym 4757 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I3[2] +.sym 4758 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 4759 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 4760 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I3[1] +.sym 4763 i_smi_a2_SB_LUT4_I1_O[3] +.sym 4764 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 4766 w_rx_24_fifo_pulled_data[0] +.sym 4767 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E .sym 4768 r_counter[0]_$glb_clk -.sym 4770 w_rx_09_fifo_pulled_data[0] -.sym 4771 w_rx_09_fifo_pulled_data[1] -.sym 4772 w_rx_09_fifo_pulled_data[2] -.sym 4773 w_rx_09_fifo_pulled_data[3] -.sym 4774 w_rx_09_fifo_pulled_data[4] -.sym 4775 w_rx_09_fifo_pulled_data[5] -.sym 4776 w_rx_09_fifo_pulled_data[6] -.sym 4777 w_rx_09_fifo_pulled_data[7] -.sym 4781 i_smi_a1_SB_LUT4_I1_O[3] -.sym 4782 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] -.sym 4785 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4786 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 4789 i_smi_a1_SB_LUT4_I1_O[2] -.sym 4790 io_smi_data[0]$SB_IO_OUT -.sym 4791 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 4792 rx_09_fifo.rd_addr[3] -.sym 4794 $PACKER_VCC_NET -.sym 4795 $PACKER_VCC_NET -.sym 4796 w_rx_09_fifo_pulled_data[15] -.sym 4798 w_rx_24_fifo_push -.sym 4799 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 4800 lvds_rx_09_inst.o_fifo_data[9] -.sym 4801 $PACKER_VCC_NET -.sym 4803 lvds_rx_09_inst.o_fifo_data[14] +.sym 4769 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 4770 w_rx_24_fifo_pulled_data[0] +.sym 4771 w_rx_24_fifo_pulled_data[1] +.sym 4772 w_rx_24_fifo_pulled_data[2] +.sym 4773 w_rx_24_fifo_pulled_data[3] +.sym 4774 w_rx_24_fifo_pulled_data[4] +.sym 4775 w_rx_24_fifo_pulled_data[5] +.sym 4776 w_rx_24_fifo_pulled_data[6] +.sym 4777 w_rx_24_fifo_pulled_data[7] +.sym 4783 rx_09_fifo.rd_addr[0] +.sym 4784 lvds_rx_09_inst.o_fifo_data[28] +.sym 4785 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 4788 lvds_rx_09_inst.o_fifo_data[24] +.sym 4789 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 4790 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 4791 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 4794 w_rx_24_fifo_pulled_data[28] +.sym 4795 w_rx_24_fifo_pulled_data[16] +.sym 4796 $PACKER_VCC_NET +.sym 4797 rx_24_fifo.wr_addr[2] +.sym 4798 w_rx_24_fifo_pull +.sym 4799 rx_24_fifo.wr_addr[4] +.sym 4803 lvds_rx_09_inst.o_fifo_data[26] +.sym 4804 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] .sym 4805 i_smi_a3$SB_IO_IN -.sym 4811 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[3] -.sym 4813 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_O -.sym 4816 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 4817 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 4818 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I1[1] -.sym 4819 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[1] -.sym 4820 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3[3] -.sym 4821 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3[1] -.sym 4822 w_rx_09_fifo_pulled_data[27] -.sym 4823 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[1] -.sym 4824 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 4825 w_rx_09_fifo_pulled_data[23] -.sym 4826 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[3] -.sym 4827 w_rx_09_fifo_pulled_data[0] -.sym 4829 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] -.sym 4830 w_rx_09_fifo_pulled_data[3] -.sym 4831 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I1[2] -.sym 4832 i_smi_a2_SB_LUT4_I1_O[2] -.sym 4833 w_rx_09_fifo_pulled_data[19] -.sym 4834 i_smi_a1_SB_LUT4_I1_O[1] -.sym 4835 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 4838 w_rx_09_fifo_pulled_data[11] -.sym 4839 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 4840 i_smi_a1_SB_LUT4_I1_O[3] -.sym 4841 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4842 w_rx_09_fifo_pulled_data[7] -.sym 4844 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 4845 w_rx_09_fifo_pulled_data[3] -.sym 4846 w_rx_09_fifo_pulled_data[19] -.sym 4847 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4850 w_rx_09_fifo_pulled_data[23] -.sym 4851 w_rx_09_fifo_pulled_data[7] -.sym 4852 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4853 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 4856 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I1[2] -.sym 4857 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I1[1] -.sym 4858 i_smi_a1_SB_LUT4_I1_O[3] -.sym 4859 i_smi_a1_SB_LUT4_I1_O[1] -.sym 4862 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 4863 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[3] -.sym 4864 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[1] -.sym 4865 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 4868 w_rx_09_fifo_pulled_data[0] -.sym 4869 i_smi_a2_SB_LUT4_I1_O[2] -.sym 4871 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 4874 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3[1] -.sym 4875 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3[3] -.sym 4876 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4877 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] -.sym 4880 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[3] -.sym 4881 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[1] -.sym 4882 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 4883 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 4886 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 4887 w_rx_09_fifo_pulled_data[11] -.sym 4888 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 4889 w_rx_09_fifo_pulled_data[27] -.sym 4890 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_O -.sym 4891 r_counter[0]_$glb_clk -.sym 4893 w_rx_09_fifo_pulled_data[8] -.sym 4894 w_rx_09_fifo_pulled_data[9] -.sym 4895 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] -.sym 4896 w_rx_09_fifo_pulled_data[11] -.sym 4897 w_rx_09_fifo_pulled_data[12] -.sym 4898 w_rx_09_fifo_pulled_data[13] -.sym 4899 w_rx_09_fifo_pulled_data[14] -.sym 4900 w_rx_09_fifo_pulled_data[15] -.sym 4905 rx_09_fifo.wr_addr[3] -.sym 4909 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_O -.sym 4911 rx_09_fifo.wr_addr[0] -.sym 4912 rx_09_fifo.wr_addr[4] -.sym 4913 rx_24_fifo.rd_addr_gray_wr[7] -.sym 4914 $PACKER_VCC_NET -.sym 4915 rx_09_fifo.wr_addr[6] -.sym 4916 rx_09_fifo.wr_addr[7] -.sym 4917 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] -.sym 4918 rx_09_fifo.wr_addr[2] -.sym 4919 rx_24_fifo.wr_addr[4] -.sym 4920 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 4921 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 4922 w_rx_24_fifo_pulled_data[10] -.sym 4923 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 4925 rx_24_fifo.wr_addr[3] -.sym 4926 w_rx_24_fifo_pulled_data[12] -.sym 4935 w_rx_24_fifo_data[3] -.sym 4936 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 4937 w_rx_24_fifo_pulled_data[12] -.sym 4938 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 4939 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 4940 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 4941 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 4942 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] -.sym 4945 i_smi_a2_SB_LUT4_I1_O[1] -.sym 4946 w_rx_24_fifo_pulled_data[10] -.sym 4947 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 4951 w_rx_24_fifo_pulled_data[14] -.sym 4955 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 4956 w_rx_24_fifo_data[1] -.sym 4959 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 4960 w_rx_24_fifo_pulled_data[2] -.sym 4962 w_rx_24_fifo_pulled_data[4] -.sym 4963 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I1[1] -.sym 4964 w_rx_24_fifo_pulled_data[6] -.sym 4967 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 4968 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 4969 i_smi_a2_SB_LUT4_I1_O[1] -.sym 4970 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 4974 w_rx_24_fifo_data[1] -.sym 4976 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 4979 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 4980 w_rx_24_fifo_pulled_data[6] -.sym 4981 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 4982 w_rx_24_fifo_pulled_data[14] -.sym 4985 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 4986 i_smi_a2_SB_LUT4_I1_O[1] -.sym 4987 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] -.sym 4988 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I1[1] -.sym 4991 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 4992 w_rx_24_fifo_data[3] -.sym 4997 w_rx_24_fifo_pulled_data[2] -.sym 4998 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 4999 w_rx_24_fifo_pulled_data[10] -.sym 5000 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 5003 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 5004 w_rx_24_fifo_pulled_data[4] +.sym 4813 smi_ctrl_ins.int_cnt_24[4] +.sym 4814 $PACKER_VCC_NET +.sym 4815 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 4817 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4818 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 4820 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1[2] +.sym 4823 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4826 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4828 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4829 w_rx_24_fifo_pulled_data[2] +.sym 4830 w_rx_24_fifo_pulled_data[3] +.sym 4831 w_rx_24_fifo_pulled_data[12] +.sym 4832 w_rx_24_fifo_pulled_data[13] +.sym 4834 w_rx_24_fifo_pulled_data[7] +.sym 4835 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1[1] +.sym 4837 w_rx_24_fifo_pulled_data[10] +.sym 4838 w_rx_24_fifo_pulled_data[11] +.sym 4839 w_rx_24_fifo_pulled_data[4] +.sym 4840 w_rx_24_fifo_pulled_data[5] +.sym 4842 w_rx_24_fifo_pulled_data[15] +.sym 4844 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4845 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4846 w_rx_24_fifo_pulled_data[11] +.sym 4847 w_rx_24_fifo_pulled_data[3] +.sym 4850 $PACKER_VCC_NET +.sym 4851 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4853 smi_ctrl_ins.int_cnt_24[4] +.sym 4856 w_rx_24_fifo_pulled_data[2] +.sym 4857 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4858 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4859 w_rx_24_fifo_pulled_data[10] +.sym 4862 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4863 w_rx_24_fifo_pulled_data[12] +.sym 4864 w_rx_24_fifo_pulled_data[4] +.sym 4865 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4868 w_rx_24_fifo_pulled_data[15] +.sym 4869 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4870 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4871 w_rx_24_fifo_pulled_data[7] +.sym 4874 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 4875 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 4876 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4877 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4880 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1[2] +.sym 4881 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1[1] +.sym 4882 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 4883 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 4886 w_rx_24_fifo_pulled_data[13] +.sym 4887 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4888 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4889 w_rx_24_fifo_pulled_data[5] +.sym 4893 w_rx_24_fifo_pulled_data[8] +.sym 4894 w_rx_24_fifo_pulled_data[9] +.sym 4895 w_rx_24_fifo_pulled_data[10] +.sym 4896 w_rx_24_fifo_pulled_data[11] +.sym 4897 w_rx_24_fifo_pulled_data[12] +.sym 4898 w_rx_24_fifo_pulled_data[13] +.sym 4899 w_rx_24_fifo_pulled_data[14] +.sym 4900 w_rx_24_fifo_pulled_data[15] +.sym 4903 i_smi_a1_SB_LUT4_I1_O[3] +.sym 4905 w_rx_09_fifo_pull +.sym 4909 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4911 w_rx_24_fifo_data[1] +.sym 4912 w_rx_24_fifo_data[0] +.sym 4913 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 4917 rx_24_fifo.wr_addr[7] +.sym 4918 w_rx_24_fifo_pulled_data[24] +.sym 4922 w_rx_24_fifo_pulled_data[26] +.sym 4924 w_rx_24_fifo_pulled_data[27] +.sym 4926 rx_24_fifo.wr_addr[7] +.sym 4927 rx_24_fifo.wr_addr[3] +.sym 4928 w_rx_24_fifo_pulled_data[29] +.sym 4934 w_rx_24_fifo_pulled_data[0] +.sym 4935 w_rx_24_fifo_pulled_data[29] +.sym 4936 w_rx_24_fifo_data[2] +.sym 4937 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4940 w_rx_24_fifo_pulled_data[27] +.sym 4942 w_rx_24_fifo_pulled_data[24] +.sym 4943 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4944 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4945 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4946 w_rx_24_fifo_pulled_data[26] +.sym 4950 w_rx_24_fifo_pulled_data[8] +.sym 4952 w_rx_24_fifo_pulled_data[18] +.sym 4953 w_rx_24_fifo_pulled_data[19] +.sym 4954 w_rx_24_fifo_pulled_data[28] +.sym 4955 w_rx_24_fifo_pulled_data[16] +.sym 4958 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 4962 w_rx_24_fifo_pulled_data[20] +.sym 4963 w_rx_24_fifo_pulled_data[21] +.sym 4965 w_rx_24_fifo_data[4] +.sym 4967 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4968 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4969 w_rx_24_fifo_pulled_data[0] +.sym 4970 w_rx_24_fifo_pulled_data[16] +.sym 4973 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4974 w_rx_24_fifo_pulled_data[27] +.sym 4975 w_rx_24_fifo_pulled_data[19] +.sym 4976 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4979 w_rx_24_fifo_data[4] +.sym 4982 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4985 w_rx_24_fifo_pulled_data[24] +.sym 4986 w_rx_24_fifo_pulled_data[8] +.sym 4987 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 4988 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4991 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4992 w_rx_24_fifo_pulled_data[29] +.sym 4993 w_rx_24_fifo_pulled_data[21] +.sym 4994 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4997 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4998 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 4999 w_rx_24_fifo_pulled_data[20] +.sym 5000 w_rx_24_fifo_pulled_data[28] +.sym 5003 w_rx_24_fifo_pulled_data[18] +.sym 5004 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] .sym 5005 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 5006 w_rx_24_fifo_pulled_data[12] -.sym 5009 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 5010 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 5011 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 5012 i_smi_a2_SB_LUT4_I1_O[1] +.sym 5006 w_rx_24_fifo_pulled_data[26] +.sym 5009 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5012 w_rx_24_fifo_data[2] .sym 5013 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce .sym 5014 lvds_clock_buf -.sym 5015 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 5016 i_smi_a2_SB_LUT4_I1_O[0] -.sym 5017 w_rx_24_fifo_pulled_data[1] -.sym 5018 w_rx_24_fifo_pulled_data[2] -.sym 5019 w_rx_24_fifo_pulled_data[3] -.sym 5020 w_rx_24_fifo_pulled_data[4] -.sym 5021 w_rx_24_fifo_pulled_data[5] -.sym 5022 w_rx_24_fifo_pulled_data[6] -.sym 5023 w_rx_24_fifo_pulled_data[7] -.sym 5030 $PACKER_VCC_NET -.sym 5033 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] -.sym 5035 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5037 w_rx_09_fifo_pulled_data[9] -.sym 5038 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] -.sym 5039 i_smi_a1_SB_LUT4_I1_O[2] -.sym 5041 w_rx_24_fifo_data[1] -.sym 5042 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 5044 w_rx_24_fifo_data[0] -.sym 5045 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 5046 rx_24_fifo.rd_addr[4] -.sym 5047 rx_24_fifo.wr_addr_gray_rd_r[6] -.sym 5048 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 5049 rx_24_fifo.wr_addr[5] -.sym 5050 rx_24_fifo.wr_addr[5] -.sym 5051 rx_24_fifo.wr_addr[2] -.sym 5059 lvds_rx_09_inst.o_fifo_data[8] -.sym 5060 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 5061 lvds_rx_09_inst.o_fifo_data[7] -.sym 5062 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5063 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 5066 i_smi_a2_SB_LUT4_I1_O[1] -.sym 5071 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 5072 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 5074 w_rx_24_fifo_pulled_data[9] -.sym 5075 lvds_rx_09_inst.o_fifo_data[11] -.sym 5076 lvds_rx_09_inst.o_fifo_data[9] -.sym 5078 w_rx_24_fifo_pulled_data[13] -.sym 5082 w_rx_24_fifo_pulled_data[1] -.sym 5085 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 5086 w_rx_24_fifo_pulled_data[5] -.sym 5096 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 5097 w_rx_24_fifo_pulled_data[5] -.sym 5098 w_rx_24_fifo_pulled_data[13] -.sym 5099 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 5103 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5105 lvds_rx_09_inst.o_fifo_data[9] -.sym 5108 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5109 lvds_rx_09_inst.o_fifo_data[7] -.sym 5116 lvds_rx_09_inst.o_fifo_data[11] -.sym 5117 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5120 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 5121 i_smi_a2_SB_LUT4_I1_O[1] -.sym 5122 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 5123 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 5126 w_rx_24_fifo_pulled_data[1] -.sym 5127 w_rx_24_fifo_pulled_data[9] -.sym 5128 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 5129 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 5134 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5135 lvds_rx_09_inst.o_fifo_data[8] -.sym 5136 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 5015 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 5016 w_rx_24_fifo_pulled_data[16] +.sym 5017 w_rx_24_fifo_pulled_data[17] +.sym 5018 w_rx_24_fifo_pulled_data[18] +.sym 5019 w_rx_24_fifo_pulled_data[19] +.sym 5020 w_rx_24_fifo_pulled_data[20] +.sym 5021 w_rx_24_fifo_pulled_data[21] +.sym 5022 w_rx_24_fifo_pulled_data[22] +.sym 5023 w_rx_24_fifo_pulled_data[23] +.sym 5024 i_smi_a2_SB_LUT4_I1_O[3] +.sym 5027 i_smi_a2_SB_LUT4_I1_O[3] +.sym 5028 i_smi_a2_SB_LUT4_I1_O[1] +.sym 5030 w_rx_24_fifo_data[10] +.sym 5033 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 5034 w_rx_24_fifo_data[11] +.sym 5035 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 5036 w_rx_24_fifo_data[14] +.sym 5038 w_rx_24_fifo_data[12] +.sym 5042 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 5048 w_rx_24_fifo_pulled_data[14] +.sym 5057 w_rx_24_fifo_data[22] +.sym 5058 w_rx_24_fifo_data[27] +.sym 5064 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5065 w_rx_24_fifo_data[16] +.sym 5067 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 5071 w_rx_24_fifo_data[20] +.sym 5072 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 5075 w_rx_24_fifo_data[28] +.sym 5077 w_rx_24_fifo_data[26] +.sym 5079 w_rx_24_fifo_pulled_data[30] +.sym 5082 w_rx_24_fifo_data[24] +.sym 5087 w_rx_24_fifo_pulled_data[22] +.sym 5091 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5093 w_rx_24_fifo_data[20] +.sym 5096 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5097 w_rx_24_fifo_data[22] +.sym 5104 w_rx_24_fifo_data[26] +.sym 5105 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5108 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5110 w_rx_24_fifo_data[27] +.sym 5115 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5116 w_rx_24_fifo_data[24] +.sym 5120 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5122 w_rx_24_fifo_data[16] +.sym 5126 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 5127 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 5128 w_rx_24_fifo_pulled_data[30] +.sym 5129 w_rx_24_fifo_pulled_data[22] +.sym 5132 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5135 w_rx_24_fifo_data[28] +.sym 5136 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce .sym 5137 lvds_clock_buf -.sym 5138 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 5139 w_rx_24_fifo_pulled_data[8] -.sym 5140 w_rx_24_fifo_pulled_data[9] -.sym 5141 w_rx_24_fifo_pulled_data[10] -.sym 5142 w_rx_24_fifo_pulled_data[11] -.sym 5143 w_rx_24_fifo_pulled_data[12] -.sym 5144 w_rx_24_fifo_pulled_data[13] -.sym 5145 w_rx_24_fifo_pulled_data[14] -.sym 5146 w_rx_24_fifo_pulled_data[15] -.sym 5147 io_pmod[6]$SB_IO_IN -.sym 5149 i_smi_a2_SB_LUT4_I1_O[2] -.sym 5152 i_smi_a2_SB_LUT4_I1_O[1] -.sym 5155 w_rx_24_fifo_data[7] -.sym 5158 $PACKER_VCC_NET -.sym 5161 lvds_rx_09_inst.o_fifo_data[13] -.sym 5164 w_rx_24_fifo_push -.sym 5165 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 5166 w_rx_24_fifo_pulled_data[25] -.sym 5167 rx_24_fifo.wr_addr[0] -.sym 5171 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 5172 rx_24_fifo.wr_addr[0] -.sym 5180 i_smi_a2_SB_LUT4_I1_O[0] -.sym 5182 w_rx_24_fifo_pulled_data[25] -.sym 5183 rx_24_fifo.wr_addr_gray[6] -.sym 5187 i_smi_a2_SB_LUT4_I1_O[1] -.sym 5188 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[2] -.sym 5189 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[1] -.sym 5191 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 5192 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 5197 w_rx_24_fifo_pulled_data[17] -.sym 5200 w_rx_24_fifo_pulled_data[30] -.sym 5202 i_smi_a2_SB_LUT4_I1_O[2] -.sym 5203 rx_24_fifo.wr_addr_gray_rd[6] -.sym 5207 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 5209 w_rx_24_fifo_data[14] -.sym 5210 w_rx_24_fifo_pulled_data[22] -.sym 5211 w_rx_24_fifo_pull -.sym 5213 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[1] -.sym 5214 i_smi_a2_SB_LUT4_I1_O[1] -.sym 5215 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 5216 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[2] -.sym 5221 rx_24_fifo.wr_addr_gray_rd[6] -.sym 5225 w_rx_24_fifo_pulled_data[30] -.sym 5226 w_rx_24_fifo_pulled_data[22] -.sym 5227 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 5228 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 5232 i_smi_a2_SB_LUT4_I1_O[0] -.sym 5233 i_smi_a2_SB_LUT4_I1_O[1] -.sym 5234 i_smi_a2_SB_LUT4_I1_O[2] -.sym 5239 w_rx_24_fifo_pull -.sym 5246 w_rx_24_fifo_data[14] -.sym 5249 w_rx_24_fifo_pulled_data[25] -.sym 5250 w_rx_24_fifo_pulled_data[17] -.sym 5251 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 5252 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 5257 rx_24_fifo.wr_addr_gray[6] -.sym 5260 r_counter[0]_$glb_clk -.sym 5262 w_rx_24_fifo_pulled_data[16] -.sym 5263 w_rx_24_fifo_pulled_data[17] -.sym 5264 w_rx_24_fifo_pulled_data[18] -.sym 5265 w_rx_24_fifo_pulled_data[19] -.sym 5266 w_rx_24_fifo_pulled_data[20] -.sym 5267 w_rx_24_fifo_pulled_data[21] -.sym 5268 w_rx_24_fifo_pulled_data[22] -.sym 5269 w_rx_24_fifo_pulled_data[23] -.sym 5275 w_rx_24_fifo_pulled_data[14] -.sym 5276 w_rx_24_fifo_data[12] -.sym 5278 rx_24_fifo.wr_addr[7] -.sym 5282 w_rx_24_fifo_data[10] -.sym 5284 w_rx_24_fifo_data[11] -.sym 5286 w_rx_24_fifo_pulled_data[30] -.sym 5289 w_rx_24_fifo_push -.sym 5293 $PACKER_VCC_NET -.sym 5295 $PACKER_VCC_NET -.sym 5308 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 5309 w_rx_24_fifo_data[29] -.sym 5311 rx_24_fifo.rd_addr_gray_wr[7] -.sym 5312 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 5315 w_rx_24_fifo_data[31] -.sym 5321 w_rx_24_fifo_pulled_data[18] -.sym 5323 w_rx_24_fifo_pulled_data[20] -.sym 5324 w_rx_24_fifo_pulled_data[21] -.sym 5329 w_rx_24_fifo_pulled_data[26] -.sym 5331 w_rx_24_fifo_pulled_data[28] -.sym 5332 w_rx_24_fifo_pulled_data[29] -.sym 5336 w_rx_24_fifo_pulled_data[29] -.sym 5337 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 5338 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 5339 w_rx_24_fifo_pulled_data[21] -.sym 5342 rx_24_fifo.rd_addr_gray_wr[7] -.sym 5360 w_rx_24_fifo_pulled_data[20] -.sym 5361 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 5362 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 5363 w_rx_24_fifo_pulled_data[28] -.sym 5367 w_rx_24_fifo_data[29] -.sym 5373 w_rx_24_fifo_data[31] -.sym 5378 w_rx_24_fifo_pulled_data[26] -.sym 5379 w_rx_24_fifo_pulled_data[18] -.sym 5380 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 5381 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 5383 lvds_clock_buf -.sym 5385 w_rx_24_fifo_pulled_data[24] -.sym 5386 w_rx_24_fifo_pulled_data[25] -.sym 5387 w_rx_24_fifo_pulled_data[26] -.sym 5388 w_rx_24_fifo_pulled_data[27] -.sym 5389 w_rx_24_fifo_pulled_data[28] -.sym 5390 w_rx_24_fifo_pulled_data[29] -.sym 5391 w_rx_24_fifo_pulled_data[30] -.sym 5392 w_rx_24_fifo_pulled_data[31] -.sym 5397 w_rx_24_fifo_data[20] -.sym 5399 w_rx_24_fifo_data[23] -.sym 5401 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] -.sym 5403 w_rx_24_fifo_data[16] -.sym 5405 $PACKER_VCC_NET -.sym 5409 rx_24_fifo.wr_addr[3] -.sym 5410 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 5413 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[1] -.sym 5415 rx_24_fifo.wr_addr[4] -.sym 5416 rx_24_fifo.wr_addr[7] -.sym 5418 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 5419 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 5420 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 5427 rx_24_fifo.rd_addr_gray_wr_r[7] -.sym 5430 rx_24_fifo.rd_addr_gray_wr_r[6] -.sym 5432 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 5437 w_rx_24_fifo_data[20] -.sym 5438 rx_24_fifo.rd_addr_gray_wr_r[6] -.sym 5439 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5440 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_1_I3[0] +.sym 5138 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 5139 w_rx_24_fifo_pulled_data[24] +.sym 5140 w_rx_24_fifo_pulled_data[25] +.sym 5141 w_rx_24_fifo_pulled_data[26] +.sym 5142 w_rx_24_fifo_pulled_data[27] +.sym 5143 w_rx_24_fifo_pulled_data[28] +.sym 5144 w_rx_24_fifo_pulled_data[29] +.sym 5145 w_rx_24_fifo_pulled_data[30] +.sym 5146 w_rx_24_fifo_pulled_data[31] +.sym 5153 w_rx_24_fifo_data[18] +.sym 5154 i_smi_soe_se$rename$0 +.sym 5155 $PACKER_VCC_NET +.sym 5159 w_rx_24_fifo_data[29] +.sym 5160 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5161 w_rx_24_fifo_push +.sym 5167 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 5171 rx_24_fifo.wr_addr[6] +.sym 5173 i_smi_a3$SB_IO_IN +.sym 5180 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5182 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E +.sym 5183 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 5187 w_rx_24_fifo_pulled_data[23] +.sym 5189 w_rx_24_fifo_pulled_data[17] +.sym 5190 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 5193 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 5197 w_rx_24_fifo_pulled_data[25] +.sym 5199 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 5200 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 5202 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 5211 w_rx_24_fifo_pulled_data[31] +.sym 5213 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 5214 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 5215 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 5221 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 5237 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5243 w_rx_24_fifo_pulled_data[25] +.sym 5244 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 5245 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 5246 w_rx_24_fifo_pulled_data[17] +.sym 5255 w_rx_24_fifo_pulled_data[23] +.sym 5256 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 5257 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 5258 w_rx_24_fifo_pulled_data[31] +.sym 5259 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E +.sym 5260 lvds_clock_buf +.sym 5261 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 5274 w_rx_24_fifo_data[27] +.sym 5276 w_rx_24_fifo_data[20] +.sym 5279 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5281 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 5289 rx_24_fifo.wr_addr[2] +.sym 5290 w_rx_24_fifo_pulled_data[28] +.sym 5291 rx_24_fifo.wr_addr[4] +.sym 5292 spi_if_ins.state_if[0] +.sym 5293 lvds_rx_24_inst.r_push_SB_LUT4_I3_O +.sym 5294 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 5295 w_rx_24_fifo_pull +.sym 5303 rx_24_fifo.wr_addr[4] +.sym 5305 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 5307 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 5308 rx_24_fifo.wr_addr[3] +.sym 5310 rx_24_fifo.wr_addr[2] +.sym 5314 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 5315 rx_24_fifo.wr_addr[6] +.sym 5316 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 5317 rx_24_fifo.wr_addr[7] +.sym 5333 rx_24_fifo.wr_addr[5] +.sym 5335 $nextpnr_ICESTORM_LC_6$O +.sym 5338 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 5341 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] +.sym 5344 rx_24_fifo.wr_addr[2] +.sym 5345 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 5347 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] +.sym 5349 rx_24_fifo.wr_addr[3] +.sym 5351 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] +.sym 5353 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] +.sym 5355 rx_24_fifo.wr_addr[4] +.sym 5357 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] +.sym 5359 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] +.sym 5361 rx_24_fifo.wr_addr[5] +.sym 5363 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] +.sym 5365 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] +.sym 5368 rx_24_fifo.wr_addr[6] +.sym 5369 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] +.sym 5373 rx_24_fifo.wr_addr[7] +.sym 5375 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] +.sym 5381 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 5382 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 5383 r_counter[0]_$glb_clk +.sym 5384 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 5397 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5401 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[1] +.sym 5402 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 5404 rx_24_fifo.rd_addr_gray_wr_r[7] +.sym 5411 rx_24_fifo.wr_addr[3] +.sym 5413 rx_24_fifo.wr_addr[7] +.sym 5419 rx_24_fifo.wr_addr_gray[6] +.sym 5420 spi_if_ins.state_if[0] +.sym 5427 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 5429 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] +.sym 5430 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 5432 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[6] +.sym 5436 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] +.sym 5439 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[5] +.sym 5440 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] .sym 5441 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 5444 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_1_I3[3] -.sym 5447 w_rx_24_fifo_data[26] -.sym 5449 w_rx_24_fifo_data[28] -.sym 5450 w_rx_24_fifo_data[22] -.sym 5451 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[1] -.sym 5452 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[2] -.sym 5454 w_rx_24_fifo_data[24] -.sym 5455 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] -.sym 5456 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[6] -.sym 5459 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5461 w_rx_24_fifo_data[20] -.sym 5465 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[2] -.sym 5466 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[1] -.sym 5467 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_1_I3[0] -.sym 5468 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_1_I3[3] -.sym 5471 rx_24_fifo.rd_addr_gray_wr_r[6] -.sym 5472 rx_24_fifo.rd_addr_gray_wr_r[7] -.sym 5473 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] -.sym 5474 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[6] -.sym 5477 w_rx_24_fifo_data[28] -.sym 5478 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5484 w_rx_24_fifo_data[22] -.sym 5485 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5489 w_rx_24_fifo_data[24] -.sym 5490 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5495 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 5496 rx_24_fifo.rd_addr_gray_wr_r[7] -.sym 5497 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 5498 rx_24_fifo.rd_addr_gray_wr_r[6] -.sym 5501 w_rx_24_fifo_data[26] -.sym 5502 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5505 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 5450 rx_24_fifo.rd_addr_gray_wr_r[7] +.sym 5453 lvds_rx_24_inst.r_push_SB_LUT4_I3_O +.sym 5454 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 5461 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 5465 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 5468 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 5472 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 5477 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 5478 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[6] +.sym 5479 rx_24_fifo.rd_addr_gray_wr_r[7] +.sym 5480 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[5] +.sym 5484 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 5491 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] +.sym 5495 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 5501 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] +.sym 5505 lvds_rx_24_inst.r_push_SB_LUT4_I3_O .sym 5506 lvds_clock_buf -.sym 5507 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 5521 w_rx_24_fifo_pull -.sym 5522 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5523 rx_24_fifo.rd_addr[0] -.sym 5524 w_rx_24_fifo_data[25] -.sym 5525 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 5526 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 5527 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5529 rx_24_fifo.rd_addr[5] -.sym 5533 rx_24_fifo.wr_addr[0] -.sym 5534 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 5535 rx_24_fifo.wr_addr[2] -.sym 5536 w_rx_24_fifo_data[0] -.sym 5537 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 5538 rx_24_fifo.rd_addr[4] -.sym 5540 w_rx_24_fifo_data[1] -.sym 5541 rx_24_fifo.wr_addr[5] -.sym 5542 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 5549 rx_24_fifo.wr_addr[3] -.sym 5551 rx_24_fifo.wr_addr[5] -.sym 5554 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 5555 rx_24_fifo.wr_addr[0] -.sym 5560 rx_24_fifo.wr_addr[4] -.sym 5563 rx_24_fifo.wr_addr[6] +.sym 5507 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 5532 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 5533 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 5534 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 5536 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 5541 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 5543 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 5549 rx_24_fifo.wr_addr[4] +.sym 5551 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 5553 rx_24_fifo.wr_addr[0] +.sym 5555 rx_24_fifo.wr_addr[7] +.sym 5559 rx_24_fifo.wr_addr[0] +.sym 5561 rx_24_fifo.wr_addr[6] +.sym 5562 rx_24_fifo.wr_addr[3] +.sym 5563 rx_24_fifo.wr_addr[5] .sym 5564 rx_24_fifo.wr_addr[2] -.sym 5569 rx_24_fifo.wr_addr[7] -.sym 5581 $nextpnr_ICESTORM_LC_9$O -.sym 5584 rx_24_fifo.wr_addr[0] +.sym 5581 $nextpnr_ICESTORM_LC_10$O +.sym 5583 rx_24_fifo.wr_addr[0] .sym 5587 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[2] -.sym 5590 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 5589 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] .sym 5591 rx_24_fifo.wr_addr[0] .sym 5593 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[3] .sym 5596 rx_24_fifo.wr_addr[2] @@ -6024,228 +6010,305 @@ .sym 5608 rx_24_fifo.wr_addr[4] .sym 5609 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[4] .sym 5611 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[6] -.sym 5613 rx_24_fifo.wr_addr[5] +.sym 5614 rx_24_fifo.wr_addr[5] .sym 5615 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[5] .sym 5617 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[7] .sym 5619 rx_24_fifo.wr_addr[6] .sym 5621 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[6] .sym 5625 rx_24_fifo.wr_addr[7] .sym 5627 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[7] -.sym 5645 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] -.sym 5646 $PACKER_VCC_NET -.sym 5647 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 5649 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 5651 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 5652 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1[2] -.sym 5653 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_2_I2[1] -.sym 5656 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 5658 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 5659 rx_24_fifo.wr_addr[0] -.sym 5663 w_rx_24_fifo_push +.sym 5650 w_rx_24_fifo_empty +.sym 5651 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[0] +.sym 5663 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 5664 i_smi_a3$SB_IO_IN +.sym 5665 w_rx_24_fifo_full .sym 5673 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 5676 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_2_I2[1] -.sym 5677 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] -.sym 5678 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 5679 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 5682 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] +.sym 5674 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] +.sym 5676 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 5677 rx_24_fifo.wr_addr[0] +.sym 5678 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[0] +.sym 5679 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[1] +.sym 5680 rx_24_fifo.rd_addr_gray_wr_r[7] .sym 5683 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 5694 rx_24_fifo.wr_addr[0] -.sym 5699 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O -.sym 5705 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 5720 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] -.sym 5724 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_2_I2[1] -.sym 5729 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 5730 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 5737 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 5741 rx_24_fifo.wr_addr[0] -.sym 5747 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 5751 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 5684 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[2] +.sym 5685 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 5686 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 5687 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 5688 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[1] +.sym 5692 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 5693 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 5694 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 5697 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 5700 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[0] +.sym 5705 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 5706 rx_24_fifo.rd_addr_gray_wr_r[7] +.sym 5707 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 5708 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 5711 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[0] +.sym 5713 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[2] +.sym 5714 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[1] +.sym 5717 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] +.sym 5718 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 5724 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] +.sym 5726 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] +.sym 5729 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[0] +.sym 5730 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 5731 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 5732 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[1] +.sym 5735 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 5737 rx_24_fifo.wr_addr[0] +.sym 5741 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 5743 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 5748 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 5749 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 5750 rx_24_fifo.rd_addr_gray_wr_r[5] .sym 5752 lvds_clock_buf -.sym 5753 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 5766 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[1] -.sym 5768 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 5771 w_rx_24_fifo_full -.sym 5775 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 5776 i_smi_a1_SB_LUT4_I1_O[2] -.sym 5784 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O -.sym 5788 w_rx_24_fifo_push -.sym 5795 w_rx_24_fifo_push -.sym 5796 i_smi_a1_SB_LUT4_I1_O[2] -.sym 5798 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0[0] -.sym 5800 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[2] -.sym 5801 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 5802 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 5803 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 5806 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] -.sym 5809 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[0] -.sym 5813 w_lvds_rx_24_d1 -.sym 5819 w_lvds_rx_24_d0 -.sym 5822 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 5831 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[0] -.sym 5834 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 5835 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] -.sym 5836 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 5837 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 5840 w_lvds_rx_24_d1 -.sym 5841 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[2] -.sym 5853 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[2] -.sym 5855 w_lvds_rx_24_d0 -.sym 5859 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 5860 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0[0] -.sym 5871 w_rx_24_fifo_push -.sym 5872 i_smi_a1_SB_LUT4_I1_O[2] -.sym 5874 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 5753 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 5780 lvds_rx_24_inst.r_push_SB_LUT4_I3_O +.sym 5795 rx_24_fifo.wr_addr[0] +.sym 5797 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 5798 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 5800 rx_24_fifo.rd_addr_gray_wr_r[2] +.sym 5802 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5803 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[0] +.sym 5804 w_rx_24_fifo_push +.sym 5806 rx_24_fifo.rd_addr_gray_wr_r[0] +.sym 5808 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 5809 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 5810 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 5812 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 5813 rx_24_fifo.full_o_SB_LUT4_I0_O[3] +.sym 5814 rx_24_fifo.full_o_SB_LUT4_I0_O[2] +.sym 5821 rx_24_fifo.full_o_SB_LUT4_I0_O[1] +.sym 5822 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E +.sym 5823 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 5834 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5836 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 5840 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 5841 rx_24_fifo.rd_addr_gray_wr_r[2] +.sym 5842 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 5843 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 5846 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[0] +.sym 5847 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 5848 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 5849 rx_24_fifo.rd_addr_gray_wr_r[0] +.sym 5852 rx_24_fifo.full_o_SB_LUT4_I0_O[3] +.sym 5853 rx_24_fifo.full_o_SB_LUT4_I0_O[1] +.sym 5854 w_rx_24_fifo_push +.sym 5855 rx_24_fifo.full_o_SB_LUT4_I0_O[2] +.sym 5859 w_rx_24_fifo_push +.sym 5860 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 5864 rx_24_fifo.rd_addr_gray_wr_r[0] +.sym 5866 rx_24_fifo.wr_addr[0] +.sym 5874 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E .sym 5875 lvds_clock_buf -.sym 5876 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 5897 $PACKER_VCC_NET -.sym 5918 $PACKER_VCC_NET -.sym 5920 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5921 lvds_rx_09_inst.r_phase_count[0] -.sym 5922 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] -.sym 5923 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 5925 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 5926 $PACKER_VCC_NET -.sym 5927 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 5929 lvds_rx_09_inst.r_phase_count[0] -.sym 5936 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[2] -.sym 5940 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] -.sym 5943 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[1] -.sym 5945 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E -.sym 5947 lvds_rx_09_inst.r_phase_count[1] -.sym 5949 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 5950 $nextpnr_ICESTORM_LC_2$O -.sym 5952 lvds_rx_09_inst.r_phase_count[0] -.sym 5956 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] -.sym 5958 lvds_rx_09_inst.r_phase_count[1] -.sym 5959 $PACKER_VCC_NET -.sym 5960 lvds_rx_09_inst.r_phase_count[0] -.sym 5963 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] -.sym 5965 $PACKER_VCC_NET -.sym 5966 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] -.sym 5970 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] -.sym 5972 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] -.sym 5975 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 5976 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 5977 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[1] -.sym 5978 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 5982 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] -.sym 5987 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[2] -.sym 5988 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 5989 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 5990 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 5994 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 5996 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 5997 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E +.sym 5876 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 5889 rx_24_fifo.wr_addr[0] +.sym 5891 lvds_rx_24_inst.r_push_SB_LUT4_I3_O +.sym 5920 rx_24_fifo.rd_addr_gray_wr[5] +.sym 5923 rx_24_fifo.rd_addr_gray_wr[0] +.sym 5926 rx_24_fifo.rd_addr_gray_wr[1] +.sym 5965 rx_24_fifo.rd_addr_gray_wr[5] +.sym 5969 rx_24_fifo.rd_addr_gray_wr[0] +.sym 5995 rx_24_fifo.rd_addr_gray_wr[1] .sym 5998 lvds_clock_buf -.sym 5999 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 6018 i_smi_a1_SB_LUT4_I1_O[2] -.sym 6042 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 6044 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] -.sym 6045 w_rx_24_fifo_full -.sym 6047 i_smi_a1_SB_LUT4_I1_O[2] -.sym 6048 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 6052 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E -.sym 6053 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 6055 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 6056 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 6074 i_smi_a1_SB_LUT4_I1_O[2] -.sym 6075 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 6077 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 6094 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 6104 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 6105 w_rx_24_fifo_full -.sym 6116 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 6118 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] -.sym 6119 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 6120 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E -.sym 6121 lvds_clock_buf -.sym 6122 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 6135 o_shdn_tx_lna$SB_IO_OUT -.sym 6141 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 6154 w_rx_24_fifo_push +.sym 6016 rx_24_fifo.rd_addr_gray_wr[5] +.sym 6022 rx_24_fifo.rd_addr_gray_wr[1] +.sym 6144 rx_24_fifo.rd_addr_gray_wr[0] +.sym 6151 i_smi_a3$SB_IO_IN +.sym 6176 i_smi_a1$SB_IO_IN .sym 6180 i_smi_a3$SB_IO_IN -.sym 6184 i_smi_a1$SB_IO_IN .sym 6190 i_smi_a2$SB_IO_IN -.sym 6197 i_smi_a1$SB_IO_IN -.sym 6199 i_smi_a3$SB_IO_IN -.sym 6200 i_smi_a2$SB_IO_IN -.sym 6216 i_smi_a1$SB_IO_IN -.sym 6217 i_smi_a2$SB_IO_IN -.sym 6218 i_smi_a3$SB_IO_IN +.sym 6203 i_smi_a1$SB_IO_IN +.sym 6204 i_smi_a3$SB_IO_IN +.sym 6205 i_smi_a2$SB_IO_IN +.sym 6210 i_smi_a2$SB_IO_IN +.sym 6211 i_smi_a3$SB_IO_IN +.sym 6212 i_smi_a1$SB_IO_IN .sym 6246 i_smi_a3$SB_IO_IN -.sym 6256 i_smi_a1_SB_LUT4_I1_O[2] +.sym 6260 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] .sym 6294 o_shdn_tx_lna$SB_IO_OUT -.sym 6308 o_shdn_tx_lna$SB_IO_OUT +.sym 6312 o_shdn_tx_lna$SB_IO_OUT .sym 6316 i_smi_a1$SB_IO_IN -.sym 6347 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 6348 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 6349 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 6350 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[1] -.sym 6351 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[2] -.sym 6352 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 6353 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 6357 w_rx_09_fifo_pulled_data[8] -.sym 6422 rx_09_fifo.rd_addr_gray_wr[4] -.sym 6423 rx_09_fifo.rd_addr_gray_wr_r[0] -.sym 6424 io_smi_data[7]$SB_IO_OUT -.sym 6425 rx_09_fifo.rd_addr_gray_wr_r[7] -.sym 6426 rx_09_fifo.rd_addr_gray_wr[7] -.sym 6427 rx_09_fifo.rd_addr_gray_wr[0] -.sym 6428 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] -.sym 6468 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 6481 rx_09_fifo.rd_addr[7] -.sym 6512 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] -.sym 6513 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[2] -.sym 6514 io_smi_data[6]$SB_IO_OUT -.sym 6516 w_smi_data_output[7] -.sym 6517 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 6560 lvds_rx_09_inst.o_fifo_data[17] -.sym 6561 lvds_rx_09_inst.o_fifo_data[27] -.sym 6562 lvds_rx_09_inst.o_fifo_data[29] +.sym 6346 lvds_rx_09_inst.o_fifo_data[8] +.sym 6347 lvds_rx_09_inst.o_fifo_data[16] +.sym 6348 lvds_rx_09_inst.o_fifo_data[12] +.sym 6349 lvds_rx_09_inst.o_fifo_data[14] +.sym 6350 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2[1] +.sym 6351 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[1] +.sym 6352 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I3[3] +.sym 6353 lvds_rx_09_inst.o_fifo_data[10] +.sym 6372 w_rx_09_fifo_data[1] +.sym 6379 io_smi_data[6]$SB_IO_OUT +.sym 6380 io_pmod[7]$SB_IO_IN +.sym 6386 lvds_rx_09_inst.o_fifo_data[7] +.sym 6390 w_rx_09_fifo_push +.sym 6391 rx_09_fifo.wr_addr[7] +.sym 6394 io_pmod[6]$SB_IO_IN +.sym 6396 $PACKER_VCC_NET +.sym 6397 $PACKER_VCC_NET +.sym 6398 io_pmod[4]$SB_IO_IN +.sym 6399 io_pmod[7]$SB_IO_IN +.sym 6400 lvds_rx_09_inst.o_fifo_data[6] +.sym 6401 rx_09_fifo.wr_addr[0] +.sym 6403 rx_09_fifo.wr_addr[5] +.sym 6405 w_rx_09_fifo_data[0] +.sym 6406 w_rx_09_fifo_data[1] +.sym 6408 rx_09_fifo.wr_addr[6] +.sym 6411 rx_09_fifo.wr_addr[2] +.sym 6412 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 6413 io_pmod[5]$SB_IO_IN +.sym 6415 rx_09_fifo.wr_addr[4] +.sym 6416 rx_09_fifo.wr_addr[3] +.sym 6422 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 6423 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[1] +.sym 6424 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[1] +.sym 6425 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 6426 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[1] +.sym 6427 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 6428 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[1] +.sym 6429 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 6430 $PACKER_VCC_NET +.sym 6431 $PACKER_VCC_NET +.sym 6432 $PACKER_VCC_NET +.sym 6433 $PACKER_VCC_NET +.sym 6434 $PACKER_VCC_NET +.sym 6435 $PACKER_VCC_NET +.sym 6436 $PACKER_VCC_NET +.sym 6437 $PACKER_VCC_NET +.sym 6438 rx_09_fifo.wr_addr[0] +.sym 6439 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 6441 rx_09_fifo.wr_addr[2] +.sym 6442 rx_09_fifo.wr_addr[3] +.sym 6443 rx_09_fifo.wr_addr[4] +.sym 6444 rx_09_fifo.wr_addr[5] +.sym 6445 rx_09_fifo.wr_addr[6] +.sym 6446 rx_09_fifo.wr_addr[7] +.sym 6449 lvds_clock_buf +.sym 6450 $PACKER_VCC_NET +.sym 6451 w_rx_09_fifo_data[0] +.sym 6452 w_rx_09_fifo_data[1] +.sym 6453 io_pmod[4]$SB_IO_IN +.sym 6454 io_pmod[5]$SB_IO_IN +.sym 6455 io_pmod[6]$SB_IO_IN +.sym 6456 io_pmod[7]$SB_IO_IN +.sym 6457 lvds_rx_09_inst.o_fifo_data[6] +.sym 6458 lvds_rx_09_inst.o_fifo_data[7] +.sym 6459 w_rx_09_fifo_push +.sym 6460 i_smi_a3$SB_IO_IN +.sym 6463 i_smi_a3$SB_IO_IN +.sym 6464 lvds_rx_09_inst.o_fifo_data[7] +.sym 6473 lvds_rx_09_inst.o_fifo_data[16] +.sym 6474 io_pmod[6]$SB_IO_IN +.sym 6477 w_rx_09_fifo_pulled_data[27] +.sym 6487 io_pmod[5]$SB_IO_IN +.sym 6488 $PACKER_VCC_NET +.sym 6490 w_rx_09_fifo_pulled_data[2] +.sym 6492 smi_ctrl_ins.int_cnt_09[3] +.sym 6494 w_rx_09_fifo_pulled_data[31] +.sym 6496 w_rx_09_fifo_pulled_data[26] +.sym 6497 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 6499 $PACKER_VCC_NET +.sym 6500 $PACKER_VCC_NET +.sym 6501 w_rx_09_fifo_pulled_data[19] +.sym 6505 $PACKER_VCC_NET +.sym 6506 w_rx_09_fifo_pulled_data[24] +.sym 6507 rx_09_fifo.wr_addr[3] +.sym 6512 rx_09_fifo.rd_addr[3] +.sym 6513 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 6517 w_rx_09_fifo_pulled_data[29] +.sym 6518 rx_09_fifo.wr_addr[0] +.sym 6528 rx_09_fifo.rd_addr[0] +.sym 6529 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 6530 lvds_rx_09_inst.o_fifo_data[13] +.sym 6531 lvds_rx_09_inst.o_fifo_data[14] +.sym 6532 $PACKER_VCC_NET +.sym 6533 rx_09_fifo.rd_addr[3] +.sym 6534 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 6535 lvds_rx_09_inst.o_fifo_data[10] +.sym 6536 lvds_rx_09_inst.o_fifo_data[8] +.sym 6537 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 6538 lvds_rx_09_inst.o_fifo_data[12] +.sym 6539 lvds_rx_09_inst.o_fifo_data[15] +.sym 6540 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 6541 lvds_rx_09_inst.o_fifo_data[9] +.sym 6542 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] +.sym 6550 lvds_rx_09_inst.o_fifo_data[11] +.sym 6553 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 6555 $PACKER_VCC_NET +.sym 6557 w_rx_09_fifo_pull +.sym 6558 $PACKER_VCC_NET +.sym 6560 lvds_rx_09_inst.o_fifo_data[23] +.sym 6561 lvds_rx_09_inst.o_fifo_data[25] +.sym 6562 lvds_rx_09_inst.o_fifo_data[30] .sym 6563 lvds_rx_09_inst.o_fifo_data[31] -.sym 6564 lvds_rx_09_inst.o_fifo_data[25] -.sym 6565 lvds_rx_09_inst.o_fifo_data[23] -.sym 6566 lvds_rx_09_inst.o_fifo_data[21] -.sym 6567 lvds_rx_09_inst.o_fifo_data[19] -.sym 6598 rx_09_fifo.rd_addr[7] -.sym 6602 rx_09_fifo.rd_addr[4] -.sym 6606 rx_09_fifo.rd_addr[3] -.sym 6610 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 6611 rx_09_fifo.rd_addr_gray_wr_r[0] -.sym 6614 i_smi_a3$SB_IO_IN -.sym 6616 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 6621 w_rx_09_fifo_pulled_data[25] -.sym 6622 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] -.sym 6624 w_rx_09_fifo_data[0] -.sym 6625 rx_09_fifo.wr_addr[5] -.sym 6631 rx_09_fifo.wr_addr[5] -.sym 6632 rx_09_fifo.wr_addr[4] -.sym 6634 rx_09_fifo.wr_addr[0] -.sym 6635 rx_09_fifo.wr_addr[3] -.sym 6636 $PACKER_VCC_NET -.sym 6637 lvds_rx_09_inst.o_fifo_data[18] -.sym 6638 lvds_rx_09_inst.o_fifo_data[16] -.sym 6641 rx_09_fifo.wr_addr[2] -.sym 6643 rx_09_fifo.wr_addr[6] -.sym 6644 rx_09_fifo.wr_addr[7] -.sym 6646 lvds_rx_09_inst.o_fifo_data[17] -.sym 6647 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 6650 w_rx_09_fifo_push -.sym 6651 $PACKER_VCC_NET -.sym 6652 lvds_rx_09_inst.o_fifo_data[21] -.sym 6657 $PACKER_VCC_NET -.sym 6658 lvds_rx_09_inst.o_fifo_data[22] -.sym 6659 lvds_rx_09_inst.o_fifo_data[23] -.sym 6660 lvds_rx_09_inst.o_fifo_data[20] -.sym 6661 lvds_rx_09_inst.o_fifo_data[19] -.sym 6662 rx_09_fifo.rd_addr_gray[1] -.sym 6663 rx_09_fifo.full_o_SB_LUT4_I3_O[3] -.sym 6664 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] -.sym 6665 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[1] -.sym 6666 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 6667 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 6668 rx_09_fifo.rd_addr_gray[6] -.sym 6669 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] +.sym 6564 lvds_rx_09_inst.o_fifo_data[20] +.sym 6565 lvds_rx_09_inst.o_fifo_data[29] +.sym 6566 lvds_rx_09_inst.o_fifo_data[27] +.sym 6567 lvds_rx_09_inst.o_fifo_data[18] +.sym 6568 $PACKER_VCC_NET +.sym 6569 $PACKER_VCC_NET +.sym 6570 $PACKER_VCC_NET +.sym 6571 $PACKER_VCC_NET +.sym 6572 $PACKER_VCC_NET +.sym 6573 $PACKER_VCC_NET +.sym 6574 $PACKER_VCC_NET +.sym 6575 $PACKER_VCC_NET +.sym 6576 rx_09_fifo.rd_addr[0] +.sym 6577 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] +.sym 6579 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 6580 rx_09_fifo.rd_addr[3] +.sym 6581 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 6582 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 6583 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 6584 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 6587 r_counter[0]_$glb_clk +.sym 6588 $PACKER_VCC_NET +.sym 6589 w_rx_09_fifo_pull +.sym 6590 lvds_rx_09_inst.o_fifo_data[10] +.sym 6591 lvds_rx_09_inst.o_fifo_data[11] +.sym 6592 lvds_rx_09_inst.o_fifo_data[12] +.sym 6593 lvds_rx_09_inst.o_fifo_data[13] +.sym 6594 lvds_rx_09_inst.o_fifo_data[14] +.sym 6595 lvds_rx_09_inst.o_fifo_data[15] +.sym 6596 lvds_rx_09_inst.o_fifo_data[8] +.sym 6597 lvds_rx_09_inst.o_fifo_data[9] +.sym 6602 i_smi_a3$SB_IO_IN +.sym 6604 smi_ctrl_ins.int_cnt_09[3] +.sym 6607 rx_09_fifo.wr_addr[7] +.sym 6608 smi_ctrl_ins.int_cnt_09[3] +.sym 6610 smi_ctrl_ins.int_cnt_09[3] +.sym 6612 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 6617 $PACKER_VCC_NET +.sym 6620 rx_24_fifo.wr_addr[0] +.sym 6621 $PACKER_VCC_NET +.sym 6622 rx_09_fifo.rd_addr_gray_wr[0] +.sym 6623 w_rx_09_fifo_pull +.sym 6624 rx_24_fifo.wr_addr[5] +.sym 6625 w_rx_09_fifo_pulled_data[27] +.sym 6630 lvds_rx_09_inst.o_fifo_data[19] +.sym 6632 $PACKER_VCC_NET +.sym 6633 rx_09_fifo.wr_addr[5] +.sym 6634 lvds_rx_09_inst.o_fifo_data[21] +.sym 6635 rx_09_fifo.wr_addr[7] +.sym 6636 lvds_rx_09_inst.o_fifo_data[17] +.sym 6639 rx_09_fifo.wr_addr[2] +.sym 6640 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 6641 rx_09_fifo.wr_addr[6] +.sym 6642 $PACKER_VCC_NET +.sym 6643 w_rx_09_fifo_push +.sym 6644 lvds_rx_09_inst.o_fifo_data[22] +.sym 6645 rx_09_fifo.wr_addr[4] +.sym 6646 lvds_rx_09_inst.o_fifo_data[23] +.sym 6647 lvds_rx_09_inst.o_fifo_data[16] +.sym 6651 rx_09_fifo.wr_addr[3] +.sym 6653 lvds_rx_09_inst.o_fifo_data[18] +.sym 6658 lvds_rx_09_inst.o_fifo_data[20] +.sym 6661 rx_09_fifo.wr_addr[0] +.sym 6664 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 6665 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 6667 rx_09_fifo.rd_addr_gray_wr[7] +.sym 6668 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[0] .sym 6670 $PACKER_VCC_NET .sym 6671 $PACKER_VCC_NET .sym 6672 $PACKER_VCC_NET @@ -6255,7 +6318,7 @@ .sym 6676 $PACKER_VCC_NET .sym 6677 $PACKER_VCC_NET .sym 6678 rx_09_fifo.wr_addr[0] -.sym 6679 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 6679 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] .sym 6681 rx_09_fifo.wr_addr[2] .sym 6682 rx_09_fifo.wr_addr[3] .sym 6683 rx_09_fifo.wr_addr[4] @@ -6273,43 +6336,45 @@ .sym 6697 lvds_rx_09_inst.o_fifo_data[22] .sym 6698 lvds_rx_09_inst.o_fifo_data[23] .sym 6699 w_rx_09_fifo_push +.sym 6703 w_rx_09_fifo_data[1] +.sym 6705 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 6706 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] +.sym 6708 lvds_rx_09_inst.o_fifo_data[26] .sym 6709 i_smi_a3$SB_IO_IN -.sym 6716 w_rx_09_fifo_push -.sym 6718 rx_09_fifo.rd_addr[0] -.sym 6719 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 6720 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] -.sym 6721 io_pmod[7]$SB_IO_IN -.sym 6723 rx_09_fifo.rd_addr[7] -.sym 6725 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E -.sym 6726 w_rx_09_fifo_pulled_data[13] -.sym 6734 lvds_rx_09_inst.o_fifo_data[29] -.sym 6735 lvds_rx_09_inst.o_fifo_data[28] -.sym 6736 lvds_rx_09_inst.o_fifo_data[25] -.sym 6737 rx_09_fifo.rd_addr[3] -.sym 6741 lvds_rx_09_inst.o_fifo_data[27] -.sym 6742 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 6743 rx_09_fifo.rd_addr[0] -.sym 6745 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] -.sym 6746 rx_09_fifo.rd_addr[7] -.sym 6749 lvds_rx_09_inst.o_fifo_data[26] -.sym 6750 lvds_rx_09_inst.o_fifo_data[31] -.sym 6752 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 6753 lvds_rx_09_inst.o_fifo_data[24] -.sym 6754 rx_09_fifo.rd_addr[4] -.sym 6758 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] +.sym 6710 $PACKER_VCC_NET +.sym 6711 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] +.sym 6712 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 6715 $PACKER_VCC_NET +.sym 6717 rx_24_fifo.rd_addr[3] +.sym 6720 w_rx_09_fifo_pulled_data[30] +.sym 6721 smi_ctrl_ins.int_cnt_09[5] +.sym 6722 smi_ctrl_ins.int_cnt_09[3] +.sym 6724 smi_ctrl_ins.int_cnt_09[4] +.sym 6727 rx_24_fifo.rd_addr[2] +.sym 6732 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 6733 lvds_rx_09_inst.o_fifo_data[24] +.sym 6734 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 6735 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 6736 rx_09_fifo.rd_addr[0] +.sym 6738 lvds_rx_09_inst.o_fifo_data[27] +.sym 6739 lvds_rx_09_inst.o_fifo_data[28] +.sym 6741 lvds_rx_09_inst.o_fifo_data[25] +.sym 6742 lvds_rx_09_inst.o_fifo_data[30] +.sym 6743 lvds_rx_09_inst.o_fifo_data[31] +.sym 6744 rx_09_fifo.rd_addr[3] +.sym 6745 lvds_rx_09_inst.o_fifo_data[29] +.sym 6746 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 6747 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 6752 w_rx_09_fifo_pull +.sym 6753 lvds_rx_09_inst.o_fifo_data[26] +.sym 6755 $PACKER_VCC_NET .sym 6759 $PACKER_VCC_NET -.sym 6760 $PACKER_VCC_NET -.sym 6761 $PACKER_VCC_NET -.sym 6762 lvds_rx_09_inst.o_fifo_data[30] -.sym 6763 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 6764 rx_09_fifo.rd_addr_gray_wr_r[6] -.sym 6765 rx_09_fifo.rd_addr_gray_wr[1] -.sym 6766 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 6767 rx_09_fifo.rd_addr_gray_wr_r[2] -.sym 6768 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[1] -.sym 6769 rx_09_fifo.rd_addr_gray_wr[6] -.sym 6770 rx_09_fifo.rd_addr_gray_wr[2] -.sym 6771 rx_24_fifo.rd_addr_gray_wr[7] +.sym 6762 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] +.sym 6766 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I0_I1[5] +.sym 6767 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_CARRY_CO_I1[5] +.sym 6768 w_rx_09_fifo_pull +.sym 6770 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_CARRY_CO_I1[4] +.sym 6771 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[3] .sym 6772 $PACKER_VCC_NET .sym 6773 $PACKER_VCC_NET .sym 6774 $PACKER_VCC_NET @@ -6319,16 +6384,16 @@ .sym 6778 $PACKER_VCC_NET .sym 6779 $PACKER_VCC_NET .sym 6780 rx_09_fifo.rd_addr[0] -.sym 6781 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 6783 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] +.sym 6781 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] +.sym 6783 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] .sym 6784 rx_09_fifo.rd_addr[3] -.sym 6785 rx_09_fifo.rd_addr[4] -.sym 6786 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] -.sym 6787 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 6788 rx_09_fifo.rd_addr[7] +.sym 6785 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 6786 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 6787 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 6788 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] .sym 6791 r_counter[0]_$glb_clk .sym 6792 $PACKER_VCC_NET -.sym 6793 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 6793 w_rx_09_fifo_pull .sym 6794 lvds_rx_09_inst.o_fifo_data[26] .sym 6795 lvds_rx_09_inst.o_fifo_data[27] .sym 6796 lvds_rx_09_inst.o_fifo_data[28] @@ -6337,42 +6402,42 @@ .sym 6799 lvds_rx_09_inst.o_fifo_data[31] .sym 6800 lvds_rx_09_inst.o_fifo_data[24] .sym 6801 lvds_rx_09_inst.o_fifo_data[25] -.sym 6810 rx_09_fifo.wr_addr_gray[1] -.sym 6812 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 6814 rx_09_fifo.wr_addr[2] -.sym 6816 rx_09_fifo.wr_addr[0] -.sym 6821 lvds_rx_09_inst.o_fifo_data[15] -.sym 6823 lvds_rx_09_inst.o_fifo_data[7] -.sym 6824 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[5] -.sym 6828 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[3] -.sym 6834 rx_09_fifo.wr_addr[4] -.sym 6835 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 6836 $PACKER_VCC_NET -.sym 6837 io_pmod[6]$SB_IO_IN -.sym 6838 lvds_rx_09_inst.o_fifo_data[7] -.sym 6839 rx_09_fifo.wr_addr[3] -.sym 6840 io_pmod[5]$SB_IO_IN -.sym 6842 rx_09_fifo.wr_addr[5] -.sym 6843 rx_09_fifo.wr_addr[0] -.sym 6846 rx_09_fifo.wr_addr[7] -.sym 6847 rx_09_fifo.wr_addr[6] -.sym 6850 $PACKER_VCC_NET -.sym 6851 $PACKER_VCC_NET -.sym 6853 w_rx_09_fifo_data[0] -.sym 6854 w_rx_09_fifo_push -.sym 6858 lvds_rx_09_inst.o_fifo_data[6] -.sym 6859 io_pmod[7]$SB_IO_IN -.sym 6863 rx_09_fifo.wr_addr[2] -.sym 6864 io_pmod[4]$SB_IO_IN -.sym 6865 w_rx_09_fifo_data[1] -.sym 6866 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[3] -.sym 6867 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[5] -.sym 6868 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 6869 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[3] -.sym 6870 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E -.sym 6871 smi_ctrl_ins.int_cnt_24[5] -.sym 6872 smi_ctrl_ins.int_cnt_24[4] -.sym 6873 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I1[1] +.sym 6806 rx_09_fifo.wr_addr[4] +.sym 6808 rx_09_fifo.wr_addr[3] +.sym 6809 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 6810 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 6812 rx_09_fifo.wr_addr[2] +.sym 6814 rx_09_fifo.wr_addr[6] +.sym 6817 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 6819 w_rx_09_fifo_pulled_data[26] +.sym 6822 $PACKER_VCC_NET +.sym 6823 w_rx_24_fifo_push +.sym 6825 $PACKER_VCC_NET +.sym 6829 w_rx_09_fifo_pulled_data[31] +.sym 6834 rx_24_fifo.wr_addr[6] +.sym 6838 w_rx_24_fifo_push +.sym 6839 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 6840 w_rx_24_fifo_data[3] +.sym 6842 w_rx_24_fifo_data[0] +.sym 6843 w_rx_24_fifo_data[1] +.sym 6848 $PACKER_VCC_NET +.sym 6849 rx_24_fifo.wr_addr[0] +.sym 6850 w_rx_24_fifo_data[7] +.sym 6851 w_rx_24_fifo_data[2] +.sym 6852 rx_24_fifo.wr_addr[2] +.sym 6853 rx_24_fifo.wr_addr[5] +.sym 6854 rx_24_fifo.wr_addr[4] +.sym 6855 rx_24_fifo.wr_addr[7] +.sym 6856 w_rx_24_fifo_data[5] +.sym 6857 w_rx_24_fifo_data[4] +.sym 6860 w_rx_24_fifo_data[6] +.sym 6861 $PACKER_VCC_NET +.sym 6864 rx_24_fifo.wr_addr[3] +.sym 6869 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[5] +.sym 6870 i_smi_a2_SB_LUT4_I1_O[1] +.sym 6871 smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E +.sym 6872 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] +.sym 6873 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] .sym 6874 $PACKER_VCC_NET .sym 6875 $PACKER_VCC_NET .sym 6876 $PACKER_VCC_NET @@ -6381,63 +6446,58 @@ .sym 6879 $PACKER_VCC_NET .sym 6880 $PACKER_VCC_NET .sym 6881 $PACKER_VCC_NET -.sym 6882 rx_09_fifo.wr_addr[0] -.sym 6883 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 6885 rx_09_fifo.wr_addr[2] -.sym 6886 rx_09_fifo.wr_addr[3] -.sym 6887 rx_09_fifo.wr_addr[4] -.sym 6888 rx_09_fifo.wr_addr[5] -.sym 6889 rx_09_fifo.wr_addr[6] -.sym 6890 rx_09_fifo.wr_addr[7] +.sym 6882 rx_24_fifo.wr_addr[0] +.sym 6883 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 6885 rx_24_fifo.wr_addr[2] +.sym 6886 rx_24_fifo.wr_addr[3] +.sym 6887 rx_24_fifo.wr_addr[4] +.sym 6888 rx_24_fifo.wr_addr[5] +.sym 6889 rx_24_fifo.wr_addr[6] +.sym 6890 rx_24_fifo.wr_addr[7] .sym 6893 lvds_clock_buf .sym 6894 $PACKER_VCC_NET -.sym 6895 w_rx_09_fifo_data[0] -.sym 6896 w_rx_09_fifo_data[1] -.sym 6897 io_pmod[4]$SB_IO_IN -.sym 6898 io_pmod[5]$SB_IO_IN -.sym 6899 io_pmod[6]$SB_IO_IN -.sym 6900 io_pmod[7]$SB_IO_IN -.sym 6901 lvds_rx_09_inst.o_fifo_data[6] -.sym 6902 lvds_rx_09_inst.o_fifo_data[7] -.sym 6903 w_rx_09_fifo_push +.sym 6895 w_rx_24_fifo_data[0] +.sym 6896 w_rx_24_fifo_data[1] +.sym 6897 w_rx_24_fifo_data[2] +.sym 6898 w_rx_24_fifo_data[3] +.sym 6899 w_rx_24_fifo_data[4] +.sym 6900 w_rx_24_fifo_data[5] +.sym 6901 w_rx_24_fifo_data[6] +.sym 6902 w_rx_24_fifo_data[7] +.sym 6903 w_rx_24_fifo_push .sym 6907 i_smi_a3$SB_IO_IN -.sym 6909 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 6911 w_smi_data_output[3] -.sym 6913 io_pmod[6]$SB_IO_IN -.sym 6918 rx_09_fifo.wr_addr[5] -.sym 6924 lvds_rx_09_inst.o_fifo_data[6] -.sym 6925 smi_ctrl_ins.int_cnt_24[4] -.sym 6926 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] -.sym 6929 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[3] -.sym 6930 io_pmod[4]$SB_IO_IN -.sym 6931 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[5] -.sym 6938 lvds_rx_09_inst.o_fifo_data[9] -.sym 6940 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 6941 lvds_rx_09_inst.o_fifo_data[14] -.sym 6942 rx_09_fifo.rd_addr[4] -.sym 6943 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] -.sym 6944 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 6946 rx_09_fifo.rd_addr[3] -.sym 6947 rx_09_fifo.rd_addr[0] -.sym 6948 $PACKER_VCC_NET -.sym 6949 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] -.sym 6950 rx_09_fifo.rd_addr[7] -.sym 6951 $PACKER_VCC_NET -.sym 6952 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 6954 lvds_rx_09_inst.o_fifo_data[11] -.sym 6956 lvds_rx_09_inst.o_fifo_data[13] -.sym 6957 lvds_rx_09_inst.o_fifo_data[8] -.sym 6959 lvds_rx_09_inst.o_fifo_data[10] -.sym 6961 lvds_rx_09_inst.o_fifo_data[15] -.sym 6962 lvds_rx_09_inst.o_fifo_data[12] +.sym 6910 smi_ctrl_ins.int_cnt_24[5] +.sym 6926 w_rx_24_fifo_data[20] +.sym 6929 rx_24_fifo.rd_addr[4] +.sym 6930 rx_24_fifo.rd_addr[4] +.sym 6931 $PACKER_VCC_NET +.sym 6936 rx_24_fifo.rd_addr[4] +.sym 6939 w_rx_24_fifo_data[14] +.sym 6940 w_rx_24_fifo_data[13] +.sym 6941 w_rx_24_fifo_data[12] +.sym 6942 $PACKER_VCC_NET +.sym 6943 w_rx_24_fifo_data[10] +.sym 6944 rx_24_fifo.rd_addr[3] +.sym 6945 w_rx_24_fifo_data[11] +.sym 6946 w_rx_24_fifo_data[8] +.sym 6947 w_rx_24_fifo_data[15] +.sym 6950 $PACKER_VCC_NET +.sym 6952 rx_24_fifo.rd_addr[0] +.sym 6954 rx_24_fifo.rd_addr[2] +.sym 6957 rx_24_fifo.rd_addr[5] +.sym 6961 rx_24_fifo.rd_addr[6] .sym 6963 $PACKER_VCC_NET -.sym 6968 lvds_rx_09_inst.o_fifo_data[6] -.sym 6969 lvds_rx_09_inst.o_fifo_data[15] -.sym 6970 lvds_rx_09_inst.o_fifo_data[7] -.sym 6972 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 6973 lvds_rx_09_inst.o_fifo_data[8] -.sym 6974 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 6975 $io_pmod[5]$iobuf_i +.sym 6964 rx_24_fifo.rd_addr[1] +.sym 6965 w_rx_24_fifo_pull +.sym 6966 rx_24_fifo.rd_addr[7] +.sym 6967 w_rx_24_fifo_data[9] +.sym 6968 rx_24_fifo.rd_addr[0] +.sym 6969 rx_24_fifo.rd_addr[6] +.sym 6971 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[3] +.sym 6972 rx_24_fifo.rd_addr[1] +.sym 6973 rx_24_fifo.rd_addr[5] +.sym 6974 rx_24_fifo.rd_addr[7] +.sym 6975 rx_24_fifo.rd_addr_gray[2] .sym 6976 $PACKER_VCC_NET .sym 6977 $PACKER_VCC_NET .sym 6978 $PACKER_VCC_NET @@ -6446,60 +6506,67 @@ .sym 6981 $PACKER_VCC_NET .sym 6982 $PACKER_VCC_NET .sym 6983 $PACKER_VCC_NET -.sym 6984 rx_09_fifo.rd_addr[0] -.sym 6985 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 6987 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] -.sym 6988 rx_09_fifo.rd_addr[3] -.sym 6989 rx_09_fifo.rd_addr[4] -.sym 6990 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] -.sym 6991 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 6992 rx_09_fifo.rd_addr[7] +.sym 6984 rx_24_fifo.rd_addr[0] +.sym 6985 rx_24_fifo.rd_addr[1] +.sym 6987 rx_24_fifo.rd_addr[2] +.sym 6988 rx_24_fifo.rd_addr[3] +.sym 6989 rx_24_fifo.rd_addr[4] +.sym 6990 rx_24_fifo.rd_addr[5] +.sym 6991 rx_24_fifo.rd_addr[6] +.sym 6992 rx_24_fifo.rd_addr[7] .sym 6995 r_counter[0]_$glb_clk .sym 6996 $PACKER_VCC_NET -.sym 6997 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 6998 lvds_rx_09_inst.o_fifo_data[10] -.sym 6999 lvds_rx_09_inst.o_fifo_data[11] -.sym 7000 lvds_rx_09_inst.o_fifo_data[12] -.sym 7001 lvds_rx_09_inst.o_fifo_data[13] -.sym 7002 lvds_rx_09_inst.o_fifo_data[14] -.sym 7003 lvds_rx_09_inst.o_fifo_data[15] -.sym 7004 lvds_rx_09_inst.o_fifo_data[8] -.sym 7005 lvds_rx_09_inst.o_fifo_data[9] -.sym 7013 io_pmod[5]$SB_IO_IN -.sym 7021 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 7022 w_rx_24_fifo_data[17] -.sym 7023 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 7026 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[3] -.sym 7028 rx_24_fifo.rd_addr[5] -.sym 7031 w_rx_09_fifo_data[0] -.sym 7033 w_rx_09_fifo_push -.sym 7042 $PACKER_VCC_NET -.sym 7044 rx_24_fifo.wr_addr[4] -.sym 7045 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 7046 $PACKER_VCC_NET +.sym 6997 w_rx_24_fifo_pull +.sym 6998 w_rx_24_fifo_data[10] +.sym 6999 w_rx_24_fifo_data[11] +.sym 7000 w_rx_24_fifo_data[12] +.sym 7001 w_rx_24_fifo_data[13] +.sym 7002 w_rx_24_fifo_data[14] +.sym 7003 w_rx_24_fifo_data[15] +.sym 7004 w_rx_24_fifo_data[8] +.sym 7005 w_rx_24_fifo_data[9] +.sym 7011 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] +.sym 7012 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 7013 w_rx_24_fifo_data[15] +.sym 7018 w_rx_09_fifo_push +.sym 7020 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 7022 $PACKER_VCC_NET +.sym 7024 rx_24_fifo.wr_addr[5] +.sym 7025 rx_24_fifo.rd_addr[5] +.sym 7026 $PACKER_VCC_NET +.sym 7027 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[1] +.sym 7029 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[2] +.sym 7030 rx_24_fifo.rd_addr[3] +.sym 7032 rx_24_fifo.wr_addr[0] +.sym 7033 rx_24_fifo.rd_addr[6] +.sym 7038 rx_24_fifo.wr_addr[0] +.sym 7040 rx_24_fifo.wr_addr[2] +.sym 7041 rx_24_fifo.wr_addr[5] +.sym 7042 w_rx_24_fifo_data[19] +.sym 7043 w_rx_24_fifo_data[18] +.sym 7045 $PACKER_VCC_NET +.sym 7046 w_rx_24_fifo_data[22] +.sym 7047 rx_24_fifo.wr_addr[4] .sym 7049 $PACKER_VCC_NET -.sym 7050 rx_24_fifo.wr_addr[3] +.sym 7050 rx_24_fifo.wr_addr[7] .sym 7051 w_rx_24_fifo_push -.sym 7053 w_rx_24_fifo_data[7] -.sym 7054 w_rx_24_fifo_data[1] -.sym 7056 rx_24_fifo.wr_addr[2] -.sym 7057 rx_24_fifo.wr_addr[5] -.sym 7058 w_rx_24_fifo_data[5] -.sym 7059 w_rx_24_fifo_data[0] -.sym 7060 rx_24_fifo.wr_addr[6] -.sym 7062 w_rx_24_fifo_data[4] -.sym 7063 w_rx_24_fifo_data[3] -.sym 7064 rx_24_fifo.wr_addr[7] -.sym 7066 w_rx_24_fifo_data[2] -.sym 7067 rx_24_fifo.wr_addr[0] -.sym 7068 w_rx_24_fifo_data[6] -.sym 7070 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[3] -.sym 7071 rx_24_fifo.wr_addr_gray_rd_r[7] -.sym 7072 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 7074 rx_24_fifo.rd_addr[7] -.sym 7075 rx_24_fifo.wr_addr_gray_rd[7] -.sym 7076 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] -.sym 7077 rx_24_fifo.rd_addr[0] +.sym 7052 rx_24_fifo.wr_addr[3] +.sym 7053 $PACKER_VCC_NET +.sym 7054 w_rx_24_fifo_data[17] +.sym 7055 w_rx_24_fifo_data[16] +.sym 7056 w_rx_24_fifo_data[21] +.sym 7058 rx_24_fifo.wr_addr[6] +.sym 7060 w_rx_24_fifo_data[23] +.sym 7062 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 7064 w_rx_24_fifo_data[20] +.sym 7070 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 7071 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 7072 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 7073 rx_24_fifo.wr_addr_gray_rd[7] +.sym 7074 rx_24_fifo.wr_addr_gray_rd[6] +.sym 7075 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 7076 rx_24_fifo.wr_addr_gray_rd[3] +.sym 7077 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] .sym 7078 $PACKER_VCC_NET .sym 7079 $PACKER_VCC_NET .sym 7080 $PACKER_VCC_NET @@ -6509,7 +6576,7 @@ .sym 7084 $PACKER_VCC_NET .sym 7085 $PACKER_VCC_NET .sym 7086 rx_24_fifo.wr_addr[0] -.sym 7087 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 7087 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] .sym 7089 rx_24_fifo.wr_addr[2] .sym 7090 rx_24_fifo.wr_addr[3] .sym 7091 rx_24_fifo.wr_addr[4] @@ -6518,52 +6585,52 @@ .sym 7094 rx_24_fifo.wr_addr[7] .sym 7097 lvds_clock_buf .sym 7098 $PACKER_VCC_NET -.sym 7099 w_rx_24_fifo_data[0] -.sym 7100 w_rx_24_fifo_data[1] -.sym 7101 w_rx_24_fifo_data[2] -.sym 7102 w_rx_24_fifo_data[3] -.sym 7103 w_rx_24_fifo_data[4] -.sym 7104 w_rx_24_fifo_data[5] -.sym 7105 w_rx_24_fifo_data[6] -.sym 7106 w_rx_24_fifo_data[7] +.sym 7099 w_rx_24_fifo_data[16] +.sym 7100 w_rx_24_fifo_data[17] +.sym 7101 w_rx_24_fifo_data[18] +.sym 7102 w_rx_24_fifo_data[19] +.sym 7103 w_rx_24_fifo_data[20] +.sym 7104 w_rx_24_fifo_data[21] +.sym 7105 w_rx_24_fifo_data[22] +.sym 7106 w_rx_24_fifo_data[23] .sym 7107 w_rx_24_fifo_push -.sym 7112 $PACKER_VCC_NET -.sym 7117 $PACKER_VCC_NET -.sym 7118 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 7122 $PACKER_VCC_NET -.sym 7124 w_rx_24_fifo_pulled_data[24] -.sym 7126 rx_24_fifo.wr_addr[6] -.sym 7127 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 7128 w_rx_09_fifo_push -.sym 7129 w_rx_24_fifo_empty -.sym 7134 w_rx_24_fifo_data[9] -.sym 7140 w_rx_24_fifo_data[9] -.sym 7141 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 7142 rx_24_fifo.rd_addr[4] -.sym 7143 w_rx_24_fifo_data[10] -.sym 7144 w_rx_24_fifo_pull -.sym 7145 w_rx_24_fifo_data[14] -.sym 7146 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 7147 w_rx_24_fifo_data[12] -.sym 7152 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 7153 w_rx_24_fifo_data[11] -.sym 7156 w_rx_24_fifo_data[13] -.sym 7160 rx_24_fifo.rd_addr[6] -.sym 7161 $PACKER_VCC_NET -.sym 7162 w_rx_24_fifo_data[15] -.sym 7163 w_rx_24_fifo_data[8] -.sym 7166 rx_24_fifo.rd_addr[5] -.sym 7167 $PACKER_VCC_NET -.sym 7168 rx_24_fifo.rd_addr[7] -.sym 7169 $PACKER_VCC_NET -.sym 7171 rx_24_fifo.rd_addr[0] -.sym 7172 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 7173 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I0_I3[3] -.sym 7174 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 7175 w_rx_09_fifo_data[1] -.sym 7176 w_rx_09_fifo_data[0] -.sym 7177 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] -.sym 7179 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] +.sym 7116 rx_24_fifo.wr_addr[2] +.sym 7121 spi_if_ins.state_if[0] +.sym 7123 rx_24_fifo.wr_addr[4] +.sym 7124 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 7125 rx_24_fifo.rd_addr[3] +.sym 7126 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 7127 rx_24_fifo.rd_addr[2] +.sym 7129 rx_24_fifo.wr_addr_gray[3] +.sym 7130 smi_ctrl_ins.int_cnt_09[3] +.sym 7132 rx_24_fifo.rd_addr[7] +.sym 7140 rx_24_fifo.rd_addr[0] +.sym 7142 rx_24_fifo.rd_addr[2] +.sym 7145 rx_24_fifo.rd_addr[5] +.sym 7148 rx_24_fifo.rd_addr[3] +.sym 7149 rx_24_fifo.rd_addr[6] +.sym 7151 w_rx_24_fifo_data[31] +.sym 7152 rx_24_fifo.rd_addr[1] +.sym 7153 w_rx_24_fifo_data[27] +.sym 7154 rx_24_fifo.rd_addr[7] +.sym 7156 rx_24_fifo.rd_addr[4] +.sym 7157 w_rx_24_fifo_data[24] +.sym 7158 $PACKER_VCC_NET +.sym 7160 $PACKER_VCC_NET +.sym 7163 w_rx_24_fifo_data[30] +.sym 7164 $PACKER_VCC_NET +.sym 7165 w_rx_24_fifo_data[25] +.sym 7166 w_rx_24_fifo_data[28] +.sym 7167 w_rx_24_fifo_data[29] +.sym 7168 w_rx_24_fifo_data[26] +.sym 7169 w_rx_24_fifo_pull +.sym 7173 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 7174 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[1] +.sym 7175 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[2] +.sym 7176 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 7177 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 7178 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 7179 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] .sym 7180 $PACKER_VCC_NET .sym 7181 $PACKER_VCC_NET .sym 7182 $PACKER_VCC_NET @@ -6573,9 +6640,9 @@ .sym 7186 $PACKER_VCC_NET .sym 7187 $PACKER_VCC_NET .sym 7188 rx_24_fifo.rd_addr[0] -.sym 7189 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 7191 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 7192 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] +.sym 7189 rx_24_fifo.rd_addr[1] +.sym 7191 rx_24_fifo.rd_addr[2] +.sym 7192 rx_24_fifo.rd_addr[3] .sym 7193 rx_24_fifo.rd_addr[4] .sym 7194 rx_24_fifo.rd_addr[5] .sym 7195 rx_24_fifo.rd_addr[6] @@ -6583,3523 +6650,3497 @@ .sym 7199 r_counter[0]_$glb_clk .sym 7200 $PACKER_VCC_NET .sym 7201 w_rx_24_fifo_pull -.sym 7202 w_rx_24_fifo_data[10] -.sym 7203 w_rx_24_fifo_data[11] -.sym 7204 w_rx_24_fifo_data[12] -.sym 7205 w_rx_24_fifo_data[13] -.sym 7206 w_rx_24_fifo_data[14] -.sym 7207 w_rx_24_fifo_data[15] -.sym 7208 w_rx_24_fifo_data[8] -.sym 7209 w_rx_24_fifo_data[9] -.sym 7215 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] -.sym 7216 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 7229 w_lvds_rx_09_d0 -.sym 7231 w_lvds_rx_09_d1 -.sym 7233 rx_24_fifo.wr_addr[6] -.sym 7242 rx_24_fifo.wr_addr[0] -.sym 7243 w_rx_24_fifo_data[16] -.sym 7244 rx_24_fifo.wr_addr[2] -.sym 7245 $PACKER_VCC_NET -.sym 7247 w_rx_24_fifo_data[20] -.sym 7248 rx_24_fifo.wr_addr[6] -.sym 7250 rx_24_fifo.wr_addr[5] -.sym 7251 w_rx_24_fifo_data[17] -.sym 7253 $PACKER_VCC_NET -.sym 7255 w_rx_24_fifo_push -.sym 7257 w_rx_24_fifo_data[23] -.sym 7258 w_rx_24_fifo_data[19] -.sym 7259 w_rx_24_fifo_data[18] -.sym 7260 rx_24_fifo.wr_addr[4] -.sym 7261 rx_24_fifo.wr_addr[7] -.sym 7264 w_rx_24_fifo_data[21] -.sym 7266 w_rx_24_fifo_data[22] -.sym 7270 rx_24_fifo.wr_addr[3] -.sym 7272 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 7274 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[1] -.sym 7275 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 7276 rx_24_fifo.rd_addr_gray_wr[3] -.sym 7277 rx_24_fifo.rd_addr_gray_wr[4] -.sym 7278 rx_24_fifo.rd_addr_gray_wr[6] -.sym 7279 rx_24_fifo.rd_addr_gray_wr_r[3] -.sym 7280 rx_24_fifo.rd_addr_gray_wr_r[6] -.sym 7281 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_1_I3[0] -.sym 7282 $PACKER_VCC_NET -.sym 7283 $PACKER_VCC_NET -.sym 7284 $PACKER_VCC_NET -.sym 7285 $PACKER_VCC_NET -.sym 7286 $PACKER_VCC_NET -.sym 7287 $PACKER_VCC_NET -.sym 7288 $PACKER_VCC_NET -.sym 7289 $PACKER_VCC_NET -.sym 7290 rx_24_fifo.wr_addr[0] -.sym 7291 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 7293 rx_24_fifo.wr_addr[2] -.sym 7294 rx_24_fifo.wr_addr[3] -.sym 7295 rx_24_fifo.wr_addr[4] -.sym 7296 rx_24_fifo.wr_addr[5] -.sym 7297 rx_24_fifo.wr_addr[6] -.sym 7298 rx_24_fifo.wr_addr[7] -.sym 7301 lvds_clock_buf -.sym 7302 $PACKER_VCC_NET -.sym 7303 w_rx_24_fifo_data[16] -.sym 7304 w_rx_24_fifo_data[17] -.sym 7305 w_rx_24_fifo_data[18] -.sym 7306 w_rx_24_fifo_data[19] -.sym 7307 w_rx_24_fifo_data[20] -.sym 7308 w_rx_24_fifo_data[21] -.sym 7309 w_rx_24_fifo_data[22] -.sym 7310 w_rx_24_fifo_data[23] -.sym 7311 w_rx_24_fifo_push -.sym 7316 rx_24_fifo.wr_addr_gray_rd_r[6] -.sym 7319 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 7320 rx_24_fifo.wr_addr[2] -.sym 7321 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 7322 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 7325 rx_24_fifo.rd_addr[4] -.sym 7326 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 7347 w_rx_24_fifo_data[30] -.sym 7348 w_rx_24_fifo_pull -.sym 7349 w_rx_24_fifo_data[26] -.sym 7350 rx_24_fifo.rd_addr[0] -.sym 7351 w_rx_24_fifo_data[28] -.sym 7353 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 7354 rx_24_fifo.rd_addr[5] -.sym 7355 $PACKER_VCC_NET -.sym 7356 w_rx_24_fifo_data[24] -.sym 7357 $PACKER_VCC_NET -.sym 7358 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 7359 w_rx_24_fifo_data[25] -.sym 7360 rx_24_fifo.rd_addr[6] -.sym 7361 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 7362 rx_24_fifo.rd_addr[4] -.sym 7365 rx_24_fifo.rd_addr[7] -.sym 7366 w_rx_24_fifo_data[31] -.sym 7371 w_rx_24_fifo_data[27] -.sym 7373 w_rx_24_fifo_data[29] -.sym 7374 $PACKER_VCC_NET -.sym 7376 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_1_I0[0] -.sym 7377 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1[0] -.sym 7378 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[3] -.sym 7379 rx_24_fifo.wr_addr[6] -.sym 7380 rx_24_fifo.full_o_SB_LUT4_I0_O[1] -.sym 7381 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[2] -.sym 7382 rx_24_fifo.wr_addr_gray[5] -.sym 7383 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[0] -.sym 7384 $PACKER_VCC_NET -.sym 7385 $PACKER_VCC_NET -.sym 7386 $PACKER_VCC_NET -.sym 7387 $PACKER_VCC_NET -.sym 7388 $PACKER_VCC_NET -.sym 7389 $PACKER_VCC_NET -.sym 7390 $PACKER_VCC_NET -.sym 7391 $PACKER_VCC_NET -.sym 7392 rx_24_fifo.rd_addr[0] -.sym 7393 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 7395 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 7396 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 7397 rx_24_fifo.rd_addr[4] -.sym 7398 rx_24_fifo.rd_addr[5] -.sym 7399 rx_24_fifo.rd_addr[6] -.sym 7400 rx_24_fifo.rd_addr[7] -.sym 7403 r_counter[0]_$glb_clk -.sym 7404 $PACKER_VCC_NET -.sym 7405 w_rx_24_fifo_pull -.sym 7406 w_rx_24_fifo_data[26] -.sym 7407 w_rx_24_fifo_data[27] -.sym 7408 w_rx_24_fifo_data[28] -.sym 7409 w_rx_24_fifo_data[29] -.sym 7410 w_rx_24_fifo_data[30] -.sym 7411 w_rx_24_fifo_data[31] -.sym 7412 w_rx_24_fifo_data[24] -.sym 7413 w_rx_24_fifo_data[25] -.sym 7422 w_rx_24_fifo_push -.sym 7437 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O -.sym 7478 rx_24_fifo.full_o_SB_LUT4_I0_I1[1] -.sym 7479 rx_24_fifo.wr_addr_gray[3] -.sym 7480 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1[1] -.sym 7481 rx_24_fifo.wr_addr_gray[1] -.sym 7482 rx_24_fifo.wr_addr_gray[0] -.sym 7483 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[0] -.sym 7484 rx_24_fifo.wr_addr_gray[4] -.sym 7526 w_rx_24_fifo_push -.sym 7529 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O -.sym 7534 rx_24_fifo.wr_addr[6] -.sym 7536 w_rx_09_fifo_push -.sym 7542 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 7580 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[0] -.sym 7581 rx_24_fifo.wr_addr_gray_rd[2] -.sym 7582 rx_24_fifo.wr_addr_gray_rd[0] -.sym 7583 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 7585 rx_24_fifo.wr_addr_gray_rd[4] -.sym 7622 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 7628 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 7629 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[1] -.sym 7632 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 7635 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_2_I2[1] -.sym 7640 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] -.sym 7684 rx_24_fifo.wr_addr_gray[2] -.sym 7727 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 7732 rx_24_fifo.wr_addr[0] -.sym 7788 w_rx_09_fifo_push -.sym 7790 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E -.sym 7826 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 7829 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 7836 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 7845 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O -.sym 7928 w_rx_09_fifo_full -.sym 7944 w_rx_09_fifo_push -.sym 8037 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E -.sym 8047 o_shdn_rx_lna$SB_IO_OUT +.sym 7202 w_rx_24_fifo_data[26] +.sym 7203 w_rx_24_fifo_data[27] +.sym 7204 w_rx_24_fifo_data[28] +.sym 7205 w_rx_24_fifo_data[29] +.sym 7206 w_rx_24_fifo_data[30] +.sym 7207 w_rx_24_fifo_data[31] +.sym 7208 w_rx_24_fifo_data[24] +.sym 7209 w_rx_24_fifo_data[25] +.sym 7216 rx_24_fifo.wr_addr[7] +.sym 7217 w_rx_24_fifo_data[31] +.sym 7219 spi_if_ins.state_if[0] +.sym 7220 rx_24_fifo.wr_addr_gray[6] +.sym 7221 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 7226 w_rx_24_fifo_push +.sym 7274 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 7275 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 7276 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[3] +.sym 7280 w_tx_data_smi[3] +.sym 7281 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[0] +.sym 7318 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 7330 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[2] +.sym 7332 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 7336 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 7337 rx_24_fifo.rd_addr[4] +.sym 7339 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 7377 rx_24_fifo.rd_addr_gray_wr[3] +.sym 7378 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 7383 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[1] +.sym 7421 w_rx_24_fifo_full +.sym 7430 rx_24_fifo.rd_addr[3] +.sym 7431 rx_24_fifo.wr_addr[5] +.sym 7433 $PACKER_VCC_NET +.sym 7439 rx_24_fifo.wr_addr[0] +.sym 7479 rx_24_fifo.rd_addr_gray[5] +.sym 7482 rx_24_fifo.rd_addr[4] +.sym 7483 rx_24_fifo.rd_addr[2] +.sym 7484 rx_24_fifo.rd_addr[3] +.sym 7485 rx_24_fifo.rd_addr_gray[3] +.sym 7521 rx_24_fifo.rd_addr_gray_wr[6] +.sym 7531 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 7532 rx_24_fifo.wr_addr_gray[3] +.sym 7535 rx_24_fifo.rd_addr[2] +.sym 7537 rx_24_fifo.rd_addr[3] +.sym 7542 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[1] +.sym 7543 rx_24_fifo.rd_addr_gray[5] +.sym 7580 rx_24_fifo.wr_addr[5] +.sym 7581 rx_24_fifo.wr_addr_gray[4] +.sym 7582 rx_24_fifo.wr_addr_gray[0] +.sym 7583 rx_24_fifo.wr_addr_gray[1] +.sym 7584 rx_24_fifo.wr_addr[0] +.sym 7585 rx_24_fifo.wr_addr_gray[5] +.sym 7586 rx_24_fifo.wr_addr_gray[3] +.sym 7587 rx_24_fifo.wr_addr_gray[2] +.sym 7632 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 7687 rx_24_fifo.rd_addr_gray_wr[5] +.sym 7723 i_smi_a3$SB_IO_IN +.sym 7725 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 7729 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 7730 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 7731 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 7733 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 7734 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 7742 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 7744 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 7832 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] .sym 8088 i_smi_a3$SB_IO_IN .sym 8093 io_smi_data[6]$SB_IO_OUT -.sym 8113 io_smi_data[6]$SB_IO_OUT -.sym 8118 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[1] -.sym 8119 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[3] -.sym 8120 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] -.sym 8121 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] -.sym 8122 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] -.sym 8123 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 8124 io_smi_data[7]$SB_IO_OUT -.sym 8125 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] -.sym 8130 w_rx_09_fifo_push -.sym 8149 rx_09_fifo.rd_addr_gray_wr_r[7] -.sym 8165 rx_09_fifo.rd_addr[7] -.sym 8173 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 8178 rx_09_fifo.rd_addr[0] -.sym 8179 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] -.sym 8181 rx_09_fifo.rd_addr[3] -.sym 8183 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 8185 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] -.sym 8188 rx_09_fifo.rd_addr[4] -.sym 8192 $nextpnr_ICESTORM_LC_6$O -.sym 8195 rx_09_fifo.rd_addr[0] -.sym 8198 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] -.sym 8201 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 8202 rx_09_fifo.rd_addr[0] -.sym 8204 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] -.sym 8206 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] -.sym 8208 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] -.sym 8210 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] -.sym 8213 rx_09_fifo.rd_addr[3] -.sym 8214 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] -.sym 8216 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] -.sym 8218 rx_09_fifo.rd_addr[4] -.sym 8220 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] -.sym 8222 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] -.sym 8224 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] -.sym 8226 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] -.sym 8228 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] -.sym 8231 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 8232 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] -.sym 8237 rx_09_fifo.rd_addr[7] -.sym 8238 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] -.sym 8246 rx_09_fifo.rd_addr_gray[0] -.sym 8247 rx_09_fifo.rd_addr_gray[3] -.sym 8248 rx_09_fifo.rd_addr[0] -.sym 8249 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] -.sym 8250 rx_09_fifo.rd_addr[4] -.sym 8251 rx_09_fifo.rd_addr[3] -.sym 8252 rx_09_fifo.rd_addr_gray[4] -.sym 8253 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 8269 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] -.sym 8276 rx_09_fifo.rd_addr_gray_wr_r[0] -.sym 8277 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 8283 io_smi_data[1]$SB_IO_OUT -.sym 8285 io_smi_data[2]$SB_IO_OUT -.sym 8298 rx_09_fifo.rd_addr_gray_wr_r[7] -.sym 8300 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] -.sym 8301 rx_09_fifo.wr_addr[0] -.sym 8310 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 8312 lvds_rx_09_inst.o_fifo_data[31] -.sym 8313 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 8326 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 8328 rx_09_fifo.rd_addr_gray_wr[0] -.sym 8334 rx_09_fifo.rd_addr[7] -.sym 8335 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[1] -.sym 8339 w_smi_data_output[7] -.sym 8343 i_smi_a3$SB_IO_IN -.sym 8345 rx_09_fifo.rd_addr_gray[4] -.sym 8347 rx_09_fifo.rd_addr_gray[0] -.sym 8351 rx_09_fifo.rd_addr_gray_wr[7] -.sym 8356 rx_09_fifo.rd_addr_gray[4] -.sym 8362 rx_09_fifo.rd_addr_gray_wr[0] -.sym 8368 i_smi_a3$SB_IO_IN -.sym 8370 w_smi_data_output[7] -.sym 8376 rx_09_fifo.rd_addr_gray_wr[7] -.sym 8382 rx_09_fifo.rd_addr[7] -.sym 8388 rx_09_fifo.rd_addr_gray[0] -.sym 8393 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 8395 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[1] -.sym 8403 lvds_clock_buf -.sym 8406 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 8407 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 8408 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 8409 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 8410 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] -.sym 8411 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 8412 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 8417 rx_09_fifo.rd_addr[7] -.sym 8420 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] -.sym 8428 rx_09_fifo.rd_addr[0] -.sym 8431 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 8432 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 8435 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 8438 rx_09_fifo.wr_addr[4] -.sym 8448 lvds_rx_09_inst.o_fifo_data[15] -.sym 8453 lvds_rx_09_inst.o_fifo_data[19] -.sym 8454 lvds_rx_09_inst.o_fifo_data[17] -.sym 8459 lvds_rx_09_inst.o_fifo_data[23] -.sym 8463 lvds_rx_09_inst.o_fifo_data[27] -.sym 8468 lvds_rx_09_inst.o_fifo_data[21] -.sym 8472 lvds_rx_09_inst.o_fifo_data[29] -.sym 8474 lvds_rx_09_inst.o_fifo_data[25] -.sym 8477 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 8479 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 8481 lvds_rx_09_inst.o_fifo_data[15] -.sym 8487 lvds_rx_09_inst.o_fifo_data[25] -.sym 8488 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8115 io_smi_data[6]$SB_IO_OUT +.sym 8119 lvds_rx_09_inst.o_fifo_data[15] +.sym 8120 lvds_rx_09_inst.o_fifo_data[9] +.sym 8121 lvds_rx_09_inst.o_fifo_data[6] +.sym 8122 lvds_rx_09_inst.o_fifo_data[7] +.sym 8124 lvds_rx_09_inst.o_fifo_data[11] +.sym 8125 lvds_rx_09_inst.o_fifo_data[13] +.sym 8162 lvds_rx_09_inst.o_fifo_data[12] +.sym 8163 lvds_rx_09_inst.o_fifo_data[14] +.sym 8168 lvds_rx_09_inst.o_fifo_data[8] +.sym 8169 w_rx_09_fifo_pulled_data[27] +.sym 8171 w_rx_09_fifo_pulled_data[3] +.sym 8174 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I3[3] +.sym 8175 smi_ctrl_ins.int_cnt_09[3] +.sym 8179 w_rx_09_fifo_pulled_data[11] +.sym 8180 w_rx_09_fifo_pulled_data[24] +.sym 8181 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8183 w_rx_09_fifo_pulled_data[19] +.sym 8184 w_rx_09_fifo_pulled_data[8] +.sym 8186 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 8187 lvds_rx_09_inst.o_fifo_data[6] +.sym 8191 lvds_rx_09_inst.o_fifo_data[10] +.sym 8193 lvds_rx_09_inst.o_fifo_data[6] +.sym 8194 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8199 lvds_rx_09_inst.o_fifo_data[14] +.sym 8201 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8205 lvds_rx_09_inst.o_fifo_data[10] +.sym 8206 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8211 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8214 lvds_rx_09_inst.o_fifo_data[12] +.sym 8217 smi_ctrl_ins.int_cnt_09[3] +.sym 8218 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I3[3] +.sym 8219 w_rx_09_fifo_pulled_data[27] +.sym 8220 w_rx_09_fifo_pulled_data[11] +.sym 8223 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 8224 smi_ctrl_ins.int_cnt_09[3] +.sym 8225 w_rx_09_fifo_pulled_data[8] +.sym 8226 w_rx_09_fifo_pulled_data[24] +.sym 8229 smi_ctrl_ins.int_cnt_09[3] +.sym 8230 w_rx_09_fifo_pulled_data[19] +.sym 8231 w_rx_09_fifo_pulled_data[3] +.sym 8232 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 8235 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8237 lvds_rx_09_inst.o_fifo_data[8] +.sym 8239 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 8240 lvds_clock_buf +.sym 8241 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 8246 io_smi_data[2]$SB_IO_OUT +.sym 8247 io_smi_data[1]$SB_IO_OUT +.sym 8248 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 8249 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 8251 io_smi_data[7]$SB_IO_OUT +.sym 8255 rx_09_fifo.rd_addr_gray_wr[4] +.sym 8260 $PACKER_VCC_NET +.sym 8262 $PACKER_VCC_NET +.sym 8263 rx_09_fifo.rd_addr_gray_wr[0] +.sym 8274 lvds_rx_09_inst.o_fifo_data[11] +.sym 8275 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8277 io_smi_data[1]$SB_IO_OUT +.sym 8278 io_pmod[7]$SB_IO_IN +.sym 8292 io_smi_data[2]$SB_IO_OUT +.sym 8299 lvds_rx_09_inst.o_fifo_data[16] +.sym 8323 w_rx_09_fifo_pulled_data[2] +.sym 8324 smi_ctrl_ins.int_cnt_09[3] +.sym 8325 w_rx_09_fifo_pulled_data[10] +.sym 8328 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8329 w_rx_09_fifo_pulled_data[14] +.sym 8330 w_rx_09_fifo_pulled_data[15] +.sym 8332 smi_ctrl_ins.int_cnt_09[3] +.sym 8333 w_rx_09_fifo_pulled_data[30] +.sym 8335 w_rx_09_fifo_pulled_data[31] +.sym 8336 w_rx_09_fifo_pulled_data[13] +.sym 8337 w_rx_09_fifo_pulled_data[26] +.sym 8338 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8339 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8341 w_rx_09_fifo_pulled_data[18] +.sym 8342 w_rx_09_fifo_pulled_data[29] +.sym 8344 w_rx_09_fifo_pulled_data[21] +.sym 8345 w_rx_09_fifo_pulled_data[22] +.sym 8346 w_rx_09_fifo_pulled_data[23] +.sym 8349 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 8350 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8352 w_rx_09_fifo_pulled_data[5] +.sym 8353 w_rx_09_fifo_pulled_data[6] +.sym 8354 w_rx_09_fifo_pulled_data[7] +.sym 8356 w_rx_09_fifo_pulled_data[2] +.sym 8357 smi_ctrl_ins.int_cnt_09[3] +.sym 8358 w_rx_09_fifo_pulled_data[18] +.sym 8359 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 8362 w_rx_09_fifo_pulled_data[26] +.sym 8363 w_rx_09_fifo_pulled_data[10] +.sym 8364 smi_ctrl_ins.int_cnt_09[3] +.sym 8365 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8368 w_rx_09_fifo_pulled_data[14] +.sym 8369 w_rx_09_fifo_pulled_data[30] +.sym 8370 smi_ctrl_ins.int_cnt_09[3] +.sym 8371 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8374 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 8375 smi_ctrl_ins.int_cnt_09[3] +.sym 8376 w_rx_09_fifo_pulled_data[23] +.sym 8377 w_rx_09_fifo_pulled_data[7] +.sym 8380 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8381 w_rx_09_fifo_pulled_data[15] +.sym 8382 smi_ctrl_ins.int_cnt_09[3] +.sym 8383 w_rx_09_fifo_pulled_data[31] +.sym 8386 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 8387 smi_ctrl_ins.int_cnt_09[3] +.sym 8388 w_rx_09_fifo_pulled_data[6] +.sym 8389 w_rx_09_fifo_pulled_data[22] +.sym 8392 w_rx_09_fifo_pulled_data[13] +.sym 8393 smi_ctrl_ins.int_cnt_09[3] +.sym 8394 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8395 w_rx_09_fifo_pulled_data[29] +.sym 8398 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 8399 smi_ctrl_ins.int_cnt_09[3] +.sym 8400 w_rx_09_fifo_pulled_data[21] +.sym 8401 w_rx_09_fifo_pulled_data[5] +.sym 8405 lvds_rx_09_inst.o_fifo_data[24] +.sym 8406 lvds_rx_09_inst.o_fifo_data[22] +.sym 8407 lvds_rx_09_inst.o_fifo_data[19] +.sym 8408 lvds_rx_09_inst.o_fifo_data[28] +.sym 8409 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 8410 lvds_rx_09_inst.o_fifo_data[26] +.sym 8411 lvds_rx_09_inst.o_fifo_data[21] +.sym 8412 lvds_rx_09_inst.o_fifo_data[17] +.sym 8418 io_pmod[5]$SB_IO_IN +.sym 8419 w_rx_09_fifo_pulled_data[30] +.sym 8424 smi_ctrl_ins.int_cnt_09[3] +.sym 8426 w_smi_data_output[1] +.sym 8428 smi_ctrl_ins.int_cnt_09[4] +.sym 8429 rx_09_fifo.wr_addr[2] +.sym 8430 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[0] +.sym 8437 rx_09_fifo.wr_addr[5] +.sym 8438 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 8440 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 8447 lvds_rx_09_inst.o_fifo_data[25] +.sym 8453 lvds_rx_09_inst.o_fifo_data[18] +.sym 8462 lvds_rx_09_inst.o_fifo_data[23] +.sym 8465 lvds_rx_09_inst.o_fifo_data[16] +.sym 8468 lvds_rx_09_inst.o_fifo_data[27] +.sym 8471 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8473 lvds_rx_09_inst.o_fifo_data[28] +.sym 8475 lvds_rx_09_inst.o_fifo_data[29] +.sym 8476 lvds_rx_09_inst.o_fifo_data[21] +.sym 8480 lvds_rx_09_inst.o_fifo_data[21] +.sym 8481 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8486 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8488 lvds_rx_09_inst.o_fifo_data[23] .sym 8491 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 8492 lvds_rx_09_inst.o_fifo_data[27] -.sym 8499 lvds_rx_09_inst.o_fifo_data[29] -.sym 8500 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8493 lvds_rx_09_inst.o_fifo_data[28] +.sym 8498 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8500 lvds_rx_09_inst.o_fifo_data[29] .sym 8503 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 8505 lvds_rx_09_inst.o_fifo_data[23] -.sym 8510 lvds_rx_09_inst.o_fifo_data[21] +.sym 8504 lvds_rx_09_inst.o_fifo_data[18] +.sym 8510 lvds_rx_09_inst.o_fifo_data[27] .sym 8512 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] .sym 8515 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 8516 lvds_rx_09_inst.o_fifo_data[19] -.sym 8521 lvds_rx_09_inst.o_fifo_data[17] +.sym 8516 lvds_rx_09_inst.o_fifo_data[25] .sym 8522 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8523 lvds_rx_09_inst.o_fifo_data[16] .sym 8525 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce .sym 8526 lvds_clock_buf -.sym 8527 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr +.sym 8527 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr .sym 8528 rx_09_fifo.wr_addr[0] -.sym 8529 rx_09_fifo.wr_addr_gray[4] -.sym 8530 rx_09_fifo.wr_addr[6] -.sym 8531 rx_09_fifo.wr_addr_gray[2] -.sym 8532 rx_09_fifo.wr_addr_gray[0] -.sym 8533 rx_09_fifo.wr_addr_gray[1] -.sym 8534 rx_09_fifo.wr_addr[3] -.sym 8535 rx_09_fifo.wr_addr[2] -.sym 8544 lvds_rx_09_inst.o_fifo_data[15] -.sym 8551 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 8552 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 8553 rx_09_fifo.rd_addr_gray[2] -.sym 8554 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 8556 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 8558 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 8559 rx_09_fifo.rd_addr_gray_wr_r[0] -.sym 8561 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 8563 rx_09_fifo.rd_addr_gray_wr_r[2] -.sym 8570 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 8574 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 8575 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 8576 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[2] -.sym 8578 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] -.sym 8580 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 8582 w_rx_09_fifo_pulled_data[29] -.sym 8584 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 8585 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 8587 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 8588 w_rx_09_fifo_pulled_data[13] -.sym 8590 w_rx_09_fifo_pulled_data[21] -.sym 8591 w_rx_09_fifo_pulled_data[22] -.sym 8592 w_rx_09_fifo_full -.sym 8595 rx_09_fifo.rd_addr_gray_wr_r[7] -.sym 8596 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 8598 w_rx_09_fifo_pulled_data[5] -.sym 8599 w_rx_09_fifo_pulled_data[6] -.sym 8600 w_rx_09_fifo_push -.sym 8602 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] -.sym 8608 w_rx_09_fifo_full -.sym 8609 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 8610 rx_09_fifo.rd_addr_gray_wr_r[7] -.sym 8611 w_rx_09_fifo_push -.sym 8615 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[2] -.sym 8620 w_rx_09_fifo_pulled_data[13] -.sym 8621 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 8622 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 8623 w_rx_09_fifo_pulled_data[29] -.sym 8626 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 8627 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 8628 w_rx_09_fifo_pulled_data[22] -.sym 8629 w_rx_09_fifo_pulled_data[6] -.sym 8632 w_rx_09_fifo_pulled_data[21] -.sym 8633 w_rx_09_fifo_pulled_data[5] -.sym 8634 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 8635 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 8638 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 8640 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 8647 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 8648 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 8649 r_counter[0]_$glb_clk -.sym 8650 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 8651 rx_09_fifo.wr_addr[5] -.sym 8652 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 8653 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] -.sym 8654 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] -.sym 8655 rx_09_fifo.wr_addr[4] -.sym 8657 rx_09_fifo.wr_addr[7] -.sym 8658 w_rx_09_fifo_full -.sym 8666 io_pmod[4]$SB_IO_IN -.sym 8675 w_rx_24_fifo_pull -.sym 8678 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 8682 rx_09_fifo.rd_addr_gray_wr_r[7] -.sym 8683 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 8684 rx_24_fifo.rd_addr[7] -.sym 8685 rx_09_fifo.wr_addr[2] -.sym 8686 i_smi_soe_se$rename$0 -.sym 8695 rx_24_fifo.rd_addr[7] -.sym 8697 rx_09_fifo.rd_addr_gray_wr[6] -.sym 8698 rx_09_fifo.rd_addr_gray[6] -.sym 8700 rx_09_fifo.rd_addr_gray[1] -.sym 8703 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 8704 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 8706 rx_09_fifo.rd_addr_gray_wr[2] -.sym 8713 rx_09_fifo.rd_addr_gray[2] -.sym 8714 w_rx_09_fifo_pulled_data[30] -.sym 8717 rx_09_fifo.rd_addr_gray_wr[1] -.sym 8722 w_rx_09_fifo_pulled_data[14] -.sym 8728 rx_09_fifo.rd_addr_gray_wr[6] -.sym 8733 rx_09_fifo.rd_addr_gray[1] -.sym 8737 rx_09_fifo.rd_addr_gray_wr[1] -.sym 8743 rx_09_fifo.rd_addr_gray_wr[2] -.sym 8749 w_rx_09_fifo_pulled_data[30] -.sym 8750 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 8751 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 8752 w_rx_09_fifo_pulled_data[14] -.sym 8758 rx_09_fifo.rd_addr_gray[6] -.sym 8764 rx_09_fifo.rd_addr_gray[2] -.sym 8767 rx_24_fifo.rd_addr[7] -.sym 8772 lvds_clock_buf -.sym 8775 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[1] -.sym 8776 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[2] -.sym 8777 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[1] -.sym 8778 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[3] -.sym 8779 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[5] -.sym 8780 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[6] -.sym 8781 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3[3] -.sym 8786 rx_09_fifo.rd_addr_gray_wr_r[6] -.sym 8788 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3[0] -.sym 8791 w_rx_09_fifo_push -.sym 8792 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 8793 rx_09_fifo.wr_addr[5] -.sym 8794 rx_09_fifo.rd_addr_gray_wr_r[2] -.sym 8798 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 8802 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 8806 i_smi_a2_SB_LUT4_I1_O[1] -.sym 8808 rx_24_fifo.rd_addr[6] -.sym 8817 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E -.sym 8819 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 8820 smi_ctrl_ins.int_cnt_24[5] -.sym 8824 w_rx_24_fifo_empty -.sym 8825 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 8828 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 8832 i_smi_a1_SB_LUT4_I1_O[2] -.sym 8833 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 8834 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] -.sym 8836 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 8837 smi_ctrl_ins.int_cnt_24[4] -.sym 8841 i_smi_a2_SB_LUT4_I1_O[1] -.sym 8843 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 8844 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 8845 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 8846 i_smi_soe_se$rename$0 -.sym 8848 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 8849 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 8850 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 8851 i_smi_a2_SB_LUT4_I1_O[1] -.sym 8856 smi_ctrl_ins.int_cnt_24[5] -.sym 8860 i_smi_soe_se$rename$0 -.sym 8862 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 8863 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 8869 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 8872 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 8873 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] -.sym 8875 i_smi_a1_SB_LUT4_I1_O[2] -.sym 8878 i_smi_a2_SB_LUT4_I1_O[1] -.sym 8879 i_smi_soe_se$rename$0 -.sym 8880 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 8884 i_smi_soe_se$rename$0 -.sym 8885 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 8887 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 8890 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 8891 smi_ctrl_ins.int_cnt_24[4] -.sym 8892 smi_ctrl_ins.int_cnt_24[5] -.sym 8893 w_rx_24_fifo_empty -.sym 8894 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 8529 rx_09_fifo.wr_addr[3] +.sym 8530 rx_09_fifo.wr_addr[5] +.sym 8531 rx_09_fifo.wr_addr_gray[3] +.sym 8532 rx_09_fifo.wr_addr[4] +.sym 8533 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 8534 rx_09_fifo.wr_addr[2] +.sym 8535 rx_09_fifo.wr_addr[6] +.sym 8539 rx_24_fifo.rd_addr[0] +.sym 8543 $PACKER_VCC_NET +.sym 8546 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 8548 $PACKER_VCC_NET +.sym 8552 rx_09_fifo.wr_addr[7] +.sym 8553 i_smi_a1_SB_LUT4_I1_O[2] +.sym 8557 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8558 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[5] +.sym 8571 $PACKER_VCC_NET +.sym 8573 rx_09_fifo.rd_addr_gray_wr[0] +.sym 8579 $PACKER_VCC_NET +.sym 8589 smi_ctrl_ins.int_cnt_09[5] +.sym 8590 rx_09_fifo.rd_addr_gray_wr[7] +.sym 8592 smi_ctrl_ins.int_cnt_09[3] +.sym 8594 smi_ctrl_ins.int_cnt_09[4] +.sym 8596 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 8601 $nextpnr_ICESTORM_LC_11$O +.sym 8603 smi_ctrl_ins.int_cnt_09[3] +.sym 8607 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I3[2] +.sym 8609 smi_ctrl_ins.int_cnt_09[4] +.sym 8610 $PACKER_VCC_NET +.sym 8614 smi_ctrl_ins.int_cnt_09[5] +.sym 8616 $PACKER_VCC_NET +.sym 8617 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I3[2] +.sym 8623 rx_09_fifo.rd_addr_gray_wr[0] +.sym 8633 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 8641 rx_09_fifo.rd_addr_gray_wr[7] +.sym 8649 lvds_clock_buf +.sym 8652 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.sym 8653 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 8654 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 8655 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[4] +.sym 8656 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[5] +.sym 8657 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[1] +.sym 8658 $io_pmod[4]$iobuf_i +.sym 8665 $PACKER_VCC_NET +.sym 8666 rx_09_fifo.rd_addr[3] +.sym 8667 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 8670 rx_09_fifo.wr_addr[0] +.sym 8671 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 8672 rx_09_fifo.wr_addr[3] +.sym 8675 rx_24_fifo.rd_addr[0] +.sym 8677 rx_24_fifo.rd_addr[6] +.sym 8679 i_smi_soe_se$rename$0 +.sym 8680 io_pmod[2]$SB_IO_IN +.sym 8684 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 8685 rx_24_fifo.rd_addr[5] +.sym 8692 rx_09_fifo.wr_addr[0] +.sym 8695 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 8696 smi_ctrl_ins.int_cnt_09[4] +.sym 8697 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 8701 smi_ctrl_ins.int_cnt_09[5] +.sym 8703 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_CARRY_CO_I1[5] +.sym 8704 i_smi_a2_SB_LUT4_I1_O[1] +.sym 8719 smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E +.sym 8722 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_CARRY_CO_I1[4] +.sym 8724 $nextpnr_ICESTORM_LC_19$O +.sym 8726 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_CARRY_CO_I1[4] +.sym 8730 $nextpnr_ICESTORM_LC_20$I3 +.sym 8732 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_CARRY_CO_I1[5] +.sym 8740 $nextpnr_ICESTORM_LC_20$I3 +.sym 8744 smi_ctrl_ins.int_cnt_09[5] +.sym 8752 i_smi_a2_SB_LUT4_I1_O[1] +.sym 8763 smi_ctrl_ins.int_cnt_09[4] +.sym 8767 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 8768 rx_09_fifo.wr_addr[0] +.sym 8769 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 8771 smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E +.sym 8772 r_counter[0]_$glb_clk +.sym 8773 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 8774 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] +.sym 8775 i_smi_a2_SB_LUT4_I1_O[0] +.sym 8776 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8777 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 8778 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[2] +.sym 8779 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[0] +.sym 8780 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[1] +.sym 8781 w_rx_09_fifo_push +.sym 8787 $PACKER_VCC_NET +.sym 8789 $PACKER_VCC_NET +.sym 8791 $io_pmod[4]$iobuf_i +.sym 8792 $PACKER_VCC_NET +.sym 8796 w_rx_09_fifo_pull +.sym 8797 $PACKER_VCC_NET +.sym 8799 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 8801 rx_24_fifo.rd_addr[2] +.sym 8808 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E +.sym 8815 $PACKER_VCC_NET +.sym 8818 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[3] +.sym 8819 i_smi_a2_SB_LUT4_I1_O[1] +.sym 8821 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] +.sym 8822 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 8823 i_smi_a1_SB_LUT4_I1_O[2] +.sym 8826 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_CARRY_CO_I1[5] +.sym 8827 i_smi_a2_SB_LUT4_I1_O[3] +.sym 8828 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 8829 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_CARRY_CO_I1[4] +.sym 8833 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 8836 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 8839 i_smi_soe_se$rename$0 +.sym 8840 i_smi_a2_SB_LUT4_I1_O[0] +.sym 8844 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 8847 $nextpnr_ICESTORM_LC_1$O +.sym 8849 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[3] +.sym 8853 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[4] +.sym 8856 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_CARRY_CO_I1[4] +.sym 8859 $nextpnr_ICESTORM_LC_2$I3 +.sym 8862 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_CARRY_CO_I1[5] +.sym 8865 $nextpnr_ICESTORM_LC_2$COUT +.sym 8867 $PACKER_VCC_NET +.sym 8869 $nextpnr_ICESTORM_LC_2$I3 +.sym 8873 i_smi_soe_se$rename$0 +.sym 8874 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 8875 $nextpnr_ICESTORM_LC_2$COUT +.sym 8878 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 8881 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] +.sym 8884 i_smi_a2_SB_LUT4_I1_O[0] +.sym 8885 i_smi_a2_SB_LUT4_I1_O[3] +.sym 8886 i_smi_a2_SB_LUT4_I1_O[1] +.sym 8887 i_smi_a1_SB_LUT4_I1_O[2] +.sym 8892 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 8894 spi_if_ins.state_if_SB_DFFE_Q_E .sym 8895 r_counter[0]_$glb_clk -.sym 8896 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 8899 i_smi_a2_SB_LUT4_I1_O[1] -.sym 8900 rx_24_fifo.rd_addr[6] -.sym 8901 rx_24_fifo.rd_addr[7] -.sym 8902 rx_24_fifo.rd_addr[0] -.sym 8903 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 8913 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E -.sym 8915 io_pmod[7]$SB_IO_IN -.sym 8918 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 8920 w_rx_24_fifo_empty -.sym 8922 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 8926 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 8927 w_rx_09_fifo_data[1] -.sym 8931 w_rx_24_fifo_pull -.sym 8932 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I1[1] -.sym 8938 lvds_rx_09_inst.o_fifo_data[6] -.sym 8940 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 8941 w_rx_24_fifo_pulled_data[3] -.sym 8945 w_rx_09_fifo_data[1] -.sym 8948 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 8950 io_pmod[6]$SB_IO_IN -.sym 8953 w_rx_24_fifo_pulled_data[7] -.sym 8954 lvds_rx_09_inst.o_fifo_data[13] -.sym 8958 io_pmod[7]$SB_IO_IN -.sym 8961 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 8965 w_rx_24_fifo_pulled_data[11] -.sym 8968 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 8969 w_rx_24_fifo_pulled_data[15] -.sym 8972 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 8974 io_pmod[6]$SB_IO_IN -.sym 8977 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 8980 lvds_rx_09_inst.o_fifo_data[13] -.sym 8985 io_pmod[7]$SB_IO_IN -.sym 8986 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 8995 w_rx_24_fifo_pulled_data[15] -.sym 8996 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 8997 w_rx_24_fifo_pulled_data[7] -.sym 8998 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 9002 lvds_rx_09_inst.o_fifo_data[6] -.sym 9003 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 9007 w_rx_24_fifo_pulled_data[11] -.sym 9008 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 9009 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 9010 w_rx_24_fifo_pulled_data[3] -.sym 9013 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 9015 w_rx_09_fifo_data[1] -.sym 9017 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce -.sym 9018 lvds_clock_buf -.sym 9019 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 9021 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 9022 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 9023 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 9024 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 9025 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 9026 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 9027 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 9031 w_rx_09_fifo_push -.sym 9044 io_pmod[7]$SB_IO_IN -.sym 9046 rx_24_fifo.rd_addr[6] -.sym 9048 rx_24_fifo.rd_addr[7] -.sym 9050 rx_24_fifo.rd_addr[0] -.sym 9053 w_rx_09_fifo_push -.sym 9055 $io_pmod[5]$iobuf_i -.sym 9063 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 9065 rx_24_fifo.rd_addr[7] -.sym 9066 rx_24_fifo.wr_addr_gray_rd[7] -.sym 9067 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 9069 w_rx_24_fifo_pulled_data[8] -.sym 9071 i_smi_a2_SB_LUT4_I1_O[1] -.sym 9074 rx_24_fifo.rd_addr[0] -.sym 9075 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 9077 i_smi_a2_SB_LUT4_I1_O[0] -.sym 9079 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 9082 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 9083 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 9085 w_rx_24_fifo_pulled_data[16] -.sym 9086 w_rx_24_fifo_pulled_data[24] -.sym 9087 rx_24_fifo.wr_addr[7] -.sym 9094 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 9095 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 9096 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 9097 i_smi_a2_SB_LUT4_I1_O[1] -.sym 9102 rx_24_fifo.wr_addr_gray_rd[7] -.sym 9106 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 9107 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 9108 i_smi_a2_SB_LUT4_I1_O[0] -.sym 9109 w_rx_24_fifo_pulled_data[16] -.sym 9120 rx_24_fifo.rd_addr[7] -.sym 9126 rx_24_fifo.wr_addr[7] -.sym 9130 w_rx_24_fifo_pulled_data[24] -.sym 9131 w_rx_24_fifo_pulled_data[8] -.sym 9132 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 9133 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 9139 rx_24_fifo.rd_addr[0] +.sym 8896 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 8897 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 8899 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 8901 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 8902 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 8904 spi_if_ins.state_if[1] +.sym 8912 smi_ctrl_ins.int_cnt_09[5] +.sym 8918 smi_ctrl_ins.int_cnt_09[3] +.sym 8920 smi_ctrl_ins.int_cnt_09[4] +.sym 8921 rx_24_fifo.rd_addr[1] +.sym 8923 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 8925 w_rx_24_fifo_pull +.sym 8927 rx_24_fifo.rd_addr_gray[2] +.sym 8928 smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E +.sym 8929 rx_24_fifo.rd_addr[0] +.sym 8930 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 8931 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 8932 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 8949 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 8954 rx_24_fifo.rd_addr[0] +.sym 8956 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 8957 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 8958 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[1] +.sym 8960 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[2] +.sym 8964 smi_ctrl_ins.int_cnt_09[3] +.sym 8966 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 8968 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 8971 rx_24_fifo.rd_addr[0] +.sym 8979 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 8991 smi_ctrl_ins.int_cnt_09[3] +.sym 8995 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 9003 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 9008 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 9014 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[2] +.sym 9016 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[1] +.sym 9017 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 9018 r_counter[0]_$glb_clk +.sym 9019 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 9020 w_fetch +.sym 9021 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 9022 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 9023 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[2] +.sym 9024 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 9026 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[0] +.sym 9027 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 9045 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 9046 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 9050 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[0] +.sym 9053 rx_24_fifo.rd_addr[7] +.sym 9054 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 9062 rx_24_fifo.wr_addr_gray[6] +.sym 9065 rx_24_fifo.wr_addr_gray_rd[6] +.sym 9068 rx_24_fifo.wr_addr[7] +.sym 9070 rx_24_fifo.rd_addr[4] +.sym 9071 rx_24_fifo.rd_addr[2] +.sym 9072 rx_24_fifo.wr_addr_gray_rd[7] +.sym 9073 rx_24_fifo.rd_addr[3] +.sym 9075 rx_24_fifo.wr_addr_gray_rd[3] +.sym 9076 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[0] +.sym 9081 rx_24_fifo.wr_addr_gray[3] +.sym 9084 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] +.sym 9086 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 9094 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 9095 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] +.sym 9096 rx_24_fifo.rd_addr[4] +.sym 9097 rx_24_fifo.rd_addr[3] +.sym 9102 rx_24_fifo.wr_addr_gray_rd[3] +.sym 9106 rx_24_fifo.wr_addr_gray_rd[6] +.sym 9114 rx_24_fifo.wr_addr[7] +.sym 9119 rx_24_fifo.wr_addr_gray[6] +.sym 9127 rx_24_fifo.wr_addr_gray_rd[7] +.sym 9132 rx_24_fifo.wr_addr_gray[3] +.sym 9136 rx_24_fifo.rd_addr[2] +.sym 9139 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[0] .sym 9141 r_counter[0]_$glb_clk -.sym 9143 rx_24_fifo.rd_addr_gray[5] -.sym 9144 rx_24_fifo.rd_addr_gray[6] -.sym 9145 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 9146 rx_24_fifo.rd_addr_gray[4] -.sym 9147 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] -.sym 9148 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] -.sym 9149 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 9150 rx_24_fifo.rd_addr_gray[3] -.sym 9167 w_rx_09_fifo_data[0] -.sym 9174 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 9185 rx_24_fifo.wr_addr_gray_rd_r[7] -.sym 9189 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 9190 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 9191 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 9192 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 9193 rx_24_fifo.wr_addr_gray_rd_r[7] -.sym 9195 w_rx_24_fifo_pulled_data[19] -.sym 9196 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 9197 rx_24_fifo.wr_addr_gray_rd_r[6] -.sym 9199 w_rx_24_fifo_pulled_data[23] -.sym 9202 w_lvds_rx_09_d0 -.sym 9203 w_rx_24_fifo_pull -.sym 9204 w_lvds_rx_09_d1 -.sym 9206 rx_24_fifo.rd_addr[6] -.sym 9208 rx_24_fifo.rd_addr[7] -.sym 9209 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I0_I3[3] -.sym 9211 w_rx_24_fifo_pulled_data[27] -.sym 9214 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I0_I1[0] -.sym 9215 w_rx_24_fifo_pulled_data[31] -.sym 9217 w_rx_24_fifo_pulled_data[23] -.sym 9218 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 9219 w_rx_24_fifo_pulled_data[31] -.sym 9220 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 9223 rx_24_fifo.rd_addr[7] -.sym 9224 rx_24_fifo.wr_addr_gray_rd_r[6] -.sym 9225 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I0_I1[0] -.sym 9226 rx_24_fifo.wr_addr_gray_rd_r[7] -.sym 9229 w_rx_24_fifo_pulled_data[19] -.sym 9230 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 9231 w_rx_24_fifo_pulled_data[27] -.sym 9232 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 9235 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 9238 w_lvds_rx_09_d0 -.sym 9241 w_lvds_rx_09_d1 -.sym 9244 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 9247 w_rx_24_fifo_pull -.sym 9248 rx_24_fifo.rd_addr[6] -.sym 9249 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I0_I1[0] -.sym 9250 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I0_I3[3] -.sym 9259 rx_24_fifo.wr_addr_gray_rd_r[7] -.sym 9260 rx_24_fifo.wr_addr_gray_rd_r[6] -.sym 9261 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 9262 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 9263 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce -.sym 9264 lvds_clock_buf -.sym 9265 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 9266 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_I2[2] -.sym 9268 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 9269 rx_24_fifo.empty_o_SB_LUT4_I3_O[0] -.sym 9270 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] -.sym 9271 w_rx_24_fifo_full -.sym 9272 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I0_I1[0] -.sym 9273 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_I2[1] -.sym 9280 rx_24_fifo.rd_addr[5] -.sym 9297 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 9309 rx_24_fifo.rd_addr_gray_wr[3] -.sym 9314 rx_24_fifo.rd_addr_gray[3] -.sym 9316 rx_24_fifo.rd_addr_gray[6] -.sym 9318 rx_24_fifo.rd_addr_gray[4] -.sym 9330 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 9332 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 9334 rx_24_fifo.rd_addr_gray_wr[4] -.sym 9335 rx_24_fifo.rd_addr_gray_wr[6] -.sym 9337 rx_24_fifo.rd_addr_gray_wr[2] -.sym 9342 rx_24_fifo.rd_addr_gray_wr[4] -.sym 9347 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 9348 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 9353 rx_24_fifo.rd_addr_gray[3] -.sym 9359 rx_24_fifo.rd_addr_gray[4] -.sym 9364 rx_24_fifo.rd_addr_gray[6] -.sym 9371 rx_24_fifo.rd_addr_gray_wr[3] -.sym 9377 rx_24_fifo.rd_addr_gray_wr[6] -.sym 9382 rx_24_fifo.rd_addr_gray_wr[2] -.sym 9387 lvds_clock_buf -.sym 9389 rx_24_fifo.full_o_SB_LUT4_I0_I1[3] -.sym 9390 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[0] -.sym 9391 rx_24_fifo.full_o_SB_LUT4_I0_O[0] -.sym 9392 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] -.sym 9393 rx_24_fifo.wr_addr_gray_rd_r[5] -.sym 9394 rx_24_fifo.full_o_SB_LUT4_I0_O[3] -.sym 9395 rx_24_fifo.wr_addr_gray_rd[5] -.sym 9396 rx_24_fifo.full_o_SB_LUT4_I0_I1[2] -.sym 9405 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 9406 w_ioc[1] -.sym 9407 w_rx_24_fifo_empty -.sym 9414 rx_24_fifo.rd_addr_gray[5] -.sym 9415 rx_24_fifo.wr_addr_gray_rd[2] -.sym 9417 rx_24_fifo.wr_addr_gray_rd[0] -.sym 9423 rx_24_fifo.rd_addr_gray_wr[2] -.sym 9430 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[1] -.sym 9432 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O -.sym 9439 w_rx_24_fifo_push -.sym 9440 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1[1] -.sym 9443 rx_24_fifo.rd_addr_gray_wr_r[3] -.sym 9445 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_1_I3[0] -.sym 9447 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[2] -.sym 9448 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 9449 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[3] -.sym 9450 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 9453 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1[2] -.sym 9455 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1[0] -.sym 9456 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] -.sym 9457 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 9458 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 9460 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 9463 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[1] -.sym 9464 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[3] -.sym 9469 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[2] -.sym 9470 w_rx_24_fifo_push -.sym 9471 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[3] -.sym 9472 rx_24_fifo.rd_addr_gray_wr_r[3] -.sym 9476 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 9477 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_1_I3[0] -.sym 9478 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 9482 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 9487 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1[0] -.sym 9488 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1[2] -.sym 9490 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1[1] -.sym 9494 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 9495 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 9496 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 9499 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 9502 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] -.sym 9506 rx_24_fifo.rd_addr_gray_wr_r[3] -.sym 9507 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 9509 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 9143 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 9144 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] +.sym 9145 rx_24_fifo.rd_addr_gray_wr[7] +.sym 9147 rx_24_fifo.rd_addr_gray_wr_r[7] +.sym 9148 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 9150 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 9156 rx_24_fifo.rd_addr[4] +.sym 9157 w_rx_24_fifo_data[20] +.sym 9162 w_fetch +.sym 9165 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 9167 rx_24_fifo.rd_addr[0] +.sym 9168 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 9169 rx_24_fifo.rd_addr[6] +.sym 9176 w_rx_24_fifo_empty +.sym 9177 rx_24_fifo.rd_addr[5] +.sym 9186 rx_24_fifo.rd_addr[6] +.sym 9190 rx_24_fifo.rd_addr[3] +.sym 9193 rx_24_fifo.rd_addr[1] +.sym 9194 rx_24_fifo.rd_addr[5] +.sym 9196 rx_24_fifo.rd_addr[7] +.sym 9201 rx_24_fifo.rd_addr[0] +.sym 9204 rx_24_fifo.rd_addr[0] +.sym 9205 rx_24_fifo.rd_addr[4] +.sym 9214 rx_24_fifo.rd_addr[2] +.sym 9216 $nextpnr_ICESTORM_LC_9$O +.sym 9218 rx_24_fifo.rd_addr[0] +.sym 9222 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] +.sym 9224 rx_24_fifo.rd_addr[1] +.sym 9226 rx_24_fifo.rd_addr[0] +.sym 9228 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] +.sym 9230 rx_24_fifo.rd_addr[2] +.sym 9232 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] +.sym 9234 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] +.sym 9236 rx_24_fifo.rd_addr[3] +.sym 9238 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] +.sym 9240 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] +.sym 9242 rx_24_fifo.rd_addr[4] +.sym 9244 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] +.sym 9246 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] +.sym 9249 rx_24_fifo.rd_addr[5] +.sym 9250 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] +.sym 9252 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] +.sym 9255 rx_24_fifo.rd_addr[6] +.sym 9256 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] +.sym 9259 rx_24_fifo.rd_addr[7] +.sym 9262 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] +.sym 9266 w_load +.sym 9267 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[3] +.sym 9268 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 9269 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[3] +.sym 9271 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 9272 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] +.sym 9279 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 9282 $PACKER_VCC_NET +.sym 9286 rx_24_fifo.rd_addr[3] +.sym 9291 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[1] +.sym 9297 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 9298 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 9300 rx_24_fifo.rd_addr[2] +.sym 9301 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 9310 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[2] +.sym 9311 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 9312 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 9313 w_rx_24_fifo_full +.sym 9317 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[1] +.sym 9318 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 9320 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 9321 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 9325 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[3] +.sym 9326 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 9327 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[0] +.sym 9340 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 9343 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 9346 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 9348 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 9352 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 9353 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 9378 w_rx_24_fifo_full +.sym 9382 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[1] +.sym 9383 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[3] +.sym 9384 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[2] +.sym 9385 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[0] +.sym 9386 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 9387 r_counter[0]_$glb_clk +.sym 9388 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 9389 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[2] +.sym 9390 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[1] +.sym 9391 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_1_I3[3] +.sym 9393 w_rx_24_fifo_empty +.sym 9395 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 9408 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 9413 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[0] +.sym 9420 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 9422 w_tx_data_smi[3] +.sym 9437 rx_24_fifo.rd_addr_gray[3] +.sym 9442 rx_24_fifo.rd_addr_gray_wr[6] +.sym 9455 rx_24_fifo.rd_addr_gray_wr[3] +.sym 9469 rx_24_fifo.rd_addr_gray[3] +.sym 9476 rx_24_fifo.rd_addr_gray_wr[6] +.sym 9508 rx_24_fifo.rd_addr_gray_wr[3] .sym 9510 lvds_clock_buf -.sym 9511 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 9512 rx_24_fifo.rd_addr_gray_wr[0] -.sym 9513 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[2] -.sym 9514 rx_24_fifo.rd_addr_gray_wr[5] -.sym 9515 rx_24_fifo.rd_addr_gray_wr[2] -.sym 9518 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_1_I0[2] -.sym 9519 rx_24_fifo.rd_addr_gray_wr_r[0] -.sym 9536 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 9537 w_rx_09_fifo_push -.sym 9538 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 9540 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] -.sym 9541 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 9544 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 9553 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[1] -.sym 9558 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 9561 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[0] -.sym 9562 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 9563 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[3] -.sym 9564 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O -.sym 9566 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[2] -.sym 9567 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 9571 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 9574 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_2_I2[1] -.sym 9576 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 9577 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[1] -.sym 9579 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] -.sym 9581 rx_24_fifo.wr_addr[0] -.sym 9582 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[0] -.sym 9584 rx_24_fifo.rd_addr_gray_wr_r[0] -.sym 9586 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[2] -.sym 9587 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[3] -.sym 9588 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[1] -.sym 9589 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[0] -.sym 9593 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 9594 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_2_I2[1] -.sym 9598 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 9599 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[1] -.sym 9600 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[0] -.sym 9601 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 9604 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 9606 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 9611 rx_24_fifo.wr_addr[0] -.sym 9613 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 9616 rx_24_fifo.wr_addr[0] -.sym 9617 rx_24_fifo.rd_addr_gray_wr_r[0] -.sym 9623 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] -.sym 9625 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_2_I2[1] -.sym 9632 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O -.sym 9633 lvds_clock_buf -.sym 9634 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 9635 rx_24_fifo.wr_addr_gray_rd[3] -.sym 9637 rx_24_fifo.wr_addr_gray_rd[1] -.sym 9639 rx_24_fifo.wr_addr_gray_rd_r[3] -.sym 9641 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 9642 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 9661 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E -.sym 9678 rx_24_fifo.wr_addr_gray[2] -.sym 9679 rx_24_fifo.wr_addr[0] -.sym 9680 rx_24_fifo.wr_addr_gray[0] -.sym 9682 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 9690 rx_24_fifo.wr_addr_gray[4] -.sym 9691 rx_24_fifo.rd_addr_gray_wr_r[0] -.sym 9698 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 9700 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] -.sym 9701 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 9704 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 9709 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 9710 rx_24_fifo.wr_addr[0] -.sym 9711 rx_24_fifo.rd_addr_gray_wr_r[0] -.sym 9718 rx_24_fifo.wr_addr_gray[2] -.sym 9723 rx_24_fifo.wr_addr_gray[0] -.sym 9727 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] -.sym 9728 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 9729 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 9730 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 9739 rx_24_fifo.wr_addr_gray[4] -.sym 9756 r_counter[0]_$glb_clk -.sym 9761 rx_24_fifo.rd_addr_gray_wr[1] -.sym 9765 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 9773 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E -.sym 9783 i_smi_a1_SB_LUT4_I1_O[2] -.sym 9785 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 9789 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 9804 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 9812 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 9826 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O -.sym 9844 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 9847 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 9878 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 9513 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[0] +.sym 9514 rx_24_fifo.wr_addr_gray_rd[1] +.sym 9515 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 9516 rx_24_fifo.wr_addr_gray_rd[0] +.sym 9517 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 9518 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[0] +.sym 9519 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[3] +.sym 9529 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] +.sym 9538 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 9541 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[0] +.sym 9556 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[2] +.sym 9558 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 9561 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[1] +.sym 9563 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 9564 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 9594 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 9613 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 9618 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[1] +.sym 9625 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[2] +.sym 9628 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 9630 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[2] +.sym 9632 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 9633 r_counter[0]_$glb_clk +.sym 9634 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 9635 rx_24_fifo.wr_addr_gray_rd[5] +.sym 9640 rx_24_fifo.wr_addr_gray_rd[4] +.sym 9642 rx_24_fifo.wr_addr_gray_rd[2] +.sym 9659 rx_24_fifo.wr_addr[0] +.sym 9664 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] +.sym 9667 rx_24_fifo.wr_addr[5] +.sym 9680 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 9682 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 9684 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 9685 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 9688 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] +.sym 9689 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 9694 lvds_rx_24_inst.r_push_SB_LUT4_I3_O +.sym 9696 rx_24_fifo.wr_addr[0] +.sym 9702 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 9704 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 9711 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 9717 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 9724 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 9730 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 9733 rx_24_fifo.wr_addr[0] +.sym 9741 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 9742 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 9745 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 9746 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] +.sym 9754 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 9755 lvds_rx_24_inst.r_push_SB_LUT4_I3_O +.sym 9756 lvds_clock_buf +.sym 9757 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 9793 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 9801 rx_24_fifo.rd_addr_gray[5] +.sym 9865 rx_24_fifo.rd_addr_gray[5] .sym 9879 lvds_clock_buf -.sym 9880 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 9927 w_rx_09_fifo_full -.sym 9933 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E -.sym 9942 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 9943 i_smi_a1_SB_LUT4_I1_O[2] -.sym 9944 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 9945 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 9980 w_rx_09_fifo_full -.sym 9981 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 9982 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 9991 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 9992 i_smi_a1_SB_LUT4_I1_O[2] -.sym 9994 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 10001 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E -.sym 10002 lvds_clock_buf -.sym 10003 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 10026 o_shdn_rx_lna$SB_IO_OUT -.sym 10033 w_rx_09_fifo_push +.sym 10138 o_shdn_rx_lna$SB_IO_OUT +.sym 10147 o_shdn_tx_lna$SB_IO_OUT +.sym 10163 o_shdn_rx_lna$SB_IO_OUT .sym 10172 o_shdn_rx_lna$SB_IO_OUT .sym 10181 o_shdn_rx_lna$SB_IO_OUT -.sym 10199 o_ldo_2v8_en$SB_IO_OUT .sym 10201 io_smi_data[2]$SB_IO_OUT .sym 10204 io_smi_data[1]$SB_IO_OUT -.sym 10216 io_smi_data[2]$SB_IO_OUT -.sym 10222 io_smi_data[1]$SB_IO_OUT -.sym 10226 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 10228 rx_09_fifo.wr_addr_gray_rd_r[6] -.sym 10229 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 10230 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] -.sym 10231 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[2] -.sym 10232 rx_09_fifo.wr_addr_gray_rd_r[7] -.sym 10233 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] -.sym 10269 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 10270 rx_09_fifo.rd_addr[0] -.sym 10272 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[1] -.sym 10273 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[2] -.sym 10278 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 10279 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 10282 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 10283 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 10285 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[3] -.sym 10286 io_smi_data[7]$SB_IO_OUT -.sym 10290 rx_09_fifo.wr_addr_gray_rd_r[7] -.sym 10292 rx_09_fifo.rd_addr_gray_wr[4] -.sym 10293 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[0] -.sym 10294 rx_09_fifo.wr_addr_gray_rd_r[6] -.sym 10301 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[0] -.sym 10302 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[3] -.sym 10303 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[1] -.sym 10304 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[2] -.sym 10307 rx_09_fifo.wr_addr_gray_rd_r[6] -.sym 10308 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 10309 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 10310 rx_09_fifo.wr_addr_gray_rd_r[7] -.sym 10314 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 10316 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 10321 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 10322 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 10325 rx_09_fifo.rd_addr[0] -.sym 10326 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 10333 rx_09_fifo.rd_addr_gray_wr[4] -.sym 10337 io_smi_data[7]$SB_IO_OUT -.sym 10343 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[2] -.sym 10345 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 10217 io_smi_data[1]$SB_IO_OUT +.sym 10223 io_smi_data[2]$SB_IO_OUT +.sym 10226 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 10227 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 10228 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 10229 io_smi_data[3]$SB_IO_OUT +.sym 10230 io_smi_data[4]$SB_IO_OUT +.sym 10232 rx_09_fifo.rd_addr_gray_wr[6] +.sym 10233 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 10241 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10268 io_pmod[7]$SB_IO_IN +.sym 10272 lvds_rx_09_inst.o_fifo_data[7] +.sym 10278 lvds_rx_09_inst.o_fifo_data[9] +.sym 10281 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10289 io_pmod[6]$SB_IO_IN +.sym 10291 lvds_rx_09_inst.o_fifo_data[13] +.sym 10298 lvds_rx_09_inst.o_fifo_data[11] +.sym 10308 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10309 lvds_rx_09_inst.o_fifo_data[13] +.sym 10313 lvds_rx_09_inst.o_fifo_data[7] +.sym 10315 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10319 io_pmod[6]$SB_IO_IN +.sym 10320 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10325 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10327 io_pmod[7]$SB_IO_IN +.sym 10338 lvds_rx_09_inst.o_fifo_data[9] +.sym 10339 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10345 lvds_rx_09_inst.o_fifo_data[11] +.sym 10346 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10347 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce .sym 10348 lvds_clock_buf -.sym 10354 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[0] -.sym 10355 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[0] -.sym 10356 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[2] -.sym 10357 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[2] -.sym 10358 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[3] -.sym 10359 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[3] -.sym 10360 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 10361 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] -.sym 10364 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 10374 io_pmod[2]$SB_IO_IN -.sym 10375 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 10385 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] -.sym 10389 rx_09_fifo.rd_addr[7] -.sym 10395 rx_09_fifo.wr_addr_gray_rd[7] -.sym 10398 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 10409 io_smi_data[0]$SB_IO_OUT -.sym 10410 rx_09_fifo.rd_addr[3] -.sym 10411 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] -.sym 10415 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 10417 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 10419 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] -.sym 10433 rx_09_fifo.rd_addr[0] -.sym 10435 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] -.sym 10437 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] -.sym 10448 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 10449 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 10450 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 10451 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[1] -.sym 10457 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 10460 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[2] -.sym 10466 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] -.sym 10471 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] -.sym 10476 rx_09_fifo.rd_addr[0] -.sym 10482 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 10488 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[1] -.sym 10496 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 10500 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[2] -.sym 10502 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[1] -.sym 10506 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 10510 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 10511 r_counter[0]_$glb_clk -.sym 10512 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 10513 rx_09_fifo.wr_addr_gray_rd[7] -.sym 10514 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[2] -.sym 10515 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 10516 rx_09_fifo.wr_addr_gray_rd[2] -.sym 10517 rx_09_fifo.wr_addr_gray_rd[3] -.sym 10518 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 10519 rx_09_fifo.wr_addr_gray_rd[6] -.sym 10520 rx_09_fifo.wr_addr_gray_rd[0] -.sym 10529 rx_09_fifo.rd_addr_gray[3] -.sym 10534 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 10535 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 10537 rx_09_fifo.wr_addr[5] -.sym 10538 rx_09_fifo.wr_addr[3] -.sym 10539 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] -.sym 10540 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] +.sym 10349 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 10355 rx_09_fifo.wr_addr[7] +.sym 10356 rx_09_fifo.wr_addr_gray[5] +.sym 10359 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 10360 rx_09_fifo.wr_addr_gray[6] +.sym 10361 rx_09_fifo.wr_addr_gray[4] +.sym 10378 io_smi_data[0]$SB_IO_OUT +.sym 10383 io_pmod[6]$SB_IO_IN +.sym 10384 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 10385 lvds_rx_09_inst.o_fifo_data[13] +.sym 10388 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 10389 lvds_rx_09_inst.o_fifo_data[15] +.sym 10391 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 10392 lvds_rx_09_inst.o_fifo_data[9] +.sym 10393 io_smi_data[7]$SB_IO_OUT +.sym 10403 rx_09_fifo.wr_addr[7] +.sym 10405 $PACKER_VCC_NET +.sym 10406 rx_09_fifo.rd_addr_gray[6] +.sym 10407 lvds_rx_09_inst.o_fifo_data[15] +.sym 10414 lvds_rx_09_inst.o_fifo_data[24] +.sym 10419 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 10420 lvds_rx_09_inst.o_fifo_data[28] +.sym 10431 smi_ctrl_ins.int_cnt_09[3] +.sym 10433 w_smi_data_output[1] +.sym 10435 smi_ctrl_ins.int_cnt_09[4] +.sym 10437 w_smi_data_output[2] +.sym 10448 w_smi_data_output[7] +.sym 10455 i_smi_a3$SB_IO_IN +.sym 10459 $PACKER_VCC_NET +.sym 10460 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 10464 w_smi_data_output[2] +.sym 10467 i_smi_a3$SB_IO_IN +.sym 10470 i_smi_a3$SB_IO_IN +.sym 10471 w_smi_data_output[1] +.sym 10476 smi_ctrl_ins.int_cnt_09[3] +.sym 10477 $PACKER_VCC_NET +.sym 10478 smi_ctrl_ins.int_cnt_09[4] +.sym 10483 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 10494 i_smi_a3$SB_IO_IN +.sym 10496 w_smi_data_output[7] +.sym 10513 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 10514 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.sym 10515 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.sym 10516 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 10517 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 10518 rx_09_fifo.rd_addr_gray[6] +.sym 10519 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 10520 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 10525 io_pmod[7]$SB_IO_IN +.sym 10533 w_smi_data_output[2] +.sym 10534 rx_09_fifo.wr_addr[7] +.sym 10538 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 10539 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] .sym 10542 rx_09_fifo.wr_addr[0] -.sym 10543 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 10544 rx_09_fifo.wr_addr_gray[3] -.sym 10546 rx_09_fifo.wr_addr[6] -.sym 10547 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 10548 rx_09_fifo.wr_addr_gray[6] -.sym 10555 rx_09_fifo.wr_addr[5] -.sym 10560 rx_09_fifo.wr_addr[3] -.sym 10562 rx_09_fifo.wr_addr[0] -.sym 10564 rx_09_fifo.wr_addr[6] -.sym 10567 rx_09_fifo.wr_addr[0] -.sym 10569 rx_09_fifo.wr_addr[2] -.sym 10570 rx_09_fifo.wr_addr[4] -.sym 10575 rx_09_fifo.wr_addr[7] -.sym 10582 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 10586 $nextpnr_ICESTORM_LC_7$O -.sym 10588 rx_09_fifo.wr_addr[0] -.sym 10592 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[2] -.sym 10595 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 10596 rx_09_fifo.wr_addr[0] -.sym 10598 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[3] -.sym 10601 rx_09_fifo.wr_addr[2] -.sym 10602 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[2] -.sym 10604 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[4] -.sym 10606 rx_09_fifo.wr_addr[3] -.sym 10608 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[3] -.sym 10610 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[5] -.sym 10613 rx_09_fifo.wr_addr[4] -.sym 10614 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[4] -.sym 10616 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[6] -.sym 10619 rx_09_fifo.wr_addr[5] -.sym 10620 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[5] -.sym 10622 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[7] -.sym 10624 rx_09_fifo.wr_addr[6] -.sym 10626 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[6] -.sym 10631 rx_09_fifo.wr_addr[7] -.sym 10632 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[7] -.sym 10636 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] -.sym 10637 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] -.sym 10638 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_2_D[2] -.sym 10639 $io_pmod[2]$iobuf_i -.sym 10640 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_2_D[1] -.sym 10641 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] -.sym 10642 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] -.sym 10643 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 10652 $PACKER_VCC_NET -.sym 10656 io_smi_data[4]$SB_IO_OUT -.sym 10658 $PACKER_VCC_NET -.sym 10660 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 10661 rx_09_fifo.wr_addr[7] -.sym 10663 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 10664 rx_09_fifo.wr_addr[3] -.sym 10665 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 10667 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3[0] -.sym 10668 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 10669 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 10670 rx_09_fifo.wr_addr_gray[4] -.sym 10679 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 10680 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 10683 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 10544 w_rx_09_fifo_pull +.sym 10546 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 10558 lvds_rx_09_inst.o_fifo_data[20] +.sym 10561 lvds_rx_09_inst.o_fifo_data[17] +.sym 10564 lvds_rx_09_inst.o_fifo_data[19] +.sym 10567 lvds_rx_09_inst.o_fifo_data[26] +.sym 10571 lvds_rx_09_inst.o_fifo_data[22] +.sym 10573 lvds_rx_09_inst.o_fifo_data[15] +.sym 10578 lvds_rx_09_inst.o_fifo_data[24] +.sym 10579 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10581 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 10582 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 10587 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10590 lvds_rx_09_inst.o_fifo_data[22] +.sym 10594 lvds_rx_09_inst.o_fifo_data[20] +.sym 10596 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10599 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10600 lvds_rx_09_inst.o_fifo_data[17] +.sym 10606 lvds_rx_09_inst.o_fifo_data[26] +.sym 10608 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10612 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 10613 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 10618 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10619 lvds_rx_09_inst.o_fifo_data[24] +.sym 10623 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10624 lvds_rx_09_inst.o_fifo_data[19] +.sym 10629 lvds_rx_09_inst.o_fifo_data[15] +.sym 10632 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10633 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 10634 lvds_clock_buf +.sym 10635 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 10638 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 10639 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 10640 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 10641 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 10642 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 10643 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 10650 i_smi_soe_se$rename$0 +.sym 10651 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 10655 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 10662 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 10666 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 10667 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 10668 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 10670 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 10671 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O .sym 10685 rx_09_fifo.wr_addr[0] -.sym 10687 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 10699 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] -.sym 10702 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] -.sym 10706 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] -.sym 10708 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[1] +.sym 10689 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 10695 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 10696 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 10697 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 10699 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 10701 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 10704 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 10706 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] .sym 10713 rx_09_fifo.wr_addr[0] -.sym 10717 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] -.sym 10724 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 10731 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 10736 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] -.sym 10743 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] -.sym 10749 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 10752 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 10756 lvds_rx_09_inst.r_push_SB_LUT4_I3_O +.sym 10716 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 10722 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 10730 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 10734 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 10740 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 10746 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 10753 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 10756 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O .sym 10757 lvds_clock_buf -.sym 10758 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 10759 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[3] -.sym 10760 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] -.sym 10761 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 10762 rx_09_fifo.wr_addr_gray[3] -.sym 10763 rx_09_fifo.wr_addr_gray[5] -.sym 10764 rx_09_fifo.wr_addr_gray[6] -.sym 10765 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 10766 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 10777 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 10783 rx_09_fifo.wr_addr[4] -.sym 10784 rx_09_fifo.wr_addr[6] -.sym 10787 rx_09_fifo.wr_addr[7] -.sym 10790 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 10792 rx_09_fifo.wr_addr[3] -.sym 10793 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 10800 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 10802 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 10803 rx_09_fifo.rd_addr_gray_wr_r[0] -.sym 10806 w_rx_09_fifo_push -.sym 10808 rx_09_fifo.wr_addr[0] -.sym 10811 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] -.sym 10812 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 10814 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 10815 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 10824 w_rx_09_fifo_full -.sym 10825 rx_09_fifo.full_o_SB_LUT4_I3_O[3] -.sym 10830 i_smi_a1_SB_LUT4_I1_O[2] -.sym 10835 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] -.sym 10840 w_rx_09_fifo_push -.sym 10841 i_smi_a1_SB_LUT4_I1_O[2] -.sym 10846 rx_09_fifo.rd_addr_gray_wr_r[0] -.sym 10848 rx_09_fifo.wr_addr[0] -.sym 10851 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 10852 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 10853 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 10854 rx_09_fifo.full_o_SB_LUT4_I3_O[3] -.sym 10859 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 10871 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 10877 w_rx_09_fifo_full -.sym 10879 lvds_rx_09_inst.r_push_SB_LUT4_I3_O +.sym 10758 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 10759 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 10760 lvds_rx_09_inst.r_push_SB_LUT4_I3_I0[0] +.sym 10761 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[0] +.sym 10762 rx_09_fifo.full_o_SB_LUT4_I3_O[3] +.sym 10763 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[2] +.sym 10764 w_rx_09_fifo_full +.sym 10765 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[3] +.sym 10766 lvds_rx_09_inst.r_push_SB_LUT4_I3_I0[2] +.sym 10767 rx_09_fifo.wr_addr_gray_rd[3] +.sym 10777 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 10779 rx_09_fifo.wr_addr_gray[3] +.sym 10784 rx_09_fifo.wr_addr[5] +.sym 10786 w_rx_09_fifo_push +.sym 10788 rx_09_fifo.wr_addr[4] +.sym 10790 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 10792 i_smi_soe_se$rename$0 +.sym 10802 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10804 rx_09_fifo.wr_addr[7] +.sym 10806 rx_09_fifo.wr_addr[2] +.sym 10807 rx_09_fifo.wr_addr[6] +.sym 10809 rx_09_fifo.wr_addr[3] +.sym 10810 rx_09_fifo.wr_addr[5] +.sym 10812 rx_09_fifo.wr_addr[4] +.sym 10813 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 10815 w_rx_09_fifo_data[0] +.sym 10832 $nextpnr_ICESTORM_LC_4$O +.sym 10835 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 10838 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] +.sym 10840 rx_09_fifo.wr_addr[2] +.sym 10842 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 10844 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] +.sym 10847 rx_09_fifo.wr_addr[3] +.sym 10848 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] +.sym 10850 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] +.sym 10853 rx_09_fifo.wr_addr[4] +.sym 10854 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] +.sym 10856 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] +.sym 10858 rx_09_fifo.wr_addr[5] +.sym 10860 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] +.sym 10862 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] +.sym 10865 rx_09_fifo.wr_addr[6] +.sym 10866 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] +.sym 10869 rx_09_fifo.wr_addr[7] +.sym 10872 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] +.sym 10876 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 10878 w_rx_09_fifo_data[0] +.sym 10879 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce .sym 10880 lvds_clock_buf -.sym 10881 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 10882 w_rx_09_fifo_full -.sym 10883 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 10884 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[0] -.sym 10885 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[1] -.sym 10886 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[2] -.sym 10888 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[3] -.sym 10889 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] -.sym 10898 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 10916 i_smi_a1_SB_LUT4_I1_O[2] -.sym 10925 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 10928 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[5] -.sym 10929 rx_09_fifo.wr_addr[7] -.sym 10931 rx_09_fifo.wr_addr[5] -.sym 10934 rx_09_fifo.rd_addr_gray_wr_r[7] -.sym 10935 rx_09_fifo.wr_addr[4] -.sym 10936 rx_09_fifo.wr_addr[3] -.sym 10937 rx_09_fifo.wr_addr[2] -.sym 10944 rx_09_fifo.wr_addr[6] -.sym 10945 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[6] -.sym 10947 rx_09_fifo.rd_addr_gray_wr_r[6] -.sym 10955 $nextpnr_ICESTORM_LC_5$O -.sym 10958 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 10961 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] -.sym 10964 rx_09_fifo.wr_addr[2] -.sym 10965 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 10967 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] -.sym 10970 rx_09_fifo.wr_addr[3] -.sym 10971 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] -.sym 10973 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] -.sym 10976 rx_09_fifo.wr_addr[4] -.sym 10977 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] -.sym 10979 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] -.sym 10981 rx_09_fifo.wr_addr[5] -.sym 10983 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] -.sym 10985 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] -.sym 10988 rx_09_fifo.wr_addr[6] -.sym 10989 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] -.sym 10994 rx_09_fifo.wr_addr[7] -.sym 10995 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] -.sym 10998 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[5] -.sym 10999 rx_09_fifo.rd_addr_gray_wr_r[7] -.sym 11000 rx_09_fifo.rd_addr_gray_wr_r[6] -.sym 11001 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[6] -.sym 11006 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_O -.sym 11008 $io_pmod[4]$iobuf_i -.sym 11017 rx_09_fifo.rd_addr_gray[2] -.sym 11018 io_pmod[7]$SB_IO_IN -.sym 11019 $io_pmod[5]$iobuf_i -.sym 11020 $PACKER_VCC_NET -.sym 11021 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 11023 rx_09_fifo.rd_addr_gray_wr_r[2] -.sym 11027 w_rx_09_fifo_push -.sym 11033 rx_24_fifo.wr_addr_gray_rd_r[3] -.sym 11040 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_O -.sym 11050 $PACKER_VCC_NET -.sym 11051 rx_24_fifo.rd_addr[0] -.sym 11058 $PACKER_VCC_NET -.sym 11060 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 11061 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 11064 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_O -.sym 11067 smi_ctrl_ins.int_cnt_24[5] -.sym 11068 smi_ctrl_ins.int_cnt_24[4] -.sym 11072 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 11078 $nextpnr_ICESTORM_LC_0$O -.sym 11080 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 11084 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[2] -.sym 11086 smi_ctrl_ins.int_cnt_24[4] -.sym 11087 $PACKER_VCC_NET -.sym 11092 smi_ctrl_ins.int_cnt_24[5] -.sym 11093 $PACKER_VCC_NET -.sym 11094 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[2] -.sym 11097 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 11103 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 11111 rx_24_fifo.rd_addr[0] -.sym 11115 smi_ctrl_ins.int_cnt_24[4] -.sym 11116 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 11118 $PACKER_VCC_NET -.sym 11125 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_O +.sym 10881 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 10883 spi_if_ins.r_tx_byte[0] +.sym 10884 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 10885 lvds_rx_09_inst.r_push_SB_LUT4_I3_I0[1] +.sym 10887 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E +.sym 10894 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[0] +.sym 10898 smi_ctrl_ins.int_cnt_24[5] +.sym 10900 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 10903 w_rx_09_fifo_data[0] +.sym 10923 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 10924 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.sym 10925 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 10926 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 10927 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[4] +.sym 10928 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[0] +.sym 10929 smi_ctrl_ins.int_cnt_09[5] +.sym 10930 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[5] +.sym 10932 io_pmod[2]$SB_IO_IN +.sym 10933 smi_ctrl_ins.int_cnt_09[3] +.sym 10934 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 10935 smi_ctrl_ins.int_cnt_09[4] +.sym 10936 w_rx_09_fifo_full +.sym 10938 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 10940 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 10941 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 10942 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 10943 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[2] +.sym 10946 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[3] +.sym 10947 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 10948 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 10949 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I0_I1[5] +.sym 10950 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E +.sym 10952 i_smi_soe_se$rename$0 +.sym 10953 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 10956 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 10957 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[5] +.sym 10958 i_smi_soe_se$rename$0 +.sym 10959 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I0_I1[5] +.sym 10962 smi_ctrl_ins.int_cnt_09[4] +.sym 10963 io_pmod[2]$SB_IO_IN +.sym 10964 smi_ctrl_ins.int_cnt_09[3] +.sym 10965 smi_ctrl_ins.int_cnt_09[5] +.sym 10969 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 10971 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 10974 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[3] +.sym 10975 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 10976 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.sym 10977 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 10981 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 10982 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[4] +.sym 10986 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 10987 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 10988 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 10989 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 10992 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[2] +.sym 10993 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[0] +.sym 10994 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 10995 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 10998 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 10999 w_rx_09_fifo_full +.sym 11000 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 11002 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E +.sym 11003 lvds_clock_buf +.sym 11004 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 11005 w_tx_data_smi[1] +.sym 11007 w_tx_data_smi[2] +.sym 11012 w_tx_data_smi[0] +.sym 11017 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 11022 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 11023 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 11026 spi_if_ins.r_tx_byte[0] +.sym 11029 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 11030 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 11034 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 11046 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11048 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 11050 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 11051 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 11053 spi_if_ins.state_if[1] +.sym 11054 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11059 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 11062 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 11069 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11077 spi_if_ins.state_if[0] +.sym 11080 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11081 spi_if_ins.state_if[0] +.sym 11082 spi_if_ins.state_if[1] +.sym 11091 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 11103 spi_if_ins.state_if[0] +.sym 11104 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11105 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11106 spi_if_ins.state_if[1] +.sym 11109 spi_if_ins.state_if[1] +.sym 11111 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11112 spi_if_ins.state_if[0] +.sym 11121 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 11122 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 11123 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11124 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 11125 spi_if_ins.state_if_SB_DFFE_Q_E .sym 11126 r_counter[0]_$glb_clk -.sym 11127 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 11128 w_tx_data_smi[2] -.sym 11129 w_tx_data_smi[1] -.sym 11130 w_tx_data_smi[0] -.sym 11132 w_tx_data_smi[3] -.sym 11135 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[3] -.sym 11141 w_rx_09_fifo_data[0] -.sym 11143 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 11146 $PACKER_VCC_NET +.sym 11129 w_rx_24_fifo_data[20] +.sym 11130 spi_if_ins.o_cs_SB_LUT4_I2_2_O[3] +.sym 11131 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E +.sym 11132 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 11133 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 11134 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 11135 w_rx_24_fifo_data[31] +.sym 11140 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11141 w_rx_24_fifo_empty +.sym 11144 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 11146 io_pmod[2]$SB_IO_IN .sym 11147 i_smi_soe_se$rename$0 -.sym 11149 w_rx_24_fifo_pull -.sym 11153 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 11154 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 11158 rx_24_fifo.rd_addr[5] -.sym 11159 rx_24_fifo.rd_addr[0] -.sym 11160 i_smi_a1_SB_LUT4_I1_O[2] -.sym 11162 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 11174 rx_24_fifo.rd_addr[0] -.sym 11180 rx_24_fifo.rd_addr[6] -.sym 11181 rx_24_fifo.rd_addr[7] -.sym 11182 rx_24_fifo.rd_addr[0] -.sym 11183 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11194 rx_24_fifo.rd_addr[5] -.sym 11196 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 11198 rx_24_fifo.rd_addr[4] -.sym 11200 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 11201 $nextpnr_ICESTORM_LC_8$O -.sym 11203 rx_24_fifo.rd_addr[0] -.sym 11207 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] -.sym 11210 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11211 rx_24_fifo.rd_addr[0] -.sym 11213 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] -.sym 11216 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 11217 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] -.sym 11219 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] -.sym 11221 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 11223 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] -.sym 11225 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] -.sym 11228 rx_24_fifo.rd_addr[4] -.sym 11229 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] -.sym 11231 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] -.sym 11233 rx_24_fifo.rd_addr[5] -.sym 11235 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] -.sym 11237 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] -.sym 11240 rx_24_fifo.rd_addr[6] -.sym 11241 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] -.sym 11246 rx_24_fifo.rd_addr[7] -.sym 11247 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] -.sym 11252 rx_24_fifo.rd_addr[5] -.sym 11253 rx_24_fifo.empty_o_SB_LUT4_I3_O[2] -.sym 11254 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 11255 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[2] -.sym 11256 rx_24_fifo.rd_addr[4] -.sym 11258 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 11265 spi_if_ins.o_cs_SB_LUT4_I3_1_O -.sym 11274 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 11275 w_rx_24_fifo_empty -.sym 11277 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[0] -.sym 11278 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 11280 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 11282 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 11284 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 11285 $PACKER_VCC_NET -.sym 11294 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 11295 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 11297 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 11301 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 11302 rx_24_fifo.rd_addr[0] -.sym 11304 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 11306 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 11307 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 11310 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_O -.sym 11326 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 11328 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 11333 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 11334 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 11337 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 11340 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 11343 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 11345 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 11351 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 11352 rx_24_fifo.rd_addr[0] -.sym 11356 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 11358 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 11363 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 11367 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 11369 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 11371 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_O -.sym 11372 r_counter[0]_$glb_clk -.sym 11373 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 11374 rx_24_fifo.empty_o_SB_LUT4_I3_O[1] -.sym 11376 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 11377 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] -.sym 11378 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] -.sym 11379 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 11380 w_rx_24_fifo_empty -.sym 11381 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] -.sym 11386 rx_24_fifo.rd_addr_gray[5] -.sym 11389 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 11399 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 11400 w_rx_24_fifo_full -.sym 11402 i_smi_a1_SB_LUT4_I1_O[2] -.sym 11403 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] -.sym 11405 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] -.sym 11416 rx_24_fifo.rd_addr[5] -.sym 11417 rx_24_fifo.full_o_SB_LUT4_I0_O[0] -.sym 11418 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 11419 rx_24_fifo.wr_addr_gray_rd_r[5] -.sym 11420 rx_24_fifo.full_o_SB_LUT4_I0_O[3] -.sym 11421 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 11422 rx_24_fifo.rd_addr[0] -.sym 11423 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 11424 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[0] -.sym 11425 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 11426 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] -.sym 11427 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] -.sym 11428 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] -.sym 11429 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11433 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 11435 rx_24_fifo.full_o_SB_LUT4_I0_O[1] -.sym 11441 w_rx_24_fifo_push -.sym 11443 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] -.sym 11444 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 11445 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 11446 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] -.sym 11448 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] -.sym 11449 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 11450 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[0] -.sym 11451 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] -.sym 11462 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 11150 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 11151 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 11158 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 11160 w_fetch +.sym 11163 spi_if_ins.state_if[1] +.sym 11169 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 11170 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 11174 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 11176 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11177 w_rx_24_fifo_pull +.sym 11179 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 11182 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 11184 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 11190 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 11191 rx_24_fifo.rd_addr[7] +.sym 11192 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 11194 rx_24_fifo.rd_addr[6] +.sym 11196 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E +.sym 11197 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 11198 w_rx_24_fifo_empty +.sym 11199 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 11200 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 11203 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11205 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 11208 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 11209 rx_24_fifo.rd_addr[7] +.sym 11210 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 11211 rx_24_fifo.rd_addr[6] +.sym 11215 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 11220 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 11222 w_rx_24_fifo_pull +.sym 11223 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 11227 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 11229 w_rx_24_fifo_pull +.sym 11238 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 11239 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 11240 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 11241 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 11244 w_rx_24_fifo_pull +.sym 11245 w_rx_24_fifo_empty +.sym 11246 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 11247 rx_24_fifo.rd_addr[7] +.sym 11248 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E +.sym 11249 r_counter[0]_$glb_clk +.sym 11250 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 11251 r_tx_data[0] +.sym 11252 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 11253 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 11254 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 11255 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 11258 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 11259 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 11263 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 11276 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 11278 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[2] +.sym 11282 w_rx_24_fifo_data[29] +.sym 11283 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 11284 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[0] +.sym 11286 w_rx_24_fifo_data[18] +.sym 11293 rx_24_fifo.rd_addr[0] +.sym 11294 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11296 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11301 rx_24_fifo.rd_addr[1] +.sym 11304 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11305 rx_24_fifo.rd_addr[7] +.sym 11306 spi_if_ins.state_if[0] +.sym 11310 rx_24_fifo.rd_addr_gray_wr[7] +.sym 11311 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 11315 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 11317 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] +.sym 11322 rx_24_fifo.rd_addr[2] +.sym 11323 spi_if_ins.state_if[1] +.sym 11325 spi_if_ins.state_if[1] +.sym 11326 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11327 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11328 spi_if_ins.state_if[0] +.sym 11331 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 11333 rx_24_fifo.rd_addr[0] +.sym 11337 rx_24_fifo.rd_addr[7] +.sym 11351 rx_24_fifo.rd_addr_gray_wr[7] +.sym 11356 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 11367 rx_24_fifo.rd_addr[2] +.sym 11368 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] +.sym 11369 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 11370 rx_24_fifo.rd_addr[1] +.sym 11372 lvds_clock_buf +.sym 11377 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 11380 w_tx_data_sys[0] +.sym 11386 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 11387 w_tx_data_smi[3] +.sym 11388 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 11392 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 11394 spi_if_ins.state_if[0] +.sym 11400 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 11402 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] +.sym 11406 w_load +.sym 11409 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 11420 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 11421 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] +.sym 11426 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[3] +.sym 11427 rx_24_fifo.rd_addr[0] +.sym 11428 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 11429 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 11430 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[0] +.sym 11436 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 11437 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 11438 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[2] +.sym 11440 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 11441 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[1] +.sym 11442 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 11443 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 11445 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 11446 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 11451 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 11454 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[2] +.sym 11455 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[0] +.sym 11456 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 11457 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[3] +.sym 11460 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] .sym 11463 rx_24_fifo.rd_addr[0] -.sym 11466 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 11467 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 11468 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 11469 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 11472 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 11473 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 11474 rx_24_fifo.wr_addr_gray_rd_r[5] -.sym 11478 w_rx_24_fifo_push -.sym 11479 rx_24_fifo.full_o_SB_LUT4_I0_O[1] -.sym 11480 rx_24_fifo.full_o_SB_LUT4_I0_O[3] -.sym 11481 rx_24_fifo.full_o_SB_LUT4_I0_O[0] -.sym 11484 rx_24_fifo.wr_addr_gray_rd_r[5] -.sym 11485 rx_24_fifo.rd_addr[5] -.sym 11490 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] -.sym 11491 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] -.sym 11492 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 11493 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] -.sym 11495 lvds_clock_buf -.sym 11496 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 11500 spi_if_ins.r_tx_byte[1] -.sym 11501 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 11502 w_smi_read_req -.sym 11503 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] -.sym 11506 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] -.sym 11509 w_ioc[0] -.sym 11511 $PACKER_VCC_NET -.sym 11514 w_ioc[2] -.sym 11517 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 11520 w_rx_24_fifo_pull -.sym 11524 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] -.sym 11525 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R -.sym 11528 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_O -.sym 11529 rx_24_fifo.wr_addr_gray_rd_r[3] -.sym 11532 w_cs[0] -.sym 11538 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_1_I0[0] -.sym 11540 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] -.sym 11541 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[4] -.sym 11543 w_rx_24_fifo_full -.sym 11544 rx_24_fifo.wr_addr_gray[5] -.sym 11545 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[0] -.sym 11546 rx_24_fifo.full_o_SB_LUT4_I0_I1[3] -.sym 11547 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[2] -.sym 11552 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_1_I0[2] -.sym 11553 rx_24_fifo.full_o_SB_LUT4_I0_I1[2] -.sym 11554 rx_24_fifo.full_o_SB_LUT4_I0_I1[1] -.sym 11557 rx_24_fifo.wr_addr_gray_rd[2] -.sym 11559 rx_24_fifo.wr_addr_gray_rd[0] -.sym 11562 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[1] -.sym 11567 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_2_I2[1] -.sym 11568 rx_24_fifo.wr_addr_gray_rd[5] -.sym 11569 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] -.sym 11571 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] -.sym 11572 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[1] -.sym 11573 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[2] -.sym 11574 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[0] -.sym 11577 rx_24_fifo.wr_addr_gray_rd[2] -.sym 11583 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_1_I0[0] -.sym 11584 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_1_I0[2] -.sym 11585 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] -.sym 11586 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[4] -.sym 11589 rx_24_fifo.wr_addr_gray_rd[0] -.sym 11596 rx_24_fifo.wr_addr_gray_rd[5] -.sym 11601 w_rx_24_fifo_full -.sym 11602 rx_24_fifo.full_o_SB_LUT4_I0_I1[1] -.sym 11603 rx_24_fifo.full_o_SB_LUT4_I0_I1[3] -.sym 11604 rx_24_fifo.full_o_SB_LUT4_I0_I1[2] -.sym 11609 rx_24_fifo.wr_addr_gray[5] -.sym 11614 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_2_I2[1] -.sym 11615 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[0] +.sym 11466 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 11467 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] +.sym 11468 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 11469 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 11480 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[1] +.sym 11481 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 11484 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 11487 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 11494 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 11495 r_counter[0]_$glb_clk +.sym 11496 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 11499 rx_24_fifo.rd_addr_gray_wr[6] +.sym 11511 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 11522 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 11538 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[2] +.sym 11539 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[3] +.sym 11540 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 11541 rx_24_fifo.rd_addr[5] +.sym 11545 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[3] +.sym 11547 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[0] +.sym 11548 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_1_I3[3] +.sym 11549 rx_24_fifo.rd_addr[6] +.sym 11551 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 11553 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[3] +.sym 11554 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[0] +.sym 11555 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[1] +.sym 11558 rx_24_fifo.rd_addr[4] +.sym 11562 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 11563 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 11571 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 11573 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 11577 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_1_I3[3] +.sym 11578 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[0] +.sym 11579 rx_24_fifo.rd_addr[5] +.sym 11580 rx_24_fifo.rd_addr[4] +.sym 11583 rx_24_fifo.rd_addr[6] +.sym 11585 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[3] +.sym 11595 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[0] +.sym 11596 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[3] +.sym 11597 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[2] +.sym 11598 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[1] +.sym 11607 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 11608 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[3] +.sym 11609 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[0] +.sym 11610 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[1] .sym 11618 r_counter[0]_$glb_clk -.sym 11621 rx_24_fifo.rd_addr_gray[2] -.sym 11622 rx_24_fifo.rd_addr_gray[1] -.sym 11623 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O -.sym 11624 rx_24_fifo.rd_addr_gray[0] -.sym 11633 io_pmod[2]$SB_IO_IN -.sym 11634 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] -.sym 11635 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[4] -.sym 11637 i_config[1]$SB_IO_IN -.sym 11645 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 11647 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 11653 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E -.sym 11666 rx_24_fifo.rd_addr_gray[5] -.sym 11677 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 11679 rx_24_fifo.rd_addr_gray_wr[5] -.sym 11685 rx_24_fifo.rd_addr_gray_wr[0] -.sym 11686 rx_24_fifo.rd_addr_gray[2] -.sym 11689 rx_24_fifo.rd_addr_gray[0] -.sym 11691 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_1_I0[2] -.sym 11697 rx_24_fifo.rd_addr_gray[0] -.sym 11700 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_1_I0[2] -.sym 11701 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 11707 rx_24_fifo.rd_addr_gray[5] -.sym 11713 rx_24_fifo.rd_addr_gray[2] -.sym 11732 rx_24_fifo.rd_addr_gray_wr[5] -.sym 11736 rx_24_fifo.rd_addr_gray_wr[0] -.sym 11741 lvds_clock_buf -.sym 11743 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R -.sym 11747 sys_ctrl_ins.reset_cmd -.sym 11755 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O -.sym 11762 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 11767 rx_24_fifo.rd_addr_gray[1] -.sym 11789 rx_24_fifo.wr_addr_gray_rd[4] -.sym 11792 rx_24_fifo.wr_addr_gray_rd[3] -.sym 11809 rx_24_fifo.wr_addr_gray[3] -.sym 11810 rx_24_fifo.wr_addr_gray_rd[1] -.sym 11811 rx_24_fifo.wr_addr_gray[1] -.sym 11819 rx_24_fifo.wr_addr_gray[3] -.sym 11831 rx_24_fifo.wr_addr_gray[1] -.sym 11844 rx_24_fifo.wr_addr_gray_rd[3] -.sym 11856 rx_24_fifo.wr_addr_gray_rd[1] -.sym 11859 rx_24_fifo.wr_addr_gray_rd[4] +.sym 11619 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 11621 rx_24_fifo.rd_addr_gray[1] +.sym 11622 rx_24_fifo.rd_addr_gray[4] +.sym 11624 rx_24_fifo.rd_addr_gray[6] +.sym 11627 rx_24_fifo.rd_addr_gray[0] +.sym 11642 w_rx_24_fifo_empty +.sym 11646 rx_24_fifo.rd_addr_gray_wr[1] +.sym 11663 rx_24_fifo.wr_addr_gray_rd[1] +.sym 11666 rx_24_fifo.wr_addr_gray_rd[4] +.sym 11669 rx_24_fifo.wr_addr_gray_rd[5] +.sym 11676 rx_24_fifo.wr_addr_gray_rd[2] +.sym 11679 rx_24_fifo.wr_addr_gray[0] +.sym 11680 rx_24_fifo.wr_addr_gray[1] +.sym 11689 rx_24_fifo.wr_addr_gray_rd[0] +.sym 11702 rx_24_fifo.wr_addr_gray_rd[4] +.sym 11707 rx_24_fifo.wr_addr_gray[1] +.sym 11715 rx_24_fifo.wr_addr_gray_rd[1] +.sym 11718 rx_24_fifo.wr_addr_gray[0] +.sym 11726 rx_24_fifo.wr_addr_gray_rd[0] +.sym 11732 rx_24_fifo.wr_addr_gray_rd[2] +.sym 11736 rx_24_fifo.wr_addr_gray_rd[5] +.sym 11741 r_counter[0]_$glb_clk +.sym 11746 rx_24_fifo.rd_addr_gray_wr[4] +.sym 11747 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[0] +.sym 11748 rx_24_fifo.rd_addr_gray_wr[0] +.sym 11750 rx_24_fifo.rd_addr_gray_wr[1] +.sym 11752 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 11760 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 11768 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[0] +.sym 11770 rx_24_fifo.rd_addr_gray_wr[0] +.sym 11785 rx_24_fifo.wr_addr_gray[4] +.sym 11797 rx_24_fifo.wr_addr_gray[5] +.sym 11799 rx_24_fifo.wr_addr_gray[2] +.sym 11817 rx_24_fifo.wr_addr_gray[5] +.sym 11849 rx_24_fifo.wr_addr_gray[4] +.sym 11862 rx_24_fifo.wr_addr_gray[2] .sym 11864 r_counter[0]_$glb_clk -.sym 11868 sys_ctrl_ins.reset_count[2] -.sym 11869 sys_ctrl_ins.reset_count[3] -.sym 11870 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E -.sym 11871 sys_ctrl_ins.reset_count[0] -.sym 11872 sys_ctrl_ins.reset_count[1] -.sym 11873 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 11893 i_smi_a1_SB_LUT4_I1_O[2] -.sym 11894 sys_ctrl_ins.reset_cmd -.sym 11926 rx_24_fifo.rd_addr_gray_wr[1] -.sym 11927 rx_24_fifo.rd_addr_gray[1] -.sym 11961 rx_24_fifo.rd_addr_gray[1] -.sym 11984 rx_24_fifo.rd_addr_gray_wr[1] -.sym 11987 lvds_clock_buf -.sym 12113 i_smi_a1_SB_LUT4_I1_O[2] -.sym 12118 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E -.sym 12119 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O -.sym 12140 $PACKER_GND_NET -.sym 12147 i_smi_a1_SB_LUT4_I1_O[2] -.sym 12252 i_smi_a1_SB_LUT4_I1_O[2] +.sym 11878 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 12117 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 12124 o_shdn_tx_lna$SB_IO_OUT +.sym 12245 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] .sym 12310 io_smi_data[0]$SB_IO_OUT .sym 12313 io_smi_data[7]$SB_IO_OUT -.sym 12332 io_smi_data[0]$SB_IO_OUT +.sym 12319 io_smi_data[0]$SB_IO_OUT .sym 12333 io_smi_data[7]$SB_IO_OUT -.sym 12335 spi_if_ins.spi.SCKr[0] -.sym 12337 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[0] -.sym 12366 i_smi_a1_SB_LUT4_I1_O[2] -.sym 12378 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[0] -.sym 12379 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 12380 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 12383 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 12387 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[2] -.sym 12388 rx_09_fifo.rd_addr[7] -.sym 12389 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] -.sym 12390 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[2] -.sym 12393 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 12394 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] -.sym 12397 rx_09_fifo.rd_addr[4] -.sym 12398 rx_09_fifo.wr_addr_gray_rd[6] -.sym 12401 rx_09_fifo.wr_addr_gray_rd[7] -.sym 12403 rx_09_fifo.wr_addr_gray_rd_r[6] -.sym 12405 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[0] -.sym 12406 rx_09_fifo.rd_addr[3] -.sym 12407 rx_09_fifo.wr_addr_gray_rd_r[7] -.sym 12410 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 12411 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] -.sym 12412 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[2] -.sym 12413 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[0] -.sym 12425 rx_09_fifo.wr_addr_gray_rd[6] -.sym 12428 rx_09_fifo.wr_addr_gray_rd_r[7] -.sym 12429 rx_09_fifo.rd_addr[7] -.sym 12430 rx_09_fifo.wr_addr_gray_rd_r[6] -.sym 12431 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 12434 rx_09_fifo.rd_addr[4] -.sym 12435 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[2] -.sym 12436 rx_09_fifo.rd_addr[3] -.sym 12441 rx_09_fifo.rd_addr[4] -.sym 12442 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[0] -.sym 12449 rx_09_fifo.wr_addr_gray_rd[7] -.sym 12452 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] -.sym 12453 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 12454 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 12455 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 12457 r_counter[0]_$glb_clk -.sym 12463 io_smi_data[3]$SB_IO_OUT -.sym 12464 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] -.sym 12465 rx_09_fifo.wr_addr_gray_rd[1] -.sym 12466 rx_09_fifo.wr_addr_gray_rd[4] -.sym 12467 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[0] -.sym 12468 rx_09_fifo.wr_addr_gray_rd[5] -.sym 12469 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] -.sym 12480 $PACKER_VCC_NET -.sym 12488 i_sck$SB_IO_IN -.sym 12492 io_smi_data[3]$SB_IO_OUT -.sym 12507 i_smi_a3$SB_IO_IN -.sym 12514 rx_09_fifo.wr_addr_gray[5] -.sym 12517 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 12518 rx_09_fifo.wr_addr_gray_rd[6] -.sym 12520 rx_09_fifo.wr_addr_gray[1] -.sym 12524 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] -.sym 12529 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] -.sym 12540 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] -.sym 12541 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[2] -.sym 12542 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[0] -.sym 12543 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] -.sym 12544 rx_09_fifo.wr_addr_gray_rd[3] -.sym 12545 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 12548 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[0] -.sym 12549 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[2] -.sym 12550 rx_09_fifo.rd_addr[0] -.sym 12551 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[2] -.sym 12552 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[3] -.sym 12553 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 12555 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 12556 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[1] -.sym 12558 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[2] -.sym 12559 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] -.sym 12560 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[0] -.sym 12561 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[3] -.sym 12563 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] -.sym 12566 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] -.sym 12567 rx_09_fifo.wr_addr_gray_rd[4] -.sym 12568 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] -.sym 12573 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] -.sym 12574 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] -.sym 12575 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[2] -.sym 12576 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 12580 rx_09_fifo.wr_addr_gray_rd[4] -.sym 12587 rx_09_fifo.wr_addr_gray_rd[3] -.sym 12591 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] -.sym 12592 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[0] -.sym 12593 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] -.sym 12594 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[0] -.sym 12597 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 12598 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] -.sym 12599 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[2] -.sym 12600 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] -.sym 12603 rx_09_fifo.rd_addr[0] -.sym 12604 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 12605 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[2] -.sym 12609 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[0] -.sym 12610 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[3] -.sym 12611 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 12612 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] -.sym 12615 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[0] -.sym 12616 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[2] -.sym 12617 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[3] -.sym 12618 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[1] -.sym 12620 r_counter[0]_$glb_clk -.sym 12625 spi_if_ins.spi.r_rx_byte[1] -.sym 12627 io_smi_data[5]$SB_IO_OUT -.sym 12628 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 12629 io_smi_data[4]$SB_IO_OUT -.sym 12634 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3[0] -.sym 12635 rx_09_fifo.rd_addr[7] -.sym 12637 rx_09_fifo.wr_addr_gray[4] -.sym 12638 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 12642 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 12644 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] -.sym 12647 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 12649 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 12654 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] -.sym 12656 w_smi_data_output[3] -.sym 12669 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 12681 rx_09_fifo.wr_addr_gray[6] -.sym 12682 rx_09_fifo.wr_addr_gray_rd[2] -.sym 12683 rx_09_fifo.wr_addr_gray[0] -.sym 12684 rx_09_fifo.wr_addr[7] -.sym 12686 rx_09_fifo.wr_addr_gray_rd[0] -.sym 12690 rx_09_fifo.wr_addr_gray[2] -.sym 12692 i_smi_a1_SB_LUT4_I1_O[2] -.sym 12693 rx_09_fifo.wr_addr_gray[3] -.sym 12699 rx_09_fifo.wr_addr[7] -.sym 12702 rx_09_fifo.wr_addr_gray_rd[0] -.sym 12708 i_smi_a1_SB_LUT4_I1_O[2] -.sym 12710 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 12717 rx_09_fifo.wr_addr_gray[2] -.sym 12723 rx_09_fifo.wr_addr_gray[3] -.sym 12726 rx_09_fifo.wr_addr_gray_rd[2] -.sym 12734 rx_09_fifo.wr_addr_gray[6] -.sym 12741 rx_09_fifo.wr_addr_gray[0] +.sym 12335 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[1] +.sym 12336 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] +.sym 12337 rx_09_fifo.wr_addr_gray_rd[7] +.sym 12338 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 12340 rx_09_fifo.wr_addr_gray_rd_r[2] +.sym 12342 rx_09_fifo.wr_addr_gray_rd[6] +.sym 12351 r_tx_data[0] +.sym 12361 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 12369 io_pmod[6]$SB_IO_IN +.sym 12384 i_smi_a3$SB_IO_IN +.sym 12386 rx_09_fifo.rd_addr_gray_wr[3] +.sym 12388 w_smi_data_output[3] +.sym 12389 w_smi_data_output[4] +.sym 12390 rx_09_fifo.rd_addr_gray_wr[4] +.sym 12392 rx_09_fifo.rd_addr_gray_wr[5] +.sym 12399 rx_09_fifo.rd_addr_gray_wr[6] +.sym 12403 rx_09_fifo.rd_addr_gray[6] +.sym 12410 rx_09_fifo.rd_addr_gray_wr[5] +.sym 12419 rx_09_fifo.rd_addr_gray_wr[4] +.sym 12422 rx_09_fifo.rd_addr_gray_wr[3] +.sym 12428 i_smi_a3$SB_IO_IN +.sym 12431 w_smi_data_output[3] +.sym 12435 i_smi_a3$SB_IO_IN +.sym 12437 w_smi_data_output[4] +.sym 12447 rx_09_fifo.rd_addr_gray[6] +.sym 12455 rx_09_fifo.rd_addr_gray_wr[6] +.sym 12457 lvds_clock_buf +.sym 12463 rx_09_fifo.wr_addr_gray_rd[5] +.sym 12464 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[2] +.sym 12465 rx_09_fifo.wr_addr_gray_rd_r[5] +.sym 12466 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[2] +.sym 12467 rx_09_fifo.wr_addr_gray_rd_r[0] +.sym 12468 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[0] +.sym 12469 rx_09_fifo.wr_addr_gray_rd[2] +.sym 12470 rx_09_fifo.wr_addr_gray_rd[4] +.sym 12472 rx_09_fifo.rd_addr_gray_wr[3] +.sym 12475 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 12476 io_pmod[4]$SB_IO_IN +.sym 12478 w_smi_data_output[3] +.sym 12479 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 12481 w_smi_data_output[4] +.sym 12484 rx_09_fifo.rd_addr_gray_wr[5] +.sym 12485 io_smi_data[4]$SB_IO_OUT +.sym 12493 rx_09_fifo.wr_addr[7] +.sym 12495 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 12514 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 12516 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 12517 rx_09_fifo.wr_addr_gray_rd_r[3] +.sym 12518 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 12519 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 12520 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 12523 rx_09_fifo.wr_addr_gray_rd[1] +.sym 12524 rx_09_fifo.wr_addr_gray_rd_r[2] +.sym 12528 rx_09_fifo.wr_addr[7] +.sym 12529 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 12544 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 12546 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 12553 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 12555 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 12559 w_rx_09_fifo_pull +.sym 12562 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 12567 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 12580 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 12585 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 12605 w_rx_09_fifo_pull +.sym 12606 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 12611 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 12618 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 12619 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 12620 lvds_clock_buf +.sym 12621 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 12622 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[1] +.sym 12623 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[2] +.sym 12624 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[0] +.sym 12625 rx_09_fifo.wr_addr_gray[1] +.sym 12626 rx_09_fifo.wr_addr_gray[2] +.sym 12627 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[3] +.sym 12628 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[3] +.sym 12629 rx_09_fifo.wr_addr_gray[0] +.sym 12631 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[0] +.sym 12636 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 12638 rx_09_fifo.rd_addr[0] +.sym 12641 w_smi_data_output[5] +.sym 12647 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 12665 w_rx_09_fifo_push +.sym 12667 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 12668 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 12669 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 12670 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 12675 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 12677 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 12678 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 12679 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[1] +.sym 12680 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 12682 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 12684 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 12685 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 12686 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 12690 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 12691 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 12694 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 12697 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 12698 w_rx_09_fifo_push +.sym 12702 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 12703 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 12704 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 12705 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 12708 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 12709 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 12710 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 12711 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 12714 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 12722 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 12723 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 12727 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[1] +.sym 12733 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 12735 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 12738 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 12741 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 12742 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O .sym 12743 r_counter[0]_$glb_clk -.sym 12747 spi_if_ins.spi.r_tx_bit_count[2] -.sym 12748 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 12749 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 12750 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 12751 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] -.sym 12752 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 12757 w_smi_data_output[4] -.sym 12758 i_smi_soe_se$rename$0 -.sym 12762 $PACKER_VCC_NET -.sym 12763 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 12764 w_smi_data_output[5] -.sym 12765 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 12770 rx_09_fifo.rd_addr_gray_wr_r[0] -.sym 12787 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 12789 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] -.sym 12791 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 12793 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] -.sym 12794 rx_09_fifo.wr_addr[0] -.sym 12795 io_pmod[2]$SB_IO_IN -.sym 12797 rx_09_fifo.rd_addr[3] -.sym 12801 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] -.sym 12802 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] -.sym 12805 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 12806 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 12807 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] -.sym 12808 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 12809 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 12811 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 12812 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 12819 rx_09_fifo.rd_addr[3] -.sym 12820 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] -.sym 12821 io_pmod[2]$SB_IO_IN -.sym 12822 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 12825 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 12828 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 12831 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 12832 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 12837 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] -.sym 12838 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] -.sym 12839 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 12840 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] -.sym 12844 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] -.sym 12845 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 12849 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] -.sym 12852 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 12857 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 12858 rx_09_fifo.wr_addr[0] -.sym 12861 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 12863 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 12866 r_counter[0]_$glb_clk -.sym 12867 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 12868 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] -.sym 12869 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[1] -.sym 12870 spi_if_ins.spi.r_tx_byte[6] -.sym 12871 spi_if_ins.spi.r_tx_byte[1] -.sym 12872 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[2] -.sym 12873 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] -.sym 12874 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] -.sym 12875 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 12885 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 12888 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 12889 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 12890 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] -.sym 12891 io_pmod[2]$SB_IO_IN -.sym 12892 rx_09_fifo.wr_addr_gray[5] -.sym 12893 $PACKER_VCC_NET -.sym 12894 spi_if_ins.r_tx_byte[1] -.sym 12895 $io_pmod[2]$iobuf_i -.sym 12896 $PACKER_VCC_NET -.sym 12897 w_rx_09_fifo_full -.sym 12899 spi_if_ins.r_tx_byte[4] -.sym 12910 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 12911 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_2_D[2] -.sym 12912 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] -.sym 12915 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 12916 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 12918 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] -.sym 12919 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 12920 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 12921 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_2_D[1] -.sym 12922 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] -.sym 12923 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] -.sym 12924 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 12925 rx_09_fifo.rd_addr_gray_wr_r[6] -.sym 12926 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] -.sym 12929 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 12930 rx_09_fifo.rd_addr_gray_wr_r[0] -.sym 12931 rx_09_fifo.rd_addr_gray_wr_r[2] -.sym 12935 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3[0] -.sym 12936 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 12940 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 12942 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 12943 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] -.sym 12944 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 12945 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] -.sym 12948 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3[0] -.sym 12949 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_2_D[2] -.sym 12950 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_2_D[1] -.sym 12951 rx_09_fifo.rd_addr_gray_wr_r[6] -.sym 12954 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 12960 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 12963 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 12967 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_2_D[1] -.sym 12975 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_2_D[2] -.sym 12978 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 12979 rx_09_fifo.rd_addr_gray_wr_r[0] -.sym 12980 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] -.sym 12981 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] -.sym 12984 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 12985 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] -.sym 12986 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 12987 rx_09_fifo.rd_addr_gray_wr_r[2] -.sym 12988 lvds_rx_09_inst.r_push_SB_LUT4_I3_O +.sym 12744 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 12745 rx_09_fifo.wr_addr_gray_rd[0] +.sym 12746 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[3] +.sym 12747 rx_09_fifo.wr_addr_gray_rd[1] +.sym 12748 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[2] +.sym 12749 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.sym 12750 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.sym 12751 rx_09_fifo.wr_addr_gray_rd[3] +.sym 12752 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 12757 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 12760 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 12761 w_rx_09_fifo_push +.sym 12763 $PACKER_VCC_NET +.sym 12764 smi_ctrl_ins.int_cnt_24[5] +.sym 12765 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 12772 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 12779 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E +.sym 12780 smi_ctrl_ins.int_cnt_09[3] +.sym 12786 rx_09_fifo.wr_addr[0] +.sym 12790 rx_09_fifo.wr_addr[4] +.sym 12791 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 12792 rx_09_fifo.wr_addr[2] +.sym 12793 rx_09_fifo.wr_addr[6] +.sym 12795 rx_09_fifo.wr_addr[3] +.sym 12796 rx_09_fifo.wr_addr[5] +.sym 12805 rx_09_fifo.wr_addr[7] +.sym 12818 $nextpnr_ICESTORM_LC_8$O +.sym 12821 rx_09_fifo.wr_addr[0] +.sym 12824 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[2] +.sym 12827 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 12830 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[3] +.sym 12833 rx_09_fifo.wr_addr[2] +.sym 12834 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[2] +.sym 12836 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[4] +.sym 12838 rx_09_fifo.wr_addr[3] +.sym 12840 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[3] +.sym 12842 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[5] +.sym 12845 rx_09_fifo.wr_addr[4] +.sym 12846 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[4] +.sym 12848 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[6] +.sym 12851 rx_09_fifo.wr_addr[5] +.sym 12852 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[5] +.sym 12854 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[7] +.sym 12856 rx_09_fifo.wr_addr[6] +.sym 12858 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[6] +.sym 12863 rx_09_fifo.wr_addr[7] +.sym 12864 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[7] +.sym 12868 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 12869 spi_if_ins.r_tx_byte[7] +.sym 12870 spi_if_ins.r_tx_byte[3] +.sym 12871 spi_if_ins.r_tx_byte[1] +.sym 12873 spi_if_ins.r_tx_byte[2] +.sym 12875 spi_if_ins.r_tx_byte[5] +.sym 12881 io_pmod[2]$SB_IO_IN +.sym 12882 rx_09_fifo.rd_addr[0] +.sym 12884 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 12887 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 12888 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 12889 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 12894 w_rx_09_fifo_full +.sym 12903 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 12909 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 12910 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 12912 lvds_rx_09_inst.r_push_SB_LUT4_I3_I0[1] +.sym 12913 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[2] +.sym 12914 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[0] +.sym 12915 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[1] +.sym 12916 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 12919 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[0] +.sym 12920 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[2] +.sym 12921 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[4] +.sym 12922 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[5] +.sym 12923 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 12924 lvds_rx_09_inst.r_push_SB_LUT4_I3_I0[2] +.sym 12925 rx_09_fifo.wr_addr[0] +.sym 12926 lvds_rx_09_inst.r_push_SB_LUT4_I3_I0[0] +.sym 12930 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 12931 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[1] +.sym 12938 w_rx_09_fifo_full +.sym 12939 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[3] +.sym 12940 w_rx_09_fifo_push +.sym 12942 rx_09_fifo.wr_addr[0] +.sym 12945 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 12948 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 12950 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 12951 rx_09_fifo.wr_addr[0] +.sym 12954 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[1] +.sym 12955 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[0] +.sym 12956 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[2] +.sym 12957 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[3] +.sym 12960 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 12961 w_rx_09_fifo_push +.sym 12962 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[0] +.sym 12963 w_rx_09_fifo_full +.sym 12966 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[5] +.sym 12967 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 12972 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[0] +.sym 12973 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[1] +.sym 12975 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[2] +.sym 12978 w_rx_09_fifo_push +.sym 12979 lvds_rx_09_inst.r_push_SB_LUT4_I3_I0[1] +.sym 12980 lvds_rx_09_inst.r_push_SB_LUT4_I3_I0[2] +.sym 12981 lvds_rx_09_inst.r_push_SB_LUT4_I3_I0[0] +.sym 12984 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[4] +.sym 12985 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 12987 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[5] .sym 12989 lvds_clock_buf -.sym 12990 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 12991 spi_if_ins.spi.r_tx_byte[7] -.sym 12992 spi_if_ins.spi.r_tx_byte[2] -.sym 12993 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[0] -.sym 12994 spi_if_ins.spi.r_tx_byte[5] -.sym 12996 spi_if_ins.spi.r_tx_byte[3] -.sym 13001 i_smi_a1_SB_LUT4_I1_O[2] -.sym 13005 spi_if_ins.w_rx_data[6] -.sym 13009 spi_if_ins.w_rx_data[0] -.sym 13012 $PACKER_VCC_NET -.sym 13015 spi_if_ins.r_tx_byte[6] -.sym 13021 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 13023 w_rx_09_fifo_full -.sym 13024 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 13026 $io_pmod[4]$iobuf_i -.sym 13032 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[3] -.sym 13033 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[1] -.sym 13034 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 13035 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[1] -.sym 13036 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[3] -.sym 13037 w_rx_09_fifo_push -.sym 13038 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3[0] -.sym 13039 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3[3] -.sym 13040 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 13041 rx_09_fifo.rd_addr_gray_wr_r[2] -.sym 13042 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[2] -.sym 13043 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 13044 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[3] -.sym 13045 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[5] -.sym 13046 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[3] -.sym 13047 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 13049 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 13050 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] -.sym 13055 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] -.sym 13058 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[0] -.sym 13059 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[1] -.sym 13060 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[2] -.sym 13065 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[3] -.sym 13066 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[0] -.sym 13067 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[1] -.sym 13068 w_rx_09_fifo_push -.sym 13072 rx_09_fifo.rd_addr_gray_wr_r[2] -.sym 13073 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[2] -.sym 13077 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 13078 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] -.sym 13079 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[3] -.sym 13080 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[1] -.sym 13083 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[2] -.sym 13084 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] -.sym 13085 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[3] -.sym 13086 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 13089 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] -.sym 13090 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[1] -.sym 13091 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 13092 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 13101 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[5] -.sym 13102 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3[3] -.sym 13103 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3[0] -.sym 13104 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[3] -.sym 13107 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 13109 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[2] -.sym 13112 lvds_clock_buf -.sym 13113 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 13115 spi_if_ins.r_tx_byte[0] -.sym 13117 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 13118 spi_if_ins.r_tx_byte[5] -.sym 13120 spi_if_ins.r_tx_byte[6] -.sym 13128 $PACKER_VCC_NET -.sym 13136 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 13141 spi_if_ins.r_tx_byte[7] -.sym 13147 spi_if_ins.r_tx_byte[2] -.sym 13157 w_rx_24_fifo_pull -.sym 13159 w_rx_09_fifo_data[0] -.sym 13169 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 13176 i_smi_a1_SB_LUT4_I1_O[2] -.sym 13194 i_smi_a1_SB_LUT4_I1_O[2] -.sym 13197 w_rx_24_fifo_pull -.sym 13206 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 13207 w_rx_09_fifo_data[0] -.sym 13234 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce -.sym 13235 lvds_clock_buf -.sym 13236 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 13237 r_tx_data[0] -.sym 13238 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 13239 spi_if_ins.o_cs_SB_LUT4_I2_O[1] -.sym 13240 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 13249 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 13252 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 13256 $PACKER_VCC_NET -.sym 13257 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 13261 spi_if_ins.r_tx_byte[3] -.sym 13271 w_tx_data_smi[1] -.sym 13281 w_rx_24_fifo_full -.sym 13282 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 13285 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 13286 rx_24_fifo.wr_addr_gray_rd_r[3] -.sym 13287 io_pmod[2]$SB_IO_IN -.sym 13291 rx_24_fifo.rd_addr[4] -.sym 13295 w_rx_09_fifo_full -.sym 13296 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E -.sym 13298 w_rx_24_fifo_empty -.sym 13313 w_rx_24_fifo_empty -.sym 13319 w_rx_09_fifo_full -.sym 13325 io_pmod[2]$SB_IO_IN -.sym 13336 w_rx_24_fifo_full -.sym 13353 rx_24_fifo.wr_addr_gray_rd_r[3] -.sym 13354 rx_24_fifo.rd_addr[4] -.sym 13355 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 13357 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E -.sym 13358 r_counter[0]_$glb_clk -.sym 13359 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 13360 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 13361 spi_if_ins.r_tx_byte[7] -.sym 13362 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E -.sym 13363 spi_if_ins.o_cs_SB_LUT4_I2_2_O[3] -.sym 13364 spi_if_ins.r_tx_byte[2] -.sym 13366 spi_if_ins.r_tx_byte[3] -.sym 13367 spi_if_ins.r_tx_byte[4] -.sym 13373 io_pmod[2]$SB_IO_IN -.sym 13377 w_rx_24_fifo_full -.sym 13378 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 13379 i_smi_a1_SB_LUT4_I1_O[2] -.sym 13384 spi_if_ins.o_cs_SB_LUT4_I2_O[1] -.sym 13385 w_rx_09_fifo_full -.sym 13386 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 13388 $io_pmod[2]$iobuf_i -.sym 13389 w_tx_data_smi[3] -.sym 13390 spi_if_ins.r_tx_byte[1] -.sym 13391 spi_if_ins.r_tx_byte[4] -.sym 13393 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 13401 w_tx_data_smi[2] -.sym 13402 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 13403 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_O -.sym 13408 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[3] -.sym 13411 spi_if_ins.o_cs_SB_LUT4_I2_O[1] -.sym 13412 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 13420 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[0] -.sym 13427 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 13428 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 13429 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 13430 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 13432 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 13443 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 13446 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 13447 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[0] -.sym 13448 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 13449 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[3] -.sym 13452 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 13458 w_tx_data_smi[2] -.sym 13459 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 13461 spi_if_ins.o_cs_SB_LUT4_I2_O[1] -.sym 13464 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 13479 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 13480 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_O -.sym 13481 r_counter[0]_$glb_clk -.sym 13482 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 13483 r_tx_data[1] -.sym 13485 io_ctrl_ins.i_cs_SB_LUT4_I3_O[0] -.sym 13486 r_tx_data[7] -.sym 13487 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] -.sym 13488 r_tx_data[4] -.sym 13489 r_tx_data[2] -.sym 13490 r_tx_data[3] -.sym 13497 w_cs[0] -.sym 13502 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 13503 $PACKER_VCC_NET -.sym 13504 spi_if_ins.state_if[1] -.sym 13511 w_tx_data_io[0] -.sym 13514 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 13516 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 13524 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_I2[2] -.sym 13525 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 13526 rx_24_fifo.empty_o_SB_LUT4_I3_O[2] -.sym 13527 rx_24_fifo.empty_o_SB_LUT4_I3_O[0] -.sym 13528 w_rx_24_fifo_pull -.sym 13529 rx_24_fifo.rd_addr[4] -.sym 13530 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] -.sym 13531 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_I2[1] -.sym 13532 rx_24_fifo.empty_o_SB_LUT4_I3_O[1] -.sym 13533 rx_24_fifo.rd_addr[5] -.sym 13534 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 13535 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 13536 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] -.sym 13537 w_ioc[0] -.sym 13538 w_ioc[2] -.sym 13539 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 13547 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] -.sym 13551 w_ioc[1] -.sym 13552 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] -.sym 13553 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 13554 w_rx_24_fifo_empty -.sym 13555 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] -.sym 13557 rx_24_fifo.rd_addr[5] -.sym 13558 w_rx_24_fifo_empty -.sym 13559 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 13560 rx_24_fifo.rd_addr[4] -.sym 13569 w_ioc[1] -.sym 13570 w_ioc[2] -.sym 13571 w_ioc[0] -.sym 13572 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] -.sym 13575 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] -.sym 13581 w_rx_24_fifo_pull -.sym 13582 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_I2[1] -.sym 13583 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_I2[2] -.sym 13587 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 13588 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] -.sym 13589 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 13590 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 13593 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] -.sym 13594 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] -.sym 13595 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 13596 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] -.sym 13599 rx_24_fifo.empty_o_SB_LUT4_I3_O[1] -.sym 13601 rx_24_fifo.empty_o_SB_LUT4_I3_O[0] -.sym 13602 rx_24_fifo.empty_o_SB_LUT4_I3_O[2] +.sym 12990 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 12996 smi_ctrl_ins.int_cnt_09[3] +.sym 12997 smi_ctrl_ins.int_cnt_09[4] +.sym 12998 smi_ctrl_ins.int_cnt_09[5] +.sym 13005 rx_09_fifo.wr_addr[0] +.sym 13011 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 13023 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 13025 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 13026 w_tx_data_io[0] +.sym 13032 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] +.sym 13034 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 13035 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 13046 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 13049 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.sym 13051 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 13055 r_tx_data[0] +.sym 13057 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 13060 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] +.sym 13073 r_tx_data[0] +.sym 13078 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.sym 13080 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 13083 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.sym 13085 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 13086 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 13095 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 13096 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] +.sym 13097 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] +.sym 13111 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 13112 r_counter[0]_$glb_clk +.sym 13118 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13126 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 13130 i_ss_SB_LUT4_I3_O +.sym 13140 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 13146 w_tx_data_smi[1] +.sym 13156 io_pmod[2]$SB_IO_IN +.sym 13159 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 13166 w_rx_09_fifo_full +.sym 13167 w_rx_24_fifo_empty +.sym 13173 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 13188 w_rx_09_fifo_full +.sym 13201 w_rx_24_fifo_empty +.sym 13232 io_pmod[2]$SB_IO_IN +.sym 13234 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 13235 r_counter[0]_$glb_clk +.sym 13236 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 13238 w_cs[3] +.sym 13239 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[2] +.sym 13241 w_cs[2] +.sym 13242 w_cs[1] +.sym 13245 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 13253 $PACKER_VCC_NET +.sym 13254 i_smi_soe_se$rename$0 +.sym 13262 w_cs[2] +.sym 13264 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 13265 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13268 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 13272 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 13281 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 13283 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 13285 w_tx_data_smi[0] +.sym 13290 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13291 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 13293 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 13296 w_tx_data_io[0] +.sym 13300 spi_if_ins.state_if[0] +.sym 13301 spi_if_ins.state_if[1] +.sym 13303 spi_if_ins.o_cs_SB_LUT4_I2_1_O[1] +.sym 13305 w_rx_24_fifo_data[29] +.sym 13306 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 13307 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 13308 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 13309 w_rx_24_fifo_data[18] +.sym 13319 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 13320 w_rx_24_fifo_data[18] +.sym 13323 w_tx_data_io[0] +.sym 13324 w_tx_data_smi[0] +.sym 13325 spi_if_ins.o_cs_SB_LUT4_I2_1_O[1] +.sym 13326 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 13330 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 13331 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 13332 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 13335 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 13337 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 13338 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13341 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13343 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 13344 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 13347 spi_if_ins.state_if[0] +.sym 13350 spi_if_ins.state_if[1] +.sym 13353 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 13354 w_rx_24_fifo_data[29] +.sym 13357 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 13358 lvds_clock_buf +.sym 13359 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 13360 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] +.sym 13361 spi_if_ins.o_cs_SB_LUT4_I2_1_O[1] +.sym 13362 spi_if_ins.r_tx_data_valid +.sym 13363 spi_if_ins.o_cs_SB_LUT4_I3_1_O +.sym 13364 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 13365 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 13366 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 13375 spi_if_ins.w_rx_data[6] +.sym 13376 w_rx_24_fifo_data[20] +.sym 13377 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 13390 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 13404 spi_if_ins.state_if[0] +.sym 13405 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 13407 w_tx_data_sys[0] +.sym 13408 spi_if_ins.state_if[1] +.sym 13410 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 13411 spi_if_ins.o_cs_SB_LUT4_I2_2_O[3] +.sym 13415 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 13416 spi_if_ins.state_if[1] +.sym 13417 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] +.sym 13420 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 13422 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 13425 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13428 spi_if_ins.o_cs_SB_LUT4_I3_1_O +.sym 13434 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] +.sym 13435 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 13436 w_tx_data_sys[0] +.sym 13437 spi_if_ins.o_cs_SB_LUT4_I2_2_O[3] +.sym 13440 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 13442 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13446 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 13452 spi_if_ins.state_if[0] +.sym 13454 spi_if_ins.state_if[1] +.sym 13455 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 13458 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 13459 spi_if_ins.state_if[0] +.sym 13460 spi_if_ins.state_if[1] +.sym 13461 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13476 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 13477 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 13478 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13480 spi_if_ins.o_cs_SB_LUT4_I3_1_O +.sym 13481 i_glob_clock$SB_IO_IN_$glb_clk +.sym 13483 w_cs[0] +.sym 13484 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 13485 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[1] +.sym 13486 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E +.sym 13489 w_ioc[4] +.sym 13490 w_ioc[3] +.sym 13498 spi_if_ins.w_rx_data[6] +.sym 13504 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 13511 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 13539 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 13544 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 13551 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E +.sym 13576 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 13595 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 13603 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E .sym 13604 r_counter[0]_$glb_clk -.sym 13605 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 13606 w_tx_data_io[0] -.sym 13607 w_tx_data_io[2] -.sym 13608 w_tx_data_io[3] -.sym 13609 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 13610 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] -.sym 13611 w_tx_data_io[1] -.sym 13612 w_tx_data_io[4] -.sym 13613 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_I2[3] -.sym 13622 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 13624 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 13627 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 13630 w_cs[0] -.sym 13631 w_rx_data[2] -.sym 13633 w_rx_data[1] -.sym 13637 i_smi_a1_SB_LUT4_I1_O[2] -.sym 13639 io_ctrl_ins.rf_mode[0] -.sym 13649 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 13651 io_pmod[2]$SB_IO_IN -.sym 13653 w_rx_24_fifo_empty -.sym 13655 r_tx_data[1] -.sym 13664 rx_24_fifo.wr_addr_gray_rd_r[3] -.sym 13666 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 13674 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 13698 r_tx_data[1] -.sym 13705 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 13711 io_pmod[2]$SB_IO_IN -.sym 13713 w_rx_24_fifo_empty -.sym 13718 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 13719 rx_24_fifo.wr_addr_gray_rd_r[3] -.sym 13726 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 13727 r_counter[0]_$glb_clk -.sym 13729 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E -.sym 13730 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 13731 spi_if_ins.state_if[0] -.sym 13733 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O -.sym 13734 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 13735 io_ctrl_ins.led1_state_SB_DFFESR_Q_E -.sym 13736 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] -.sym 13742 i_config[0]$SB_IO_IN -.sym 13743 w_smi_read_req -.sym 13759 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 13762 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E -.sym 13770 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 13776 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] -.sym 13781 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_O -.sym 13782 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] -.sym 13790 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O -.sym 13810 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 13815 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] -.sym 13822 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O -.sym 13828 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] -.sym 13849 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_O +.sym 13606 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 13607 w_ioc[1] +.sym 13608 w_ioc[2] +.sym 13609 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] +.sym 13610 io_ctrl_ins.i_cs_SB_LUT4_I3_O[0] +.sym 13611 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 13613 w_ioc[0] +.sym 13619 spi_if_ins.w_rx_data[4] +.sym 13623 w_fetch +.sym 13630 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 13641 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 13659 rx_24_fifo.rd_addr_gray[6] +.sym 13695 rx_24_fifo.rd_addr_gray[6] +.sym 13727 lvds_clock_buf +.sym 13730 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 13732 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E +.sym 13733 sys_ctrl_ins.reset_cmd +.sym 13734 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R +.sym 13735 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 13736 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[0] +.sym 13741 spi_if_ins.w_rx_data[2] +.sym 13744 spi_if_ins.w_rx_data[0] +.sym 13748 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 13749 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 13755 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] +.sym 13756 spi_if_ins.w_rx_data[1] +.sym 13764 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 13772 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 13775 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 13776 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 13781 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 13783 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] +.sym 13810 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 13815 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 13827 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] +.sym 13847 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 13849 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O .sym 13850 r_counter[0]_$glb_clk -.sym 13851 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr +.sym 13851 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 13854 io_ctrl_ins.rf_mode_SB_DFFESR_Q_E .sym 13855 io_ctrl_ins.debug_mode[1] -.sym 13856 io_ctrl_ins.rf_mode[0] -.sym 13858 io_ctrl_ins.debug_mode[0] -.sym 13867 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] -.sym 13868 i_smi_a1_SB_LUT4_I1_O[2] -.sym 13873 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 13875 spi_if_ins.state_if[0] -.sym 13877 w_rx_09_fifo_full -.sym 13881 o_ldo_2v8_en$SB_IO_OUT -.sym 13884 io_ctrl_ins.led1_state_SB_DFFESR_Q_E -.sym 13895 w_cs[0] -.sym 13906 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R -.sym 13907 $PACKER_VCC_NET -.sym 13920 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E -.sym 13926 w_cs[0] -.sym 13951 $PACKER_VCC_NET -.sym 13972 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E -.sym 13973 r_counter[0]_$glb_clk -.sym 13974 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R -.sym 13975 w_tx_data_sys[0] -.sym 13987 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R -.sym 13988 io_ctrl_ins.debug_mode[0] -.sym 13995 $PACKER_VCC_NET +.sym 13856 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 13865 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 13873 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 13875 w_load +.sym 13879 w_rx_data[2] +.sym 13880 sys_ctrl_ins.reset_cmd +.sym 13900 rx_24_fifo.rd_addr_gray[0] +.sym 13902 rx_24_fifo.rd_addr_gray[1] +.sym 13903 rx_24_fifo.rd_addr_gray[4] +.sym 13920 rx_24_fifo.rd_addr_gray_wr[4] +.sym 13944 rx_24_fifo.rd_addr_gray[4] +.sym 13950 rx_24_fifo.rd_addr_gray_wr[4] +.sym 13958 rx_24_fifo.rd_addr_gray[0] +.sym 13969 rx_24_fifo.rd_addr_gray[1] +.sym 13973 lvds_clock_buf +.sym 13978 io_ctrl_ins.rf_pin_state[2] +.sym 13981 io_ctrl_ins.rf_pin_state[1] +.sym 13993 w_rx_data[3] .sym 14001 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E -.sym 14018 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E -.sym 14019 sys_ctrl_ins.reset_count[3] -.sym 14020 sys_ctrl_ins.reset_cmd -.sym 14022 sys_ctrl_ins.reset_count[1] -.sym 14026 sys_ctrl_ins.reset_count[2] -.sym 14031 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 14039 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 14045 sys_ctrl_ins.reset_count[0] -.sym 14048 $nextpnr_ICESTORM_LC_16$O -.sym 14051 sys_ctrl_ins.reset_count[0] -.sym 14054 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 14056 sys_ctrl_ins.reset_count[1] -.sym 14060 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 14061 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 14062 sys_ctrl_ins.reset_count[2] -.sym 14064 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 14067 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 14069 sys_ctrl_ins.reset_count[3] -.sym 14070 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 14073 sys_ctrl_ins.reset_cmd -.sym 14076 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 14080 sys_ctrl_ins.reset_count[0] -.sym 14085 sys_ctrl_ins.reset_count[0] -.sym 14087 sys_ctrl_ins.reset_count[1] -.sym 14088 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 14091 sys_ctrl_ins.reset_count[3] -.sym 14092 sys_ctrl_ins.reset_count[1] -.sym 14093 sys_ctrl_ins.reset_count[2] -.sym 14094 sys_ctrl_ins.reset_count[0] -.sym 14095 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E -.sym 14096 r_counter[0]_$glb_clk -.sym 14097 sys_ctrl_ins.reset_cmd -.sym 14098 o_led0$SB_IO_OUT -.sym 14100 o_ldo_2v8_en$SB_IO_OUT -.sym 14104 o_led1$SB_IO_OUT -.sym 14108 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] -.sym 14114 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E -.sym 14117 $PACKER_GND_NET -.sym 14129 i_smi_a1_SB_LUT4_I1_O[2] -.sym 14234 o_led1$SB_IO_OUT -.sym 14235 w_rx_data[1] -.sym 14243 w_rx_data[2] -.sym 14244 o_shdn_tx_lna$SB_IO_OUT -.sym 14250 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 14266 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 14267 sys_ctrl_ins.reset_cmd -.sym 14271 i_smi_a1_SB_LUT4_I1_O[2] -.sym 14273 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E -.sym 14283 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] -.sym 14291 $PACKER_GND_NET -.sym 14302 $PACKER_GND_NET -.sym 14332 sys_ctrl_ins.reset_cmd -.sym 14339 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] -.sym 14340 i_smi_a1_SB_LUT4_I1_O[2] -.sym 14341 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E -.sym 14342 r_counter[0]_$glb_clk -.sym 14343 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 14002 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 14099 o_shdn_rx_lna$SB_IO_OUT +.sym 14100 io_ctrl_ins.led1_state_SB_DFFESR_Q_E +.sym 14102 o_shdn_tx_lna$SB_IO_OUT +.sym 14105 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E +.sym 14110 w_rx_data[1] +.sym 14128 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 14222 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 14243 o_led1$SB_IO_OUT +.sym 14256 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 14266 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] +.sym 14287 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 14326 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 14328 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] .sym 14344 i_smi_a1$SB_IO_IN -.sym 14356 i_smi_a1_SB_LUT4_I1_O[2] -.sym 14388 i_smi_a1_SB_LUT4_I1_O[2] -.sym 14392 o_ldo_2v8_en$SB_IO_OUT -.sym 14399 i_smi_a1_SB_LUT4_I1_O[2] -.sym 14408 o_ldo_2v8_en$SB_IO_OUT +.sym 14358 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] +.sym 14388 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 14399 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] .sym 14414 i_smi_a1$SB_IO_IN .sym 14418 i_sck$SB_IO_IN .sym 14419 io_smi_data[3]$SB_IO_OUT .sym 14436 i_sck$SB_IO_IN -.sym 14441 io_smi_data[3]$SB_IO_OUT -.sym 14446 spi_if_ins.spi.r_rx_bit_count[2] -.sym 14448 spi_if_ins.spi.r_rx_bit_count[1] -.sym 14449 spi_if_ins.spi.r_rx_bit_count[0] -.sym 14457 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 14462 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] +.sym 14439 io_smi_data[3]$SB_IO_OUT +.sym 14445 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] +.sym 14446 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 14447 rx_09_fifo.rd_addr_gray_wr[1] +.sym 14449 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 14450 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 14465 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 14475 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] .sym 14476 i_sck$SB_IO_IN -.sym 14488 rx_09_fifo.wr_addr_gray_rd[1] -.sym 14495 i_sck$SB_IO_IN -.sym 14521 i_sck$SB_IO_IN -.sym 14531 rx_09_fifo.wr_addr_gray_rd[1] +.sym 14478 io_pmod[7]$SB_IO_IN +.sym 14488 rx_09_fifo.wr_addr_gray_rd[7] +.sym 14493 rx_09_fifo.wr_addr_gray_rd[4] +.sym 14500 rx_09_fifo.wr_addr_gray_rd[2] +.sym 14501 rx_09_fifo.wr_addr_gray_rd[6] +.sym 14503 rx_09_fifo.wr_addr[7] +.sym 14508 rx_09_fifo.wr_addr_gray[6] +.sym 14520 rx_09_fifo.wr_addr_gray_rd[4] +.sym 14528 rx_09_fifo.wr_addr_gray_rd[6] +.sym 14534 rx_09_fifo.wr_addr[7] +.sym 14540 rx_09_fifo.wr_addr_gray_rd[7] +.sym 14549 rx_09_fifo.wr_addr_gray_rd[2] +.sym 14562 rx_09_fifo.wr_addr_gray[6] .sym 14566 r_counter[0]_$glb_clk -.sym 14574 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 14575 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E -.sym 14576 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3[0] -.sym 14577 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 14578 rx_09_fifo.rd_addr_gray_wr[5] -.sym 14579 rx_09_fifo.rd_addr_gray_wr[3] -.sym 14584 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 14586 i_ss_SB_LUT4_I3_O -.sym 14616 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 14634 i_ss$SB_IO_IN -.sym 14637 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] -.sym 14649 spi_if_ins.spi.SCKr[0] -.sym 14653 rx_09_fifo.wr_addr_gray[5] -.sym 14655 i_smi_a3$SB_IO_IN -.sym 14663 rx_09_fifo.wr_addr_gray[4] -.sym 14666 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] -.sym 14675 rx_09_fifo.wr_addr_gray[1] -.sym 14678 rx_09_fifo.wr_addr_gray_rd[5] -.sym 14679 w_smi_data_output[3] -.sym 14683 w_smi_data_output[3] -.sym 14684 i_smi_a3$SB_IO_IN -.sym 14689 spi_if_ins.spi.SCKr[0] -.sym 14695 rx_09_fifo.wr_addr_gray[1] -.sym 14702 rx_09_fifo.wr_addr_gray[4] -.sym 14706 rx_09_fifo.wr_addr_gray_rd[5] -.sym 14713 rx_09_fifo.wr_addr_gray[5] -.sym 14719 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 14572 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 14573 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[2] +.sym 14574 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] +.sym 14575 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[2] +.sym 14576 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[0] +.sym 14577 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 14578 rx_09_fifo.rd_addr_gray[1] +.sym 14579 rx_09_fifo.rd_addr[3] +.sym 14580 rx_09_fifo.rd_addr_gray_wr[2] +.sym 14582 w_cs[1] +.sym 14594 io_pmod[6]$SB_IO_IN +.sym 14605 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[1] +.sym 14626 rx_09_fifo.wr_addr_gray_rd[0] +.sym 14629 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 14633 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 14634 rx_09_fifo.rd_addr[3] +.sym 14635 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 14650 rx_09_fifo.wr_addr_gray_rd[0] +.sym 14653 rx_09_fifo.wr_addr_gray[2] +.sym 14654 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[3] +.sym 14656 rx_09_fifo.wr_addr_gray[4] +.sym 14657 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[1] +.sym 14658 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] +.sym 14659 rx_09_fifo.wr_addr_gray[5] +.sym 14660 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 14665 rx_09_fifo.wr_addr_gray_rd[5] +.sym 14668 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 14669 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[0] +.sym 14675 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] +.sym 14677 rx_09_fifo.wr_addr_gray_rd[1] +.sym 14685 rx_09_fifo.wr_addr_gray[5] +.sym 14688 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] +.sym 14689 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 14690 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 14691 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] +.sym 14696 rx_09_fifo.wr_addr_gray_rd[5] +.sym 14700 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[1] +.sym 14701 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] +.sym 14702 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[3] +.sym 14703 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[0] +.sym 14707 rx_09_fifo.wr_addr_gray_rd[0] +.sym 14714 rx_09_fifo.wr_addr_gray_rd[1] +.sym 14718 rx_09_fifo.wr_addr_gray[2] +.sym 14724 rx_09_fifo.wr_addr_gray[4] .sym 14729 r_counter[0]_$glb_clk -.sym 14731 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 14732 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 14734 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 14735 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 14736 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 14737 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 14738 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 14742 w_tx_data_sys[0] -.sym 14759 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3[0] -.sym 14775 i_smi_a3$SB_IO_IN -.sym 14777 w_smi_data_output[4] -.sym 14780 w_smi_data_output[5] -.sym 14782 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 14783 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 14799 i_ss$SB_IO_IN -.sym 14802 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 14825 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 14835 w_smi_data_output[5] -.sym 14837 i_smi_a3$SB_IO_IN -.sym 14841 i_ss$SB_IO_IN -.sym 14842 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 14847 i_smi_a3$SB_IO_IN -.sym 14849 w_smi_data_output[4] -.sym 14851 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 14852 i_sck$SB_IO_IN_$glb_clk -.sym 14854 spi_if_ins.spi.r_rx_byte[7] -.sym 14855 spi_if_ins.spi.r_rx_byte[3] -.sym 14856 spi_if_ins.spi.r_rx_byte[2] -.sym 14857 spi_if_ins.spi.r_rx_byte[4] -.sym 14858 spi_if_ins.spi.r_rx_byte[0] -.sym 14859 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 14860 spi_if_ins.spi.r_rx_byte[5] -.sym 14861 spi_if_ins.spi.r_rx_byte[6] -.sym 14865 o_led0$SB_IO_OUT -.sym 14868 io_smi_data[5]$SB_IO_OUT +.sym 14732 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 14733 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 14734 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 14735 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[1] +.sym 14736 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[3] +.sym 14737 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 14738 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 14745 smi_ctrl_ins.int_cnt_09[3] +.sym 14751 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[2] +.sym 14753 rx_09_fifo.wr_addr_gray_rd_r[0] +.sym 14756 r_tx_data[7] +.sym 14758 r_tx_data[1] +.sym 14760 r_tx_data[5] +.sym 14763 r_tx_data[2] +.sym 14764 w_rx_09_fifo_pull +.sym 14774 rx_09_fifo.wr_addr_gray_rd_r[2] +.sym 14776 rx_09_fifo.wr_addr_gray_rd_r[3] +.sym 14778 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 14779 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 14781 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[3] +.sym 14782 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[0] +.sym 14783 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 14785 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 14786 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 14787 rx_09_fifo.rd_addr[3] +.sym 14788 w_rx_09_fifo_pull +.sym 14789 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[2] +.sym 14795 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 14796 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 14798 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 14799 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 14802 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 14806 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 14808 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 14812 rx_09_fifo.rd_addr[3] +.sym 14814 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 14817 rx_09_fifo.wr_addr_gray_rd_r[2] +.sym 14818 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 14819 rx_09_fifo.rd_addr[3] +.sym 14823 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 14829 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 14830 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 14836 w_rx_09_fifo_pull +.sym 14837 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 14838 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 14841 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[3] +.sym 14842 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[0] +.sym 14843 rx_09_fifo.wr_addr_gray_rd_r[3] +.sym 14844 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[2] +.sym 14847 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 14851 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 14852 lvds_clock_buf +.sym 14853 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 14856 spi_if_ins.spi.r_tx_bit_count[2] +.sym 14857 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 14858 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 14859 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 14860 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 14861 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 14867 $PACKER_VCC_NET +.sym 14868 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] .sym 14869 i_smi_a3$SB_IO_IN -.sym 14876 i_mosi$SB_IO_IN -.sym 14879 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] -.sym 14881 spi_if_ins.spi.r_rx_byte[1] -.sym 14884 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 14888 spi_if_ins.w_rx_data[1] -.sym 14897 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] -.sym 14901 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] -.sym 14905 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 14906 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 14907 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] -.sym 14908 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 14911 $PACKER_VCC_NET -.sym 14913 spi_if_ins.spi.r_tx_bit_count[2] -.sym 14914 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] -.sym 14916 $PACKER_VCC_NET -.sym 14923 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 14924 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 14927 $nextpnr_ICESTORM_LC_15$O -.sym 14930 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 14933 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 14935 $PACKER_VCC_NET -.sym 14936 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 14940 spi_if_ins.spi.r_tx_bit_count[2] -.sym 14941 $PACKER_VCC_NET -.sym 14943 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 14946 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 14948 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 14949 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] -.sym 14952 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 14953 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 14955 $PACKER_VCC_NET -.sym 14959 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 14964 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 14965 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] -.sym 14966 spi_if_ins.spi.r_tx_bit_count[2] -.sym 14967 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] -.sym 14970 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 14972 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] -.sym 14973 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] -.sym 14974 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 14870 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] +.sym 14874 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 14883 sys_ctrl_ins.reset_cmd +.sym 14885 r_tx_data[3] +.sym 14888 smi_ctrl_ins.int_cnt_09[3] +.sym 14896 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 14897 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 14898 rx_09_fifo.wr_addr_gray[1] +.sym 14902 rx_09_fifo.wr_addr_gray[0] +.sym 14903 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 14905 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 14906 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 14907 io_pmod[2]$SB_IO_IN +.sym 14910 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 14912 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 14913 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 14914 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 14915 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.sym 14916 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.sym 14917 rx_09_fifo.wr_addr_gray[3] +.sym 14919 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 14920 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.sym 14921 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.sym 14922 rx_09_fifo.full_o_SB_LUT4_I3_O[3] +.sym 14924 w_rx_09_fifo_pull +.sym 14926 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 14929 rx_09_fifo.wr_addr_gray[0] +.sym 14934 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 14935 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 14936 io_pmod[2]$SB_IO_IN +.sym 14937 w_rx_09_fifo_pull +.sym 14943 rx_09_fifo.wr_addr_gray[1] +.sym 14946 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.sym 14947 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.sym 14948 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.sym 14949 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.sym 14952 rx_09_fifo.full_o_SB_LUT4_I3_O[3] +.sym 14953 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 14954 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 14955 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 14958 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 14959 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 14960 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 14961 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 14964 rx_09_fifo.wr_addr_gray[3] +.sym 14972 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 14973 rx_09_fifo.full_o_SB_LUT4_I3_O[1] .sym 14975 r_counter[0]_$glb_clk -.sym 14976 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 14977 spi_if_ins.w_rx_data[2] -.sym 14978 spi_if_ins.w_rx_data[6] -.sym 14979 spi_if_ins.w_rx_data[3] -.sym 14980 spi_if_ins.w_rx_data[1] -.sym 14981 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] -.sym 14982 spi_if_ins.w_rx_data[4] -.sym 14983 spi_if_ins.w_rx_data[0] -.sym 14984 spi_if_ins.w_rx_data[5] -.sym 14991 $io_pmod[4]$iobuf_i -.sym 14993 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 14996 i_mosi$SB_IO_IN -.sym 14998 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 15001 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] -.sym 15002 w_tx_data_io[6] -.sym 15004 w_ioc[3] -.sym 15005 r_tx_data[5] -.sym 15007 w_tx_data_io[5] -.sym 15008 spi_if_ins.o_cs_SB_LUT4_I3_1_O -.sym 15012 spi_if_ins.w_rx_data[6] -.sym 15020 spi_if_ins.spi.r_tx_bit_count[2] -.sym 15021 spi_if_ins.spi.r_tx_byte[5] -.sym 15022 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[2] -.sym 15023 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 15026 spi_if_ins.spi.r_tx_byte[7] -.sym 15027 spi_if_ins.spi.r_tx_byte[2] -.sym 15028 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[0] -.sym 15029 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 15030 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 15031 spi_if_ins.spi.r_tx_byte[3] -.sym 15034 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] -.sym 15037 spi_if_ins.r_tx_byte[1] -.sym 15039 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] -.sym 15040 spi_if_ins.r_tx_byte[4] -.sym 15043 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[1] -.sym 15044 spi_if_ins.spi.r_tx_byte[6] -.sym 15045 spi_if_ins.spi.r_tx_byte[1] -.sym 15046 spi_if_ins.r_tx_byte[6] -.sym 15047 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 15049 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 15051 spi_if_ins.spi.r_tx_byte[2] -.sym 15052 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 15053 spi_if_ins.spi.r_tx_bit_count[2] -.sym 15054 spi_if_ins.spi.r_tx_byte[6] -.sym 15058 spi_if_ins.r_tx_byte[4] -.sym 15064 spi_if_ins.r_tx_byte[6] -.sym 15071 spi_if_ins.r_tx_byte[1] -.sym 15075 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[1] -.sym 15076 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[0] -.sym 15077 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 15078 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 15081 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 15082 spi_if_ins.spi.r_tx_bit_count[2] -.sym 15083 spi_if_ins.spi.r_tx_byte[7] -.sym 15084 spi_if_ins.spi.r_tx_byte[3] -.sym 15087 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] -.sym 15088 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] -.sym 15089 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[2] -.sym 15090 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 15093 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 15094 spi_if_ins.spi.r_tx_byte[1] -.sym 15095 spi_if_ins.spi.r_tx_byte[5] -.sym 15096 spi_if_ins.spi.r_tx_bit_count[2] -.sym 15097 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 14977 spi_if_ins.spi.r_tx_byte[2] +.sym 14978 spi_if_ins.spi.r_tx_byte[1] +.sym 14979 spi_if_ins.spi.r_tx_byte[7] +.sym 14980 spi_if_ins.spi.r_tx_byte[5] +.sym 14981 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 14982 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[0] +.sym 14983 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 14984 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 14989 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 14999 rx_09_fifo.wr_addr_gray_rd_r[3] +.sym 15000 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 15002 spi_if_ins.spi.r_tx_byte[3] +.sym 15005 i_smi_soe_se$rename$0 +.sym 15009 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 15012 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[1] +.sym 15018 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 15025 rx_09_fifo.wr_addr[0] +.sym 15026 r_tx_data[7] +.sym 15028 r_tx_data[1] +.sym 15029 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 15030 r_tx_data[5] +.sym 15035 r_tx_data[2] +.sym 15045 r_tx_data[3] +.sym 15051 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 15054 rx_09_fifo.wr_addr[0] +.sym 15057 r_tx_data[7] +.sym 15063 r_tx_data[3] +.sym 15071 r_tx_data[1] +.sym 15081 r_tx_data[2] +.sym 15093 r_tx_data[5] +.sym 15097 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O .sym 15098 r_counter[0]_$glb_clk -.sym 15099 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 15100 r_tx_data[5] -.sym 15103 r_tx_data[6] -.sym 15112 io_pmod[6]$SB_IO_IN -.sym 15120 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 15126 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 15130 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 15134 spi_if_ins.w_rx_data[5] -.sym 15135 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 15147 spi_if_ins.r_tx_byte[3] -.sym 15150 spi_if_ins.r_tx_byte[0] -.sym 15153 spi_if_ins.r_tx_byte[5] -.sym 15154 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 15159 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 15170 spi_if_ins.r_tx_byte[2] -.sym 15172 spi_if_ins.r_tx_byte[7] -.sym 15176 spi_if_ins.r_tx_byte[7] -.sym 15183 spi_if_ins.r_tx_byte[2] -.sym 15186 spi_if_ins.r_tx_byte[0] -.sym 15192 spi_if_ins.r_tx_byte[5] -.sym 15207 spi_if_ins.r_tx_byte[3] -.sym 15220 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 15102 sys_ctrl_ins.reset_count[2] +.sym 15103 sys_ctrl_ins.reset_count[3] +.sym 15104 sys_ctrl_ins.reset_count[1] +.sym 15105 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 15106 sys_ctrl_ins.reset_count[0] +.sym 15107 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 15110 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 15114 smi_ctrl_ins.int_cnt_24[5] +.sym 15116 spi_if_ins.r_tx_byte[7] +.sym 15117 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 15124 i_ss$SB_IO_IN +.sym 15125 spi_if_ins.r_tx_byte[3] +.sym 15131 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 15152 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E +.sym 15154 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 15157 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 15162 smi_ctrl_ins.int_cnt_09[3] +.sym 15165 i_smi_soe_se$rename$0 +.sym 15166 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 15204 i_smi_soe_se$rename$0 +.sym 15206 smi_ctrl_ins.int_cnt_09[3] +.sym 15207 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 15210 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 15212 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 15213 i_smi_soe_se$rename$0 +.sym 15217 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 15218 i_smi_soe_se$rename$0 +.sym 15219 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 15220 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E .sym 15221 r_counter[0]_$glb_clk -.sym 15222 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 15224 w_cs[1] -.sym 15225 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 15227 w_cs[2] -.sym 15228 w_cs[3] -.sym 15243 spi_if_ins.r_tx_byte[3] -.sym 15245 io_pmod[5]$SB_IO_IN -.sym 15247 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 15248 w_ioc[4] -.sym 15254 w_ioc[2] -.sym 15256 spi_if_ins.w_rx_data[6] -.sym 15258 w_cs[1] -.sym 15264 r_tx_data[0] -.sym 15266 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 15270 w_ioc[2] -.sym 15272 w_ioc[4] -.sym 15274 w_ioc[3] -.sym 15275 r_tx_data[6] -.sym 15277 r_tx_data[5] -.sym 15286 w_ioc[1] -.sym 15304 r_tx_data[0] -.sym 15315 w_ioc[3] -.sym 15316 w_ioc[1] -.sym 15317 w_ioc[4] -.sym 15318 w_ioc[2] -.sym 15321 r_tx_data[5] -.sym 15333 r_tx_data[6] -.sym 15343 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 15222 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 15223 spi_if_ins.spi.r_tx_byte[3] +.sym 15225 spi_if_ins.spi.r_tx_byte[6] +.sym 15228 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[1] +.sym 15242 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 15247 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 15248 r_tx_data[7] +.sym 15250 r_tx_data[1] +.sym 15253 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 15254 r_tx_data[2] +.sym 15256 r_tx_data[5] +.sym 15257 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 15267 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 15322 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O .sym 15344 r_counter[0]_$glb_clk -.sym 15346 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[1] -.sym 15347 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 15348 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 15350 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 15351 w_fetch -.sym 15352 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 15358 $PACKER_VCC_NET -.sym 15372 w_ioc[1] -.sym 15373 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 15374 w_cs[2] -.sym 15376 w_cs[3] -.sym 15377 spi_if_ins.r_tx_byte[7] -.sym 15380 spi_if_ins.w_rx_data[1] -.sym 15388 w_cs[1] -.sym 15390 spi_if_ins.o_cs_SB_LUT4_I2_2_O[3] -.sym 15391 w_cs[2] -.sym 15400 w_cs[3] -.sym 15404 w_cs[0] -.sym 15405 spi_if_ins.o_cs_SB_LUT4_I3_1_O -.sym 15409 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] -.sym 15412 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 15415 w_tx_data_sys[0] -.sym 15420 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 15421 w_tx_data_sys[0] -.sym 15422 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] -.sym 15423 spi_if_ins.o_cs_SB_LUT4_I2_2_O[3] -.sym 15426 w_cs[1] -.sym 15427 w_cs[2] -.sym 15428 w_cs[0] -.sym 15429 w_cs[3] -.sym 15432 w_cs[3] -.sym 15433 w_cs[1] -.sym 15434 w_cs[2] -.sym 15435 w_cs[0] -.sym 15438 w_cs[0] -.sym 15439 w_cs[2] -.sym 15440 w_cs[1] -.sym 15441 w_cs[3] -.sym 15466 spi_if_ins.o_cs_SB_LUT4_I3_1_O -.sym 15467 i_glob_clock$SB_IO_IN_$glb_clk -.sym 15469 w_ioc[4] -.sym 15470 w_cs[0] -.sym 15471 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 15472 w_ioc[2] -.sym 15473 spi_if_ins.o_cs_SB_LUT4_I3_1_O -.sym 15474 w_ioc[3] -.sym 15475 w_ioc[0] -.sym 15476 w_ioc[1] -.sym 15485 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 15489 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 15490 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 15494 spi_if_ins.o_cs_SB_LUT4_I3_1_O -.sym 15495 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] -.sym 15496 w_ioc[3] -.sym 15497 w_tx_data_io[7] -.sym 15499 w_fetch -.sym 15500 spi_if_ins.o_cs_SB_LUT4_I3_1_O -.sym 15502 w_cs[1] -.sym 15503 w_tx_data_io[5] -.sym 15510 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[1] -.sym 15512 spi_if_ins.state_if[1] -.sym 15513 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 15515 r_tx_data[4] -.sym 15516 r_tx_data[2] -.sym 15520 spi_if_ins.o_cs_SB_LUT4_I2_O[1] -.sym 15521 r_tx_data[7] -.sym 15525 r_tx_data[3] -.sym 15526 w_tx_data_io[0] -.sym 15528 w_tx_data_smi[0] -.sym 15534 spi_if_ins.state_if[0] -.sym 15536 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 15537 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 15543 spi_if_ins.state_if[1] -.sym 15546 spi_if_ins.state_if[0] -.sym 15552 r_tx_data[7] -.sym 15556 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 15557 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[1] -.sym 15561 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 15562 w_tx_data_smi[0] -.sym 15563 spi_if_ins.o_cs_SB_LUT4_I2_O[1] -.sym 15564 w_tx_data_io[0] -.sym 15569 r_tx_data[2] -.sym 15579 r_tx_data[3] -.sym 15587 r_tx_data[4] -.sym 15589 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 15347 spi_if_ins.spi.r_rx_byte[6] +.sym 15349 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 15351 w_smi_read_req +.sym 15366 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E +.sym 15370 sys_ctrl_ins.reset_cmd +.sym 15371 spi_if_ins.r_tx_byte[4] +.sym 15372 r_tx_data[3] +.sym 15375 spi_if_ins.w_rx_data[5] +.sym 15378 sys_ctrl_ins.reset_cmd +.sym 15392 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 15393 spi_if_ins.w_rx_data[6] +.sym 15396 spi_if_ins.o_cs_SB_LUT4_I2_1_O[1] +.sym 15405 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 15408 spi_if_ins.w_rx_data[5] +.sym 15413 w_tx_data_smi[2] +.sym 15416 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 15427 spi_if_ins.w_rx_data[6] +.sym 15428 spi_if_ins.w_rx_data[5] +.sym 15433 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 15434 spi_if_ins.o_cs_SB_LUT4_I2_1_O[1] +.sym 15435 w_tx_data_smi[2] +.sym 15445 spi_if_ins.w_rx_data[5] +.sym 15446 spi_if_ins.w_rx_data[6] +.sym 15450 spi_if_ins.w_rx_data[5] +.sym 15451 spi_if_ins.w_rx_data[6] +.sym 15466 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 15467 r_counter[0]_$glb_clk +.sym 15468 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 15469 r_tx_data[7] +.sym 15470 r_tx_data[1] +.sym 15471 r_tx_data[4] +.sym 15472 r_tx_data[2] +.sym 15473 r_tx_data[5] +.sym 15474 spi_if_ins.w_rx_data[5] +.sym 15475 r_tx_data[6] +.sym 15476 r_tx_data[3] +.sym 15488 w_tx_data_io[0] +.sym 15493 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 15500 w_tx_data_io[4] +.sym 15501 spi_if_ins.r_tx_byte[6] +.sym 15510 w_cs[0] +.sym 15511 w_cs[3] +.sym 15512 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 15513 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 15514 w_cs[2] +.sym 15515 w_cs[1] +.sym 15516 spi_if_ins.w_rx_data[6] +.sym 15518 w_cs[0] +.sym 15519 w_cs[3] +.sym 15522 w_cs[2] +.sym 15530 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 15535 spi_if_ins.w_rx_data[5] +.sym 15543 w_cs[3] +.sym 15544 w_cs[1] +.sym 15545 w_cs[0] +.sym 15546 w_cs[2] +.sym 15549 w_cs[1] +.sym 15550 w_cs[0] +.sym 15551 w_cs[3] +.sym 15552 w_cs[2] +.sym 15556 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 15561 w_cs[2] +.sym 15562 w_cs[0] +.sym 15563 w_cs[1] +.sym 15564 w_cs[3] +.sym 15567 w_cs[3] +.sym 15568 w_cs[1] +.sym 15569 w_cs[2] +.sym 15570 w_cs[0] +.sym 15573 w_cs[1] +.sym 15574 w_cs[2] +.sym 15575 w_cs[0] +.sym 15576 w_cs[3] +.sym 15579 spi_if_ins.w_rx_data[6] +.sym 15581 spi_if_ins.w_rx_data[5] +.sym 15589 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E .sym 15590 r_counter[0]_$glb_clk -.sym 15592 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[1] -.sym 15593 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15594 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 15595 io_ctrl_ins.pmod_dir_state[3] -.sym 15596 io_ctrl_ins.pmod_dir_state[4] -.sym 15597 io_ctrl_ins.pmod_dir_state[2] -.sym 15598 io_ctrl_ins.led1_state_SB_LUT4_I3_O[0] -.sym 15599 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] -.sym 15603 o_led1$SB_IO_OUT -.sym 15612 w_rx_data[2] -.sym 15613 w_cs[0] -.sym 15614 w_rx_data[1] -.sym 15616 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 15617 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] -.sym 15620 spi_if_ins.state_if[0] -.sym 15621 w_fetch -.sym 15623 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 15624 w_ioc[0] -.sym 15626 w_ioc[1] -.sym 15634 w_tx_data_smi[3] -.sym 15635 w_tx_data_io[3] -.sym 15637 spi_if_ins.o_cs_SB_LUT4_I2_O[1] -.sym 15638 w_tx_data_io[1] -.sym 15639 w_tx_data_io[4] -.sym 15642 w_tx_data_io[2] -.sym 15643 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 15644 w_tx_data_smi[1] -.sym 15646 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] -.sym 15647 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 15649 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 15651 io_ctrl_ins.i_cs_SB_LUT4_I3_O[0] -.sym 15652 i_smi_a1_SB_LUT4_I1_O[2] -.sym 15657 w_tx_data_io[7] -.sym 15658 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 15659 w_fetch -.sym 15660 spi_if_ins.o_cs_SB_LUT4_I3_1_O -.sym 15661 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[2] -.sym 15662 w_cs[1] -.sym 15666 spi_if_ins.o_cs_SB_LUT4_I2_O[1] -.sym 15667 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 15668 w_tx_data_smi[1] -.sym 15669 w_tx_data_io[1] -.sym 15678 w_cs[1] -.sym 15679 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 15680 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 15681 i_smi_a1_SB_LUT4_I1_O[2] -.sym 15684 w_tx_data_io[7] -.sym 15685 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 15686 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 15692 io_ctrl_ins.i_cs_SB_LUT4_I3_O[0] -.sym 15693 w_fetch -.sym 15696 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 15697 w_tx_data_io[4] -.sym 15702 w_tx_data_io[2] -.sym 15703 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[2] -.sym 15705 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 15708 w_tx_data_smi[3] -.sym 15709 w_tx_data_io[3] -.sym 15710 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 15711 spi_if_ins.o_cs_SB_LUT4_I2_O[1] -.sym 15712 spi_if_ins.o_cs_SB_LUT4_I3_1_O -.sym 15713 i_glob_clock$SB_IO_IN_$glb_clk -.sym 15714 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] -.sym 15715 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] -.sym 15716 io_ctrl_ins.o_pmod[5] -.sym 15717 i_button_SB_LUT4_I3_I1[0] -.sym 15718 io_ctrl_ins.o_pmod[3] -.sym 15719 io_ctrl_ins.o_pmod[0] -.sym 15720 i_button_SB_LUT4_I3_I1[2] -.sym 15721 io_ctrl_ins.o_pmod[1] -.sym 15722 io_ctrl_ins.o_pmod[4] -.sym 15736 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15739 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 15741 w_rx_data[0] -.sym 15742 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] -.sym 15743 io_ctrl_ins.pmod_dir_state[4] -.sym 15745 w_rx_data[5] -.sym 15746 w_cs[1] -.sym 15749 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E -.sym 15750 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] -.sym 15757 o_ldo_2v8_en$SB_IO_OUT -.sym 15758 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] -.sym 15759 io_ctrl_ins.pmod_dir_state[3] -.sym 15760 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 15761 io_ctrl_ins.pmod_dir_state[2] -.sym 15763 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_I2[3] -.sym 15764 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[1] -.sym 15765 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15766 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 15768 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] -.sym 15769 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 15770 io_ctrl_ins.led1_state_SB_LUT4_I3_O[0] -.sym 15772 io_ctrl_ins.rf_mode[0] -.sym 15774 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] -.sym 15775 i_config[1]$SB_IO_IN -.sym 15776 o_led1$SB_IO_OUT -.sym 15777 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] -.sym 15778 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_I2[2] -.sym 15779 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 15780 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] -.sym 15781 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] -.sym 15782 i_button_SB_LUT4_I3_I1[1] -.sym 15783 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] -.sym 15784 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 15785 i_button_SB_LUT4_I3_I1[2] -.sym 15786 io_ctrl_ins.o_pmod[1] -.sym 15789 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 15790 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 15791 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15792 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 15795 o_ldo_2v8_en$SB_IO_OUT -.sym 15796 i_button_SB_LUT4_I3_I1[2] -.sym 15797 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_I2[3] -.sym 15798 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_I2[2] -.sym 15801 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15802 io_ctrl_ins.pmod_dir_state[3] -.sym 15803 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] -.sym 15804 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] -.sym 15807 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 15809 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[1] -.sym 15813 o_led1$SB_IO_OUT -.sym 15814 i_button_SB_LUT4_I3_I1[1] -.sym 15815 i_button_SB_LUT4_I3_I1[2] -.sym 15816 io_ctrl_ins.o_pmod[1] -.sym 15819 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] -.sym 15820 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] -.sym 15821 io_ctrl_ins.led1_state_SB_LUT4_I3_O[0] -.sym 15822 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15825 i_button_SB_LUT4_I3_I1[2] -.sym 15826 i_config[1]$SB_IO_IN -.sym 15827 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] -.sym 15828 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] -.sym 15831 io_ctrl_ins.pmod_dir_state[2] -.sym 15832 io_ctrl_ins.rf_mode[0] -.sym 15833 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 15834 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15835 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] +.sym 15591 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 15592 spi_if_ins.r_tx_byte[4] +.sym 15594 spi_if_ins.r_tx_byte[6] +.sym 15604 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] +.sym 15607 w_tx_data_io[5] +.sym 15608 i_mosi$SB_IO_IN +.sym 15609 w_tx_data_smi[1] +.sym 15615 w_tx_data_io[7] +.sym 15620 w_fetch +.sym 15624 w_cs[0] +.sym 15625 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 15627 w_fetch +.sym 15633 spi_if_ins.w_rx_data[3] +.sym 15634 w_ioc[1] +.sym 15635 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 15637 spi_if_ins.w_rx_data[4] +.sym 15639 w_fetch +.sym 15641 w_cs[2] +.sym 15646 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 15647 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 15657 w_cs[0] +.sym 15659 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[1] +.sym 15664 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 15667 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 15672 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[1] +.sym 15675 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 15678 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 15679 w_ioc[1] +.sym 15680 w_fetch +.sym 15681 w_cs[2] +.sym 15685 w_fetch +.sym 15686 w_cs[0] +.sym 15687 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 15702 spi_if_ins.w_rx_data[4] +.sym 15709 spi_if_ins.w_rx_data[3] +.sym 15712 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 15713 r_counter[0]_$glb_clk +.sym 15715 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[0] +.sym 15716 io_ctrl_ins.pmod_dir_state[1] +.sym 15717 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 15718 io_ctrl_ins.pmod_dir_state[6] +.sym 15719 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] +.sym 15720 io_ctrl_ins.pmod_dir_state[3] +.sym 15721 io_ctrl_ins.pmod_dir_state[4] +.sym 15722 io_ctrl_ins.pmod_dir_state[7] +.sym 15731 spi_if_ins.w_rx_data[1] +.sym 15735 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 15737 spi_if_ins.w_rx_data[3] +.sym 15745 w_ioc[0] +.sym 15747 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 15749 $PACKER_VCC_NET +.sym 15750 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 15757 w_ioc[1] +.sym 15761 spi_if_ins.w_rx_data[2] +.sym 15762 spi_if_ins.w_rx_data[0] +.sym 15764 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 15768 io_ctrl_ins.i_cs_SB_LUT4_I3_O[0] +.sym 15769 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 15770 w_ioc[4] +.sym 15771 w_ioc[3] +.sym 15774 w_ioc[2] +.sym 15779 spi_if_ins.w_rx_data[1] +.sym 15780 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 15783 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 15785 w_cs[1] +.sym 15787 w_fetch +.sym 15789 w_ioc[2] +.sym 15790 w_ioc[1] +.sym 15791 w_ioc[3] +.sym 15792 w_ioc[4] +.sym 15797 spi_if_ins.w_rx_data[1] +.sym 15804 spi_if_ins.w_rx_data[2] +.sym 15807 io_ctrl_ins.i_cs_SB_LUT4_I3_O[0] +.sym 15810 w_fetch +.sym 15813 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 15814 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 15815 w_cs[1] +.sym 15816 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 15819 w_ioc[4] +.sym 15820 w_ioc[3] +.sym 15822 w_ioc[2] +.sym 15834 spi_if_ins.w_rx_data[0] +.sym 15835 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] .sym 15836 r_counter[0]_$glb_clk -.sym 15837 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 15838 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] -.sym 15839 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] -.sym 15840 i_button_SB_LUT4_I3_I1[1] -.sym 15841 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E -.sym 15842 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 15843 sys_ctrl_ins.o_data_out_SB_DFFE_Q_D[1] -.sym 15844 w_load -.sym 15845 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 15853 $io_pmod[2]$iobuf_i -.sym 15854 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 15861 o_ldo_2v8_en$SB_IO_OUT -.sym 15864 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_I2[2] -.sym 15865 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 15866 i_config[3]$SB_IO_IN -.sym 15873 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 15881 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 15882 i_smi_a1_SB_LUT4_I1_O[2] -.sym 15883 w_cs[0] -.sym 15885 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] -.sym 15886 i_smi_a1_SB_LUT4_I1_O[2] -.sym 15888 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 15891 w_fetch -.sym 15892 i_button_SB_LUT4_I3_I1[2] -.sym 15893 io_ctrl_ins.debug_mode[0] -.sym 15894 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] -.sym 15901 w_load -.sym 15906 w_cs[1] -.sym 15907 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 15908 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 15910 o_led0$SB_IO_OUT -.sym 15912 w_cs[0] -.sym 15913 w_fetch -.sym 15914 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 15919 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] -.sym 15920 i_smi_a1_SB_LUT4_I1_O[2] -.sym 15924 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] -.sym 15936 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] -.sym 15937 i_smi_a1_SB_LUT4_I1_O[2] -.sym 15939 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 15942 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 15943 o_led0$SB_IO_OUT -.sym 15944 io_ctrl_ins.debug_mode[0] -.sym 15945 i_button_SB_LUT4_I3_I1[2] -.sym 15948 i_button_SB_LUT4_I3_I1[2] -.sym 15950 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] -.sym 15951 i_smi_a1_SB_LUT4_I1_O[2] -.sym 15955 w_load -.sym 15956 w_fetch -.sym 15957 w_cs[1] -.sym 15958 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 15838 io_ctrl_ins.o_pmod[6] +.sym 15839 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 15840 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[1] +.sym 15841 io_ctrl_ins.o_pmod[4] +.sym 15842 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_O[0] +.sym 15843 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 15844 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 15845 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 15851 io_ctrl_ins.pmod_dir_state[4] +.sym 15854 w_rx_data[2] +.sym 15862 sys_ctrl_ins.reset_cmd +.sym 15864 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 15865 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 15866 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 15868 io_ctrl_ins.pmod_dir_state[3] +.sym 15873 w_ioc[0] +.sym 15880 w_ioc[1] +.sym 15882 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] +.sym 15884 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 15888 w_ioc[1] +.sym 15889 w_ioc[2] +.sym 15891 w_load +.sym 15892 w_fetch +.sym 15894 w_ioc[0] +.sym 15896 w_cs[0] +.sym 15901 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 15905 w_cs[1] +.sym 15906 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E +.sym 15908 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R +.sym 15909 $PACKER_VCC_NET +.sym 15918 w_ioc[2] +.sym 15919 w_ioc[0] +.sym 15920 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] +.sym 15921 w_ioc[1] +.sym 15930 w_load +.sym 15931 w_fetch +.sym 15932 w_cs[0] +.sym 15933 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 15939 $PACKER_VCC_NET +.sym 15942 w_cs[0] +.sym 15949 w_ioc[1] +.sym 15950 w_ioc[0] +.sym 15951 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 15954 w_cs[1] +.sym 15956 w_load +.sym 15957 w_fetch +.sym 15958 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E .sym 15959 r_counter[0]_$glb_clk -.sym 15960 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 15961 io_ctrl_ins.rf_pin_state[4] -.sym 15962 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] -.sym 15964 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O -.sym 15965 io_ctrl_ins.rf_pin_state[5] -.sym 15966 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] -.sym 15967 io_ctrl_ins.rf_pin_state[3] -.sym 15968 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_I2[2] -.sym 15991 i_button$SB_IO_IN -.sym 16002 w_rx_data[2] -.sym 16004 w_rx_data[1] -.sym 16013 w_rx_data[0] -.sym 16029 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O +.sym 15960 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R +.sym 15961 io_ctrl_ins.rf_mode[2] +.sym 15962 io_ctrl_ins.rf_mode[0] +.sym 15963 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 15964 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[3] +.sym 15965 io_ctrl_ins.debug_mode[0] +.sym 15966 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 15967 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 15968 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 15973 io_ctrl_ins.rf_pin_state[0] +.sym 15977 io_ctrl_ins.rf_pin_state[4] +.sym 15982 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 15983 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 15985 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 15987 w_rx_data[1] +.sym 15994 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 15996 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[0] +.sym 16007 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 16011 w_rx_data[3] +.sym 16013 w_rx_data[1] +.sym 16017 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[0] +.sym 16020 io_ctrl_ins.rf_mode_SB_DFFESR_Q_E +.sym 16033 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 16047 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[0] +.sym 16048 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 16049 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] .sym 16054 w_rx_data[1] -.sym 16059 w_rx_data[2] -.sym 16071 w_rx_data[0] -.sym 16081 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O +.sym 16061 w_rx_data[3] +.sym 16081 io_ctrl_ins.rf_mode_SB_DFFESR_Q_E .sym 16082 r_counter[0]_$glb_clk -.sym 16083 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 16084 io_ctrl_ins.rf_pin_state[7] -.sym 16086 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] -.sym 16087 io_ctrl_ins.rf_pin_state[0] -.sym 16089 io_ctrl_ins.rf_mode[0] -.sym 16091 io_ctrl_ins.rf_pin_state[6] -.sym 16104 io_ctrl_ins.debug_mode[1] -.sym 16127 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E -.sym 16132 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 16159 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 16204 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E +.sym 16083 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 16084 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[2] +.sym 16086 io_ctrl_ins.o_pmod[2] +.sym 16087 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 16088 io_ctrl_ins.led1_state_SB_DFFESR_Q_E +.sym 16089 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[2] +.sym 16090 io_ctrl_ins.o_pmod[1] +.sym 16091 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[3] +.sym 16096 i_button_SB_LUT4_I3_O[0] +.sym 16097 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 16101 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 16104 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[0] +.sym 16106 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16113 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16115 o_shdn_rx_lna$SB_IO_OUT +.sym 16116 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 16127 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 16130 w_rx_data[1] +.sym 16132 w_rx_data[2] +.sym 16176 w_rx_data[2] +.sym 16197 w_rx_data[1] +.sym 16204 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E .sym 16205 r_counter[0]_$glb_clk -.sym 16210 io_ctrl_ins.rf_pin_state[2] -.sym 16211 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 16223 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E -.sym 16238 w_rx_data[0] -.sym 16253 w_rx_data[2] -.sym 16259 io_ctrl_ins.led1_state_SB_DFFESR_Q_E -.sym 16262 w_rx_data[0] -.sym 16263 w_rx_data[1] -.sym 16284 w_rx_data[0] -.sym 16296 w_rx_data[2] -.sym 16319 w_rx_data[1] -.sym 16327 io_ctrl_ins.led1_state_SB_DFFESR_Q_E +.sym 16207 o_led1$SB_IO_OUT +.sym 16213 o_ldo_2v8_en +.sym 16214 o_led0$SB_IO_OUT +.sym 16224 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] +.sym 16231 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 16232 io_ctrl_ins.rf_mode[2] +.sym 16236 i_button$SB_IO_IN +.sym 16248 io_ctrl_ins.rf_mode[2] +.sym 16251 io_ctrl_ins.rf_pin_state[2] +.sym 16259 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 16260 io_ctrl_ins.led1_state_SB_DFFESR_Q_E +.sym 16261 sys_ctrl_ins.reset_cmd +.sym 16262 io_ctrl_ins.rf_pin_state[1] +.sym 16273 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16276 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 16287 io_ctrl_ins.rf_pin_state[1] +.sym 16288 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16289 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 16294 io_ctrl_ins.led1_state_SB_DFFESR_Q_E +.sym 16305 io_ctrl_ins.rf_mode[2] +.sym 16306 io_ctrl_ins.rf_pin_state[2] +.sym 16307 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16308 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 16324 sys_ctrl_ins.reset_cmd +.sym 16327 io_ctrl_ins.debug_mode_SB_LUT4_I0_O .sym 16328 r_counter[0]_$glb_clk -.sym 16329 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 16342 o_led0$SB_IO_OUT -.sym 16347 io_ctrl_ins.led1_state_SB_DFFESR_Q_E -.sym 16355 o_ldo_2v8_en$SB_IO_OUT +.sym 16337 $PACKER_GND_NET +.sym 16347 o_led0$SB_IO_OUT .sym 16358 i_config[3]$SB_IO_IN +.sym 16382 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E +.sym 16391 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 16394 $PACKER_GND_NET +.sym 16412 $PACKER_GND_NET +.sym 16450 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E +.sym 16451 r_counter[0]_$glb_clk +.sym 16452 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S .sym 16453 i_config[3]$SB_IO_IN .sym 16455 i_button$SB_IO_IN -.sym 16478 i_button$SB_IO_IN +.sym 16466 $PACKER_GND_NET .sym 16497 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O -.sym 16514 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 16512 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O .sym 16523 i_smi_a3$SB_IO_IN -.sym 16553 spi_if_ins.spi.r2_rx_done -.sym 16554 i_ss_SB_LUT4_I3_O -.sym 16557 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 16558 spi_if_ins.spi.r3_rx_done -.sym 16571 spi_if_ins.w_rx_data[4] -.sym 16574 spi_if_ins.w_rx_data[0] -.sym 16597 spi_if_ins.spi.r_rx_bit_count[2] -.sym 16599 i_ss$SB_IO_IN -.sym 16615 spi_if_ins.spi.r_rx_bit_count[1] -.sym 16624 spi_if_ins.spi.r_rx_bit_count[0] -.sym 16627 $nextpnr_ICESTORM_LC_14$O -.sym 16630 spi_if_ins.spi.r_rx_bit_count[0] -.sym 16633 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] -.sym 16635 spi_if_ins.spi.r_rx_bit_count[1] -.sym 16640 spi_if_ins.spi.r_rx_bit_count[2] -.sym 16643 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] -.sym 16652 spi_if_ins.spi.r_rx_bit_count[0] -.sym 16654 spi_if_ins.spi.r_rx_bit_count[1] -.sym 16659 spi_if_ins.spi.r_rx_bit_count[0] -.sym 16675 i_sck$SB_IO_IN_$glb_clk -.sym 16676 i_ss$SB_IO_IN -.sym 16683 rx_09_fifo.rd_addr[7] -.sym 16686 rx_09_fifo.rd_addr_gray[5] -.sym 16691 w_fetch -.sym 16692 spi_if_ins.o_cs_SB_LUT4_I3_1_O -.sym 16699 i_ss$SB_IO_IN -.sym 16706 io_pmod[2]$SB_IO_IN -.sym 16710 i_ss$SB_IO_IN -.sym 16729 io_smi_data[4]$SB_IO_OUT -.sym 16731 spi_if_ins.spi.r_rx_done -.sym 16733 i_ss_SB_LUT4_I3_O -.sym 16736 i_ss$SB_IO_IN -.sym 16764 rx_09_fifo.rd_addr_gray_wr[5] -.sym 16765 rx_09_fifo.rd_addr_gray_wr[3] -.sym 16768 spi_if_ins.spi.r_rx_bit_count[2] -.sym 16770 spi_if_ins.spi.r_rx_bit_count[1] -.sym 16771 spi_if_ins.spi.r_rx_bit_count[0] -.sym 16779 rx_09_fifo.rd_addr_gray[5] -.sym 16789 rx_09_fifo.rd_addr_gray[3] -.sym 16804 spi_if_ins.spi.r_rx_bit_count[2] -.sym 16805 spi_if_ins.spi.r_rx_bit_count[0] -.sym 16806 spi_if_ins.spi.r_rx_bit_count[1] -.sym 16809 spi_if_ins.spi.r_rx_bit_count[1] -.sym 16810 spi_if_ins.spi.r_rx_bit_count[0] -.sym 16811 spi_if_ins.spi.r_rx_bit_count[2] -.sym 16817 rx_09_fifo.rd_addr_gray_wr[5] -.sym 16821 rx_09_fifo.rd_addr_gray_wr[3] -.sym 16828 rx_09_fifo.rd_addr_gray[5] -.sym 16834 rx_09_fifo.rd_addr_gray[3] -.sym 16838 lvds_clock_buf -.sym 16842 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 16844 spi_if_ins.spi.r_rx_done -.sym 16851 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 16863 rx_09_fifo.rd_addr[7] -.sym 16870 spi_if_ins.w_rx_data[1] -.sym 16872 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] -.sym 16874 spi_if_ins.w_rx_data[4] -.sym 16881 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 16882 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 16886 i_mosi$SB_IO_IN -.sym 16895 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 16896 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 16899 i_ss_SB_LUT4_I3_O -.sym 16900 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 16909 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 16914 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 16920 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 16932 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 16940 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 16944 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 16951 i_mosi$SB_IO_IN -.sym 16956 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 16960 i_ss_SB_LUT4_I3_O -.sym 16961 i_sck$SB_IO_IN_$glb_clk -.sym 16967 rx_09_fifo.rd_addr_gray[2] -.sym 16968 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 16988 rx_09_fifo.rd_addr_gray[2] -.sym 16990 spi_if_ins.w_rx_data[5] -.sym 16992 spi_if_ins.w_rx_data[2] -.sym 16996 spi_if_ins.w_rx_data[3] -.sym 17004 i_mosi$SB_IO_IN -.sym 17005 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 17006 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 17011 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 17012 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 17015 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 17016 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 17017 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 17033 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 17037 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 17043 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 17050 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 17055 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 17061 i_mosi$SB_IO_IN -.sym 17070 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 17073 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 17081 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 17083 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 17084 i_sck$SB_IO_IN_$glb_clk -.sym 17093 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 17096 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O -.sym 17103 io_pmod[4]$SB_IO_IN -.sym 17106 i_ss$SB_IO_IN -.sym 17112 w_cs[1] -.sym 17119 $PACKER_VCC_NET -.sym 17127 spi_if_ins.spi.r_rx_byte[7] -.sym 17129 spi_if_ins.spi.r_rx_byte[2] -.sym 17130 spi_if_ins.spi.r_rx_byte[4] -.sym 17131 spi_if_ins.spi.r_rx_byte[0] -.sym 17133 spi_if_ins.spi.r_rx_byte[5] -.sym 17134 spi_if_ins.spi.r_rx_byte[1] -.sym 17136 spi_if_ins.spi.r_rx_byte[3] -.sym 17138 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 17142 spi_if_ins.spi.r_rx_byte[6] -.sym 17160 spi_if_ins.spi.r_rx_byte[2] -.sym 17169 spi_if_ins.spi.r_rx_byte[6] -.sym 17174 spi_if_ins.spi.r_rx_byte[3] -.sym 17180 spi_if_ins.spi.r_rx_byte[1] -.sym 17184 spi_if_ins.spi.r_rx_byte[7] -.sym 17192 spi_if_ins.spi.r_rx_byte[4] -.sym 17198 spi_if_ins.spi.r_rx_byte[0] -.sym 17203 spi_if_ins.spi.r_rx_byte[5] -.sym 17206 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 16554 io_smi_data[4]$SB_IO_OUT +.sym 16557 i_smi_a3$SB_IO_IN +.sym 16558 rx_09_fifo.rd_addr_gray_wr[5] +.sym 16559 io_smi_data[5]$SB_IO_OUT +.sym 16560 rx_09_fifo.rd_addr_gray_wr[0] +.sym 16572 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 16595 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[1] +.sym 16596 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] +.sym 16597 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 16600 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 16602 rx_09_fifo.rd_addr[3] +.sym 16606 rx_09_fifo.rd_addr_gray_wr[2] +.sym 16608 rx_09_fifo.wr_addr_gray_rd_r[2] +.sym 16609 rx_09_fifo.rd_addr_gray[1] +.sym 16614 rx_09_fifo.rd_addr_gray_wr[1] +.sym 16617 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 16635 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 16636 rx_09_fifo.rd_addr[3] +.sym 16637 rx_09_fifo.wr_addr_gray_rd_r[2] +.sym 16640 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 16641 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] +.sym 16642 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[1] +.sym 16643 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 16648 rx_09_fifo.rd_addr_gray[1] +.sym 16660 rx_09_fifo.rd_addr_gray_wr[1] +.sym 16666 rx_09_fifo.rd_addr_gray_wr[2] +.sym 16675 lvds_clock_buf +.sym 16681 $io_pmod[2]$iobuf_i +.sym 16682 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 16683 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[0] +.sym 16684 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[3] +.sym 16685 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 16686 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[2] +.sym 16688 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[1] +.sym 16697 $PACKER_VCC_NET +.sym 16698 rx_09_fifo.rd_addr_gray_wr[0] +.sym 16710 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 16724 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 16731 rx_09_fifo.rd_addr_gray[5] +.sym 16737 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 16759 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 16760 rx_09_fifo.wr_addr_gray_rd_r[5] +.sym 16762 rx_09_fifo.wr_addr_gray_rd_r[0] +.sym 16767 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[2] +.sym 16768 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 16769 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 16770 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[1] +.sym 16772 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 16776 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 16777 rx_09_fifo.rd_addr[0] +.sym 16780 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[3] +.sym 16783 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 16784 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] +.sym 16787 rx_09_fifo.wr_addr_gray_rd_r[2] +.sym 16788 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 16791 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[3] +.sym 16792 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] +.sym 16793 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[2] +.sym 16794 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 16797 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 16800 rx_09_fifo.wr_addr_gray_rd_r[5] +.sym 16803 rx_09_fifo.wr_addr_gray_rd_r[5] +.sym 16805 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 16809 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 16811 rx_09_fifo.rd_addr[0] +.sym 16812 rx_09_fifo.wr_addr_gray_rd_r[0] +.sym 16815 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 16816 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 16817 rx_09_fifo.wr_addr_gray_rd_r[2] +.sym 16821 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[1] +.sym 16828 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 16830 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 16836 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 16837 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 16838 r_counter[0]_$glb_clk +.sym 16839 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 16840 rx_09_fifo.rd_addr_gray[0] +.sym 16841 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 16842 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[0] +.sym 16843 rx_09_fifo.rd_addr[0] +.sym 16844 rx_09_fifo.rd_addr_gray[5] +.sym 16845 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] +.sym 16846 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 16847 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 16853 io_pmod[5]$SB_IO_IN +.sym 16854 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[1] +.sym 16864 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R +.sym 16894 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 16896 rx_09_fifo.rd_addr[3] +.sym 16898 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 16902 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] +.sym 16903 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 16908 rx_09_fifo.rd_addr[0] +.sym 16911 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 16912 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 16913 $nextpnr_ICESTORM_LC_7$O +.sym 16916 rx_09_fifo.rd_addr[0] +.sym 16919 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] +.sym 16922 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] +.sym 16923 rx_09_fifo.rd_addr[0] +.sym 16925 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] +.sym 16928 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 16929 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] +.sym 16931 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] +.sym 16933 rx_09_fifo.rd_addr[3] +.sym 16935 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] +.sym 16937 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] +.sym 16940 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 16941 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] +.sym 16943 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] +.sym 16946 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 16947 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] +.sym 16949 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] +.sym 16951 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 16953 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] +.sym 16957 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 16959 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] +.sym 16963 rx_09_fifo.wr_addr_gray_rd_r[3] +.sym 16964 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 16965 spi_if_ins.spi.SCKr[1] +.sym 16966 spi_if_ins.spi.SCKr[0] +.sym 16967 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 16969 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R +.sym 16970 spi_if_ins.spi.SCKr[2] +.sym 16971 $PACKER_VCC_NET +.sym 16976 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 16977 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[3] +.sym 16980 $PACKER_VCC_NET +.sym 16981 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 16983 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 16985 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[1] +.sym 16989 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 16993 spi_if_ins.r_tx_byte[0] +.sym 17006 spi_if_ins.spi.r_tx_byte[7] +.sym 17007 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 17008 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 17009 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 17010 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 17011 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 17014 $PACKER_VCC_NET +.sym 17017 $PACKER_VCC_NET +.sym 17022 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 17024 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R +.sym 17025 spi_if_ins.spi.r_tx_byte[3] +.sym 17029 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 17030 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17033 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 17035 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 17036 $nextpnr_ICESTORM_LC_15$O +.sym 17039 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 17042 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 17044 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 17045 $PACKER_VCC_NET +.sym 17049 $PACKER_VCC_NET +.sym 17050 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17052 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 17055 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 17056 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 17057 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 17058 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 17061 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 17067 $PACKER_VCC_NET +.sym 17069 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 17070 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 17073 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 17075 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 17076 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 17079 spi_if_ins.spi.r_tx_byte[3] +.sym 17080 spi_if_ins.spi.r_tx_byte[7] +.sym 17081 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17082 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 17083 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 17084 r_counter[0]_$glb_clk +.sym 17085 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R +.sym 17087 smi_ctrl_ins.int_cnt_24[5] +.sym 17099 i_ss$SB_IO_IN +.sym 17100 $PACKER_VCC_NET +.sym 17101 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 17105 $PACKER_VCC_NET +.sym 17106 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 17109 i_sck$SB_IO_IN +.sym 17113 w_smi_read_req +.sym 17114 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 17121 i_smi_soe_se$rename$0 +.sym 17128 spi_if_ins.r_tx_byte[7] +.sym 17130 spi_if_ins.r_tx_byte[1] +.sym 17131 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 17132 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[0] +.sym 17136 spi_if_ins.spi.r_tx_byte[1] +.sym 17137 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17138 spi_if_ins.spi.r_tx_byte[5] +.sym 17139 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 17140 spi_if_ins.r_tx_byte[2] +.sym 17142 spi_if_ins.r_tx_byte[5] +.sym 17143 spi_if_ins.spi.r_tx_byte[2] +.sym 17145 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[1] +.sym 17147 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 17153 spi_if_ins.r_tx_byte[0] +.sym 17154 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 17156 spi_if_ins.spi.r_tx_byte[6] +.sym 17160 spi_if_ins.r_tx_byte[2] +.sym 17166 spi_if_ins.r_tx_byte[1] +.sym 17173 spi_if_ins.r_tx_byte[7] +.sym 17179 spi_if_ins.r_tx_byte[5] +.sym 17184 spi_if_ins.spi.r_tx_byte[5] +.sym 17185 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 17186 spi_if_ins.spi.r_tx_byte[1] +.sym 17187 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17190 spi_if_ins.r_tx_byte[0] +.sym 17196 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[1] +.sym 17197 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[0] +.sym 17198 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 17199 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 17202 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 17203 spi_if_ins.spi.r_tx_byte[6] +.sym 17204 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17205 spi_if_ins.spi.r_tx_byte[2] +.sym 17206 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E .sym 17207 r_counter[0]_$glb_clk -.sym 17212 int_miso -.sym 17225 spi_if_ins.w_rx_data[6] -.sym 17226 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 17233 spi_if_ins.r_tx_data_valid -.sym 17238 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] -.sym 17243 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 17252 w_tx_data_io[5] -.sym 17254 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] -.sym 17255 w_tx_data_io[6] -.sym 17261 spi_if_ins.o_cs_SB_LUT4_I3_1_O -.sym 17269 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 17281 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 17283 w_tx_data_io[5] -.sym 17285 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 17286 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 17301 w_tx_data_io[6] -.sym 17302 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 17329 spi_if_ins.o_cs_SB_LUT4_I3_1_O -.sym 17330 i_glob_clock$SB_IO_IN_$glb_clk -.sym 17331 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] -.sym 17334 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 17336 $PACKER_VCC_NET -.sym 17338 spi_if_ins.r_tx_data_valid -.sym 17344 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] -.sym 17346 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 17347 spi_if_ins.r_tx_byte[7] -.sym 17350 io_pmod[7]$SB_IO_IN -.sym 17353 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 17357 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] -.sym 17358 w_cs[0] -.sym 17363 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 17364 w_rx_data[6] -.sym 17366 spi_if_ins.w_rx_data[4] -.sym 17367 spi_if_ins.w_rx_data[1] -.sym 17375 spi_if_ins.w_rx_data[6] -.sym 17377 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 17379 spi_if_ins.w_rx_data[5] -.sym 17391 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 17401 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 17413 spi_if_ins.w_rx_data[6] -.sym 17415 spi_if_ins.w_rx_data[5] -.sym 17419 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 17430 spi_if_ins.w_rx_data[5] -.sym 17432 spi_if_ins.w_rx_data[6] -.sym 17437 spi_if_ins.w_rx_data[5] -.sym 17439 spi_if_ins.w_rx_data[6] -.sym 17452 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 17208 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 17211 spi_if_ins.spi.r_rx_bit_count[2] +.sym 17212 spi_if_ins.spi.r_rx_bit_count[0] +.sym 17214 spi_if_ins.spi.r_rx_bit_count[1] +.sym 17222 $PACKER_VCC_NET +.sym 17224 $io_pmod[4]$iobuf_i +.sym 17227 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 17231 $PACKER_VCC_NET +.sym 17238 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 17239 spi_if_ins.w_rx_data[6] +.sym 17240 i_mosi$SB_IO_IN +.sym 17242 spi_if_ins.spi.r_tx_byte[6] +.sym 17252 sys_ctrl_ins.reset_count[2] +.sym 17254 sys_ctrl_ins.reset_cmd +.sym 17255 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17259 sys_ctrl_ins.reset_cmd +.sym 17261 sys_ctrl_ins.reset_count[3] +.sym 17262 sys_ctrl_ins.reset_count[1] +.sym 17263 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17268 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 17272 sys_ctrl_ins.reset_count[0] +.sym 17280 sys_ctrl_ins.reset_count[0] +.sym 17282 $nextpnr_ICESTORM_LC_16$O +.sym 17284 sys_ctrl_ins.reset_count[0] +.sym 17288 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 17291 sys_ctrl_ins.reset_count[1] +.sym 17294 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] +.sym 17295 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17297 sys_ctrl_ins.reset_count[2] +.sym 17298 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 17301 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17302 sys_ctrl_ins.reset_count[3] +.sym 17304 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] +.sym 17308 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17309 sys_ctrl_ins.reset_count[0] +.sym 17310 sys_ctrl_ins.reset_count[1] +.sym 17313 sys_ctrl_ins.reset_count[1] +.sym 17314 sys_ctrl_ins.reset_count[3] +.sym 17315 sys_ctrl_ins.reset_count[0] +.sym 17316 sys_ctrl_ins.reset_count[2] +.sym 17319 sys_ctrl_ins.reset_count[0] +.sym 17327 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17328 sys_ctrl_ins.reset_cmd +.sym 17329 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 17330 r_counter[0]_$glb_clk +.sym 17331 sys_ctrl_ins.reset_cmd +.sym 17333 spi_if_ins.w_rx_data[6] +.sym 17334 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 17335 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 17339 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E +.sym 17345 i_ss$SB_IO_IN +.sym 17355 sys_ctrl_ins.reset_cmd +.sym 17358 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 17365 w_tx_data_io[3] +.sym 17378 spi_if_ins.r_tx_byte[3] +.sym 17383 spi_if_ins.r_tx_byte[6] +.sym 17384 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 17394 spi_if_ins.r_tx_byte[4] +.sym 17402 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 17409 spi_if_ins.r_tx_byte[3] +.sym 17419 spi_if_ins.r_tx_byte[6] +.sym 17436 spi_if_ins.r_tx_byte[4] +.sym 17452 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E .sym 17453 r_counter[0]_$glb_clk -.sym 17454 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 17455 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 17457 w_rx_data[6] -.sym 17458 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 17459 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 17460 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 17461 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 17462 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 17467 w_tx_data_io[6] -.sym 17471 w_cs[1] -.sym 17477 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] -.sym 17479 w_rx_data[7] -.sym 17480 w_ioc[0] -.sym 17482 spi_if_ins.w_rx_data[5] -.sym 17483 $PACKER_VCC_NET -.sym 17484 spi_if_ins.w_rx_data[3] -.sym 17485 spi_if_ins.w_rx_data[2] -.sym 17486 w_cs[3] -.sym 17487 w_rx_data[0] -.sym 17489 w_rx_data[4] -.sym 17490 w_ioc[2] -.sym 17499 spi_if_ins.w_rx_data[5] -.sym 17500 w_cs[2] -.sym 17501 spi_if_ins.w_rx_data[6] -.sym 17503 w_ioc[1] -.sym 17507 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 17509 w_fetch -.sym 17511 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 17515 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 17516 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 17517 i_smi_a1_SB_LUT4_I1_O[2] -.sym 17518 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 17520 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 17524 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 17529 w_fetch -.sym 17530 w_ioc[1] -.sym 17531 w_cs[2] -.sym 17532 i_smi_a1_SB_LUT4_I1_O[2] -.sym 17535 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 17538 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 17544 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 17554 spi_if_ins.w_rx_data[6] -.sym 17556 spi_if_ins.w_rx_data[5] -.sym 17561 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 17562 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 17565 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 17566 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 17568 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 17575 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 17576 r_counter[0]_$glb_clk -.sym 17577 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 17578 w_rx_data[1] -.sym 17579 w_rx_data[5] -.sym 17580 w_rx_data[0] -.sym 17581 w_rx_data[4] -.sym 17583 w_rx_data[3] -.sym 17584 w_rx_data[7] -.sym 17585 w_rx_data[2] -.sym 17592 w_fetch -.sym 17595 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 17597 spi_if_ins.state_if[0] -.sym 17599 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 17602 w_rx_data[6] -.sym 17606 w_ioc[0] -.sym 17607 w_rx_data[7] -.sym 17609 w_rx_data[2] -.sym 17611 w_rx_data[1] -.sym 17612 w_cs[1] -.sym 17613 w_rx_data[5] -.sym 17619 w_ioc[4] -.sym 17621 w_cs[1] -.sym 17623 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 17624 w_ioc[3] -.sym 17627 w_cs[2] -.sym 17628 w_cs[0] -.sym 17629 w_cs[3] -.sym 17630 w_ioc[2] -.sym 17633 spi_if_ins.w_rx_data[1] -.sym 17637 spi_if_ins.w_rx_data[4] -.sym 17644 spi_if_ins.w_rx_data[3] -.sym 17645 spi_if_ins.w_rx_data[2] -.sym 17646 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 17647 spi_if_ins.w_rx_data[0] -.sym 17654 spi_if_ins.w_rx_data[4] -.sym 17661 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 17664 w_ioc[4] -.sym 17665 w_ioc[3] -.sym 17666 w_ioc[2] -.sym 17670 spi_if_ins.w_rx_data[2] -.sym 17676 w_cs[0] -.sym 17677 w_cs[2] -.sym 17678 w_cs[1] -.sym 17679 w_cs[3] -.sym 17683 spi_if_ins.w_rx_data[3] -.sym 17691 spi_if_ins.w_rx_data[0] -.sym 17696 spi_if_ins.w_rx_data[1] -.sym 17698 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 17699 r_counter[0]_$glb_clk -.sym 17702 io_ctrl_ins.pmod_dir_state[5] -.sym 17703 io_ctrl_ins.pmod_dir_state[6] -.sym 17704 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 17705 io_ctrl_ins.pmod_dir_state[7] -.sym 17706 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[0] -.sym 17722 w_rx_data[5] -.sym 17724 w_rx_data[0] +.sym 17454 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 17455 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 17456 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 17457 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 17458 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 17459 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 17460 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 17461 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 17462 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 17467 i_smi_soe_se$rename$0 +.sym 17471 spi_if_ins.r_tx_byte[6] +.sym 17472 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 17476 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 17490 w_tx_data_io[1] +.sym 17497 i_ss$SB_IO_IN +.sym 17505 io_pmod[2]$SB_IO_IN +.sym 17507 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 17514 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 17522 spi_if_ins.r_tx_data_valid +.sym 17525 w_rx_24_fifo_empty +.sym 17536 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 17547 spi_if_ins.r_tx_data_valid +.sym 17549 i_ss$SB_IO_IN +.sym 17560 w_rx_24_fifo_empty +.sym 17562 io_pmod[2]$SB_IO_IN +.sym 17575 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 17576 i_sck$SB_IO_IN_$glb_clk +.sym 17578 spi_if_ins.spi.r_rx_byte[0] +.sym 17579 spi_if_ins.spi.r_rx_byte[4] +.sym 17580 spi_if_ins.spi.r_rx_byte[2] +.sym 17582 spi_if_ins.spi.r_rx_byte[7] +.sym 17583 spi_if_ins.spi.r_rx_byte[1] +.sym 17584 spi_if_ins.spi.r_rx_byte[3] +.sym 17585 spi_if_ins.spi.r_rx_byte[5] +.sym 17592 w_smi_read_req +.sym 17595 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 17598 i_ss$SB_IO_IN +.sym 17601 io_pmod[2]$SB_IO_IN +.sym 17602 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[0] +.sym 17605 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 17608 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 17609 w_smi_read_req +.sym 17610 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 17611 w_rx_24_fifo_empty +.sym 17612 w_tx_data_io[2] +.sym 17613 io_pmod[2]$SB_IO_IN +.sym 17619 w_tx_data_io[2] +.sym 17623 w_tx_data_io[7] +.sym 17624 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 17625 w_tx_data_io[5] +.sym 17628 spi_if_ins.o_cs_SB_LUT4_I2_1_O[1] +.sym 17630 spi_if_ins.o_cs_SB_LUT4_I3_1_O +.sym 17631 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 17632 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] +.sym 17633 w_tx_data_smi[1] +.sym 17635 w_tx_data_io[3] +.sym 17640 w_tx_data_io[6] +.sym 17645 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[2] +.sym 17646 spi_if_ins.w_rx_data[5] +.sym 17647 w_tx_data_smi[3] +.sym 17649 w_tx_data_io[4] +.sym 17650 w_tx_data_io[1] +.sym 17653 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 17654 w_tx_data_io[7] +.sym 17655 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 17658 w_tx_data_smi[1] +.sym 17659 spi_if_ins.o_cs_SB_LUT4_I2_1_O[1] +.sym 17660 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 17661 w_tx_data_io[1] +.sym 17665 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 17667 w_tx_data_io[4] +.sym 17670 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 17671 w_tx_data_io[2] +.sym 17672 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[2] +.sym 17677 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 17678 w_tx_data_io[5] +.sym 17679 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 17685 spi_if_ins.w_rx_data[5] +.sym 17689 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 17691 w_tx_data_io[6] +.sym 17694 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 17695 w_tx_data_io[3] +.sym 17696 w_tx_data_smi[3] +.sym 17697 spi_if_ins.o_cs_SB_LUT4_I2_1_O[1] +.sym 17698 spi_if_ins.o_cs_SB_LUT4_I3_1_O +.sym 17699 i_glob_clock$SB_IO_IN_$glb_clk +.sym 17700 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] +.sym 17701 spi_if_ins.w_rx_data[3] +.sym 17702 spi_if_ins.w_rx_data[0] +.sym 17703 spi_if_ins.w_rx_data[4] +.sym 17704 spi_if_ins.w_rx_data[5] +.sym 17706 spi_if_ins.w_rx_data[1] +.sym 17707 spi_if_ins.w_rx_data[2] +.sym 17708 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 17716 $PACKER_VCC_NET .sym 17725 w_rx_data[0] -.sym 17726 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] -.sym 17727 w_rx_data[4] -.sym 17728 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 17729 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 17730 spi_if_ins.o_cs_SB_LUT4_I3_1_O -.sym 17731 w_rx_data[3] -.sym 17732 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 17733 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 17735 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 17736 io_ctrl_ins.pmod_dir_state[5] -.sym 17744 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 17745 w_rx_data[4] -.sym 17747 w_rx_data[3] -.sym 17748 w_ioc[0] -.sym 17749 w_ioc[1] -.sym 17750 w_rx_data[1] -.sym 17751 w_cs[0] -.sym 17752 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 17755 w_cs[2] -.sym 17756 w_cs[3] -.sym 17757 w_rx_data[2] -.sym 17759 w_rx_data[0] -.sym 17760 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_O -.sym 17772 w_cs[1] -.sym 17776 w_ioc[1] -.sym 17777 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 17778 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 17782 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 17783 w_ioc[1] -.sym 17784 w_ioc[0] -.sym 17790 w_rx_data[0] -.sym 17793 w_rx_data[3] -.sym 17802 w_rx_data[4] -.sym 17808 w_rx_data[2] -.sym 17814 w_rx_data[1] -.sym 17817 w_cs[1] -.sym 17818 w_cs[2] -.sym 17819 w_cs[3] -.sym 17820 w_cs[0] -.sym 17821 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_O +.sym 17726 w_tx_data_io[6] +.sym 17727 spi_if_ins.w_rx_data[6] +.sym 17728 io_ctrl_ins.pmod_dir_state[7] +.sym 17732 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 17748 r_tx_data[6] +.sym 17752 r_tx_data[4] +.sym 17753 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 17778 r_tx_data[4] +.sym 17789 r_tx_data[6] +.sym 17821 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O .sym 17822 r_counter[0]_$glb_clk -.sym 17824 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 17825 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] -.sym 17826 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_O -.sym 17827 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E -.sym 17828 i_button_SB_LUT4_I3_O[0] -.sym 17829 i_button_SB_LUT4_I3_O[1] -.sym 17830 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[0] -.sym 17831 spi_if_ins.state_if[1] -.sym 17836 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[1] -.sym 17839 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 17843 i_config[3]$SB_IO_IN -.sym 17846 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 17849 i_config[2]$SB_IO_IN -.sym 17850 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O -.sym 17855 w_cs[0] -.sym 17856 w_rx_data[6] -.sym 17859 w_rx_data[3] -.sym 17867 i_button_SB_LUT4_I3_I1[1] -.sym 17869 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 17870 i_button_SB_LUT4_I3_I1[2] -.sym 17876 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O -.sym 17877 w_rx_data[7] -.sym 17878 w_ioc[0] -.sym 17879 w_ioc[1] -.sym 17881 w_rx_data[1] -.sym 17882 i_config[0]$SB_IO_IN -.sym 17883 w_rx_data[5] -.sym 17885 w_rx_data[0] -.sym 17887 w_rx_data[4] -.sym 17891 w_rx_data[3] -.sym 17892 io_ctrl_ins.o_pmod[3] -.sym 17898 io_ctrl_ins.o_pmod[3] -.sym 17899 i_config[0]$SB_IO_IN -.sym 17900 i_button_SB_LUT4_I3_I1[1] -.sym 17901 i_button_SB_LUT4_I3_I1[2] -.sym 17905 w_rx_data[5] -.sym 17913 w_rx_data[7] -.sym 17916 w_rx_data[3] -.sym 17924 w_rx_data[0] -.sym 17928 w_ioc[1] -.sym 17929 w_ioc[0] -.sym 17931 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 17936 w_rx_data[1] -.sym 17941 w_rx_data[4] -.sym 17944 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O +.sym 17824 w_rx_data[7] +.sym 17825 w_rx_data[3] +.sym 17826 w_rx_data[1] +.sym 17827 w_rx_data[6] +.sym 17828 w_rx_data[4] +.sym 17829 w_rx_data[2] +.sym 17830 w_rx_data[0] +.sym 17831 w_rx_data[5] +.sym 17839 spi_if_ins.w_rx_data[5] +.sym 17840 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 17849 w_rx_data[4] +.sym 17852 w_tx_data_io[3] +.sym 17855 w_rx_data[5] +.sym 17857 w_rx_data[7] +.sym 17858 io_ctrl_ins.pmod_dir_state[1] +.sym 17875 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[1] +.sym 17883 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 17884 w_rx_data[6] +.sym 17887 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 17889 w_rx_data[7] +.sym 17890 w_rx_data[3] +.sym 17891 w_rx_data[1] +.sym 17893 w_rx_data[4] +.sym 17894 w_rx_data[2] +.sym 17895 w_rx_data[0] +.sym 17898 w_rx_data[2] +.sym 17904 w_rx_data[1] +.sym 17912 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 17913 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[1] +.sym 17918 w_rx_data[6] +.sym 17925 w_rx_data[0] +.sym 17931 w_rx_data[3] +.sym 17937 w_rx_data[4] +.sym 17942 w_rx_data[7] +.sym 17944 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E .sym 17945 r_counter[0]_$glb_clk -.sym 17947 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[1] -.sym 17949 io_ctrl_ins.rf_mode[2] -.sym 17950 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 17954 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O -.sym 17960 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[0] -.sym 17962 w_tx_data_io[5] -.sym 17966 w_tx_data_io[7] -.sym 17967 spi_if_ins.o_cs_SB_LUT4_I3_1_O -.sym 17968 i_button$SB_IO_IN -.sym 17971 w_rx_data[7] -.sym 17972 io_ctrl_ins.mixer_en_state -.sym 17973 w_ioc[0] -.sym 17974 o_tr_vc1_b$SB_IO_OUT -.sym 17978 o_tr_vc1$SB_IO_OUT +.sym 17947 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 17948 io_ctrl_ins.rf_pin_state[6] +.sym 17949 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 17950 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] +.sym 17951 io_ctrl_ins.rf_pin_state[0] +.sym 17952 io_ctrl_ins.rf_pin_state[4] +.sym 17953 io_ctrl_ins.rf_pin_state[7] +.sym 17954 io_ctrl_ins.rf_pin_state[3] +.sym 17955 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] +.sym 17960 w_tx_data_io[4] +.sym 17964 w_rx_data[5] +.sym 17965 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 17967 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] +.sym 17969 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 17970 w_rx_data[1] +.sym 17971 w_rx_data[1] +.sym 17973 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 17974 w_tx_data_io[1] +.sym 17976 io_ctrl_ins.rf_mode[2] +.sym 17977 w_rx_data[2] .sym 17979 w_rx_data[0] -.sym 17980 w_ioc[0] -.sym 17981 w_rx_data[4] -.sym 17988 io_ctrl_ins.mixer_en_state -.sym 17989 w_ioc[0] -.sym 17990 o_tr_vc1_b$SB_IO_OUT -.sym 17991 w_ioc[1] -.sym 17992 io_ctrl_ins.o_pmod[0] -.sym 17996 io_ctrl_ins.pmod_dir_state[4] -.sym 17997 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 17998 i_button_SB_LUT4_I3_I1[1] -.sym 17999 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E -.sym 18000 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 18001 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 18002 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 18003 io_ctrl_ins.o_pmod[4] -.sym 18006 io_ctrl_ins.rf_mode[2] -.sym 18007 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 18008 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 18010 w_load -.sym 18014 w_fetch -.sym 18015 w_cs[0] -.sym 18021 io_ctrl_ins.o_pmod[4] -.sym 18022 w_ioc[0] -.sym 18023 o_tr_vc1_b$SB_IO_OUT -.sym 18024 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 18027 io_ctrl_ins.pmod_dir_state[4] -.sym 18028 io_ctrl_ins.rf_mode[2] -.sym 18029 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 18030 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 18034 w_ioc[0] -.sym 18036 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 18039 w_fetch -.sym 18040 w_load -.sym 18041 i_button_SB_LUT4_I3_I1[1] -.sym 18042 w_cs[0] -.sym 18046 w_ioc[0] -.sym 18047 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 18048 w_ioc[1] -.sym 18051 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 18053 w_ioc[0] -.sym 18060 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 18063 w_ioc[0] -.sym 18064 io_ctrl_ins.mixer_en_state -.sym 18065 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 18066 io_ctrl_ins.o_pmod[0] -.sym 18067 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 17981 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 17982 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[3] +.sym 17990 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 17991 w_rx_data[6] +.sym 17994 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 17996 io_ctrl_ins.o_pmod[6] +.sym 17999 io_ctrl_ins.pmod_dir_state[6] +.sym 18000 w_rx_data[4] +.sym 18002 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 18003 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[0] +.sym 18004 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 18005 w_ioc[1] +.sym 18009 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 18015 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 18019 w_ioc[0] +.sym 18024 w_rx_data[6] +.sym 18027 w_ioc[1] +.sym 18029 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 18030 w_ioc[0] +.sym 18033 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 18035 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[0] +.sym 18041 w_rx_data[4] +.sym 18045 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 18046 io_ctrl_ins.o_pmod[6] +.sym 18047 io_ctrl_ins.pmod_dir_state[6] +.sym 18048 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 18051 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 18052 w_ioc[0] +.sym 18053 w_ioc[1] +.sym 18057 w_ioc[0] +.sym 18059 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 18063 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 18065 w_ioc[1] +.sym 18066 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 18067 io_ctrl_ins.pmod_state_SB_DFFE_Q_E .sym 18068 r_counter[0]_$glb_clk -.sym 18069 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 18070 io_ctrl_ins.debug_mode_SB_LUT4_I0_O -.sym 18071 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[1] -.sym 18073 io_ctrl_ins.o_pmod[6] -.sym 18074 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 18075 io_ctrl_ins.o_pmod[2] -.sym 18089 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[1] -.sym 18094 io_ctrl_ins.rf_mode[2] -.sym 18095 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 18096 i_config[1]$SB_IO_IN -.sym 18097 w_rx_data[2] -.sym 18099 w_rx_data[6] -.sym 18103 io_ctrl_ins.debug_mode_SB_LUT4_I0_O -.sym 18104 w_rx_data[1] -.sym 18114 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 18115 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 18116 sys_ctrl_ins.o_data_out_SB_DFFE_Q_D[1] -.sym 18118 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] +.sym 18070 io_ctrl_ins.o_pmod[5] +.sym 18071 io_ctrl_ins.o_pmod[3] +.sym 18072 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] +.sym 18073 io_ctrl_ins.o_pmod[7] +.sym 18074 i_button_SB_LUT4_I3_O[0] +.sym 18075 io_ctrl_ins.o_pmod[0] +.sym 18076 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 18077 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[0] +.sym 18084 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 18085 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] +.sym 18092 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_O[0] +.sym 18094 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[0] +.sym 18096 w_tx_data_io[2] +.sym 18099 w_rx_data[2] +.sym 18101 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 18102 io_ctrl_ins.rf_mode[2] +.sym 18113 io_ctrl_ins.rf_mode_SB_DFFESR_Q_E +.sym 18115 io_ctrl_ins.debug_mode[0] +.sym 18117 io_ctrl_ins.o_pmod[1] +.sym 18119 w_rx_data[4] +.sym 18121 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[1] .sym 18122 io_ctrl_ins.debug_mode[1] -.sym 18126 w_rx_data[5] -.sym 18129 w_rx_data[3] -.sym 18131 o_shdn_tx_lna$SB_IO_OUT -.sym 18132 io_ctrl_ins.o_pmod[2] -.sym 18133 o_tr_vc2$SB_IO_OUT -.sym 18135 o_shdn_rx_lna$SB_IO_OUT -.sym 18136 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 18138 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O -.sym 18140 w_ioc[0] -.sym 18141 w_rx_data[4] -.sym 18145 w_rx_data[4] -.sym 18150 sys_ctrl_ins.o_data_out_SB_DFFE_Q_D[1] -.sym 18151 io_ctrl_ins.debug_mode[1] -.sym 18152 o_shdn_rx_lna$SB_IO_OUT -.sym 18153 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 18164 sys_ctrl_ins.o_data_out_SB_DFFE_Q_D[1] -.sym 18165 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 18168 w_rx_data[5] -.sym 18174 sys_ctrl_ins.o_data_out_SB_DFFE_Q_D[1] -.sym 18175 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 18176 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 18177 o_tr_vc2$SB_IO_OUT -.sym 18182 w_rx_data[3] -.sym 18186 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 18187 w_ioc[0] -.sym 18188 io_ctrl_ins.o_pmod[2] -.sym 18189 o_shdn_tx_lna$SB_IO_OUT -.sym 18190 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O +.sym 18123 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 18125 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 18130 io_ctrl_ins.pmod_dir_state[1] +.sym 18133 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 18135 io_ctrl_ins.rf_mode[2] +.sym 18137 w_rx_data[2] +.sym 18139 w_rx_data[0] +.sym 18141 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 18147 w_rx_data[4] +.sym 18150 w_rx_data[2] +.sym 18157 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[1] +.sym 18159 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 18162 io_ctrl_ins.pmod_dir_state[1] +.sym 18163 io_ctrl_ins.o_pmod[1] +.sym 18164 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 18165 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 18171 w_rx_data[0] +.sym 18174 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 18175 io_ctrl_ins.debug_mode[1] +.sym 18176 io_ctrl_ins.rf_mode[2] +.sym 18177 io_ctrl_ins.debug_mode[0] +.sym 18180 io_ctrl_ins.debug_mode[0] +.sym 18182 io_ctrl_ins.debug_mode[1] +.sym 18186 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 18188 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[1] +.sym 18190 io_ctrl_ins.rf_mode_SB_DFFESR_Q_E .sym 18191 r_counter[0]_$glb_clk -.sym 18193 io_ctrl_ins.mixer_en_state -.sym 18194 o_tr_vc1_b$SB_IO_OUT -.sym 18195 o_rx_h_tx_l$SB_IO_OUT -.sym 18196 o_tr_vc1$SB_IO_OUT -.sym 18197 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 18198 o_rx_h_tx_l_b$SB_IO_OUT -.sym 18199 o_tr_vc2$SB_IO_OUT -.sym 18217 o_shdn_tx_lna$SB_IO_OUT -.sym 18221 o_shdn_rx_lna$SB_IO_OUT -.sym 18243 w_rx_data[7] -.sym 18245 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O -.sym 18251 w_rx_data[0] -.sym 18254 io_ctrl_ins.rf_mode[2] -.sym 18259 w_rx_data[6] -.sym 18262 io_ctrl_ins.rf_mode[0] -.sym 18267 w_rx_data[7] -.sym 18279 io_ctrl_ins.rf_mode[2] -.sym 18282 io_ctrl_ins.rf_mode[0] -.sym 18287 w_rx_data[0] -.sym 18297 io_ctrl_ins.rf_mode[0] -.sym 18310 w_rx_data[6] -.sym 18313 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O +.sym 18192 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 18193 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] +.sym 18194 w_tx_data_io[1] +.sym 18196 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] +.sym 18198 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_O[1] +.sym 18199 w_tx_data_io[3] +.sym 18200 w_tx_data_io[2] +.sym 18201 io_ctrl_ins.debug_mode[0] +.sym 18205 io_ctrl_ins.rf_mode[2] +.sym 18206 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 18207 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 18209 io_ctrl_ins.rf_mode[0] +.sym 18210 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 18211 i_button$SB_IO_IN +.sym 18214 w_ioc[0] +.sym 18216 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] +.sym 18217 w_rx_data[0] +.sym 18220 o_led0$SB_IO_OUT +.sym 18222 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 18224 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 18226 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 18235 io_ctrl_ins.rf_mode[0] +.sym 18236 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 18238 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 18239 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 18240 o_ldo_2v8_en +.sym 18241 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[0] +.sym 18243 w_rx_data[1] +.sym 18244 w_ioc[0] +.sym 18245 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 18246 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 18248 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 18249 w_rx_data[2] +.sym 18251 o_shdn_rx_lna$SB_IO_OUT +.sym 18252 io_ctrl_ins.o_pmod[2] +.sym 18253 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 18254 o_shdn_tx_lna$SB_IO_OUT +.sym 18261 io_ctrl_ins.debug_mode[1] +.sym 18267 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 18268 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 18269 io_ctrl_ins.debug_mode[1] +.sym 18270 o_shdn_rx_lna$SB_IO_OUT +.sym 18281 w_rx_data[2] +.sym 18285 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 18291 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 18292 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 18294 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[0] +.sym 18297 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 18298 io_ctrl_ins.o_pmod[2] +.sym 18299 w_ioc[0] +.sym 18300 o_shdn_tx_lna$SB_IO_OUT +.sym 18305 w_rx_data[1] +.sym 18309 io_ctrl_ins.rf_mode[0] +.sym 18310 o_ldo_2v8_en +.sym 18311 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 18312 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 18313 io_ctrl_ins.pmod_state_SB_DFFE_Q_E .sym 18314 r_counter[0]_$glb_clk -.sym 18316 o_shdn_rx_lna$SB_IO_OUT -.sym 18322 o_shdn_tx_lna$SB_IO_OUT -.sym 18329 o_tr_vc2$SB_IO_OUT -.sym 18345 i_config[2]$SB_IO_IN -.sym 18347 io_ctrl_ins.debug_mode_SB_LUT4_I0_O -.sym 18367 w_rx_data[2] -.sym 18375 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O -.sym 18376 w_rx_data[1] -.sym 18408 w_rx_data[2] -.sym 18417 w_rx_data[1] -.sym 18436 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O +.sym 18321 o_tr_vc2$SB_IO_OUT +.sym 18329 io_ctrl_ins.pmod_dir_state[3] +.sym 18330 i_config[3]$SB_IO_IN +.sym 18331 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 18334 w_ioc[0] +.sym 18341 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 18343 o_rx_h_tx_l_b$SB_IO_OUT +.sym 18348 w_tx_data_io[3] +.sym 18359 io_ctrl_ins.led1_state_SB_DFFESR_Q_E +.sym 18360 w_rx_data[1] +.sym 18369 w_rx_data[2] +.sym 18377 w_rx_data[0] +.sym 18391 w_rx_data[1] +.sym 18429 w_rx_data[2] +.sym 18433 w_rx_data[0] +.sym 18436 io_ctrl_ins.led1_state_SB_DFFESR_Q_E .sym 18437 r_counter[0]_$glb_clk -.sym 18458 o_shdn_rx_lna$SB_IO_OUT +.sym 18438 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr .sym 18562 i_config[1]$SB_IO_IN .sym 18564 i_config[2]$SB_IO_IN -.sym 18583 i_config[1]$SB_IO_IN .sym 18636 io_smi_data[4]$SB_IO_OUT -.sym 18651 io_smi_data[4]$SB_IO_OUT -.sym 18684 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 18691 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 18693 io_pmod[2]$SB_IO_IN -.sym 18695 i_ss$SB_IO_IN -.sym 18703 spi_if_ins.spi.r2_rx_done -.sym 18716 i_ss$SB_IO_IN -.sym 18719 spi_if_ins.spi.r_rx_done -.sym 18724 spi_if_ins.spi.r3_rx_done -.sym 18736 spi_if_ins.spi.r_rx_done -.sym 18745 i_ss$SB_IO_IN -.sym 18761 spi_if_ins.spi.r3_rx_done -.sym 18762 spi_if_ins.spi.r2_rx_done -.sym 18767 spi_if_ins.spi.r2_rx_done -.sym 18783 r_counter[0]_$glb_clk +.sym 18641 i_smi_a3$SB_IO_IN +.sym 18649 i_smi_a3$SB_IO_IN +.sym 18654 io_smi_data[4]$SB_IO_OUT +.sym 18693 i_sck$SB_IO_IN +.sym 18695 i_mosi$SB_IO_IN +.sym 18712 rx_09_fifo.rd_addr_gray[0] +.sym 18715 i_smi_a3$SB_IO_IN +.sym 18719 rx_09_fifo.rd_addr_gray[5] +.sym 18724 io_smi_data[4]$SB_IO_OUT +.sym 18727 w_smi_data_output[5] +.sym 18742 io_smi_data[4]$SB_IO_OUT +.sym 18763 i_smi_a3$SB_IO_IN +.sym 18767 rx_09_fifo.rd_addr_gray[5] +.sym 18773 w_smi_data_output[5] +.sym 18775 i_smi_a3$SB_IO_IN +.sym 18781 rx_09_fifo.rd_addr_gray[0] +.sym 18783 lvds_clock_buf .sym 18785 $io_pmod[3]$iobuf_i -.sym 18869 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 18893 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 18895 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] -.sym 18912 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 18930 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] -.sym 18945 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O +.sym 18821 w_smi_data_output[5] +.sym 18831 rx_09_fifo.rd_addr_gray[0] +.sym 18834 $io_pmod[2]$iobuf_i +.sym 18844 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 18846 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 18851 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 18852 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 18855 rx_09_fifo.rd_addr[0] +.sym 18868 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[0] +.sym 18869 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[2] +.sym 18871 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] +.sym 18872 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[0] +.sym 18873 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 18874 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 18875 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[2] +.sym 18876 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[0] +.sym 18877 rx_09_fifo.rd_addr[0] +.sym 18879 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[2] +.sym 18881 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[1] +.sym 18883 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 18884 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 18886 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[1] +.sym 18887 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[3] +.sym 18888 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[2] +.sym 18889 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[1] +.sym 18890 rx_09_fifo.wr_addr_gray_rd_r[0] +.sym 18891 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 18892 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 18893 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[3] +.sym 18894 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 18899 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 18900 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 18901 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 18902 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 18906 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[0] +.sym 18907 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[1] +.sym 18908 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[2] +.sym 18911 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[2] +.sym 18912 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[0] +.sym 18913 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[1] +.sym 18914 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[3] +.sym 18917 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 18919 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 18920 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[0] +.sym 18923 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[2] +.sym 18924 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] +.sym 18925 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[0] +.sym 18926 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 18929 rx_09_fifo.wr_addr_gray_rd_r[0] +.sym 18932 rx_09_fifo.rd_addr[0] +.sym 18941 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[2] +.sym 18942 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[1] +.sym 18943 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[0] +.sym 18944 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[3] .sym 18946 r_counter[0]_$glb_clk -.sym 18947 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 18963 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 18980 $PACKER_VCC_NET -.sym 19002 i_ss$SB_IO_IN -.sym 19006 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 19007 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 19016 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E -.sym 19037 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 19046 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 19068 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E -.sym 19069 i_sck$SB_IO_IN_$glb_clk -.sym 19070 i_ss$SB_IO_IN -.sym 19097 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 19101 int_miso -.sym 19114 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 19118 spi_if_ins.r_tx_data_valid -.sym 19123 i_ss$SB_IO_IN -.sym 19133 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] -.sym 19172 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] -.sym 19176 i_ss$SB_IO_IN -.sym 19178 spi_if_ins.r_tx_data_valid -.sym 19191 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O +.sym 18947 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 18960 io_pmod[7]$SB_IO_IN +.sym 18989 rx_09_fifo.wr_addr_gray_rd_r[3] +.sym 18992 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 18994 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[3] +.sym 18995 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 18998 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 18999 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 19008 rx_09_fifo.rd_addr[0] +.sym 19016 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 19022 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 19025 rx_09_fifo.rd_addr[0] +.sym 19030 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[3] +.sym 19036 rx_09_fifo.wr_addr_gray_rd_r[3] +.sym 19037 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 19040 rx_09_fifo.rd_addr[0] +.sym 19046 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 19047 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[3] +.sym 19053 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 19060 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 19064 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 19068 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 19069 r_counter[0]_$glb_clk +.sym 19070 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 19092 w_smi_read_req +.sym 19098 rx_09_fifo.rd_addr[0] +.sym 19102 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 19114 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19116 i_sck$SB_IO_IN +.sym 19120 rx_09_fifo.wr_addr_gray_rd[3] +.sym 19124 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 19126 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 19131 spi_if_ins.spi.SCKr[0] +.sym 19138 spi_if_ins.spi.SCKr[1] +.sym 19143 spi_if_ins.spi.SCKr[2] +.sym 19148 rx_09_fifo.wr_addr_gray_rd[3] +.sym 19151 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 19152 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19153 spi_if_ins.spi.SCKr[1] +.sym 19154 spi_if_ins.spi.SCKr[2] +.sym 19160 spi_if_ins.spi.SCKr[0] +.sym 19164 i_sck$SB_IO_IN +.sym 19170 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 19171 spi_if_ins.spi.SCKr[2] +.sym 19172 spi_if_ins.spi.SCKr[1] +.sym 19182 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 19189 spi_if_ins.spi.SCKr[1] .sym 19192 r_counter[0]_$glb_clk -.sym 19193 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 19204 w_rx_data[2] -.sym 19214 spi_if_ins.r_tx_data_valid -.sym 19218 i_smi_soe_se$rename$0 -.sym 19224 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 19226 $PACKER_VCC_NET -.sym 19259 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 19310 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 19206 i_mosi$SB_IO_IN +.sym 19221 $PACKER_VCC_NET +.sym 19224 $io_pmod[2]$iobuf_i +.sym 19228 smi_ctrl_ins.int_cnt_24[5] +.sym 19256 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 19260 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 19261 i_smi_soe_se$rename$0 +.sym 19262 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 19274 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 19275 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 19276 i_smi_soe_se$rename$0 +.sym 19314 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E .sym 19315 r_counter[0]_$glb_clk -.sym 19360 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 19369 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 19371 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] -.sym 19372 spi_if_ins.r_tx_byte[7] -.sym 19410 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] -.sym 19411 spi_if_ins.r_tx_byte[7] -.sym 19412 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 19437 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 19438 r_counter[0]_$glb_clk -.sym 19453 io_pmod[7]$SB_IO_IN -.sym 19460 $io_pmod[5]$iobuf_i -.sym 19464 $PACKER_VCC_NET -.sym 19469 spi_if_ins.w_rx_data[0] -.sym 19470 spi_if_ins.state_if[1] -.sym 19474 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 19475 spi_if_ins.w_rx_data[6] -.sym 19495 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 19508 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 19510 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 19511 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 19529 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 19551 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 19560 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 19316 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 19328 i_config[1]$SB_IO_IN +.sym 19333 smi_ctrl_ins.int_cnt_24[5] +.sym 19341 io_pmod[2]$SB_IO_IN +.sym 19348 spi_if_ins.w_rx_data[6] +.sym 19361 spi_if_ins.spi.r_rx_bit_count[0] +.sym 19362 i_ss$SB_IO_IN +.sym 19371 spi_if_ins.spi.r_rx_bit_count[1] +.sym 19376 spi_if_ins.spi.r_rx_bit_count[2] +.sym 19390 $nextpnr_ICESTORM_LC_14$O +.sym 19392 spi_if_ins.spi.r_rx_bit_count[0] +.sym 19396 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] +.sym 19398 spi_if_ins.spi.r_rx_bit_count[1] +.sym 19403 spi_if_ins.spi.r_rx_bit_count[2] +.sym 19406 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] +.sym 19411 spi_if_ins.spi.r_rx_bit_count[0] +.sym 19421 spi_if_ins.spi.r_rx_bit_count[0] +.sym 19424 spi_if_ins.spi.r_rx_bit_count[1] +.sym 19438 i_sck$SB_IO_IN_$glb_clk +.sym 19439 i_ss$SB_IO_IN +.sym 19452 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 19474 spi_if_ins.w_rx_data[6] +.sym 19483 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 19486 spi_if_ins.spi.r_rx_bit_count[1] +.sym 19491 spi_if_ins.spi.r_rx_bit_count[2] +.sym 19492 spi_if_ins.spi.r_rx_bit_count[0] +.sym 19498 spi_if_ins.spi.r_rx_byte[6] +.sym 19512 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 19520 spi_if_ins.spi.r_rx_byte[6] +.sym 19526 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 19532 spi_if_ins.spi.r_rx_bit_count[2] +.sym 19533 spi_if_ins.spi.r_rx_bit_count[0] +.sym 19534 spi_if_ins.spi.r_rx_bit_count[1] +.sym 19556 spi_if_ins.spi.r_rx_bit_count[1] +.sym 19557 spi_if_ins.spi.r_rx_bit_count[0] +.sym 19558 spi_if_ins.spi.r_rx_bit_count[2] +.sym 19560 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O .sym 19561 r_counter[0]_$glb_clk -.sym 19562 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 19578 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 19576 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O .sym 19582 i_smi_soe_se$rename$0 -.sym 19585 $PACKER_VCC_NET -.sym 19592 $PACKER_VCC_NET -.sym 19604 spi_if_ins.state_if[0] -.sym 19606 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19610 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 19614 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19615 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 19616 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] -.sym 19622 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 19623 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 19628 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 19630 spi_if_ins.state_if[1] -.sym 19634 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 19635 spi_if_ins.w_rx_data[6] -.sym 19637 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19638 spi_if_ins.state_if[1] -.sym 19639 spi_if_ins.state_if[0] -.sym 19651 spi_if_ins.w_rx_data[6] -.sym 19655 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 19656 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 19657 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 19661 spi_if_ins.state_if[0] -.sym 19662 spi_if_ins.state_if[1] -.sym 19663 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 19664 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19668 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 19669 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 19670 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 19673 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19674 spi_if_ins.state_if[1] -.sym 19675 spi_if_ins.state_if[0] -.sym 19679 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 19681 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] -.sym 19682 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 19683 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 19684 r_counter[0]_$glb_clk -.sym 19698 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 19702 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19715 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 19716 w_rx_data[2] -.sym 19717 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 19718 w_rx_data[1] -.sym 19728 spi_if_ins.w_rx_data[3] -.sym 19729 spi_if_ins.w_rx_data[1] -.sym 19735 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] -.sym 19737 spi_if_ins.w_rx_data[2] -.sym 19738 spi_if_ins.w_rx_data[4] -.sym 19739 spi_if_ins.w_rx_data[0] -.sym 19742 spi_if_ins.w_rx_data[5] -.sym 19745 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 19762 spi_if_ins.w_rx_data[1] -.sym 19769 spi_if_ins.w_rx_data[5] -.sym 19775 spi_if_ins.w_rx_data[0] -.sym 19781 spi_if_ins.w_rx_data[4] -.sym 19790 spi_if_ins.w_rx_data[3] -.sym 19797 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] -.sym 19802 spi_if_ins.w_rx_data[2] -.sym 19806 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 19807 r_counter[0]_$glb_clk -.sym 19823 w_rx_data[3] -.sym 19833 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 19835 spi_if_ins.state_if[0] -.sym 19838 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 19840 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] -.sym 19850 i_config[3]$SB_IO_IN -.sym 19851 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 19852 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_O -.sym 19853 spi_if_ins.state_if[0] -.sym 19859 w_rx_data[5] -.sym 19862 w_rx_data[6] -.sym 19863 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19864 w_rx_data[7] -.sym 19865 spi_if_ins.state_if[1] -.sym 19871 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 19876 io_ctrl_ins.pmod_dir_state[6] -.sym 19879 i_button_SB_LUT4_I3_I1[2] -.sym 19890 w_rx_data[5] -.sym 19896 w_rx_data[6] -.sym 19901 spi_if_ins.state_if[0] -.sym 19902 spi_if_ins.state_if[1] -.sym 19903 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 19904 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19908 w_rx_data[7] -.sym 19913 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 19914 i_config[3]$SB_IO_IN -.sym 19915 io_ctrl_ins.pmod_dir_state[6] -.sym 19916 i_button_SB_LUT4_I3_I1[2] -.sym 19929 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_O +.sym 19583 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 19590 i_ss_SB_LUT4_I3_O +.sym 19606 i_ss_SB_LUT4_I3_O +.sym 19607 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 19609 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 19610 i_mosi$SB_IO_IN +.sym 19612 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 19613 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 19615 i_ss$SB_IO_IN +.sym 19616 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 19623 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 19630 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 19639 i_mosi$SB_IO_IN +.sym 19645 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 19651 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 19657 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 19662 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 19669 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 19676 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 19679 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 19682 i_ss$SB_IO_IN +.sym 19683 i_ss_SB_LUT4_I3_O +.sym 19684 i_sck$SB_IO_IN_$glb_clk +.sym 19697 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 19711 spi_if_ins.w_rx_data[2] +.sym 19717 spi_if_ins.w_rx_data[0] +.sym 19728 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 19730 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 19731 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 19732 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 19735 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 19738 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 19741 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 19753 i_mosi$SB_IO_IN +.sym 19763 i_mosi$SB_IO_IN +.sym 19767 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 19775 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 19785 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 19792 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 19799 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 19804 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 19806 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 19807 i_sck$SB_IO_IN_$glb_clk +.sym 19850 spi_if_ins.spi.r_rx_byte[0] +.sym 19851 spi_if_ins.spi.r_rx_byte[4] +.sym 19852 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 19854 spi_if_ins.spi.r_rx_byte[7] +.sym 19855 spi_if_ins.spi.r_rx_byte[1] +.sym 19856 spi_if_ins.spi.r_rx_byte[3] +.sym 19860 spi_if_ins.spi.r_rx_byte[2] +.sym 19865 spi_if_ins.spi.r_rx_byte[5] +.sym 19883 spi_if_ins.spi.r_rx_byte[3] +.sym 19890 spi_if_ins.spi.r_rx_byte[0] +.sym 19896 spi_if_ins.spi.r_rx_byte[4] +.sym 19904 spi_if_ins.spi.r_rx_byte[5] +.sym 19915 spi_if_ins.spi.r_rx_byte[1] +.sym 19920 spi_if_ins.spi.r_rx_byte[2] +.sym 19926 spi_if_ins.spi.r_rx_byte[7] +.sym 19929 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O .sym 19930 r_counter[0]_$glb_clk -.sym 19946 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[0] -.sym 19952 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 19956 $PACKER_VCC_NET -.sym 19962 spi_if_ins.state_if[1] -.sym 19975 i_button$SB_IO_IN -.sym 19976 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 19977 io_ctrl_ins.pmod_dir_state[7] -.sym 19978 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] -.sym 19980 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19982 io_ctrl_ins.o_pmod[5] -.sym 19983 i_button_SB_LUT4_I3_I1[0] -.sym 19984 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 19986 i_button_SB_LUT4_I3_I1[2] -.sym 19988 io_ctrl_ins.pmod_dir_state[5] -.sym 19990 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 19991 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 19993 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 19995 spi_if_ins.state_if[0] -.sym 19996 spi_if_ins.state_if[1] -.sym 19997 o_rx_h_tx_l$SB_IO_OUT -.sym 19998 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] -.sym 19999 i_button_SB_LUT4_I3_I1[1] -.sym 20002 sys_ctrl_ins.o_data_out_SB_DFFE_Q_D[1] -.sym 20008 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 20012 spi_if_ins.state_if[1] -.sym 20014 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 20015 spi_if_ins.state_if[0] -.sym 20018 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 20021 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 20024 spi_if_ins.state_if[1] -.sym 20025 spi_if_ins.state_if[0] -.sym 20026 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 20027 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 20030 i_button_SB_LUT4_I3_I1[2] -.sym 20031 i_button_SB_LUT4_I3_I1[0] -.sym 20032 i_button$SB_IO_IN -.sym 20033 i_button_SB_LUT4_I3_I1[1] -.sym 20036 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 20037 io_ctrl_ins.pmod_dir_state[7] -.sym 20038 o_rx_h_tx_l$SB_IO_OUT -.sym 20039 sys_ctrl_ins.o_data_out_SB_DFFE_Q_D[1] -.sym 20042 io_ctrl_ins.o_pmod[5] -.sym 20043 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 20044 io_ctrl_ins.pmod_dir_state[5] -.sym 20045 i_button_SB_LUT4_I3_I1[1] -.sym 20048 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 20049 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] -.sym 20050 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] -.sym 20051 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 20052 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 19957 io_ctrl_ins.rf_pin_state[7] +.sym 19963 io_ctrl_ins.rf_pin_state[6] +.sym 19965 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 19966 w_rx_data[3] +.sym 19978 spi_if_ins.w_rx_data[1] +.sym 19979 spi_if_ins.w_rx_data[6] +.sym 19981 spi_if_ins.w_rx_data[3] +.sym 19982 spi_if_ins.w_rx_data[0] +.sym 19983 spi_if_ins.w_rx_data[4] +.sym 19984 spi_if_ins.w_rx_data[5] +.sym 19987 spi_if_ins.w_rx_data[2] +.sym 19988 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 20000 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 20008 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 20012 spi_if_ins.w_rx_data[3] +.sym 20021 spi_if_ins.w_rx_data[1] +.sym 20027 spi_if_ins.w_rx_data[6] +.sym 20031 spi_if_ins.w_rx_data[4] +.sym 20038 spi_if_ins.w_rx_data[2] +.sym 20044 spi_if_ins.w_rx_data[0] +.sym 20051 spi_if_ins.w_rx_data[5] +.sym 20052 spi_if_ins.o_data_in_SB_DFFE_Q_E .sym 20053 r_counter[0]_$glb_clk -.sym 20069 i_button_SB_LUT4_I3_O[1] +.sym 20066 i_config[2]$SB_IO_IN +.sym 20069 w_rx_data[2] .sym 20074 io_pmod[2]$SB_IO_IN -.sym 20077 i_button_SB_LUT4_I3_O[0] -.sym 20083 o_rx_h_tx_l$SB_IO_OUT -.sym 20086 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[1] -.sym 20098 i_button_SB_LUT4_I3_I1[1] -.sym 20099 w_rx_data[4] -.sym 20101 sys_ctrl_ins.o_data_out_SB_DFFE_Q_D[1] -.sym 20103 w_rx_data[3] -.sym 20107 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O -.sym 20109 i_config[2]$SB_IO_IN -.sym 20117 i_button_SB_LUT4_I3_I1[2] -.sym 20118 o_tr_vc1$SB_IO_OUT -.sym 20122 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 20129 o_tr_vc1$SB_IO_OUT -.sym 20130 sys_ctrl_ins.o_data_out_SB_DFFE_Q_D[1] -.sym 20131 i_config[2]$SB_IO_IN -.sym 20132 i_button_SB_LUT4_I3_I1[2] -.sym 20144 w_rx_data[4] -.sym 20147 w_rx_data[3] -.sym 20172 i_button_SB_LUT4_I3_I1[1] -.sym 20173 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 20175 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O +.sym 20080 w_rx_data[1] +.sym 20087 i_config[0]$SB_IO_IN +.sym 20097 w_rx_data[3] +.sym 20099 w_rx_data[6] +.sym 20100 w_rx_data[4] +.sym 20102 w_rx_data[0] +.sym 20103 w_rx_data[5] +.sym 20104 w_rx_data[7] +.sym 20105 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 20107 io_ctrl_ins.o_pmod[4] +.sym 20109 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 20110 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 20111 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 20114 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 20115 i_config[1]$SB_IO_IN +.sym 20129 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 20131 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 20137 w_rx_data[6] +.sym 20142 w_rx_data[5] +.sym 20147 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 20148 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 20149 i_config[1]$SB_IO_IN +.sym 20150 io_ctrl_ins.o_pmod[4] +.sym 20153 w_rx_data[0] +.sym 20162 w_rx_data[4] +.sym 20168 w_rx_data[7] +.sym 20171 w_rx_data[3] +.sym 20175 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E .sym 20176 r_counter[0]_$glb_clk -.sym 20177 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr -.sym 20195 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O -.sym 20203 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 20204 w_rx_data[2] -.sym 20205 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20206 w_rx_data[1] -.sym 20208 i_config[0]$SB_IO_IN -.sym 20209 o_tr_vc1_b$SB_IO_OUT -.sym 20219 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 20220 w_rx_data[6] -.sym 20222 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20229 io_ctrl_ins.rf_mode[2] -.sym 20230 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O -.sym 20232 o_rx_h_tx_l_b$SB_IO_OUT -.sym 20233 w_ioc[0] -.sym 20238 io_ctrl_ins.o_pmod[6] -.sym 20239 io_ctrl_ins.debug_mode[0] -.sym 20241 io_ctrl_ins.debug_mode[1] -.sym 20247 io_ctrl_ins.debug_mode[0] -.sym 20249 w_rx_data[2] -.sym 20252 io_ctrl_ins.debug_mode[1] -.sym 20253 io_ctrl_ins.rf_mode[2] -.sym 20254 io_ctrl_ins.debug_mode[0] -.sym 20255 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20258 io_ctrl_ins.o_pmod[6] -.sym 20259 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 20260 w_ioc[0] -.sym 20261 o_rx_h_tx_l_b$SB_IO_OUT -.sym 20270 w_rx_data[6] -.sym 20278 io_ctrl_ins.debug_mode[1] -.sym 20279 io_ctrl_ins.debug_mode[0] -.sym 20284 w_rx_data[2] -.sym 20298 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O +.sym 20190 w_tx_data_io[6] +.sym 20200 io_ctrl_ins.pmod_dir_state[7] +.sym 20206 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 20213 io_ctrl_ins.rf_pin_state[3] +.sym 20219 io_ctrl_ins.o_pmod[5] +.sym 20223 w_rx_data[0] +.sym 20225 w_rx_data[5] +.sym 20227 w_rx_data[7] +.sym 20228 i_button$SB_IO_IN +.sym 20229 w_ioc[0] +.sym 20230 io_ctrl_ins.o_pmod[7] +.sym 20232 io_ctrl_ins.o_pmod[0] +.sym 20233 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 20236 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 20238 w_rx_data[3] +.sym 20239 i_config[2]$SB_IO_IN +.sym 20246 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 20249 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 20250 o_led0$SB_IO_OUT +.sym 20254 w_rx_data[5] +.sym 20258 w_rx_data[3] +.sym 20264 o_led0$SB_IO_OUT +.sym 20265 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 20266 io_ctrl_ins.o_pmod[0] +.sym 20267 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 20272 w_rx_data[7] +.sym 20276 i_button$SB_IO_IN +.sym 20277 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 20278 io_ctrl_ins.o_pmod[7] +.sym 20279 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 20283 w_rx_data[0] +.sym 20289 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 20291 w_ioc[0] +.sym 20294 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 20295 io_ctrl_ins.o_pmod[5] +.sym 20296 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 20297 i_config[2]$SB_IO_IN +.sym 20298 io_ctrl_ins.pmod_state_SB_DFFE_Q_E .sym 20299 r_counter[0]_$glb_clk -.sym 20313 io_ctrl_ins.debug_mode_SB_LUT4_I0_O -.sym 20342 io_ctrl_ins.rf_pin_state[7] -.sym 20344 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] -.sym 20346 io_ctrl_ins.rf_mode[2] -.sym 20353 io_ctrl_ins.rf_pin_state[0] -.sym 20354 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20355 io_ctrl_ins.rf_mode[0] -.sym 20357 io_ctrl_ins.rf_pin_state[6] -.sym 20358 io_ctrl_ins.rf_pin_state[4] -.sym 20362 io_ctrl_ins.rf_pin_state[5] -.sym 20364 io_ctrl_ins.rf_pin_state[3] -.sym 20365 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20369 io_ctrl_ins.debug_mode_SB_LUT4_I0_O -.sym 20370 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 20375 io_ctrl_ins.rf_pin_state[0] -.sym 20376 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20377 io_ctrl_ins.rf_mode[2] -.sym 20378 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20381 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20382 io_ctrl_ins.rf_pin_state[4] -.sym 20383 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20384 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] -.sym 20387 io_ctrl_ins.rf_pin_state[7] -.sym 20388 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 20390 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20393 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20394 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] -.sym 20395 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20396 io_ctrl_ins.rf_pin_state[5] -.sym 20399 io_ctrl_ins.rf_mode[2] -.sym 20400 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20401 io_ctrl_ins.rf_mode[0] -.sym 20402 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20405 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 20407 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20408 io_ctrl_ins.rf_pin_state[6] -.sym 20411 io_ctrl_ins.rf_pin_state[3] -.sym 20412 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20413 io_ctrl_ins.rf_mode[2] -.sym 20414 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20421 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 20317 o_rx_h_tx_l_b$SB_IO_OUT +.sym 20327 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_O[1] +.sym 20342 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] +.sym 20343 w_ioc[0] +.sym 20345 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 20346 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[0] +.sym 20347 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[2] +.sym 20348 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 20349 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[3] +.sym 20350 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[2] +.sym 20351 io_ctrl_ins.o_pmod[3] +.sym 20352 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[3] +.sym 20353 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 20354 io_ctrl_ins.pmod_dir_state[3] +.sym 20355 o_tr_vc2$SB_IO_OUT +.sym 20356 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 20357 i_config[3]$SB_IO_IN +.sym 20358 o_led1$SB_IO_OUT +.sym 20359 i_config[0]$SB_IO_IN +.sym 20361 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] +.sym 20362 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 20365 o_rx_h_tx_l_b$SB_IO_OUT +.sym 20366 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 20369 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] +.sym 20371 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20375 o_tr_vc2$SB_IO_OUT +.sym 20376 w_ioc[0] +.sym 20377 io_ctrl_ins.o_pmod[3] +.sym 20378 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 20381 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[2] +.sym 20382 o_led1$SB_IO_OUT +.sym 20383 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[3] +.sym 20384 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 20393 i_config[0]$SB_IO_IN +.sym 20394 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 20395 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 20396 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20405 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 20406 i_config[3]$SB_IO_IN +.sym 20407 o_rx_h_tx_l_b$SB_IO_OUT +.sym 20408 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 20411 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] +.sym 20412 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] +.sym 20413 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 20414 io_ctrl_ins.pmod_dir_state[3] +.sym 20417 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[3] +.sym 20418 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 20419 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[2] +.sym 20420 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[0] +.sym 20421 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] .sym 20422 r_counter[0]_$glb_clk -.sym 20438 o_rx_h_tx_l_b$SB_IO_OUT -.sym 20444 o_tr_vc1$SB_IO_OUT -.sym 20449 o_rx_h_tx_l$SB_IO_OUT -.sym 20467 io_ctrl_ins.debug_mode_SB_LUT4_I0_O -.sym 20469 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 20473 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20474 io_ctrl_ins.rf_mode[2] -.sym 20475 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20476 io_ctrl_ins.rf_pin_state[2] -.sym 20499 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20500 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 20501 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20534 io_ctrl_ins.rf_pin_state[2] -.sym 20535 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20536 io_ctrl_ins.rf_mode[2] -.sym 20537 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20423 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 20442 io_ctrl_ins.rf_mode[2] +.sym 20466 io_ctrl_ins.rf_mode[2] +.sym 20476 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 20478 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 20483 io_ctrl_ins.rf_pin_state[3] +.sym 20489 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20528 io_ctrl_ins.rf_mode[2] +.sym 20529 io_ctrl_ins.rf_pin_state[3] +.sym 20530 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20531 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] .sym 20544 io_ctrl_ins.debug_mode_SB_LUT4_I0_O .sym 20545 r_counter[0]_$glb_clk -.sym 20563 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 20578 o_tr_vc2$SB_IO_OUT +.sym 20579 i_config[0]$SB_IO_IN .sym 20672 i_config[0]$SB_IO_IN -.sym 20690 o_led1$SB_IO_OUT -.sym 20695 i_config[0]$SB_IO_IN +.sym 20699 o_led1$SB_IO_OUT .sym 20748 io_smi_data[5]$SB_IO_OUT -.sym 20757 io_smi_data[5]$SB_IO_OUT +.sym 20768 io_smi_data[5]$SB_IO_OUT +.sym 20770 rx_09_fifo.rd_addr_gray_wr[2] +.sym 20771 rx_09_fifo.rd_addr_gray_wr[3] +.sym 20773 rx_09_fifo.rd_addr_gray_wr[4] .sym 20802 $io_pmod[3]$iobuf_i -.sym 20805 io_smi_data[5]$SB_IO_OUT -.sym 20842 i_mosi$SB_IO_IN -.sym 20902 i_ss_SB_LUT4_I3_O -.sym 20926 i_mosi$SB_IO_IN -.sym 20935 i_mosi$SB_IO_IN -.sym 21035 int_miso -.sym 21231 io_pmod[2]$SB_IO_IN -.sym 21235 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 21499 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 21546 i_smi_soe_se$rename$0 -.sym 21558 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 20804 io_pmod[6]$SB_IO_IN +.sym 20844 i_mosi$SB_IO_IN +.sym 20847 rx_09_fifo.rd_addr_gray[2] +.sym 20849 rx_09_fifo.rd_addr_gray[4] +.sym 20853 rx_09_fifo.rd_addr_gray[3] +.sym 20889 io_pmod[4]$SB_IO_IN +.sym 20907 i_ss_SB_LUT4_I3_O +.sym 20933 int_miso +.sym 21034 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 21047 spi_if_ins.r_tx_byte[7] +.sym 21087 int_miso +.sym 21138 $PACKER_VCC_NET +.sym 21147 i_ss_SB_LUT4_I3_O +.sym 21293 i_ss_SB_LUT4_I3_O +.sym 21295 $io_pmod[7]$iobuf_i +.sym 21297 $io_pmod[5]$iobuf_i +.sym 21348 io_pmod[4]$SB_IO_IN +.sym 21396 spi_if_ins.spi.r_rx_done +.sym 21434 io_pmod[5]$SB_IO_IN +.sym 21437 i_ss_SB_LUT4_I3_O +.sym 21439 $io_pmod[5]$iobuf_i +.sym 21449 i_mosi$SB_IO_IN +.sym 21494 $io_pmod[6]$iobuf_i +.sym 21536 i_smi_soe_se$rename$0 +.sym 21545 $io_pmod[2]$iobuf_i .sym 21639 io_pmod[2]$SB_IO_IN -.sym 21702 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[1] -.sym 21704 w_tx_data_io[6] -.sym 21749 $PACKER_VCC_NET -.sym 21804 w_tx_data_io[7] -.sym 21807 w_tx_data_io[5] -.sym 21845 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[1] -.sym 21851 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 21945 w_smi_read_req -.sym 22106 $PACKER_GND_NET -.sym 22155 o_rx_h_tx_l$SB_IO_OUT -.sym 22257 $PACKER_GND_NET -.sym 22360 o_tr_vc1_b$SB_IO_OUT -.sym 22466 o_led0$SB_IO_OUT +.sym 21756 w_tx_data_io[0] +.sym 21761 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 21800 w_tx_data_io[0] +.sym 21802 w_tx_data_io[4] +.sym 21855 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[0] +.sym 21856 w_tx_data_io[7] +.sym 21857 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 21859 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] +.sym 21861 i_button_SB_LUT4_I3_O[0] +.sym 21864 w_tx_data_io[5] +.sym 21904 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[1] +.sym 21905 w_tx_data_io[5] +.sym 21906 w_tx_data_io[6] +.sym 21907 i_button_SB_LUT4_I3_O[1] +.sym 21909 w_tx_data_io[7] +.sym 22004 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 22005 o_tr_vc1$SB_IO_OUT +.sym 22006 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] +.sym 22007 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 22008 o_tr_vc1_b$SB_IO_OUT +.sym 22009 o_rx_h_tx_l_b$SB_IO_OUT +.sym 22010 o_rx_h_tx_l$SB_IO_OUT +.sym 22011 io_ctrl_ins.mixer_en_state +.sym 22051 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_O[1] +.sym 22055 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 22057 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 22109 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 22148 io_ctrl_ins.rf_pin_state[7] +.sym 22149 o_rx_h_tx_l$SB_IO_OUT +.sym 22156 io_ctrl_ins.rf_pin_state[6] +.sym 22158 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 22164 io_ctrl_ins.rf_pin_state[0] +.sym 22166 io_ctrl_ins.rf_pin_state[4] +.sym 22168 $PACKER_GND_NET +.sym 22258 o_tr_vc2$SB_IO_OUT +.sym 22471 o_led0$SB_IO_OUT .sym 22487 o_led1$SB_IO_OUT -.sym 22505 o_led1$SB_IO_OUT -.sym 22520 int_miso -.sym 22522 i_ss_SB_LUT4_I3_O -.sym 22527 int_miso -.sym 22528 i_ss_SB_LUT4_I3_O +.sym 22496 o_led1$SB_IO_OUT +.sym 22517 int_miso +.sym 22519 i_ss_SB_LUT4_I3_O +.sym 22533 i_ss_SB_LUT4_I3_O +.sym 22541 int_miso +.sym 22563 i_mosi$SB_IO_IN .sym 22574 i_sck$SB_IO_IN -.sym 22575 int_miso +.sym 22576 io_pmod[7]$SB_IO_IN +.sym 22585 rx_09_fifo.rd_addr_gray[2] +.sym 22591 rx_09_fifo.rd_addr_gray[3] +.sym 22595 rx_09_fifo.rd_addr_gray[4] +.sym 22618 rx_09_fifo.rd_addr_gray[2] +.sym 22623 rx_09_fifo.rd_addr_gray[3] +.sym 22638 rx_09_fifo.rd_addr_gray[4] +.sym 22664 lvds_clock_buf .sym 22666 i_sck$SB_IO_IN .sym 22668 i_ss$SB_IO_IN +.sym 22682 io_pmod[6]$SB_IO_IN +.sym 22698 i_ss$SB_IO_IN +.sym 22711 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 22713 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[1] +.sym 22715 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 22722 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[3] .sym 22724 i_ss$SB_IO_IN -.sym 22728 i_ss$SB_IO_IN -.sym 22972 io_smi_data[5]$SB_IO_OUT -.sym 23089 $io_pmod[4]$iobuf_i -.sym 23205 $io_pmod[7]$iobuf_i -.sym 23210 io_pmod[6]$SB_IO_IN -.sym 23223 io_pmod[4]$SB_IO_IN -.sym 23326 $io_pmod[6]$iobuf_i -.sym 23340 io_pmod[5]$SB_IO_IN -.sym 23354 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 23470 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 23501 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 23512 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 23514 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 23551 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 23564 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 23565 r_counter[0]_$glb_clk -.sym 23566 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] +.sym 22729 $PACKER_VCC_NET +.sym 22758 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 22768 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 22770 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[1] +.sym 22772 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 22778 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[3] +.sym 22788 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 22789 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 22800 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[1] +.sym 22801 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[3] +.sym 22822 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 22824 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[1] +.sym 22826 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 22827 r_counter[0]_$glb_clk +.sym 22828 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 22829 $PACKER_VCC_NET +.sym 22862 $PACKER_VCC_NET +.sym 22963 w_rx_09_fifo_data[1] +.sym 22967 i_smi_a3$SB_IO_IN +.sym 22971 $PACKER_VCC_NET +.sym 22976 i_ss$SB_IO_IN +.sym 23004 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 23006 spi_if_ins.r_tx_byte[7] +.sym 23020 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 23023 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 23033 spi_if_ins.r_tx_byte[7] +.sym 23034 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 23035 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 23072 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 23073 r_counter[0]_$glb_clk +.sym 23092 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 23094 io_pmod[4]$SB_IO_IN +.sym 23222 i_ss$SB_IO_IN +.sym 23244 io_pmod[5]$SB_IO_IN +.sym 23248 i_ss$SB_IO_IN +.sym 23258 w_rx_09_fifo_data[1] +.sym 23263 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 23293 i_ss$SB_IO_IN +.sym 23302 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 23304 io_pmod[5]$SB_IO_IN +.sym 23314 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 23316 w_rx_09_fifo_data[1] +.sym 23318 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 23319 lvds_clock_buf +.sym 23320 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr +.sym 23323 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 23324 spi_if_ins.spi.r3_rx_done +.sym 23325 spi_if_ins.spi.r2_rx_done +.sym 23335 $io_pmod[7]$iobuf_i +.sym 23355 $PACKER_VCC_NET +.sym 23364 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E +.sym 23382 i_ss$SB_IO_IN +.sym 23392 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 23420 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 23441 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E +.sym 23442 i_sck$SB_IO_IN_$glb_clk +.sym 23443 i_ss$SB_IO_IN +.sym 23460 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E +.sym 23489 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 23490 io_pmod[4]$SB_IO_IN +.sym 23520 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 23521 io_pmod[4]$SB_IO_IN +.sym 23564 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 23565 lvds_clock_buf +.sym 23566 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2]_$glb_sr .sym 23567 r_counter[0] -.sym 23592 w_tx_data_io[6] -.sym 23718 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[1] -.sym 23733 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 23745 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[1] -.sym 23749 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[0] -.sym 23760 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[1] -.sym 23790 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[1] -.sym 23801 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[1] -.sym 23802 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[0] -.sym 23810 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 23811 r_counter[0]_$glb_clk -.sym 23812 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[1] -.sym 23833 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 23856 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 23858 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[1] -.sym 23870 i_button_SB_LUT4_I3_O[0] -.sym 23872 i_button_SB_LUT4_I3_O[1] -.sym 23878 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[1] -.sym 23882 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[0] -.sym 23911 i_button_SB_LUT4_I3_O[1] -.sym 23913 i_button_SB_LUT4_I3_O[0] -.sym 23929 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[0] -.sym 23931 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[1] -.sym 23933 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 23579 $io_pmod[6]$iobuf_i +.sym 23602 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 23716 w_smi_read_req +.sym 23718 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] +.sym 23820 io_ctrl_ins.pmod_dir_state[5] +.sym 23837 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 23843 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 23845 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] +.sym 23848 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 23855 io_ctrl_ins.pmod_dir_state[4] +.sym 23856 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] +.sym 23861 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] +.sym 23863 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 23867 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 23878 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] +.sym 23881 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] +.sym 23882 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] +.sym 23883 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 23887 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] +.sym 23888 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] +.sym 23889 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 23890 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] +.sym 23899 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 23900 io_ctrl_ins.pmod_dir_state[4] +.sym 23901 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 23902 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] +.sym 23933 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] .sym 23934 r_counter[0]_$glb_clk -.sym 23935 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[1] -.sym 23950 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 23951 $io_pmod[2]$iobuf_i -.sym 24187 o_rx_h_tx_l_b$SB_IO_OUT -.sym 24214 $PACKER_GND_NET +.sym 23935 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 23949 io_ctrl_ins.pmod_dir_state[4] +.sym 23967 o_tr_vc1$SB_IO_OUT +.sym 23978 o_tr_vc1$SB_IO_OUT +.sym 23979 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 23981 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 23982 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[0] +.sym 23983 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_O[1] +.sym 23988 i_button_SB_LUT4_I3_O[0] +.sym 23990 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 23991 o_rx_h_tx_l$SB_IO_OUT +.sym 23992 io_ctrl_ins.pmod_dir_state[5] +.sym 23993 io_ctrl_ins.pmod_dir_state[7] +.sym 23995 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[1] +.sym 23998 i_button_SB_LUT4_I3_O[1] +.sym 24003 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 24006 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_O[0] +.sym 24022 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 24023 o_tr_vc1$SB_IO_OUT +.sym 24024 io_ctrl_ins.pmod_dir_state[5] +.sym 24025 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 24028 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[0] +.sym 24031 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[1] +.sym 24034 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_O[1] +.sym 24036 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_O[0] +.sym 24040 o_rx_h_tx_l$SB_IO_OUT +.sym 24041 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 24042 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 24043 io_ctrl_ins.pmod_dir_state[7] +.sym 24054 i_button_SB_LUT4_I3_O[1] +.sym 24055 i_button_SB_LUT4_I3_O[0] +.sym 24056 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 24057 r_counter[0]_$glb_clk +.sym 24058 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 24082 $PACKER_GND_NET +.sym 24083 o_tr_vc1_b$SB_IO_OUT +.sym 24085 o_rx_h_tx_l_b$SB_IO_OUT +.sym 24100 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 24102 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 24103 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 24104 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 24105 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 24107 io_ctrl_ins.mixer_en_state +.sym 24108 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 24111 io_ctrl_ins.rf_pin_state[6] +.sym 24113 io_ctrl_ins.rf_pin_state[7] +.sym 24115 io_ctrl_ins.debug_mode[0] +.sym 24118 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 24119 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 24120 o_tr_vc1_b$SB_IO_OUT +.sym 24121 io_ctrl_ins.rf_mode[2] +.sym 24123 io_ctrl_ins.rf_mode[0] +.sym 24124 io_ctrl_ins.rf_pin_state[0] +.sym 24126 io_ctrl_ins.rf_pin_state[4] +.sym 24128 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 24129 io_ctrl_ins.rf_mode[2] +.sym 24131 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 24133 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 24134 io_ctrl_ins.rf_mode[2] +.sym 24135 o_tr_vc1_b$SB_IO_OUT +.sym 24136 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 24139 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 24140 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 24141 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 24142 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 24145 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 24146 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 24147 io_ctrl_ins.debug_mode[0] +.sym 24148 io_ctrl_ins.mixer_en_state +.sym 24151 io_ctrl_ins.rf_mode[0] +.sym 24152 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 24153 io_ctrl_ins.rf_mode[2] +.sym 24154 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 24157 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 24158 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 24159 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 24160 io_ctrl_ins.rf_pin_state[4] +.sym 24164 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 24165 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 24166 io_ctrl_ins.rf_pin_state[6] +.sym 24169 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 24170 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 24171 io_ctrl_ins.rf_pin_state[7] +.sym 24175 io_ctrl_ins.rf_pin_state[0] +.sym 24176 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 24177 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 24178 io_ctrl_ins.rf_mode[2] +.sym 24179 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 24180 r_counter[0]_$glb_clk +.sym 24200 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 24204 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 24217 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 24243 io_ctrl_ins.rf_mode[2] +.sym 24246 io_ctrl_ins.rf_mode[0] +.sym 24274 io_ctrl_ins.rf_mode[0] +.sym 24277 io_ctrl_ins.rf_mode[2] +.sym 24332 io_ctrl_ins.rf_mode[0] .sym 24596 o_led0$SB_IO_OUT -.sym 24618 o_led0$SB_IO_OUT +.sym 24605 o_led0$SB_IO_OUT .sym 24621 i_smi_a3$SB_IO_IN +.sym 24943 io_pmod[5]$SB_IO_IN .sym 25089 io_pmod[4]$SB_IO_IN -.sym 25252 io_pmod[4]$SB_IO_IN +.sym 25097 $PACKER_VCC_NET +.sym 25253 i_sck$SB_IO_IN .sym 25399 io_pmod[5]$SB_IO_IN .sym 25401 io_pmod[7]$SB_IO_IN -.sym 25482 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 25488 io_pmod[5]$SB_IO_IN -.sym 25547 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 25548 io_pmod[5]$SB_IO_IN -.sym 25551 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce -.sym 25552 lvds_clock_buf -.sym 25553 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr +.sym 25416 $io_pmod[4]$iobuf_i .sym 25554 i_smi_soe_se$rename$0 .sym 25556 io_pmod[6]$SB_IO_IN -.sym 25564 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 25568 io_pmod[7]$SB_IO_IN -.sym 25632 io_pmod[4]$SB_IO_IN -.sym 25649 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 25691 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 25692 io_pmod[4]$SB_IO_IN -.sym 25706 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce -.sym 25707 lvds_clock_buf -.sym 25708 i_smi_a1_SB_LUT4_I1_O[2]_$glb_sr +.sym 25630 spi_if_ins.spi.r3_rx_done +.sym 25631 spi_if_ins.spi.r_rx_done +.sym 25647 spi_if_ins.spi.r2_rx_done +.sym 25672 spi_if_ins.spi.r2_rx_done +.sym 25673 spi_if_ins.spi.r3_rx_done +.sym 25679 spi_if_ins.spi.r2_rx_done +.sym 25686 spi_if_ins.spi.r_rx_done +.sym 25707 r_counter[0]_$glb_clk .sym 25711 i_glob_clock$SB_IO_IN +.sym 25717 i_smi_soe_se$rename$0 +.sym 25723 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 25873 io_pmod[2]$SB_IO_IN .sym 25878 i_glob_clock$SB_IO_IN -.sym 25961 r_counter[0] +.sym 25945 r_counter[0] .sym 25971 r_counter[0] .sym 26017 i_glob_clock$SB_IO_IN_$glb_clk +.sym 26030 $PACKER_VCC_NET .sym 26174 io_pmod[2]$SB_IO_IN -.sym 26503 $PACKER_GND_NET -.sym 26743 o_rx_h_tx_l_b$SB_IO_OUT -.sym 26776 o_rx_h_tx_l_b$SB_IO_OUT -.sym 26813 o_tr_vc2$SB_IO_OUT +.sym 26249 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 26261 w_rx_data[5] +.sym 26324 w_rx_data[5] +.sym 26326 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 26327 r_counter[0]_$glb_clk +.sym 26345 w_rx_data[5] +.sym 26497 w_smi_read_req +.sym 26810 o_tr_vc1$SB_IO_OUT +.sym 26966 o_tr_vc1_b$SB_IO_OUT +.sym 26968 o_rx_h_tx_l_b$SB_IO_OUT +.sym 27245 io_pmod[4]$SB_IO_IN +.sym 27246 $io_pmod[4]$iobuf_i +.sym 27247 io_pmod[6]$SB_IO_IN +.sym 27275 io_pmod[5]$SB_IO_IN +.sym 27277 io_pmod[7]$SB_IO_IN +.sym 27283 w_smi_read_req +.sym 27285 i_smi_a3$SB_IO_IN +.sym 27295 i_smi_a3$SB_IO_IN +.sym 27299 w_smi_read_req .sym 27305 $io_pmod[3]$iobuf_i +.sym 27307 io_pmod[6]$SB_IO_IN .sym 27310 $io_pmod[4]$iobuf_i -.sym 27334 $io_pmod[4]$iobuf_i -.sym 27335 io_pmod[2]$SB_IO_IN +.sym 27332 $io_pmod[4]$iobuf_i +.sym 27337 $io_pmod[6]$iobuf_i .sym 27367 io_pmod[6]$SB_IO_IN .sym 27370 $io_pmod[5]$iobuf_i .sym 27373 $io_pmod[7]$iobuf_i @@ -10108,464 +10149,476 @@ .sym 27400 $io_pmod[3]$iobuf_i .sym 27403 $io_pmod[6]$iobuf_i .sym 27409 $io_pmod[3]$iobuf_i -.sym 27414 $io_pmod[6]$iobuf_i +.sym 27423 $io_pmod[6]$iobuf_i +.sym 27426 $io_pmod[2]$iobuf_i .sym 27429 i_glob_clock$SB_IO_IN .sym 27451 i_glob_clock$SB_IO_IN .sym 27455 io_pmod[2]$SB_IO_IN .sym 27459 r_counter[0] .sym 27460 $PACKER_VCC_NET .sym 27477 r_counter[0] -.sym 27484 $PACKER_VCC_NET +.sym 27480 $PACKER_VCC_NET .sym 27514 i_smi_a3$SB_IO_IN .sym 27519 $io_pmod[2]$iobuf_i .sym 27524 i_smi_a3$SB_IO_IN .sym 27532 i_smi_a3$SB_IO_IN -.sym 27534 $io_pmod[2]$iobuf_i -.sym 27544 w_smi_read_req +.sym 27537 $io_pmod[2]$iobuf_i .sym 27549 w_smi_read_req .sym 27551 i_smi_a3$SB_IO_IN .sym 27552 $PACKER_GND_NET -.sym 27559 $PACKER_GND_NET -.sym 27562 w_smi_read_req .sym 27566 i_smi_a3$SB_IO_IN +.sym 27569 w_smi_read_req +.sym 27570 $PACKER_GND_NET .sym 27582 o_rx_h_tx_l$SB_IO_OUT -.sym 27593 o_rx_h_tx_l$SB_IO_OUT +.sym 27589 o_rx_h_tx_l$SB_IO_OUT .sym 27605 o_tr_vc1$SB_IO_OUT .sym 27608 o_tr_vc2$SB_IO_OUT -.sym 27615 o_tr_vc2$SB_IO_OUT -.sym 27625 o_tr_vc1$SB_IO_OUT +.sym 27620 o_tr_vc1$SB_IO_OUT +.sym 27628 o_tr_vc2$SB_IO_OUT .sym 27631 o_rx_h_tx_l_b$SB_IO_OUT .sym 27634 o_tr_vc1_b$SB_IO_OUT -.sym 27653 o_rx_h_tx_l_b$SB_IO_OUT -.sym 27654 o_tr_vc1_b$SB_IO_OUT -.sym 27683 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_I1[4] -.sym 27688 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_I1[5] -.sym 27693 $nextpnr_ICESTORM_LC_20$I3 -.sym 27697 smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E -.sym 27701 smi_ctrl_ins.int_cnt_09[5] -.sym 27705 smi_ctrl_ins.int_cnt_09[4] -.sym 27706 i_smi_soe_se$rename$0 -.sym 27710 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0[5] -.sym 27711 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I1[5] -.sym 27712 i_smi_soe_se$rename$0 -.sym 27713 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 27715 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[0] -.sym 27720 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_I1[4] -.sym 27724 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_I1[5] -.sym 27727 $PACKER_VCC_NET -.sym 27729 $nextpnr_ICESTORM_LC_11$I3 -.sym 27731 i_smi_soe_se$rename$0 -.sym 27732 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 27733 $nextpnr_ICESTORM_LC_11$COUT -.sym 27737 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 27738 i_smi_a1_SB_LUT4_I1_O[0] -.sym 27744 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 27745 i_smi_a1_SB_LUT4_I1_O[2] +.sym 27647 o_tr_vc1_b$SB_IO_OUT +.sym 27649 o_rx_h_tx_l_b$SB_IO_OUT +.sym 27716 i_smi_soe_se$rename$0 +.sym 27717 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 27719 w_rx_24_fifo_pull +.sym 27720 w_rx_09_fifo_pull +.sym 27721 i_smi_a2_SB_LUT4_I1_O[3] +.sym 27733 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] .sym 27747 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[3] .sym 27752 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[4] .sym 27756 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[5] .sym 27759 $PACKER_VCC_NET .sym 27761 $nextpnr_ICESTORM_LC_13$I3 .sym 27763 i_smi_soe_se$rename$0 -.sym 27764 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] +.sym 27764 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] .sym 27765 $nextpnr_ICESTORM_LC_13$COUT +.sym 27767 i_smi_soe_se$rename$0 +.sym 27768 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[5] +.sym 27769 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 27773 smi_ctrl_ins.int_cnt_24[4] +.sym 27774 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[5] +.sym 27775 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_I1[5] +.sym 27776 i_smi_soe_se$rename$0 +.sym 27777 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] .sym 27779 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[4] .sym 27784 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_CARRY_CO_I1[5] .sym 27789 $nextpnr_ICESTORM_LC_18$I3 -.sym 27792 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] -.sym 27793 i_smi_a1_SB_LUT4_I1_O[2] -.sym 27797 smi_ctrl_ins.int_cnt_24[4] -.sym 27798 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[5] -.sym 27799 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_I1[5] -.sym 27800 i_smi_soe_se$rename$0 -.sym 27801 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 27804 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27805 lvds_rx_09_inst.o_fifo_data[10] -.sym 27807 i_smi_soe_se$rename$0 -.sym 27808 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2[5] -.sym 27809 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 27810 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 27811 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[1] -.sym 27812 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 27813 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[3] -.sym 27814 i_smi_a1_SB_LUT4_I1_O[0] -.sym 27815 i_smi_a1_SB_LUT4_I1_O[1] -.sym 27816 i_smi_a1_SB_LUT4_I1_O[2] -.sym 27817 i_smi_a1_SB_LUT4_I1_O[3] -.sym 27821 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_O -.sym 27822 w_rx_09_fifo_pulled_data[1] -.sym 27823 w_rx_09_fifo_pulled_data[17] -.sym 27824 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 27825 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 27826 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 27827 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[1] -.sym 27828 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 27829 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[3] -.sym 27830 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 27831 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[1] -.sym 27832 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 27833 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[3] -.sym 27834 w_rx_09_fifo_pulled_data[9] -.sym 27835 w_rx_09_fifo_pulled_data[25] -.sym 27836 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 27837 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 27839 i_smi_a2_SB_LUT4_I1_O[2] -.sym 27840 i_smi_a1_SB_LUT4_I1_O[3] -.sym 27841 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I1_I3[2] -.sym 27850 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[0] -.sym 27851 i_smi_soe_se$rename$0 -.sym 27852 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 27853 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[3] -.sym 27856 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27857 w_rx_24_fifo_data[7] -.sym 27863 w_rx_24_fifo_pull -.sym 27864 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 27865 i_smi_a2_SB_LUT4_I1_O[2] +.sym 27793 smi_ctrl_ins.int_cnt_24[5] +.sym 27794 i_smi_soe_se$rename$0 +.sym 27800 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 27801 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 27803 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 27804 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 27805 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 27806 i_smi_a1_SB_LUT4_I1_O[0] +.sym 27807 i_smi_a1_SB_LUT4_I1_O[1] +.sym 27808 i_smi_a1_SB_LUT4_I1_O[2] +.sym 27809 i_smi_a1_SB_LUT4_I1_O[3] +.sym 27812 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27813 w_rx_24_fifo_data[8] +.sym 27816 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27817 w_rx_24_fifo_data[12] +.sym 27820 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27821 w_rx_24_fifo_data[10] +.sym 27824 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27825 w_rx_24_fifo_data[9] +.sym 27828 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27829 w_rx_24_fifo_data[5] +.sym 27832 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27833 w_rx_24_fifo_data[1] +.sym 27836 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27837 w_rx_24_fifo_data[7] +.sym 27840 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27841 w_rx_24_fifo_data[3] +.sym 27844 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27845 w_rx_24_fifo_data[13] +.sym 27852 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27853 w_rx_24_fifo_data[0] +.sym 27860 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27861 w_rx_24_fifo_data[11] +.sym 27868 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27869 w_rx_24_fifo_data[14] .sym 27872 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27873 w_rx_24_fifo_data[5] +.sym 27873 w_rx_24_fifo_data[6] .sym 27876 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27877 w_rx_24_fifo_data[10] +.sym 27877 w_rx_24_fifo_data[17] .sym 27880 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27881 w_rx_24_fifo_data[8] +.sym 27881 w_rx_24_fifo_data[25] .sym 27884 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27885 w_rx_24_fifo_data[0] +.sym 27885 w_rx_24_fifo_data[19] .sym 27888 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27889 w_rx_24_fifo_data[4] +.sym 27889 w_rx_24_fifo_data[21] .sym 27892 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27893 w_rx_24_fifo_data[2] -.sym 27896 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27897 w_rx_24_fifo_data[12] +.sym 27893 w_rx_24_fifo_data[15] .sym 27900 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27901 w_rx_24_fifo_data[6] -.sym 27904 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27905 w_rx_24_fifo_data[9] -.sym 27908 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27909 w_rx_24_fifo_data[11] -.sym 27912 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27913 w_rx_24_fifo_data[18] -.sym 27920 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27921 w_rx_24_fifo_data[14] -.sym 27932 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27933 w_rx_24_fifo_data[16] -.sym 27936 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27937 w_rx_24_fifo_data[13] -.sym 27940 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27941 w_rx_24_fifo_data[17] -.sym 27944 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27945 w_rx_24_fifo_data[15] -.sym 27948 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27949 w_rx_24_fifo_data[25] -.sym 27952 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27953 w_rx_24_fifo_data[19] -.sym 27956 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27957 w_rx_24_fifo_data[29] -.sym 27960 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27961 w_rx_24_fifo_data[21] -.sym 27964 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27965 w_rx_24_fifo_data[27] -.sym 27968 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 27969 w_rx_24_fifo_data[23] -.sym 27970 w_lvds_rx_09_d1 -.sym 27971 w_lvds_rx_09_d0 -.sym 27972 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 27973 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 27978 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 27984 i_smi_a1_SB_LUT4_I1_O[2] -.sym 27985 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 27987 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 27988 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 27989 i_smi_a1_SB_LUT4_I1_O[2] -.sym 27990 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28003 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 28008 rx_24_fifo.wr_addr[2] -.sym 28009 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 28012 rx_24_fifo.wr_addr[3] -.sym 28013 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] -.sym 28016 rx_24_fifo.wr_addr[4] -.sym 28017 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] -.sym 28020 rx_24_fifo.wr_addr[5] -.sym 28021 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] -.sym 28024 rx_24_fifo.wr_addr[6] -.sym 28025 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] -.sym 28028 rx_24_fifo.wr_addr[7] -.sym 28029 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] -.sym 28037 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 28044 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 28045 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 28050 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 28067 lvds_rx_24_inst.r_phase_count[0] -.sym 28071 lvds_rx_24_inst.r_phase_count[1] -.sym 28072 $PACKER_VCC_NET -.sym 28074 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[0] -.sym 28075 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 28076 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[2] -.sym 28077 lvds_rx_24_inst.r_phase_count_SB_CARRY_CI_CO[2] -.sym 28078 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[0] -.sym 28079 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 28080 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 28081 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] -.sym 28082 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[0] -.sym 28083 lvds_rx_24_inst.r_phase_count[1] -.sym 28084 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[2] -.sym 28085 lvds_rx_24_inst.r_phase_count[0] -.sym 28086 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[0] -.sym 28087 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 28088 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 28089 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 28092 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28093 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[0] -.sym 28094 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[0] -.sym 28095 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[1] -.sym 28096 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[2] -.sym 28097 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 28098 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] -.sym 28102 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0[0] -.sym 28103 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 28104 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] -.sym 28105 i_smi_a1_SB_LUT4_I1_O[2] -.sym 28108 lvds_rx_24_inst.r_state_if[1] -.sym 28109 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 28110 w_lvds_rx_24_d1 -.sym 28111 w_lvds_rx_24_d0 -.sym 28112 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 28113 lvds_rx_24_inst.r_state_if[1] -.sym 28114 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28120 lvds_rx_24_inst.r_state_if[1] -.sym 28121 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 28127 i_smi_a1_SB_LUT4_I1_O[2] -.sym 28128 lvds_rx_24_inst.r_state_if[1] -.sym 28129 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 28136 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 28137 lvds_rx_24_inst.r_state_if[1] -.sym 28151 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 28152 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 28153 i_smi_a1_SB_LUT4_I1_O[2] -.sym 28195 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28199 smi_ctrl_ins.int_cnt_09[4] -.sym 28200 $PACKER_VCC_NET -.sym 28201 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28203 smi_ctrl_ins.int_cnt_09[5] -.sym 28204 $PACKER_VCC_NET -.sym 28205 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[2] -.sym 28207 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] -.sym 28208 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] -.sym 28209 i_smi_a1_SB_LUT4_I1_O[2] -.sym 28211 i_smi_soe_se$rename$0 -.sym 28212 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 28213 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 28215 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 28216 i_smi_soe_se$rename$0 -.sym 28217 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 28218 smi_ctrl_ins.int_cnt_09[4] -.sym 28219 smi_ctrl_ins.int_cnt_09[5] -.sym 28220 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28221 io_pmod[2]$SB_IO_IN -.sym 28223 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28224 i_smi_soe_se$rename$0 -.sym 28225 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 28228 i_smi_soe_se$rename$0 -.sym 28229 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 28234 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_I3_O[0] -.sym 28235 i_smi_a1_SB_LUT4_I1_O[0] -.sym 28236 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I1[2] -.sym 28237 i_smi_a2_SB_LUT4_I1_O[2] -.sym 28240 i_smi_a3$SB_IO_IN -.sym 28241 w_smi_data_output[2] -.sym 28244 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28245 lvds_rx_09_inst.o_fifo_data[20] -.sym 28248 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28249 lvds_rx_09_inst.o_fifo_data[26] -.sym 28252 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28253 lvds_rx_09_inst.o_fifo_data[18] -.sym 28256 i_smi_a3$SB_IO_IN -.sym 28257 w_smi_data_output[1] -.sym 28260 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28261 lvds_rx_09_inst.o_fifo_data[14] -.sym 28264 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28265 lvds_rx_09_inst.o_fifo_data[24] -.sym 28266 w_rx_09_fifo_pulled_data[0] -.sym 28267 w_rx_09_fifo_pulled_data[16] -.sym 28268 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 28269 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28272 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28273 lvds_rx_09_inst.o_fifo_data[12] -.sym 28274 w_rx_09_fifo_pulled_data[8] -.sym 28275 w_rx_09_fifo_pulled_data[24] -.sym 28276 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28277 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 28280 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28281 lvds_rx_09_inst.o_fifo_data[22] -.sym 28284 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28285 lvds_rx_09_inst.o_fifo_data[28] -.sym 28288 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28289 lvds_rx_09_inst.o_fifo_data[16] -.sym 28290 w_rx_09_fifo_pulled_data[15] -.sym 28291 w_rx_09_fifo_pulled_data[31] -.sym 28292 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28293 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 28294 w_rx_09_fifo_pulled_data[2] -.sym 28295 w_rx_09_fifo_pulled_data[18] -.sym 28296 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28297 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 28298 w_rx_09_fifo_pulled_data[4] -.sym 28299 w_rx_09_fifo_pulled_data[20] -.sym 28300 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28301 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 28302 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[0] -.sym 28303 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[1] -.sym 28304 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] -.sym 28305 i_smi_a2_SB_LUT4_I1_O[2] -.sym 28306 w_rx_09_fifo_pulled_data[12] -.sym 28307 w_rx_09_fifo_pulled_data[28] -.sym 28308 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28309 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 28310 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 28311 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[1] -.sym 28312 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 28313 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[3] -.sym 28314 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 28315 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[1] -.sym 28316 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 28317 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] -.sym 28320 i_smi_a3$SB_IO_IN -.sym 28321 w_smi_data_output[6] -.sym 28322 w_rx_09_fifo_pulled_data[3] -.sym 28323 w_rx_09_fifo_pulled_data[19] -.sym 28324 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28325 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 28326 w_rx_09_fifo_pulled_data[7] -.sym 28327 w_rx_09_fifo_pulled_data[23] -.sym 28328 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28329 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 28330 i_smi_a1_SB_LUT4_I1_O[1] -.sym 28331 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I1[1] -.sym 28332 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I1[2] -.sym 28333 i_smi_a1_SB_LUT4_I1_O[3] -.sym 28334 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 28335 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[1] -.sym 28336 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 28337 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[3] -.sym 28339 w_rx_09_fifo_pulled_data[0] -.sym 28340 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 28341 i_smi_a2_SB_LUT4_I1_O[2] -.sym 28342 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] -.sym 28343 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3[1] -.sym 28344 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28345 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3[3] -.sym 28346 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[2] -.sym 28347 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[1] -.sym 28348 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O[2] -.sym 28349 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[3] -.sym 28350 w_rx_09_fifo_pulled_data[11] -.sym 28351 w_rx_09_fifo_pulled_data[27] -.sym 28352 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28353 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 28354 i_smi_a2_SB_LUT4_I1_O[1] -.sym 28355 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 28356 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 28357 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 28360 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28361 w_rx_24_fifo_data[1] -.sym 28362 w_rx_24_fifo_pulled_data[14] -.sym 28363 w_rx_24_fifo_pulled_data[6] -.sym 28364 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28365 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28366 i_smi_a2_SB_LUT4_I1_O[1] -.sym 28367 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I1[1] -.sym 28368 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I1[2] -.sym 28369 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 28372 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28373 w_rx_24_fifo_data[3] -.sym 28374 w_rx_24_fifo_pulled_data[10] -.sym 28375 w_rx_24_fifo_pulled_data[2] -.sym 28376 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28377 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28378 w_rx_24_fifo_pulled_data[12] -.sym 28379 w_rx_24_fifo_pulled_data[4] -.sym 28380 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28381 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28382 i_smi_a2_SB_LUT4_I1_O[1] -.sym 28383 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 28384 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 28385 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 28390 w_rx_24_fifo_pulled_data[13] -.sym 28391 w_rx_24_fifo_pulled_data[5] -.sym 28392 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28393 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28396 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28397 lvds_rx_09_inst.o_fifo_data[9] -.sym 28400 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28401 lvds_rx_09_inst.o_fifo_data[7] -.sym 28404 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28405 lvds_rx_09_inst.o_fifo_data[11] -.sym 28406 i_smi_a2_SB_LUT4_I1_O[1] -.sym 28407 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 28408 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 28409 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 28410 w_rx_24_fifo_pulled_data[9] -.sym 28411 w_rx_24_fifo_pulled_data[1] -.sym 28412 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28413 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28416 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28417 lvds_rx_09_inst.o_fifo_data[8] -.sym 28418 i_smi_a2_SB_LUT4_I1_O[1] -.sym 28419 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[1] -.sym 28420 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[2] -.sym 28421 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 28422 rx_24_fifo.wr_addr_gray_rd[6] -.sym 28426 w_rx_24_fifo_pulled_data[30] -.sym 28427 w_rx_24_fifo_pulled_data[22] -.sym 28428 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28429 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28431 i_smi_a2_SB_LUT4_I1_O[0] -.sym 28432 i_smi_a2_SB_LUT4_I1_O[1] -.sym 28433 i_smi_a2_SB_LUT4_I1_O[2] -.sym 28437 w_rx_24_fifo_pull -.sym 28441 w_rx_24_fifo_data[14] -.sym 28442 w_rx_24_fifo_pulled_data[25] -.sym 28443 w_rx_24_fifo_pulled_data[17] -.sym 28444 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28445 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28446 rx_24_fifo.wr_addr_gray[6] -.sym 28450 w_rx_24_fifo_pulled_data[29] -.sym 28451 w_rx_24_fifo_pulled_data[21] -.sym 28452 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28453 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28454 rx_24_fifo.rd_addr_gray_wr[7] -.sym 28466 w_rx_24_fifo_pulled_data[28] -.sym 28467 w_rx_24_fifo_pulled_data[20] -.sym 28468 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28469 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28473 w_rx_24_fifo_data[29] -.sym 28477 w_rx_24_fifo_data[31] -.sym 28478 w_rx_24_fifo_pulled_data[26] -.sym 28479 w_rx_24_fifo_pulled_data[18] -.sym 28480 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28481 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28484 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28485 w_rx_24_fifo_data[20] -.sym 28486 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_1_I3[0] -.sym 28487 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[1] -.sym 28488 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[2] -.sym 28489 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_1_I3[3] -.sym 28490 rx_24_fifo.rd_addr_gray_wr_r[6] -.sym 28491 rx_24_fifo.rd_addr_gray_wr_r[7] -.sym 28492 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[6] -.sym 28493 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] -.sym 28496 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28497 w_rx_24_fifo_data[28] -.sym 28500 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28501 w_rx_24_fifo_data[22] -.sym 28504 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28505 w_rx_24_fifo_data[24] -.sym 28506 rx_24_fifo.rd_addr_gray_wr_r[6] -.sym 28507 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 28508 rx_24_fifo.rd_addr_gray_wr_r[7] -.sym 28509 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 28512 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28513 w_rx_24_fifo_data[26] +.sym 27901 w_rx_24_fifo_data[23] +.sym 27907 lvds_rx_09_inst.r_phase_count[0] +.sym 27911 lvds_rx_09_inst.r_phase_count[1] +.sym 27912 $PACKER_VCC_NET +.sym 27913 lvds_rx_09_inst.r_phase_count[0] +.sym 27914 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] +.sym 27916 $PACKER_VCC_NET +.sym 27917 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] +.sym 27920 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 27921 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 27932 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27933 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 27937 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 27940 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] +.sym 27941 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] +.sym 27944 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 27945 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 27946 rx_24_fifo.rd_addr_gray_wr[2] +.sym 27951 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 27952 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 27953 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 27954 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 27955 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 27956 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 27957 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 27959 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 27960 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2[1] +.sym 27961 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 27962 rx_24_fifo.rd_addr_gray[2] +.sym 27966 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 27967 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 27968 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 27969 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 27970 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 27971 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 27972 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[2] +.sym 27973 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 27977 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] +.sym 27978 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 27979 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 27980 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[1] +.sym 27981 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 27982 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[0] +.sym 27983 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[1] +.sym 27984 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[2] +.sym 27985 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[3] +.sym 27987 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 27988 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[2] +.sym 27989 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[5] +.sym 27991 rx_24_fifo.rd_addr_gray_wr_r[2] +.sym 27992 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[1] +.sym 27993 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[2] +.sym 27994 w_lvds_rx_09_d1 +.sym 27995 w_lvds_rx_09_d0 +.sym 27996 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 27997 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 27998 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[0] +.sym 27999 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[1] +.sym 28000 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[2] +.sym 28001 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[1] +.sym 28002 w_rx_24_fifo_push +.sym 28003 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1[1] +.sym 28004 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1[2] +.sym 28005 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1[3] +.sym 28007 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 28008 w_rx_24_fifo_full +.sym 28009 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 28016 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 28017 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 28027 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 28028 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 28029 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 28031 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_2_I0[1] +.sym 28032 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] +.sym 28033 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 28038 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28042 w_rx_24_fifo_full +.sym 28043 rx_24_fifo.full_o_SB_LUT4_I0_I1[1] +.sym 28044 rx_24_fifo.full_o_SB_LUT4_I0_I1[2] +.sym 28045 rx_24_fifo.full_o_SB_LUT4_I0_I1[3] +.sym 28050 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] +.sym 28059 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 28060 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 28061 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 28064 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 28065 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 28068 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 28069 w_lvds_rx_24_d0 +.sym 28071 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 28072 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 28073 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 28074 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 28075 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 28076 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 28077 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 28079 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 28080 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 28081 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 28084 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 28085 w_lvds_rx_24_d1 +.sym 28087 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] +.sym 28088 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2[1] +.sym 28089 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 28090 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 28091 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 28092 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 28093 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 28094 w_lvds_rx_24_d1 +.sym 28095 w_lvds_rx_24_d0 +.sym 28096 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 28097 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 28099 lvds_rx_24_inst.r_phase_count[0] +.sym 28103 lvds_rx_24_inst.r_phase_count[1] +.sym 28104 $PACKER_VCC_NET +.sym 28105 lvds_rx_24_inst.r_phase_count[0] +.sym 28106 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] +.sym 28108 $PACKER_VCC_NET +.sym 28109 lvds_rx_24_inst.r_phase_count_SB_CARRY_CI_CO[2] +.sym 28110 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 28111 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] +.sym 28112 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[2] +.sym 28113 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 28117 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 28118 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 28119 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[1] +.sym 28120 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[1] +.sym 28121 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 28124 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] +.sym 28125 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] +.sym 28129 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] +.sym 28132 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 28133 w_lvds_rx_09_d1 +.sym 28156 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 28157 w_lvds_rx_09_d0 +.sym 28194 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[0] +.sym 28195 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[1] +.sym 28196 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] +.sym 28197 i_smi_a2_SB_LUT4_I1_O[3] +.sym 28199 w_rx_09_fifo_pulled_data[0] +.sym 28200 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 28201 i_smi_a2_SB_LUT4_I1_O[3] +.sym 28202 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 28203 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[1] +.sym 28204 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 28205 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[3] +.sym 28206 w_rx_09_fifo_pulled_data[4] +.sym 28207 w_rx_09_fifo_pulled_data[20] +.sym 28208 smi_ctrl_ins.int_cnt_09[3] +.sym 28209 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 28210 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 28211 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2[1] +.sym 28212 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 28213 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2[3] +.sym 28214 w_rx_09_fifo_pulled_data[0] +.sym 28215 w_rx_09_fifo_pulled_data[16] +.sym 28216 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 28217 smi_ctrl_ins.int_cnt_09[3] +.sym 28218 w_rx_09_fifo_pulled_data[12] +.sym 28219 w_rx_09_fifo_pulled_data[28] +.sym 28220 smi_ctrl_ins.int_cnt_09[3] +.sym 28221 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 28224 i_smi_a3$SB_IO_IN +.sym 28225 w_smi_data_output[6] +.sym 28226 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 28227 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[1] +.sym 28228 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 28229 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[3] +.sym 28230 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 28231 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[1] +.sym 28232 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 28233 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] +.sym 28234 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 28235 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[1] +.sym 28236 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 28237 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[3] +.sym 28239 i_smi_a2_SB_LUT4_I1_O[3] +.sym 28240 i_smi_a1_SB_LUT4_I1_O[3] +.sym 28241 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O_SB_LUT4_I0_O[2] +.sym 28242 w_rx_09_fifo_pulled_data[9] +.sym 28243 w_rx_09_fifo_pulled_data[25] +.sym 28244 smi_ctrl_ins.int_cnt_09[3] +.sym 28245 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 28246 w_rx_09_fifo_pulled_data[1] +.sym 28247 w_rx_09_fifo_pulled_data[17] +.sym 28248 smi_ctrl_ins.int_cnt_09[3] +.sym 28249 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 28250 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 28251 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[1] +.sym 28252 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 28253 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[3] +.sym 28254 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 28255 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[1] +.sym 28256 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1[2] +.sym 28257 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[3] +.sym 28259 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28263 smi_ctrl_ins.int_cnt_24[4] +.sym 28264 $PACKER_VCC_NET +.sym 28267 smi_ctrl_ins.int_cnt_24[5] +.sym 28268 $PACKER_VCC_NET +.sym 28269 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[2] +.sym 28271 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28272 i_smi_soe_se$rename$0 +.sym 28273 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 28274 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 28275 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 28276 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 28277 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 28278 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 28279 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 28280 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 28281 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 28282 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[0] +.sym 28283 i_smi_soe_se$rename$0 +.sym 28284 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 28285 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[3] +.sym 28286 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 28287 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 28288 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 28289 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 28290 w_rx_24_fifo_pulled_data[14] +.sym 28291 w_rx_24_fifo_pulled_data[6] +.sym 28292 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28293 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28294 smi_ctrl_ins.int_cnt_24[4] +.sym 28295 smi_ctrl_ins.int_cnt_24[5] +.sym 28296 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28297 w_rx_24_fifo_empty +.sym 28299 i_smi_soe_se$rename$0 +.sym 28300 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 28301 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28302 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 28303 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 28304 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 28305 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 28306 w_rx_24_fifo_pulled_data[9] +.sym 28307 w_rx_24_fifo_pulled_data[1] +.sym 28308 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28309 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28310 i_smi_a2_SB_LUT4_I1_O[1] +.sym 28311 i_smi_a1_SB_LUT4_I1_O[0] +.sym 28312 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 28313 i_smi_a1_SB_LUT4_I1_O[3] +.sym 28314 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 28315 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I3[1] +.sym 28316 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I3[2] +.sym 28317 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 28319 w_rx_24_fifo_pulled_data[0] +.sym 28320 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 28321 i_smi_a2_SB_LUT4_I1_O[3] +.sym 28322 w_rx_24_fifo_pulled_data[11] +.sym 28323 w_rx_24_fifo_pulled_data[3] +.sym 28324 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28325 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28327 smi_ctrl_ins.int_cnt_24[4] +.sym 28328 $PACKER_VCC_NET +.sym 28329 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28330 w_rx_24_fifo_pulled_data[10] +.sym 28331 w_rx_24_fifo_pulled_data[2] +.sym 28332 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28333 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28334 w_rx_24_fifo_pulled_data[12] +.sym 28335 w_rx_24_fifo_pulled_data[4] +.sym 28336 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28337 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28338 w_rx_24_fifo_pulled_data[15] +.sym 28339 w_rx_24_fifo_pulled_data[7] +.sym 28340 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28341 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28342 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 28343 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 28344 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 28345 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 28346 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 28347 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1[1] +.sym 28348 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1[2] +.sym 28349 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[3] +.sym 28350 w_rx_24_fifo_pulled_data[13] +.sym 28351 w_rx_24_fifo_pulled_data[5] +.sym 28352 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28353 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28354 w_rx_24_fifo_pulled_data[0] +.sym 28355 w_rx_24_fifo_pulled_data[16] +.sym 28356 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28357 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28358 w_rx_24_fifo_pulled_data[27] +.sym 28359 w_rx_24_fifo_pulled_data[19] +.sym 28360 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28361 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28364 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28365 w_rx_24_fifo_data[4] +.sym 28366 w_rx_24_fifo_pulled_data[8] +.sym 28367 w_rx_24_fifo_pulled_data[24] +.sym 28368 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28369 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 28370 w_rx_24_fifo_pulled_data[29] +.sym 28371 w_rx_24_fifo_pulled_data[21] +.sym 28372 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28373 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28374 w_rx_24_fifo_pulled_data[28] +.sym 28375 w_rx_24_fifo_pulled_data[20] +.sym 28376 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28377 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28378 w_rx_24_fifo_pulled_data[26] +.sym 28379 w_rx_24_fifo_pulled_data[18] +.sym 28380 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28381 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28384 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28385 w_rx_24_fifo_data[2] +.sym 28388 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28389 w_rx_24_fifo_data[20] +.sym 28392 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28393 w_rx_24_fifo_data[22] +.sym 28396 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28397 w_rx_24_fifo_data[26] +.sym 28400 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28401 w_rx_24_fifo_data[27] +.sym 28404 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28405 w_rx_24_fifo_data[24] +.sym 28408 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28409 w_rx_24_fifo_data[16] +.sym 28410 w_rx_24_fifo_pulled_data[30] +.sym 28411 w_rx_24_fifo_pulled_data[22] +.sym 28412 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28413 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28416 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28417 w_rx_24_fifo_data[28] +.sym 28419 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 28420 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 28421 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 28422 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 28434 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28438 w_rx_24_fifo_pulled_data[25] +.sym 28439 w_rx_24_fifo_pulled_data[17] +.sym 28440 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28441 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28446 w_rx_24_fifo_pulled_data[31] +.sym 28447 w_rx_24_fifo_pulled_data[23] +.sym 28448 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28449 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] +.sym 28451 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 28456 rx_24_fifo.wr_addr[2] +.sym 28457 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 28460 rx_24_fifo.wr_addr[3] +.sym 28461 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] +.sym 28464 rx_24_fifo.wr_addr[4] +.sym 28465 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] +.sym 28468 rx_24_fifo.wr_addr[5] +.sym 28469 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] +.sym 28472 rx_24_fifo.wr_addr[6] +.sym 28473 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] +.sym 28476 rx_24_fifo.wr_addr[7] +.sym 28477 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] +.sym 28478 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 28482 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 28488 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 28489 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 28490 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 28494 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 28495 rx_24_fifo.rd_addr_gray_wr_r[7] +.sym 28496 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[6] +.sym 28497 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[5] +.sym 28498 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 28502 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] +.sym 28506 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 28510 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] .sym 28515 rx_24_fifo.wr_addr[0] -.sym 28520 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 28520 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] .sym 28521 rx_24_fifo.wr_addr[0] .sym 28524 rx_24_fifo.wr_addr[2] .sym 28525 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[2] @@ -10579,1132 +10632,1137 @@ .sym 28541 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[6] .sym 28544 rx_24_fifo.wr_addr[7] .sym 28545 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[7] -.sym 28546 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 28554 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] -.sym 28558 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_2_I2[1] -.sym 28564 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 28565 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 28566 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 28573 rx_24_fifo.wr_addr[0] -.sym 28574 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 28581 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[0] -.sym 28582 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] -.sym 28583 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 28584 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 28585 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 28588 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[2] -.sym 28589 w_lvds_rx_24_d1 -.sym 28596 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I2_O[2] -.sym 28597 w_lvds_rx_24_d0 -.sym 28600 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0[0] -.sym 28601 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 28608 i_smi_a1_SB_LUT4_I1_O[2] -.sym 28609 w_rx_24_fifo_push -.sym 28611 lvds_rx_09_inst.r_phase_count[0] -.sym 28615 lvds_rx_09_inst.r_phase_count[1] -.sym 28616 $PACKER_VCC_NET -.sym 28617 lvds_rx_09_inst.r_phase_count[0] -.sym 28618 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] -.sym 28620 $PACKER_VCC_NET -.sym 28621 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] -.sym 28624 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] -.sym 28625 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] -.sym 28626 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 28627 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 28628 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[1] -.sym 28629 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 28633 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] -.sym 28634 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 28635 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 28636 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[2] -.sym 28637 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 28640 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28641 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 28643 i_smi_a1_SB_LUT4_I1_O[2] -.sym 28644 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 28645 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 28657 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 28664 w_rx_24_fifo_full -.sym 28665 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_DFFNESR_D_Q[3] -.sym 28671 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 28672 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] -.sym 28673 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 28675 i_smi_a1$SB_IO_IN -.sym 28676 i_smi_a3$SB_IO_IN -.sym 28677 i_smi_a2$SB_IO_IN -.sym 28687 i_smi_a2$SB_IO_IN -.sym 28688 i_smi_a1$SB_IO_IN -.sym 28689 i_smi_a3$SB_IO_IN -.sym 28707 rx_09_fifo.rd_addr[0] -.sym 28712 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 28713 rx_09_fifo.rd_addr[0] -.sym 28716 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] -.sym 28717 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] -.sym 28720 rx_09_fifo.rd_addr[3] -.sym 28721 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] -.sym 28724 rx_09_fifo.rd_addr[4] -.sym 28725 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] -.sym 28728 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] -.sym 28729 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] -.sym 28732 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 28733 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] -.sym 28736 rx_09_fifo.rd_addr[7] -.sym 28737 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] -.sym 28738 rx_09_fifo.rd_addr_gray[4] -.sym 28742 rx_09_fifo.rd_addr_gray_wr[0] -.sym 28748 i_smi_a3$SB_IO_IN -.sym 28749 w_smi_data_output[7] -.sym 28750 rx_09_fifo.rd_addr_gray_wr[7] -.sym 28754 rx_09_fifo.rd_addr[7] -.sym 28758 rx_09_fifo.rd_addr_gray[0] -.sym 28764 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 28765 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.sym 28546 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 28547 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 28548 rx_24_fifo.rd_addr_gray_wr_r[7] +.sym 28549 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 28551 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[0] +.sym 28552 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[1] +.sym 28553 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[2] +.sym 28556 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 28557 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] +.sym 28560 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] +.sym 28561 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] +.sym 28562 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[0] +.sym 28563 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 28564 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_I2[1] +.sym 28565 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 28568 rx_24_fifo.wr_addr[0] +.sym 28569 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 28572 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 28573 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 28575 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 28576 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 28577 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 28584 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28585 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] +.sym 28586 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 28587 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 28588 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 28589 rx_24_fifo.rd_addr_gray_wr_r[2] +.sym 28590 rx_24_fifo.rd_addr_gray_wr_r[0] +.sym 28591 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 28592 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 28593 lvds_rx_24_inst.r_push_SB_LUT4_I0_1_I1_SB_LUT4_O_1_I3[0] +.sym 28594 w_rx_24_fifo_push +.sym 28595 rx_24_fifo.full_o_SB_LUT4_I0_O[1] +.sym 28596 rx_24_fifo.full_o_SB_LUT4_I0_O[2] +.sym 28597 rx_24_fifo.full_o_SB_LUT4_I0_O[3] +.sym 28600 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 28601 w_rx_24_fifo_push +.sym 28604 rx_24_fifo.wr_addr[0] +.sym 28605 rx_24_fifo.rd_addr_gray_wr_r[0] +.sym 28618 rx_24_fifo.rd_addr_gray_wr[5] +.sym 28622 rx_24_fifo.rd_addr_gray_wr[0] +.sym 28638 rx_24_fifo.rd_addr_gray_wr[1] +.sym 28679 i_smi_a1$SB_IO_IN +.sym 28680 i_smi_a3$SB_IO_IN +.sym 28681 i_smi_a2$SB_IO_IN +.sym 28683 i_smi_a2$SB_IO_IN +.sym 28684 i_smi_a1$SB_IO_IN +.sym 28685 i_smi_a3$SB_IO_IN +.sym 28708 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28709 lvds_rx_09_inst.o_fifo_data[6] +.sym 28712 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28713 lvds_rx_09_inst.o_fifo_data[14] +.sym 28716 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28717 lvds_rx_09_inst.o_fifo_data[10] +.sym 28720 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28721 lvds_rx_09_inst.o_fifo_data[12] +.sym 28722 w_rx_09_fifo_pulled_data[11] +.sym 28723 w_rx_09_fifo_pulled_data[27] +.sym 28724 smi_ctrl_ins.int_cnt_09[3] +.sym 28725 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I3[3] +.sym 28726 w_rx_09_fifo_pulled_data[8] +.sym 28727 w_rx_09_fifo_pulled_data[24] +.sym 28728 smi_ctrl_ins.int_cnt_09[3] +.sym 28729 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 28730 w_rx_09_fifo_pulled_data[3] +.sym 28731 w_rx_09_fifo_pulled_data[19] +.sym 28732 smi_ctrl_ins.int_cnt_09[3] +.sym 28733 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 28736 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28737 lvds_rx_09_inst.o_fifo_data[8] +.sym 28738 w_rx_09_fifo_pulled_data[2] +.sym 28739 w_rx_09_fifo_pulled_data[18] +.sym 28740 smi_ctrl_ins.int_cnt_09[3] +.sym 28741 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 28742 w_rx_09_fifo_pulled_data[10] +.sym 28743 w_rx_09_fifo_pulled_data[26] +.sym 28744 smi_ctrl_ins.int_cnt_09[3] +.sym 28745 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 28746 w_rx_09_fifo_pulled_data[14] +.sym 28747 w_rx_09_fifo_pulled_data[30] +.sym 28748 smi_ctrl_ins.int_cnt_09[3] +.sym 28749 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 28750 w_rx_09_fifo_pulled_data[7] +.sym 28751 w_rx_09_fifo_pulled_data[23] +.sym 28752 smi_ctrl_ins.int_cnt_09[3] +.sym 28753 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 28754 w_rx_09_fifo_pulled_data[15] +.sym 28755 w_rx_09_fifo_pulled_data[31] +.sym 28756 smi_ctrl_ins.int_cnt_09[3] +.sym 28757 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 28758 w_rx_09_fifo_pulled_data[6] +.sym 28759 w_rx_09_fifo_pulled_data[22] +.sym 28760 smi_ctrl_ins.int_cnt_09[3] +.sym 28761 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 28762 w_rx_09_fifo_pulled_data[13] +.sym 28763 w_rx_09_fifo_pulled_data[29] +.sym 28764 smi_ctrl_ins.int_cnt_09[3] +.sym 28765 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 28766 w_rx_09_fifo_pulled_data[5] +.sym 28767 w_rx_09_fifo_pulled_data[21] +.sym 28768 smi_ctrl_ins.int_cnt_09[3] +.sym 28769 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] .sym 28772 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28773 lvds_rx_09_inst.o_fifo_data[15] +.sym 28773 lvds_rx_09_inst.o_fifo_data[21] .sym 28776 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28777 lvds_rx_09_inst.o_fifo_data[25] +.sym 28777 lvds_rx_09_inst.o_fifo_data[23] .sym 28780 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28781 lvds_rx_09_inst.o_fifo_data[27] +.sym 28781 lvds_rx_09_inst.o_fifo_data[28] .sym 28784 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] .sym 28785 lvds_rx_09_inst.o_fifo_data[29] .sym 28788 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28789 lvds_rx_09_inst.o_fifo_data[23] +.sym 28789 lvds_rx_09_inst.o_fifo_data[18] .sym 28792 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28793 lvds_rx_09_inst.o_fifo_data[21] +.sym 28793 lvds_rx_09_inst.o_fifo_data[27] .sym 28796 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28797 lvds_rx_09_inst.o_fifo_data[19] +.sym 28797 lvds_rx_09_inst.o_fifo_data[25] .sym 28800 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28801 lvds_rx_09_inst.o_fifo_data[17] -.sym 28802 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] -.sym 28806 w_rx_09_fifo_push -.sym 28807 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 28808 rx_09_fifo.rd_addr_gray_wr_r[7] -.sym 28809 w_rx_09_fifo_full -.sym 28810 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[2] -.sym 28814 w_rx_09_fifo_pulled_data[13] -.sym 28815 w_rx_09_fifo_pulled_data[29] -.sym 28816 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28817 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 28818 w_rx_09_fifo_pulled_data[6] -.sym 28819 w_rx_09_fifo_pulled_data[22] -.sym 28820 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28821 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 28822 w_rx_09_fifo_pulled_data[5] -.sym 28823 w_rx_09_fifo_pulled_data[21] -.sym 28824 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28825 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2[1] -.sym 28828 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 28829 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 28830 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 28834 rx_09_fifo.rd_addr_gray_wr[6] -.sym 28838 rx_09_fifo.rd_addr_gray[1] -.sym 28842 rx_09_fifo.rd_addr_gray_wr[1] -.sym 28846 rx_09_fifo.rd_addr_gray_wr[2] -.sym 28850 w_rx_09_fifo_pulled_data[14] -.sym 28851 w_rx_09_fifo_pulled_data[30] -.sym 28852 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] -.sym 28853 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 28854 rx_09_fifo.rd_addr_gray[6] -.sym 28858 rx_09_fifo.rd_addr_gray[2] -.sym 28862 rx_24_fifo.rd_addr[7] -.sym 28866 i_smi_a2_SB_LUT4_I1_O[1] -.sym 28867 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 28868 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 28869 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 28873 smi_ctrl_ins.int_cnt_24[5] -.sym 28875 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28876 i_smi_soe_se$rename$0 -.sym 28877 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 28881 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28883 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 28884 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] -.sym 28885 i_smi_a1_SB_LUT4_I1_O[2] -.sym 28887 i_smi_a2_SB_LUT4_I1_O[1] -.sym 28888 i_smi_soe_se$rename$0 -.sym 28889 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 28891 i_smi_soe_se$rename$0 -.sym 28892 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I1_O[2] -.sym 28893 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28894 smi_ctrl_ins.int_cnt_24[4] -.sym 28895 smi_ctrl_ins.int_cnt_24[5] -.sym 28896 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28897 w_rx_24_fifo_empty -.sym 28900 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28901 io_pmod[6]$SB_IO_IN -.sym 28904 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28905 lvds_rx_09_inst.o_fifo_data[13] -.sym 28908 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28909 io_pmod[7]$SB_IO_IN -.sym 28914 w_rx_24_fifo_pulled_data[15] -.sym 28915 w_rx_24_fifo_pulled_data[7] -.sym 28916 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28917 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28920 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28921 lvds_rx_09_inst.o_fifo_data[6] -.sym 28922 w_rx_24_fifo_pulled_data[11] -.sym 28923 w_rx_24_fifo_pulled_data[3] -.sym 28924 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28925 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28928 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 28929 w_rx_09_fifo_data[1] -.sym 28930 i_smi_a2_SB_LUT4_I1_O[1] -.sym 28931 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] -.sym 28932 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] -.sym 28933 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_O[3] -.sym 28934 rx_24_fifo.wr_addr_gray_rd[7] -.sym 28938 i_smi_a2_SB_LUT4_I1_O[0] -.sym 28939 w_rx_24_fifo_pulled_data[16] -.sym 28940 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28941 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28949 rx_24_fifo.rd_addr[7] -.sym 28950 rx_24_fifo.wr_addr[7] -.sym 28954 w_rx_24_fifo_pulled_data[8] -.sym 28955 w_rx_24_fifo_pulled_data[24] -.sym 28956 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28957 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 28961 rx_24_fifo.rd_addr[0] -.sym 28962 w_rx_24_fifo_pulled_data[31] -.sym 28963 w_rx_24_fifo_pulled_data[23] -.sym 28964 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28965 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28966 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I0_I1[0] -.sym 28967 rx_24_fifo.wr_addr_gray_rd_r[6] -.sym 28968 rx_24_fifo.rd_addr[7] -.sym 28969 rx_24_fifo.wr_addr_gray_rd_r[7] -.sym 28970 w_rx_24_fifo_pulled_data[27] -.sym 28971 w_rx_24_fifo_pulled_data[19] -.sym 28972 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 28973 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[1] -.sym 28976 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 28977 w_lvds_rx_09_d0 -.sym 28980 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 28981 w_lvds_rx_09_d1 -.sym 28982 w_rx_24_fifo_pull -.sym 28983 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I0_I1[0] -.sym 28984 rx_24_fifo.rd_addr[6] -.sym 28985 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I0_I3[3] -.sym 28990 rx_24_fifo.wr_addr_gray_rd_r[6] -.sym 28991 rx_24_fifo.wr_addr_gray_rd_r[7] -.sym 28992 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 28993 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 28994 rx_24_fifo.rd_addr_gray_wr[4] -.sym 29000 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 29001 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 29002 rx_24_fifo.rd_addr_gray[3] -.sym 29006 rx_24_fifo.rd_addr_gray[4] -.sym 29010 rx_24_fifo.rd_addr_gray[6] -.sym 29014 rx_24_fifo.rd_addr_gray_wr[3] -.sym 29018 rx_24_fifo.rd_addr_gray_wr[6] -.sym 29022 rx_24_fifo.rd_addr_gray_wr[2] -.sym 29028 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[1] -.sym 29029 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[3] -.sym 29030 rx_24_fifo.rd_addr_gray_wr_r[3] -.sym 29031 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[2] -.sym 29032 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[3] -.sym 29033 w_rx_24_fifo_push -.sym 29035 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_1_I3[0] -.sym 29036 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 29037 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 29038 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 29043 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1[0] -.sym 29044 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1[1] -.sym 29045 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1[2] -.sym 29047 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 29048 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 29049 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 29052 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] -.sym 29053 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 29056 rx_24_fifo.rd_addr_gray_wr_r[3] -.sym 29057 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 29058 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[0] -.sym 29059 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[1] -.sym 29060 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[2] -.sym 29061 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_I0[3] -.sym 29064 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 29065 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_2_I2[1] -.sym 29066 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[0] -.sym 29067 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 29068 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[1] -.sym 29069 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 29072 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 29073 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 29076 rx_24_fifo.wr_addr[0] -.sym 29077 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 29080 rx_24_fifo.wr_addr[0] -.sym 29081 rx_24_fifo.rd_addr_gray_wr_r[0] -.sym 29084 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_2_I2[1] -.sym 29085 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] -.sym 29091 rx_24_fifo.wr_addr[0] -.sym 29092 rx_24_fifo.rd_addr_gray_wr_r[0] -.sym 29093 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 29094 rx_24_fifo.wr_addr_gray[2] -.sym 29098 rx_24_fifo.wr_addr_gray[0] -.sym 29102 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 29103 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[1] -.sym 29104 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] -.sym 29105 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 29110 rx_24_fifo.wr_addr_gray[4] -.sym 29132 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 29133 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] -.sym 29171 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 29172 w_rx_09_fifo_full -.sym 29173 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] -.sym 29179 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 29180 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] -.sym 29181 i_smi_a1_SB_LUT4_I1_O[2] -.sym 29218 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[0] -.sym 29219 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[1] -.sym 29220 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[2] -.sym 29221 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[3] -.sym 29222 rx_09_fifo.wr_addr_gray_rd_r[6] -.sym 29223 rx_09_fifo.wr_addr_gray_rd_r[7] -.sym 29224 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 29225 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 29228 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 29229 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 29232 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 29233 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 29236 rx_09_fifo.rd_addr[0] -.sym 29237 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 29238 rx_09_fifo.rd_addr_gray_wr[4] -.sym 29245 io_smi_data[7]$SB_IO_OUT -.sym 29248 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[2] -.sym 29249 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 29250 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] -.sym 29254 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] -.sym 29261 rx_09_fifo.rd_addr[0] -.sym 29262 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 29266 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[1] -.sym 29270 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 29276 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[1] -.sym 29277 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[2] -.sym 29278 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 29283 rx_09_fifo.wr_addr[0] -.sym 29288 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 29289 rx_09_fifo.wr_addr[0] -.sym 29292 rx_09_fifo.wr_addr[2] -.sym 29293 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[2] -.sym 29296 rx_09_fifo.wr_addr[3] -.sym 29297 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[3] -.sym 29300 rx_09_fifo.wr_addr[4] -.sym 29301 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[4] -.sym 29304 rx_09_fifo.wr_addr[5] -.sym 29305 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[5] -.sym 29308 rx_09_fifo.wr_addr[6] -.sym 29309 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[6] -.sym 29312 rx_09_fifo.wr_addr[7] -.sym 29313 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[7] +.sym 28801 lvds_rx_09_inst.o_fifo_data[16] +.sym 28803 smi_ctrl_ins.int_cnt_09[3] +.sym 28807 smi_ctrl_ins.int_cnt_09[4] +.sym 28808 $PACKER_VCC_NET +.sym 28811 smi_ctrl_ins.int_cnt_09[5] +.sym 28812 $PACKER_VCC_NET +.sym 28813 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I3[2] +.sym 28814 rx_09_fifo.rd_addr_gray_wr[0] +.sym 28822 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 28826 rx_09_fifo.rd_addr_gray_wr[7] +.sym 28835 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_CARRY_CO_I1[4] +.sym 28840 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_CARRY_CO_I1[5] +.sym 28845 $nextpnr_ICESTORM_LC_20$I3 +.sym 28849 smi_ctrl_ins.int_cnt_09[5] +.sym 28850 i_smi_a2_SB_LUT4_I1_O[1] +.sym 28861 smi_ctrl_ins.int_cnt_09[4] +.sym 28863 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 28864 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 28865 rx_09_fifo.wr_addr[0] +.sym 28867 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[3] +.sym 28872 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_CARRY_CO_I1[4] +.sym 28876 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_CARRY_CO_I1[5] +.sym 28879 $PACKER_VCC_NET +.sym 28881 $nextpnr_ICESTORM_LC_2$I3 +.sym 28883 i_smi_soe_se$rename$0 +.sym 28884 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 28885 $nextpnr_ICESTORM_LC_2$COUT +.sym 28888 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] +.sym 28889 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 28890 i_smi_a2_SB_LUT4_I1_O[0] +.sym 28891 i_smi_a2_SB_LUT4_I1_O[1] +.sym 28892 i_smi_a1_SB_LUT4_I1_O[2] +.sym 28893 i_smi_a2_SB_LUT4_I1_O[3] +.sym 28894 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 28901 rx_24_fifo.rd_addr[0] +.sym 28902 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 28913 smi_ctrl_ins.int_cnt_09[3] +.sym 28914 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 28918 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 28922 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 28928 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[1] +.sym 28929 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[2] +.sym 28930 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] +.sym 28931 rx_24_fifo.rd_addr[3] +.sym 28932 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 28933 rx_24_fifo.rd_addr[4] +.sym 28934 rx_24_fifo.wr_addr_gray_rd[3] +.sym 28938 rx_24_fifo.wr_addr_gray_rd[6] +.sym 28942 rx_24_fifo.wr_addr[7] +.sym 28946 rx_24_fifo.wr_addr_gray[6] +.sym 28950 rx_24_fifo.wr_addr_gray_rd[7] +.sym 28954 rx_24_fifo.wr_addr_gray[3] +.sym 28960 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[0] +.sym 28961 rx_24_fifo.rd_addr[2] +.sym 28963 rx_24_fifo.rd_addr[0] +.sym 28968 rx_24_fifo.rd_addr[1] +.sym 28969 rx_24_fifo.rd_addr[0] +.sym 28972 rx_24_fifo.rd_addr[2] +.sym 28973 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] +.sym 28976 rx_24_fifo.rd_addr[3] +.sym 28977 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] +.sym 28980 rx_24_fifo.rd_addr[4] +.sym 28981 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] +.sym 28984 rx_24_fifo.rd_addr[5] +.sym 28985 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] +.sym 28988 rx_24_fifo.rd_addr[6] +.sym 28989 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] +.sym 28992 rx_24_fifo.rd_addr[7] +.sym 28993 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] +.sym 28996 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 28997 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 29000 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 29001 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 29004 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 29005 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 29018 w_rx_24_fifo_full +.sym 29022 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[0] +.sym 29023 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[1] +.sym 29024 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[2] +.sym 29025 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[3] +.sym 29030 rx_24_fifo.rd_addr_gray[3] +.sym 29034 rx_24_fifo.rd_addr_gray_wr[6] +.sym 29054 rx_24_fifo.rd_addr_gray_wr[3] +.sym 29062 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 29074 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 29078 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[1] +.sym 29082 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[2] +.sym 29088 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[2] +.sym 29089 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 29090 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 29094 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 29098 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 29102 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 29109 rx_24_fifo.wr_addr[0] +.sym 29112 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 29113 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 29116 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[3] +.sym 29117 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 29118 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 29142 rx_24_fifo.rd_addr_gray[5] +.sym 29224 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29225 lvds_rx_09_inst.o_fifo_data[13] +.sym 29228 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29229 lvds_rx_09_inst.o_fifo_data[7] +.sym 29232 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29233 io_pmod[6]$SB_IO_IN +.sym 29236 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29237 io_pmod[7]$SB_IO_IN +.sym 29244 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29245 lvds_rx_09_inst.o_fifo_data[9] +.sym 29248 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29249 lvds_rx_09_inst.o_fifo_data[11] +.sym 29252 i_smi_a3$SB_IO_IN +.sym 29253 w_smi_data_output[2] +.sym 29256 i_smi_a3$SB_IO_IN +.sym 29257 w_smi_data_output[1] +.sym 29259 smi_ctrl_ins.int_cnt_09[4] +.sym 29260 $PACKER_VCC_NET +.sym 29261 smi_ctrl_ins.int_cnt_09[3] +.sym 29265 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 29272 i_smi_a3$SB_IO_IN +.sym 29273 w_smi_data_output[7] +.sym 29284 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29285 lvds_rx_09_inst.o_fifo_data[22] +.sym 29288 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29289 lvds_rx_09_inst.o_fifo_data[20] +.sym 29292 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29293 lvds_rx_09_inst.o_fifo_data[17] +.sym 29296 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29297 lvds_rx_09_inst.o_fifo_data[26] +.sym 29300 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 29301 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 29304 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29305 lvds_rx_09_inst.o_fifo_data[24] +.sym 29308 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29309 lvds_rx_09_inst.o_fifo_data[19] +.sym 29312 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29313 lvds_rx_09_inst.o_fifo_data[15] .sym 29317 rx_09_fifo.wr_addr[0] -.sym 29318 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] -.sym 29322 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 29326 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 29330 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] -.sym 29334 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 29318 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 29322 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 29326 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 29330 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 29334 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] .sym 29338 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 29342 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 29346 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] -.sym 29352 i_smi_a1_SB_LUT4_I1_O[2] -.sym 29353 w_rx_09_fifo_push -.sym 29356 rx_09_fifo.wr_addr[0] -.sym 29357 rx_09_fifo.rd_addr_gray_wr_r[0] -.sym 29358 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 29359 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 29360 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 29361 rx_09_fifo.full_o_SB_LUT4_I3_O[3] -.sym 29362 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 29370 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 29377 w_rx_09_fifo_full -.sym 29379 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 29384 rx_09_fifo.wr_addr[2] -.sym 29385 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 29388 rx_09_fifo.wr_addr[3] -.sym 29389 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] -.sym 29392 rx_09_fifo.wr_addr[4] -.sym 29393 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] -.sym 29396 rx_09_fifo.wr_addr[5] -.sym 29397 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] -.sym 29400 rx_09_fifo.wr_addr[6] -.sym 29401 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] -.sym 29404 rx_09_fifo.wr_addr[7] -.sym 29405 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] -.sym 29406 rx_09_fifo.rd_addr_gray_wr_r[6] -.sym 29407 rx_09_fifo.rd_addr_gray_wr_r[7] -.sym 29408 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[6] -.sym 29409 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[5] -.sym 29411 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 29415 smi_ctrl_ins.int_cnt_24[4] -.sym 29416 $PACKER_VCC_NET -.sym 29419 smi_ctrl_ins.int_cnt_24[5] -.sym 29420 $PACKER_VCC_NET -.sym 29421 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[2] -.sym 29422 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 29426 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 29433 rx_24_fifo.rd_addr[0] -.sym 29435 smi_ctrl_ins.int_cnt_24[4] -.sym 29436 $PACKER_VCC_NET -.sym 29437 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] -.sym 29443 rx_24_fifo.rd_addr[0] -.sym 29448 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 29449 rx_24_fifo.rd_addr[0] -.sym 29452 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 29453 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] -.sym 29456 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 29457 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] -.sym 29460 rx_24_fifo.rd_addr[4] -.sym 29461 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] -.sym 29464 rx_24_fifo.rd_addr[5] -.sym 29465 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] -.sym 29468 rx_24_fifo.rd_addr[6] -.sym 29469 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] -.sym 29472 rx_24_fifo.rd_addr[7] -.sym 29473 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] -.sym 29476 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 29477 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 29480 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 29481 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 29484 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 29485 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 29488 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 29489 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 29492 rx_24_fifo.rd_addr[0] -.sym 29493 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 29496 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 29497 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 29498 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] -.sym 29504 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 29505 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 29506 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] -.sym 29507 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 29508 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[0] -.sym 29509 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] +.sym 29342 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 29347 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 29352 rx_09_fifo.wr_addr[2] +.sym 29353 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 29356 rx_09_fifo.wr_addr[3] +.sym 29357 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] +.sym 29360 rx_09_fifo.wr_addr[4] +.sym 29361 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] +.sym 29364 rx_09_fifo.wr_addr[5] +.sym 29365 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] +.sym 29368 rx_09_fifo.wr_addr[6] +.sym 29369 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] +.sym 29372 rx_09_fifo.wr_addr[7] +.sym 29373 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] +.sym 29376 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29377 w_rx_09_fifo_data[0] +.sym 29378 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[5] +.sym 29379 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I0_I1[5] +.sym 29380 i_smi_soe_se$rename$0 +.sym 29381 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 29382 smi_ctrl_ins.int_cnt_09[4] +.sym 29383 smi_ctrl_ins.int_cnt_09[5] +.sym 29384 smi_ctrl_ins.int_cnt_09[3] +.sym 29385 io_pmod[2]$SB_IO_IN +.sym 29388 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 29389 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 29390 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 29391 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 29392 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.sym 29393 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[3] +.sym 29396 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 29397 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[4] +.sym 29398 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 29399 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 29400 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 29401 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 29402 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[0] +.sym 29403 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 29404 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[2] +.sym 29405 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] +.sym 29407 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[3] +.sym 29408 w_rx_09_fifo_full +.sym 29409 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 29411 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 29412 spi_if_ins.state_if[0] +.sym 29413 spi_if_ins.state_if[1] +.sym 29421 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 29426 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 29427 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29428 spi_if_ins.state_if[0] +.sym 29429 spi_if_ins.state_if[1] +.sym 29431 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 29432 spi_if_ins.state_if[1] +.sym 29433 spi_if_ins.state_if[0] +.sym 29438 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 29439 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 29440 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 29441 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29444 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 29445 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 29446 rx_24_fifo.rd_addr[7] +.sym 29447 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 29448 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 29449 rx_24_fifo.rd_addr[6] +.sym 29453 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 29455 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 29456 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 29457 w_rx_24_fifo_pull +.sym 29460 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 29461 w_rx_24_fifo_pull +.sym 29466 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 29467 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 29468 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 29469 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 29470 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 29471 rx_24_fifo.rd_addr[7] +.sym 29472 w_rx_24_fifo_pull +.sym 29473 w_rx_24_fifo_empty +.sym 29474 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29475 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 29476 spi_if_ins.state_if[0] +.sym 29477 spi_if_ins.state_if[1] +.sym 29480 rx_24_fifo.rd_addr[0] +.sym 29481 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 29482 rx_24_fifo.rd_addr[7] +.sym 29490 rx_24_fifo.rd_addr_gray_wr[7] +.sym 29497 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29502 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] +.sym 29503 rx_24_fifo.rd_addr[1] +.sym 29504 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 29505 rx_24_fifo.rd_addr[2] +.sym 29506 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 29510 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[0] +.sym 29511 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 29512 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[2] +.sym 29513 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0[3] .sym 29516 rx_24_fifo.rd_addr[0] -.sym 29517 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] -.sym 29518 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[0] -.sym 29519 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] -.sym 29520 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 29521 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 29523 rx_24_fifo.wr_addr_gray_rd_r[5] -.sym 29524 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 29525 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] -.sym 29526 rx_24_fifo.full_o_SB_LUT4_I0_O[0] -.sym 29527 rx_24_fifo.full_o_SB_LUT4_I0_O[1] -.sym 29528 w_rx_24_fifo_push -.sym 29529 rx_24_fifo.full_o_SB_LUT4_I0_O[3] -.sym 29532 rx_24_fifo.wr_addr_gray_rd_r[5] -.sym 29533 rx_24_fifo.rd_addr[5] -.sym 29534 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] -.sym 29535 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] -.sym 29536 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_I0[2] -.sym 29537 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] -.sym 29538 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[0] -.sym 29539 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[1] -.sym 29540 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[2] -.sym 29541 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] -.sym 29542 rx_24_fifo.wr_addr_gray_rd[2] -.sym 29546 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_1_I0[0] -.sym 29547 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[4] -.sym 29548 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_1_I0[2] -.sym 29549 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] -.sym 29550 rx_24_fifo.wr_addr_gray_rd[0] -.sym 29554 rx_24_fifo.wr_addr_gray_rd[5] -.sym 29558 w_rx_24_fifo_full -.sym 29559 rx_24_fifo.full_o_SB_LUT4_I0_I1[1] -.sym 29560 rx_24_fifo.full_o_SB_LUT4_I0_I1[2] -.sym 29561 rx_24_fifo.full_o_SB_LUT4_I0_I1[3] -.sym 29562 rx_24_fifo.wr_addr_gray[5] -.sym 29568 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[0] -.sym 29569 rx_24_fifo.full_o_SB_LUT4_I0_I1_SB_LUT4_O_2_I2[1] -.sym 29570 rx_24_fifo.rd_addr_gray[0] -.sym 29576 rx_24_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_1_I0[2] -.sym 29577 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 29578 rx_24_fifo.rd_addr_gray[5] -.sym 29582 rx_24_fifo.rd_addr_gray[2] -.sym 29594 rx_24_fifo.rd_addr_gray_wr[5] -.sym 29598 rx_24_fifo.rd_addr_gray_wr[0] -.sym 29602 rx_24_fifo.wr_addr_gray[3] -.sym 29610 rx_24_fifo.wr_addr_gray[1] -.sym 29618 rx_24_fifo.wr_addr_gray_rd[3] -.sym 29626 rx_24_fifo.wr_addr_gray_rd[1] -.sym 29630 rx_24_fifo.wr_addr_gray_rd[4] -.sym 29646 rx_24_fifo.rd_addr_gray[1] -.sym 29662 rx_24_fifo.rd_addr_gray_wr[1] -.sym 29730 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[0] -.sym 29731 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] -.sym 29732 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[2] -.sym 29733 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 29738 rx_09_fifo.wr_addr_gray_rd[6] -.sym 29742 rx_09_fifo.wr_addr_gray_rd_r[6] -.sym 29743 rx_09_fifo.rd_addr[7] -.sym 29744 rx_09_fifo.wr_addr_gray_rd_r[7] -.sym 29745 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.sym 29747 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[2] -.sym 29748 rx_09_fifo.rd_addr[3] -.sym 29749 rx_09_fifo.rd_addr[4] -.sym 29752 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_I3[0] -.sym 29753 rx_09_fifo.rd_addr[4] -.sym 29754 rx_09_fifo.wr_addr_gray_rd[7] -.sym 29758 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] -.sym 29759 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 29760 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 29761 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 29762 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] -.sym 29763 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 29764 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[2] -.sym 29765 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] -.sym 29766 rx_09_fifo.wr_addr_gray_rd[4] -.sym 29770 rx_09_fifo.wr_addr_gray_rd[3] -.sym 29774 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[0] -.sym 29775 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] -.sym 29776 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1_I2[0] -.sym 29777 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] -.sym 29778 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 29779 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] -.sym 29780 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[2] -.sym 29781 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] -.sym 29783 rx_09_fifo.rd_addr[0] -.sym 29784 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[2] -.sym 29785 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 29786 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[0] -.sym 29787 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[1] -.sym 29788 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] -.sym 29789 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[3] -.sym 29790 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[0] -.sym 29791 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[1] -.sym 29792 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[2] -.sym 29793 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[3] -.sym 29794 rx_09_fifo.wr_addr[7] -.sym 29798 rx_09_fifo.wr_addr_gray_rd[0] -.sym 29804 i_smi_a1_SB_LUT4_I1_O[2] -.sym 29805 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 29806 rx_09_fifo.wr_addr_gray[2] -.sym 29810 rx_09_fifo.wr_addr_gray[3] -.sym 29814 rx_09_fifo.wr_addr_gray_rd[2] -.sym 29818 rx_09_fifo.wr_addr_gray[6] -.sym 29822 rx_09_fifo.wr_addr_gray[0] -.sym 29826 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 29827 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2_I3[2] -.sym 29828 rx_09_fifo.rd_addr[3] -.sym 29829 io_pmod[2]$SB_IO_IN -.sym 29832 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 29833 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 29836 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] -.sym 29837 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 29838 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] -.sym 29839 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] -.sym 29840 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] -.sym 29841 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 29844 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] -.sym 29845 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] -.sym 29848 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 29849 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] -.sym 29852 rx_09_fifo.wr_addr[0] -.sym 29853 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 29856 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[2] -.sym 29857 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 29858 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 29859 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 29860 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] -.sym 29861 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] -.sym 29862 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3[0] -.sym 29863 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_2_D[1] -.sym 29864 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_2_D[2] -.sym 29865 rx_09_fifo.rd_addr_gray_wr_r[6] -.sym 29866 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] -.sym 29872 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 29873 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 29874 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_2_D[1] -.sym 29878 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_2_D[2] -.sym 29882 rx_09_fifo.rd_addr_gray_wr_r[0] -.sym 29883 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] -.sym 29884 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[2] -.sym 29885 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 29886 rx_09_fifo.rd_addr_gray_wr_r[2] -.sym 29887 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 29888 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] -.sym 29889 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 29890 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[0] -.sym 29891 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[1] -.sym 29892 w_rx_09_fifo_push -.sym 29893 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[3] -.sym 29896 rx_09_fifo.rd_addr_gray_wr_r[2] -.sym 29897 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[2] -.sym 29898 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] -.sym 29899 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[1] -.sym 29900 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 29901 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[3] -.sym 29902 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] -.sym 29903 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] -.sym 29904 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[2] -.sym 29905 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[3] -.sym 29906 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] -.sym 29907 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 29908 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 29909 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[1] -.sym 29914 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3[0] -.sym 29915 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[3] -.sym 29916 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[5] -.sym 29917 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_I3[3] -.sym 29920 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 29921 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[2] -.sym 29928 i_smi_a1_SB_LUT4_I1_O[2] -.sym 29929 w_rx_24_fifo_pull -.sym 29936 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 29937 w_rx_09_fifo_data[0] -.sym 29954 w_rx_24_fifo_empty -.sym 29958 w_rx_09_fifo_full -.sym 29962 io_pmod[2]$SB_IO_IN -.sym 29970 w_rx_24_fifo_full -.sym 29983 rx_24_fifo.wr_addr_gray_rd_r[3] -.sym 29984 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 29985 rx_24_fifo.rd_addr[4] -.sym 29990 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 29994 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[0] -.sym 29995 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[1] -.sym 29996 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[2] -.sym 29997 rx_24_fifo.empty_o_SB_LUT4_I3_O_SB_LUT4_O_1_I3[3] -.sym 29998 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] -.sym 30003 w_tx_data_smi[2] -.sym 30004 spi_if_ins.o_cs_SB_LUT4_I2_O[1] -.sym 30005 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 30006 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 30014 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 30018 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 30019 rx_24_fifo.rd_addr[4] -.sym 30020 rx_24_fifo.rd_addr[5] -.sym 30021 w_rx_24_fifo_empty -.sym 30026 w_ioc[2] -.sym 30027 w_ioc[1] -.sym 30028 w_ioc[0] -.sym 30029 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] -.sym 30033 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] -.sym 30035 w_rx_24_fifo_pull -.sym 30036 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_I2[1] -.sym 30037 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_I2[2] -.sym 30038 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] -.sym 30039 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] -.sym 30040 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] -.sym 30041 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] -.sym 30042 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] -.sym 30043 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] -.sym 30044 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] -.sym 30045 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 30047 rx_24_fifo.empty_o_SB_LUT4_I3_O[0] -.sym 30048 rx_24_fifo.empty_o_SB_LUT4_I3_O[1] -.sym 30049 rx_24_fifo.empty_o_SB_LUT4_I3_O[2] -.sym 30062 r_tx_data[1] -.sym 30069 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 30072 w_rx_24_fifo_empty -.sym 30073 io_pmod[2]$SB_IO_IN -.sym 30076 rx_24_fifo.wr_addr_gray_rd_r[3] -.sym 30077 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] -.sym 30086 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] -.sym 30090 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] -.sym 30097 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O -.sym 30098 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] -.sym 30117 w_cs[0] -.sym 30130 $PACKER_VCC_NET -.sym 30147 sys_ctrl_ins.reset_count[0] -.sym 30152 sys_ctrl_ins.reset_count[1] -.sym 30154 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 30156 sys_ctrl_ins.reset_count[2] -.sym 30157 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 30158 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 30160 sys_ctrl_ins.reset_count[3] -.sym 30161 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 30164 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 30165 sys_ctrl_ins.reset_cmd -.sym 30169 sys_ctrl_ins.reset_count[0] -.sym 30170 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 30172 sys_ctrl_ins.reset_count[1] -.sym 30173 sys_ctrl_ins.reset_count[0] -.sym 30174 sys_ctrl_ins.reset_count[3] -.sym 30175 sys_ctrl_ins.reset_count[1] -.sym 30176 sys_ctrl_ins.reset_count[2] -.sym 30177 sys_ctrl_ins.reset_count[0] -.sym 30214 $PACKER_GND_NET -.sym 30237 sys_ctrl_ins.reset_cmd -.sym 30240 i_smi_a1_SB_LUT4_I1_O[2] -.sym 30241 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] -.sym 30242 i_sck$SB_IO_IN -.sym 30250 rx_09_fifo.wr_addr_gray_rd[1] -.sym 30276 i_smi_a3$SB_IO_IN -.sym 30277 w_smi_data_output[3] -.sym 30278 spi_if_ins.spi.SCKr[0] -.sym 30282 rx_09_fifo.wr_addr_gray[1] -.sym 30286 rx_09_fifo.wr_addr_gray[4] -.sym 30290 rx_09_fifo.wr_addr_gray_rd[5] -.sym 30294 rx_09_fifo.wr_addr_gray[5] -.sym 30298 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] -.sym 30318 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 30328 i_smi_a3$SB_IO_IN -.sym 30329 w_smi_data_output[5] -.sym 30332 i_ss$SB_IO_IN -.sym 30333 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 30336 i_smi_a3$SB_IO_IN -.sym 30337 w_smi_data_output[4] -.sym 30339 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 30343 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 30344 $PACKER_VCC_NET -.sym 30347 spi_if_ins.spi.r_tx_bit_count[2] -.sym 30348 $PACKER_VCC_NET -.sym 30349 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 30351 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 30352 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] -.sym 30353 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 30355 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 30356 $PACKER_VCC_NET -.sym 30357 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 30361 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 30362 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] -.sym 30363 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 30364 spi_if_ins.spi.r_tx_bit_count[2] -.sym 30365 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] -.sym 30367 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] -.sym 30368 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] -.sym 30369 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 30370 spi_if_ins.spi.r_tx_byte[2] -.sym 30371 spi_if_ins.spi.r_tx_byte[6] -.sym 30372 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 30373 spi_if_ins.spi.r_tx_bit_count[2] -.sym 30374 spi_if_ins.r_tx_byte[4] -.sym 30378 spi_if_ins.r_tx_byte[6] -.sym 30382 spi_if_ins.r_tx_byte[1] -.sym 30386 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[0] -.sym 30387 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[1] -.sym 30388 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 30389 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 30390 spi_if_ins.spi.r_tx_byte[3] -.sym 30391 spi_if_ins.spi.r_tx_byte[7] -.sym 30392 spi_if_ins.spi.r_tx_bit_count[2] -.sym 30393 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 30394 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] -.sym 30395 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] -.sym 30396 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[2] -.sym 30397 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 30398 spi_if_ins.spi.r_tx_byte[1] -.sym 30399 spi_if_ins.spi.r_tx_byte[5] -.sym 30400 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 30401 spi_if_ins.spi.r_tx_bit_count[2] -.sym 30402 spi_if_ins.r_tx_byte[7] -.sym 30406 spi_if_ins.r_tx_byte[2] -.sym 30410 spi_if_ins.r_tx_byte[0] -.sym 30414 spi_if_ins.r_tx_byte[5] -.sym 30422 spi_if_ins.r_tx_byte[3] -.sym 30438 r_tx_data[0] -.sym 30446 w_ioc[1] -.sym 30447 w_ioc[4] -.sym 30448 w_ioc[3] -.sym 30449 w_ioc[2] -.sym 30450 r_tx_data[5] -.sym 30458 r_tx_data[6] -.sym 30466 w_tx_data_sys[0] -.sym 30467 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] -.sym 30468 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 30469 spi_if_ins.o_cs_SB_LUT4_I2_2_O[3] -.sym 30470 w_cs[0] -.sym 30471 w_cs[1] -.sym 30472 w_cs[2] -.sym 30473 w_cs[3] -.sym 30474 w_cs[0] -.sym 30475 w_cs[1] -.sym 30476 w_cs[3] -.sym 30477 w_cs[2] -.sym 30478 w_cs[0] -.sym 30479 w_cs[2] -.sym 30480 w_cs[3] -.sym 30481 w_cs[1] -.sym 30500 spi_if_ins.state_if[0] -.sym 30501 spi_if_ins.state_if[1] -.sym 30502 r_tx_data[7] -.sym 30508 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 30509 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[1] -.sym 30510 w_tx_data_smi[0] -.sym 30511 spi_if_ins.o_cs_SB_LUT4_I2_O[1] -.sym 30512 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 30513 w_tx_data_io[0] -.sym 30514 r_tx_data[2] -.sym 30522 r_tx_data[3] -.sym 30526 r_tx_data[4] -.sym 30530 w_tx_data_smi[1] -.sym 30531 spi_if_ins.o_cs_SB_LUT4_I2_O[1] -.sym 30532 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 30533 w_tx_data_io[1] -.sym 30538 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 30539 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 30540 i_smi_a1_SB_LUT4_I1_O[2] -.sym 30541 w_cs[1] -.sym 30543 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 30544 w_tx_data_io[7] -.sym 30545 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 30548 io_ctrl_ins.i_cs_SB_LUT4_I3_O[0] -.sym 30549 w_fetch -.sym 30552 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 30553 w_tx_data_io[4] -.sym 30555 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 30556 w_tx_data_io[2] -.sym 30557 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[2] -.sym 30558 w_tx_data_smi[3] -.sym 30559 spi_if_ins.o_cs_SB_LUT4_I2_O[1] -.sym 30560 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 30561 w_tx_data_io[3] -.sym 30562 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 30563 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30564 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 30565 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 30566 o_ldo_2v8_en$SB_IO_OUT -.sym 30567 i_button_SB_LUT4_I3_I1[2] -.sym 30568 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_I2[2] -.sym 30569 io_ctrl_ins.ldo2v8_state_SB_LUT4_I0_I2[3] -.sym 30570 io_ctrl_ins.pmod_dir_state[3] -.sym 30571 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30572 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] -.sym 30573 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] -.sym 30576 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 30577 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[1] -.sym 30578 io_ctrl_ins.o_pmod[1] -.sym 30579 i_button_SB_LUT4_I3_I1[1] -.sym 30580 i_button_SB_LUT4_I3_I1[2] -.sym 30581 o_led1$SB_IO_OUT -.sym 30582 io_ctrl_ins.led1_state_SB_LUT4_I3_O[0] -.sym 30583 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30584 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] -.sym 30585 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] -.sym 30586 i_config[1]$SB_IO_IN -.sym 30587 i_button_SB_LUT4_I3_I1[2] -.sym 30588 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] -.sym 30589 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] -.sym 30590 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30591 io_ctrl_ins.pmod_dir_state[2] -.sym 30592 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 30593 io_ctrl_ins.rf_mode[0] -.sym 30595 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 30596 w_cs[0] -.sym 30597 w_fetch -.sym 30600 i_smi_a1_SB_LUT4_I1_O[2] -.sym 30601 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] -.sym 30602 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] -.sym 30611 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 30612 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] -.sym 30613 i_smi_a1_SB_LUT4_I1_O[2] -.sym 30614 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 30615 io_ctrl_ins.debug_mode[0] -.sym 30616 i_button_SB_LUT4_I3_I1[2] -.sym 30617 o_led0$SB_IO_OUT -.sym 30619 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] -.sym 30620 i_button_SB_LUT4_I3_I1[2] -.sym 30621 i_smi_a1_SB_LUT4_I1_O[2] +.sym 29517 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 29518 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 29519 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 29520 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 29521 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] +.sym 29528 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 29529 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I3[1] +.sym 29532 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 29533 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 29540 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 29541 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 29542 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[0] +.sym 29543 rx_24_fifo.rd_addr[4] +.sym 29544 rx_24_fifo.rd_addr[5] +.sym 29545 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O_SB_LUT4_O_1_I3[3] +.sym 29548 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[3] +.sym 29549 rx_24_fifo.rd_addr[6] +.sym 29554 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[0] +.sym 29555 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[1] +.sym 29556 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[2] +.sym 29557 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I3_O[3] +.sym 29562 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[0] +.sym 29563 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 29564 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 29565 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[3] +.sym 29574 rx_24_fifo.wr_addr_gray_rd[4] +.sym 29578 rx_24_fifo.wr_addr_gray[1] +.sym 29582 rx_24_fifo.wr_addr_gray_rd[1] +.sym 29586 rx_24_fifo.wr_addr_gray[0] +.sym 29590 rx_24_fifo.wr_addr_gray_rd[0] +.sym 29594 rx_24_fifo.wr_addr_gray_rd[2] +.sym 29598 rx_24_fifo.wr_addr_gray_rd[5] +.sym 29602 rx_24_fifo.wr_addr_gray[5] +.sym 29622 rx_24_fifo.wr_addr_gray[4] +.sym 29630 rx_24_fifo.wr_addr_gray[2] +.sym 29730 rx_09_fifo.rd_addr_gray_wr[5] +.sym 29734 rx_09_fifo.rd_addr_gray_wr[4] +.sym 29738 rx_09_fifo.rd_addr_gray_wr[3] +.sym 29744 i_smi_a3$SB_IO_IN +.sym 29745 w_smi_data_output[3] +.sym 29748 i_smi_a3$SB_IO_IN +.sym 29749 w_smi_data_output[4] +.sym 29754 rx_09_fifo.rd_addr_gray[6] +.sym 29758 rx_09_fifo.rd_addr_gray_wr[6] +.sym 29766 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 29770 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 29784 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 29785 w_rx_09_fifo_pull +.sym 29786 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 29790 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 29796 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 29797 w_rx_09_fifo_push +.sym 29798 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 29799 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 29800 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 29801 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 29802 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 29803 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 29804 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 29805 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 29806 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 29812 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 29813 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 29814 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[1] +.sym 29820 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 29821 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 29824 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 29825 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 29827 rx_09_fifo.wr_addr[0] +.sym 29832 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 29836 rx_09_fifo.wr_addr[2] +.sym 29837 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[2] +.sym 29840 rx_09_fifo.wr_addr[3] +.sym 29841 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[3] +.sym 29844 rx_09_fifo.wr_addr[4] +.sym 29845 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[4] +.sym 29848 rx_09_fifo.wr_addr[5] +.sym 29849 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[5] +.sym 29852 rx_09_fifo.wr_addr[6] +.sym 29853 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[6] +.sym 29856 rx_09_fifo.wr_addr[7] +.sym 29857 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[7] +.sym 29860 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 29861 rx_09_fifo.wr_addr[0] +.sym 29863 rx_09_fifo.wr_addr[0] +.sym 29864 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 29865 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 29866 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[0] +.sym 29867 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[1] +.sym 29868 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[2] +.sym 29869 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[3] +.sym 29870 w_rx_09_fifo_push +.sym 29871 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 29872 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[0] +.sym 29873 w_rx_09_fifo_full +.sym 29876 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 29877 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[5] +.sym 29879 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[0] +.sym 29880 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[1] +.sym 29881 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[2] +.sym 29882 lvds_rx_09_inst.r_push_SB_LUT4_I3_I0[0] +.sym 29883 lvds_rx_09_inst.r_push_SB_LUT4_I3_I0[1] +.sym 29884 lvds_rx_09_inst.r_push_SB_LUT4_I3_I0[2] +.sym 29885 w_rx_09_fifo_push +.sym 29887 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 29888 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[4] +.sym 29889 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[5] +.sym 29894 r_tx_data[0] +.sym 29900 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 29901 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.sym 29903 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 29904 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[1] +.sym 29905 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.sym 29911 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] +.sym 29912 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] +.sym 29913 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 29922 w_rx_09_fifo_full +.sym 29930 w_rx_24_fifo_empty +.sym 29950 io_pmod[2]$SB_IO_IN +.sym 29960 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29961 w_rx_24_fifo_data[18] +.sym 29962 w_tx_data_smi[0] +.sym 29963 spi_if_ins.o_cs_SB_LUT4_I2_1_O[1] +.sym 29964 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 29965 w_tx_data_io[0] +.sym 29967 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 29968 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 29969 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 29971 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29972 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 29973 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 29975 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 29976 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 29977 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29980 spi_if_ins.state_if[0] +.sym 29981 spi_if_ins.state_if[1] +.sym 29984 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29985 w_rx_24_fifo_data[29] +.sym 29986 w_tx_data_sys[0] +.sym 29987 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] +.sym 29988 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 29989 spi_if_ins.o_cs_SB_LUT4_I2_2_O[3] +.sym 29992 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29993 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 29997 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 29999 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 30000 spi_if_ins.state_if[0] +.sym 30001 spi_if_ins.state_if[1] +.sym 30002 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 30003 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 30004 spi_if_ins.state_if[0] +.sym 30005 spi_if_ins.state_if[1] +.sym 30015 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 30016 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 30017 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 30033 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] +.sym 30042 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 30058 rx_24_fifo.rd_addr_gray[6] +.sym 30086 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 30090 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 30098 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] +.sym 30110 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 30126 rx_24_fifo.rd_addr_gray[4] +.sym 30130 rx_24_fifo.rd_addr_gray_wr[4] +.sym 30134 rx_24_fifo.rd_addr_gray[0] +.sym 30142 rx_24_fifo.rd_addr_gray[1] +.sym 30232 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 30233 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] +.sym 30242 rx_09_fifo.wr_addr_gray_rd[4] +.sym 30246 rx_09_fifo.wr_addr_gray_rd[6] +.sym 30250 rx_09_fifo.wr_addr[7] +.sym 30254 rx_09_fifo.wr_addr_gray_rd[7] +.sym 30262 rx_09_fifo.wr_addr_gray_rd[2] +.sym 30270 rx_09_fifo.wr_addr_gray[6] +.sym 30274 rx_09_fifo.wr_addr_gray[5] +.sym 30278 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 30279 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 30280 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] +.sym 30281 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] +.sym 30282 rx_09_fifo.wr_addr_gray_rd[5] +.sym 30286 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[0] +.sym 30287 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[1] +.sym 30288 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] +.sym 30289 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[3] +.sym 30290 rx_09_fifo.wr_addr_gray_rd[0] +.sym 30294 rx_09_fifo.wr_addr_gray_rd[1] +.sym 30298 rx_09_fifo.wr_addr_gray[2] +.sym 30302 rx_09_fifo.wr_addr_gray[4] +.sym 30308 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 30309 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 30312 rx_09_fifo.rd_addr[3] +.sym 30313 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 30315 rx_09_fifo.wr_addr_gray_rd_r[2] +.sym 30316 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 30317 rx_09_fifo.rd_addr[3] +.sym 30318 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 30324 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 30325 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 30327 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 30328 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 30329 w_rx_09_fifo_pull +.sym 30330 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[0] +.sym 30331 rx_09_fifo.wr_addr_gray_rd_r[3] +.sym 30332 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[2] +.sym 30333 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[3] +.sym 30334 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 30338 rx_09_fifo.wr_addr_gray[0] +.sym 30342 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 30343 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 30344 w_rx_09_fifo_pull +.sym 30345 io_pmod[2]$SB_IO_IN +.sym 30346 rx_09_fifo.wr_addr_gray[1] +.sym 30350 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.sym 30351 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.sym 30352 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.sym 30353 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.sym 30354 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 30355 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 30356 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 30357 rx_09_fifo.full_o_SB_LUT4_I3_O[3] +.sym 30358 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 30359 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 30360 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 30361 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 30362 rx_09_fifo.wr_addr_gray[3] +.sym 30368 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 30369 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 30372 rx_09_fifo.wr_addr[0] +.sym 30373 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 30374 r_tx_data[7] +.sym 30378 r_tx_data[3] +.sym 30382 r_tx_data[1] +.sym 30390 r_tx_data[2] +.sym 30398 r_tx_data[5] +.sym 30423 smi_ctrl_ins.int_cnt_09[3] +.sym 30424 i_smi_soe_se$rename$0 +.sym 30425 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 30427 i_smi_soe_se$rename$0 +.sym 30428 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 30429 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[1] +.sym 30431 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 30432 i_smi_soe_se$rename$0 +.sym 30433 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 30450 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 30472 spi_if_ins.w_rx_data[5] +.sym 30473 spi_if_ins.w_rx_data[6] +.sym 30475 w_tx_data_smi[2] +.sym 30476 spi_if_ins.o_cs_SB_LUT4_I2_1_O[1] +.sym 30477 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 30484 spi_if_ins.w_rx_data[5] +.sym 30485 spi_if_ins.w_rx_data[6] +.sym 30488 spi_if_ins.w_rx_data[6] +.sym 30489 spi_if_ins.w_rx_data[5] +.sym 30498 w_cs[1] +.sym 30499 w_cs[2] +.sym 30500 w_cs[3] +.sym 30501 w_cs[0] +.sym 30502 w_cs[0] +.sym 30503 w_cs[1] +.sym 30504 w_cs[3] +.sym 30505 w_cs[2] +.sym 30506 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 30510 w_cs[0] +.sym 30511 w_cs[1] +.sym 30512 w_cs[2] +.sym 30513 w_cs[3] +.sym 30514 w_cs[0] +.sym 30515 w_cs[2] +.sym 30516 w_cs[3] +.sym 30517 w_cs[1] +.sym 30518 w_cs[0] +.sym 30519 w_cs[1] +.sym 30520 w_cs[2] +.sym 30521 w_cs[3] +.sym 30524 spi_if_ins.w_rx_data[5] +.sym 30525 spi_if_ins.w_rx_data[6] +.sym 30530 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 30536 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 30537 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[1] +.sym 30538 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 30539 w_ioc[1] +.sym 30540 w_cs[2] +.sym 30541 w_fetch +.sym 30543 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 30544 w_cs[0] +.sym 30545 w_fetch +.sym 30554 spi_if_ins.w_rx_data[4] +.sym 30558 spi_if_ins.w_rx_data[3] +.sym 30562 w_ioc[1] +.sym 30563 w_ioc[4] +.sym 30564 w_ioc[3] +.sym 30565 w_ioc[2] +.sym 30566 spi_if_ins.w_rx_data[1] +.sym 30570 spi_if_ins.w_rx_data[2] +.sym 30576 io_ctrl_ins.i_cs_SB_LUT4_I3_O[0] +.sym 30577 w_fetch +.sym 30578 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 30579 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 30580 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 30581 w_cs[1] +.sym 30583 w_ioc[2] +.sym 30584 w_ioc[4] +.sym 30585 w_ioc[3] +.sym 30590 spi_if_ins.w_rx_data[0] +.sym 30598 w_ioc[2] +.sym 30599 w_ioc[1] +.sym 30600 w_ioc[0] +.sym 30601 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E[3] +.sym 30606 w_fetch +.sym 30607 w_load +.sym 30608 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 30609 w_cs[0] +.sym 30610 $PACKER_VCC_NET +.sym 30617 w_cs[0] +.sym 30619 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 30620 w_ioc[0] +.sym 30621 w_ioc[1] .sym 30623 w_fetch .sym 30624 w_load .sym 30625 w_cs[1] +.sym 30635 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 30636 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[0] +.sym 30637 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] .sym 30638 w_rx_data[1] -.sym 30642 w_rx_data[2] -.sym 30650 w_rx_data[0] -.sym 30658 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30690 w_rx_data[0] -.sym 30698 w_rx_data[2] -.sym 30714 w_rx_data[1] -.sym 30755 spi_if_ins.spi.r_rx_bit_count[0] -.sym 30760 spi_if_ins.spi.r_rx_bit_count[1] -.sym 30764 spi_if_ins.spi.r_rx_bit_count[2] -.sym 30765 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] -.sym 30772 spi_if_ins.spi.r_rx_bit_count[1] -.sym 30773 spi_if_ins.spi.r_rx_bit_count[0] -.sym 30777 spi_if_ins.spi.r_rx_bit_count[0] -.sym 30795 spi_if_ins.spi.r_rx_bit_count[0] -.sym 30796 spi_if_ins.spi.r_rx_bit_count[2] -.sym 30797 spi_if_ins.spi.r_rx_bit_count[1] -.sym 30799 spi_if_ins.spi.r_rx_bit_count[0] -.sym 30800 spi_if_ins.spi.r_rx_bit_count[2] -.sym 30801 spi_if_ins.spi.r_rx_bit_count[1] -.sym 30802 rx_09_fifo.rd_addr_gray_wr[5] -.sym 30806 rx_09_fifo.rd_addr_gray_wr[3] -.sym 30810 rx_09_fifo.rd_addr_gray[5] -.sym 30814 rx_09_fifo.rd_addr_gray[3] -.sym 30818 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 30822 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 30830 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 30834 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 30838 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 30842 i_mosi$SB_IO_IN -.sym 30846 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 30850 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 30854 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 30858 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 30862 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 30866 i_mosi$SB_IO_IN -.sym 30873 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 30874 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 30878 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 30882 spi_if_ins.spi.r_rx_byte[2] -.sym 30886 spi_if_ins.spi.r_rx_byte[6] -.sym 30890 spi_if_ins.spi.r_rx_byte[3] -.sym 30894 spi_if_ins.spi.r_rx_byte[1] -.sym 30898 spi_if_ins.spi.r_rx_byte[7] -.sym 30902 spi_if_ins.spi.r_rx_byte[4] -.sym 30906 spi_if_ins.spi.r_rx_byte[0] -.sym 30910 spi_if_ins.spi.r_rx_byte[5] -.sym 30915 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 30916 w_tx_data_io[5] -.sym 30917 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] -.sym 30928 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] -.sym 30929 w_tx_data_io[6] -.sym 30952 spi_if_ins.w_rx_data[6] -.sym 30953 spi_if_ins.w_rx_data[5] -.sym 30957 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 30964 spi_if_ins.w_rx_data[5] -.sym 30965 spi_if_ins.w_rx_data[6] -.sym 30968 spi_if_ins.w_rx_data[5] -.sym 30969 spi_if_ins.w_rx_data[6] -.sym 30978 i_smi_a1_SB_LUT4_I1_O[2] -.sym 30979 w_ioc[1] -.sym 30980 w_cs[2] -.sym 30981 w_fetch -.sym 30984 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 30985 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 30989 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 30996 spi_if_ins.w_rx_data[5] -.sym 30997 spi_if_ins.w_rx_data[6] -.sym 31000 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 31001 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31003 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 31004 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31005 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 31010 spi_if_ins.w_rx_data[4] -.sym 31014 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 31019 w_ioc[2] -.sym 31020 w_ioc[4] -.sym 31021 w_ioc[3] -.sym 31022 spi_if_ins.w_rx_data[2] -.sym 31026 w_cs[0] -.sym 31027 w_cs[1] -.sym 31028 w_cs[2] -.sym 31029 w_cs[3] -.sym 31030 spi_if_ins.w_rx_data[3] -.sym 31034 spi_if_ins.w_rx_data[0] -.sym 31038 spi_if_ins.w_rx_data[1] -.sym 31043 w_ioc[1] -.sym 31044 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 31045 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 31047 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 31048 w_ioc[0] -.sym 31049 w_ioc[1] -.sym 31050 w_rx_data[0] -.sym 31054 w_rx_data[3] -.sym 31058 w_rx_data[4] -.sym 31062 w_rx_data[2] -.sym 31066 w_rx_data[1] -.sym 31070 w_cs[1] -.sym 31071 w_cs[2] -.sym 31072 w_cs[3] -.sym 31073 w_cs[0] -.sym 31074 io_ctrl_ins.o_pmod[3] -.sym 31075 i_button_SB_LUT4_I3_I1[1] -.sym 31076 i_button_SB_LUT4_I3_I1[2] -.sym 31077 i_config[0]$SB_IO_IN -.sym 31078 w_rx_data[5] -.sym 31082 w_rx_data[7] -.sym 31086 w_rx_data[3] +.sym 30642 w_rx_data[3] +.sym 30670 w_rx_data[2] +.sym 30682 w_rx_data[1] +.sym 30695 io_ctrl_ins.rf_pin_state[1] +.sym 30696 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 30697 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 30701 io_ctrl_ins.led1_state_SB_DFFESR_Q_E +.sym 30706 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 30707 io_ctrl_ins.rf_mode[2] +.sym 30708 io_ctrl_ins.rf_pin_state[2] +.sym 30709 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 30721 sys_ctrl_ins.reset_cmd +.sym 30726 $PACKER_GND_NET +.sym 30759 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 30760 rx_09_fifo.rd_addr[3] +.sym 30761 rx_09_fifo.wr_addr_gray_rd_r[2] +.sym 30762 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] +.sym 30763 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[1] +.sym 30764 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 30765 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 30766 rx_09_fifo.rd_addr_gray[1] +.sym 30774 rx_09_fifo.rd_addr_gray_wr[1] +.sym 30778 rx_09_fifo.rd_addr_gray_wr[2] +.sym 30786 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] +.sym 30787 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 30788 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[2] +.sym 30789 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[3] +.sym 30792 rx_09_fifo.wr_addr_gray_rd_r[5] +.sym 30793 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 30796 rx_09_fifo.wr_addr_gray_rd_r[5] +.sym 30797 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 30799 rx_09_fifo.rd_addr[0] +.sym 30800 rx_09_fifo.wr_addr_gray_rd_r[0] +.sym 30801 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 30803 rx_09_fifo.wr_addr_gray_rd_r[2] +.sym 30804 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 30805 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 30806 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[1] +.sym 30812 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 30813 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 30814 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 30819 rx_09_fifo.rd_addr[0] +.sym 30824 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] +.sym 30825 rx_09_fifo.rd_addr[0] +.sym 30828 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 30829 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] +.sym 30832 rx_09_fifo.rd_addr[3] +.sym 30833 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] +.sym 30836 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 30837 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] +.sym 30840 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 30841 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] +.sym 30844 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[1] +.sym 30845 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] +.sym 30848 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 30849 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] +.sym 30851 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 30855 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 30856 $PACKER_VCC_NET +.sym 30859 spi_if_ins.spi.r_tx_bit_count[2] +.sym 30860 $PACKER_VCC_NET +.sym 30861 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 30862 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 30863 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 30864 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 30865 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 30869 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 30871 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 30872 $PACKER_VCC_NET +.sym 30873 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 30875 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 30876 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 30877 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 30878 spi_if_ins.spi.r_tx_byte[3] +.sym 30879 spi_if_ins.spi.r_tx_byte[7] +.sym 30880 spi_if_ins.spi.r_tx_bit_count[2] +.sym 30881 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 30882 spi_if_ins.r_tx_byte[2] +.sym 30886 spi_if_ins.r_tx_byte[1] +.sym 30890 spi_if_ins.r_tx_byte[7] +.sym 30894 spi_if_ins.r_tx_byte[5] +.sym 30898 spi_if_ins.spi.r_tx_byte[1] +.sym 30899 spi_if_ins.spi.r_tx_byte[5] +.sym 30900 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 30901 spi_if_ins.spi.r_tx_bit_count[2] +.sym 30902 spi_if_ins.r_tx_byte[0] +.sym 30906 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[0] +.sym 30907 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[1] +.sym 30908 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 30909 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 30910 spi_if_ins.spi.r_tx_byte[2] +.sym 30911 spi_if_ins.spi.r_tx_byte[6] +.sym 30912 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 30913 spi_if_ins.spi.r_tx_bit_count[2] +.sym 30915 sys_ctrl_ins.reset_count[0] +.sym 30920 sys_ctrl_ins.reset_count[1] +.sym 30922 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 30924 sys_ctrl_ins.reset_count[2] +.sym 30925 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 30926 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 30928 sys_ctrl_ins.reset_count[3] +.sym 30929 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] +.sym 30930 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 30932 sys_ctrl_ins.reset_count[1] +.sym 30933 sys_ctrl_ins.reset_count[0] +.sym 30934 sys_ctrl_ins.reset_count[3] +.sym 30935 sys_ctrl_ins.reset_count[1] +.sym 30936 sys_ctrl_ins.reset_count[2] +.sym 30937 sys_ctrl_ins.reset_count[0] +.sym 30941 sys_ctrl_ins.reset_count[0] +.sym 30944 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 30945 sys_ctrl_ins.reset_cmd +.sym 30946 spi_if_ins.r_tx_byte[3] +.sym 30954 spi_if_ins.r_tx_byte[6] +.sym 30966 spi_if_ins.r_tx_byte[4] +.sym 30982 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 30992 i_ss$SB_IO_IN +.sym 30993 spi_if_ins.r_tx_data_valid +.sym 31000 w_rx_24_fifo_empty +.sym 31001 io_pmod[2]$SB_IO_IN +.sym 31011 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 31012 w_tx_data_io[7] +.sym 31013 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 31014 w_tx_data_smi[1] +.sym 31015 spi_if_ins.o_cs_SB_LUT4_I2_1_O[1] +.sym 31016 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 31017 w_tx_data_io[1] +.sym 31020 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 31021 w_tx_data_io[4] +.sym 31023 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 31024 w_tx_data_io[2] +.sym 31025 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[2] +.sym 31027 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 31028 w_tx_data_io[5] +.sym 31029 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 31033 spi_if_ins.w_rx_data[5] +.sym 31036 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 31037 w_tx_data_io[6] +.sym 31038 w_tx_data_smi[3] +.sym 31039 spi_if_ins.o_cs_SB_LUT4_I2_1_O[1] +.sym 31040 smi_ctrl_ins.o_data_out_SB_LUT4_I1_O[0] +.sym 31041 w_tx_data_io[3] +.sym 31042 r_tx_data[4] +.sym 31050 r_tx_data[6] +.sym 31074 w_rx_data[2] +.sym 31078 w_rx_data[1] +.sym 31084 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 31085 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[1] +.sym 31086 w_rx_data[6] .sym 31090 w_rx_data[0] -.sym 31095 w_ioc[0] -.sym 31096 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 31097 w_ioc[1] -.sym 31098 w_rx_data[1] -.sym 31102 w_rx_data[4] -.sym 31106 io_ctrl_ins.o_pmod[4] -.sym 31107 o_tr_vc1_b$SB_IO_OUT -.sym 31108 w_ioc[0] -.sym 31109 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 31110 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 31111 io_ctrl_ins.pmod_dir_state[4] -.sym 31112 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 31113 io_ctrl_ins.rf_mode[2] -.sym 31116 w_ioc[0] -.sym 31117 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 31118 w_fetch -.sym 31119 w_load -.sym 31120 i_button_SB_LUT4_I3_I1[1] -.sym 31121 w_cs[0] -.sym 31123 w_ioc[1] -.sym 31124 w_ioc[0] -.sym 31125 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[1] -.sym 31128 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 31129 w_ioc[0] -.sym 31130 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 31134 io_ctrl_ins.o_pmod[0] -.sym 31135 io_ctrl_ins.mixer_en_state -.sym 31136 w_ioc[0] -.sym 31137 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] +.sym 31094 w_rx_data[3] +.sym 31098 w_rx_data[4] +.sym 31102 w_rx_data[7] +.sym 31106 w_rx_data[6] +.sym 31111 w_ioc[0] +.sym 31112 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 31113 w_ioc[1] +.sym 31116 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 31117 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[0] +.sym 31118 w_rx_data[4] +.sym 31122 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 31123 io_ctrl_ins.pmod_dir_state[6] +.sym 31124 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 31125 io_ctrl_ins.o_pmod[6] +.sym 31127 w_ioc[1] +.sym 31128 w_ioc[0] +.sym 31129 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 31132 w_ioc[0] +.sym 31133 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 31135 w_ioc[1] +.sym 31136 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[0] +.sym 31137 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] .sym 31138 w_rx_data[4] -.sym 31142 sys_ctrl_ins.o_data_out_SB_DFFE_Q_D[1] -.sym 31143 o_shdn_rx_lna$SB_IO_OUT -.sym 31144 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 31145 io_ctrl_ins.debug_mode[1] -.sym 31152 sys_ctrl_ins.o_data_out_SB_DFFE_Q_D[1] -.sym 31153 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 31154 w_rx_data[5] -.sym 31158 sys_ctrl_ins.o_data_out_SB_DFFE_Q_D[1] -.sym 31159 o_tr_vc2$SB_IO_OUT -.sym 31160 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] -.sym 31161 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31162 w_rx_data[3] -.sym 31166 io_ctrl_ins.o_pmod[2] -.sym 31167 o_shdn_tx_lna$SB_IO_OUT -.sym 31168 w_ioc[0] -.sym 31169 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 31170 w_rx_data[7] -.sym 31180 io_ctrl_ins.rf_mode[0] -.sym 31181 io_ctrl_ins.rf_mode[2] -.sym 31182 w_rx_data[0] -.sym 31193 io_ctrl_ins.rf_mode[0] -.sym 31198 w_rx_data[6] -.sym 31214 w_rx_data[2] -.sym 31218 w_rx_data[1] -.sym 31266 spi_if_ins.spi.r_rx_done -.sym 31273 i_ss$SB_IO_IN -.sym 31284 spi_if_ins.spi.r3_rx_done -.sym 31285 spi_if_ins.spi.r2_rx_done -.sym 31286 spi_if_ins.spi.r2_rx_done -.sym 31306 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] -.sym 31318 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[3] -.sym 31341 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O -.sym 31346 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 31378 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] -.sym 31384 i_ss$SB_IO_IN -.sym 31385 spi_if_ins.r_tx_data_valid -.sym 31422 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 31439 spi_if_ins.r_tx_byte[7] -.sym 31440 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] -.sym 31441 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] -.sym 31469 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 31482 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 31491 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31492 spi_if_ins.state_if[1] -.sym 31493 spi_if_ins.state_if[0] -.sym 31498 spi_if_ins.w_rx_data[6] -.sym 31503 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 31504 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 31505 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 31506 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31507 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 31508 spi_if_ins.state_if[0] -.sym 31509 spi_if_ins.state_if[1] -.sym 31511 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 31512 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 31513 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 31515 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31516 spi_if_ins.state_if[0] -.sym 31517 spi_if_ins.state_if[1] -.sym 31519 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] -.sym 31520 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[1] -.sym 31521 spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] -.sym 31522 spi_if_ins.w_rx_data[1] -.sym 31526 spi_if_ins.w_rx_data[5] -.sym 31530 spi_if_ins.w_rx_data[0] -.sym 31534 spi_if_ins.w_rx_data[4] -.sym 31542 spi_if_ins.w_rx_data[3] -.sym 31546 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] -.sym 31550 spi_if_ins.w_rx_data[2] -.sym 31558 w_rx_data[5] -.sym 31562 w_rx_data[6] -.sym 31566 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31567 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 31568 spi_if_ins.state_if[0] -.sym 31569 spi_if_ins.state_if[1] -.sym 31570 w_rx_data[7] -.sym 31574 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 31575 io_ctrl_ins.pmod_dir_state[6] -.sym 31576 i_button_SB_LUT4_I3_I1[2] -.sym 31577 i_config[3]$SB_IO_IN -.sym 31589 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 31591 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31592 spi_if_ins.state_if[0] -.sym 31593 spi_if_ins.state_if[1] -.sym 31596 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 31597 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 31598 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 31599 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31600 spi_if_ins.state_if[0] -.sym 31601 spi_if_ins.state_if[1] -.sym 31602 i_button_SB_LUT4_I3_I1[0] -.sym 31603 i_button_SB_LUT4_I3_I1[1] -.sym 31604 i_button_SB_LUT4_I3_I1[2] -.sym 31605 i_button$SB_IO_IN -.sym 31606 o_rx_h_tx_l$SB_IO_OUT -.sym 31607 sys_ctrl_ins.o_data_out_SB_DFFE_Q_D[1] -.sym 31608 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 31609 io_ctrl_ins.pmod_dir_state[7] -.sym 31610 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 31611 io_ctrl_ins.pmod_dir_state[5] -.sym 31612 i_button_SB_LUT4_I3_I1[1] -.sym 31613 io_ctrl_ins.o_pmod[5] -.sym 31614 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] -.sym 31615 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] -.sym 31616 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 31617 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[2] -.sym 31618 o_tr_vc1$SB_IO_OUT -.sym 31619 sys_ctrl_ins.o_data_out_SB_DFFE_Q_D[1] -.sym 31620 i_button_SB_LUT4_I3_I1[2] -.sym 31621 i_config[2]$SB_IO_IN -.sym 31626 w_rx_data[4] -.sym 31630 w_rx_data[3] -.sym 31648 i_button_SB_LUT4_I3_I1[1] -.sym 31649 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 31650 io_ctrl_ins.debug_mode[0] -.sym 31651 io_ctrl_ins.rf_mode[2] -.sym 31652 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31653 io_ctrl_ins.debug_mode[1] -.sym 31654 io_ctrl_ins.o_pmod[6] -.sym 31655 o_rx_h_tx_l_b$SB_IO_OUT -.sym 31656 w_ioc[0] -.sym 31657 io_ctrl_ins.i_cs_SB_LUT4_I3_I0[0] -.sym 31662 w_rx_data[6] -.sym 31668 io_ctrl_ins.debug_mode[0] -.sym 31669 io_ctrl_ins.debug_mode[1] -.sym 31670 w_rx_data[2] -.sym 31682 io_ctrl_ins.rf_pin_state[0] -.sym 31683 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31684 io_ctrl_ins.rf_mode[2] -.sym 31685 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 31686 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] -.sym 31687 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31688 io_ctrl_ins.rf_pin_state[4] -.sym 31689 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 31691 io_ctrl_ins.rf_pin_state[7] -.sym 31692 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 31693 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 31694 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] +.sym 31142 w_rx_data[2] +.sym 31148 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 31149 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[1] +.sym 31150 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 31151 io_ctrl_ins.pmod_dir_state[1] +.sym 31152 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 31153 io_ctrl_ins.o_pmod[1] +.sym 31154 w_rx_data[0] +.sym 31158 io_ctrl_ins.debug_mode[0] +.sym 31159 io_ctrl_ins.rf_mode[2] +.sym 31160 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 31161 io_ctrl_ins.debug_mode[1] +.sym 31164 io_ctrl_ins.debug_mode[0] +.sym 31165 io_ctrl_ins.debug_mode[1] +.sym 31168 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 31169 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[1] +.sym 31170 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 31171 o_shdn_rx_lna$SB_IO_OUT +.sym 31172 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 31173 io_ctrl_ins.debug_mode[1] +.sym 31178 w_rx_data[2] +.sym 31185 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 31187 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[0] +.sym 31188 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 31189 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_I0_O[2] +.sym 31190 io_ctrl_ins.o_pmod[2] +.sym 31191 o_shdn_tx_lna$SB_IO_OUT +.sym 31192 w_ioc[0] +.sym 31193 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 31194 w_rx_data[1] +.sym 31198 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 31199 io_ctrl_ins.rf_mode[0] +.sym 31200 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 31201 o_ldo_2v8_en +.sym 31202 w_rx_data[1] +.sym 31226 w_rx_data[2] +.sym 31230 w_rx_data[0] +.sym 31273 io_smi_data[4]$SB_IO_OUT +.sym 31285 i_smi_a3$SB_IO_IN +.sym 31286 rx_09_fifo.rd_addr_gray[5] +.sym 31292 i_smi_a3$SB_IO_IN +.sym 31293 w_smi_data_output[5] +.sym 31294 rx_09_fifo.rd_addr_gray[0] +.sym 31298 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 31299 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 31300 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 31301 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 31303 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[0] +.sym 31304 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[1] +.sym 31305 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1[2] +.sym 31306 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[0] +.sym 31307 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[1] +.sym 31308 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[2] +.sym 31309 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[3] +.sym 31311 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[0] +.sym 31312 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 31313 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 31314 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[0] +.sym 31315 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[1] +.sym 31316 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[2] +.sym 31317 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I2[3] +.sym 31320 rx_09_fifo.rd_addr[0] +.sym 31321 rx_09_fifo.wr_addr_gray_rd_r[0] +.sym 31326 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[0] +.sym 31327 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[1] +.sym 31328 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[2] +.sym 31329 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[3] +.sym 31332 rx_09_fifo.rd_addr[0] +.sym 31333 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 31334 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[3] +.sym 31340 rx_09_fifo.wr_addr_gray_rd_r[3] +.sym 31341 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 31345 rx_09_fifo.rd_addr[0] +.sym 31348 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[3] +.sym 31349 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 31350 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 31354 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 31358 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 31362 rx_09_fifo.wr_addr_gray_rd[3] +.sym 31366 spi_if_ins.spi.SCKr[2] +.sym 31367 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 31368 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31369 spi_if_ins.spi.SCKr[1] +.sym 31370 spi_if_ins.spi.SCKr[0] +.sym 31374 i_sck$SB_IO_IN +.sym 31379 spi_if_ins.spi.SCKr[2] +.sym 31380 spi_if_ins.spi.SCKr[1] +.sym 31381 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 31389 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 31390 spi_if_ins.spi.SCKr[1] +.sym 31399 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_I3_1_I2[2] +.sym 31400 i_smi_soe_se$rename$0 +.sym 31401 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I1_O[2] +.sym 31427 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31432 spi_if_ins.spi.r_rx_bit_count[1] +.sym 31436 spi_if_ins.spi.r_rx_bit_count[2] +.sym 31437 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] +.sym 31441 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31448 spi_if_ins.spi.r_rx_bit_count[1] +.sym 31449 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31462 spi_if_ins.spi.r_rx_byte[6] +.sym 31469 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 31471 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31472 spi_if_ins.spi.r_rx_bit_count[2] +.sym 31473 spi_if_ins.spi.r_rx_bit_count[1] +.sym 31487 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31488 spi_if_ins.spi.r_rx_bit_count[2] +.sym 31489 spi_if_ins.spi.r_rx_bit_count[1] +.sym 31490 i_mosi$SB_IO_IN +.sym 31494 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 31498 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 31502 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 31506 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 31510 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 31514 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 31520 i_ss$SB_IO_IN +.sym 31521 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 31522 i_mosi$SB_IO_IN +.sym 31526 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 31530 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 31538 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 31542 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 31546 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 31550 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 31554 spi_if_ins.spi.r_rx_byte[3] +.sym 31558 spi_if_ins.spi.r_rx_byte[0] +.sym 31562 spi_if_ins.spi.r_rx_byte[4] +.sym 31566 spi_if_ins.spi.r_rx_byte[5] +.sym 31574 spi_if_ins.spi.r_rx_byte[1] +.sym 31578 spi_if_ins.spi.r_rx_byte[2] +.sym 31582 spi_if_ins.spi.r_rx_byte[7] +.sym 31586 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[0] +.sym 31590 spi_if_ins.w_rx_data[3] +.sym 31594 spi_if_ins.w_rx_data[1] +.sym 31598 spi_if_ins.w_rx_data[6] +.sym 31602 spi_if_ins.w_rx_data[4] +.sym 31606 spi_if_ins.w_rx_data[2] +.sym 31610 spi_if_ins.w_rx_data[0] +.sym 31614 spi_if_ins.w_rx_data[5] +.sym 31620 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 31621 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 31622 w_rx_data[6] +.sym 31626 w_rx_data[5] +.sym 31630 io_ctrl_ins.o_pmod[4] +.sym 31631 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 31632 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 31633 i_config[1]$SB_IO_IN +.sym 31634 w_rx_data[0] +.sym 31638 w_rx_data[4] +.sym 31642 w_rx_data[7] +.sym 31646 w_rx_data[3] +.sym 31650 w_rx_data[5] +.sym 31654 w_rx_data[3] +.sym 31658 io_ctrl_ins.o_pmod[0] +.sym 31659 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 31660 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 31661 o_led0$SB_IO_OUT +.sym 31662 w_rx_data[7] +.sym 31666 io_ctrl_ins.o_pmod[7] +.sym 31667 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 31668 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 31669 i_button$SB_IO_IN +.sym 31670 w_rx_data[0] +.sym 31676 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 31677 w_ioc[0] +.sym 31678 io_ctrl_ins.o_pmod[5] +.sym 31679 io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I3[0] +.sym 31680 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 31681 i_config[2]$SB_IO_IN +.sym 31682 io_ctrl_ins.o_pmod[3] +.sym 31683 o_tr_vc2$SB_IO_OUT +.sym 31684 w_ioc[0] +.sym 31685 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3[1] +.sym 31686 o_led1$SB_IO_OUT +.sym 31687 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 31688 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[2] +.sym 31689 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[3] +.sym 31694 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] .sym 31695 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31696 io_ctrl_ins.rf_pin_state[5] -.sym 31697 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 31698 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 31699 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31700 io_ctrl_ins.rf_mode[0] -.sym 31701 io_ctrl_ins.rf_mode[2] -.sym 31703 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 31704 io_ctrl_ins.rf_pin_state[6] -.sym 31705 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 31706 io_ctrl_ins.rf_pin_state[3] -.sym 31707 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31708 io_ctrl_ins.rf_mode[2] -.sym 31709 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 31715 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 31716 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31717 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 31738 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31739 io_ctrl_ins.rf_mode[2] -.sym 31740 io_ctrl_ins.rf_pin_state[2] -.sym 31741 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 32022 spi_if_ins.state_if_SB_DFFESR_Q_1_D[2] -.sym 32085 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[1] -.sym 32092 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[0] -.sym 32093 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[1] -.sym 32116 i_button_SB_LUT4_I3_O[0] -.sym 32117 i_button_SB_LUT4_I3_O[1] -.sym 32128 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[0] -.sym 32129 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[1] -.sym 32480 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 32481 io_pmod[5]$SB_IO_IN -.sym 32504 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] -.sym 32505 io_pmod[4]$SB_IO_IN +.sym 31696 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 31697 i_config[0]$SB_IO_IN +.sym 31702 o_rx_h_tx_l_b$SB_IO_OUT +.sym 31703 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 31704 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] +.sym 31705 i_config[3]$SB_IO_IN +.sym 31706 io_ctrl_ins.pmod_dir_state[3] +.sym 31707 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 31708 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] +.sym 31709 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] +.sym 31710 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[0] +.sym 31711 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 31712 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[2] +.sym 31713 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[3] +.sym 31734 io_ctrl_ins.rf_pin_state[3] +.sym 31735 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 31736 io_ctrl_ins.rf_mode[2] +.sym 31737 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 31778 rx_09_fifo.rd_addr_gray[2] +.sym 31782 rx_09_fifo.rd_addr_gray[3] +.sym 31790 rx_09_fifo.rd_addr_gray[4] +.sym 31816 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 31817 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 31824 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[1] +.sym 31825 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I0[3] +.sym 31840 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 31841 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I2[1] +.sym 31879 spi_if_ins.r_tx_byte[7] +.sym 31880 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 31881 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 31953 i_ss$SB_IO_IN +.sym 31960 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 31961 io_pmod[5]$SB_IO_IN +.sym 31968 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 31969 w_rx_09_fifo_data[1] +.sym 31986 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 32004 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 32005 io_pmod[4]$SB_IO_IN +.sym 32098 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] +.sym 32099 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 32100 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] +.sym 32101 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] +.sym 32106 io_ctrl_ins.pmod_dir_state[4] +.sym 32107 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 32108 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 32109 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] +.sym 32138 o_tr_vc1$SB_IO_OUT +.sym 32139 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 32140 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 32141 io_ctrl_ins.pmod_dir_state[5] +.sym 32144 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[0] +.sym 32145 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[1] +.sym 32148 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_O[0] +.sym 32149 io_ctrl_ins.rx_h_b_state_SB_LUT4_I0_O[1] +.sym 32150 o_rx_h_tx_l$SB_IO_OUT +.sym 32151 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 32152 io_ctrl_ins.ldo2v8_state_SB_LUT4_I3_O[1] +.sym 32153 io_ctrl_ins.pmod_dir_state[7] +.sym 32160 i_button_SB_LUT4_I3_O[0] +.sym 32161 i_button_SB_LUT4_I3_O[1] +.sym 32162 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 32163 o_tr_vc1_b$SB_IO_OUT +.sym 32164 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 32165 io_ctrl_ins.rf_mode[2] +.sym 32166 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 32167 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 32168 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 32169 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 32170 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 32171 io_ctrl_ins.mixer_en_state +.sym 32172 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[0] +.sym 32173 io_ctrl_ins.debug_mode[0] +.sym 32174 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 32175 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 32176 io_ctrl_ins.rf_mode[0] +.sym 32177 io_ctrl_ins.rf_mode[2] +.sym 32178 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 32179 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 32180 io_ctrl_ins.rf_pin_state[4] +.sym 32181 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 32183 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 32184 io_ctrl_ins.rf_pin_state[6] +.sym 32185 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 32187 io_ctrl_ins.rf_pin_state[7] +.sym 32188 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 32189 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 32190 io_ctrl_ins.rf_pin_state[0] +.sym 32191 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 32192 io_ctrl_ins.rf_mode[2] +.sym 32193 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 32208 io_ctrl_ins.rf_mode[0] +.sym 32209 io_ctrl_ins.rf_mode[2] +.sym 32492 spi_if_ins.spi.r3_rx_done +.sym 32493 spi_if_ins.spi.r2_rx_done +.sym 32494 spi_if_ins.spi.r2_rx_done +.sym 32498 spi_if_ins.spi.r_rx_done .sym 32549 r_counter[0] -.sym 32729 o_rx_h_tx_l_b$SB_IO_OUT +.sym 32638 w_rx_data[5] diff --git a/firmware/top.bin b/firmware/top.bin index 378b973228a0fd9a009219e15e7dbafd4571a0df..91e329de04bb34e63cfded77e45ed4da1d56a407 100644 GIT binary patch literal 32220 zcmeHvdz@TFv48bBXJ*dMzRre8*o3h3h7ETTVi-t>3&hab#1Ig1P#z%&jsfE$AS?3Y z1`zGtBqS)00RtwAWKa-9gq7Ei-@VB15I_ZUbwN~6E({0)QFM7I4?*s?y3d)%?k2>? z=lTb!WV@@Ys;jH3s{8bL?7mI(_UEtP@cF|@BrYYnOnl&UDh?2}kr1>Ekd~*nAu@v1 zH= z#6w@{UnP|9FCFM{etRQ%n6A(V2Z29fg|$)|$tK!k{->wP9QCbHK6x&z1fxG&09R&6 zS5*={`}T!-qQ;FcX}GVv8Y8;;5l+wv`=?8guYl9}{16(i|1m@ncQE$!wP;EBE);1^9>fH>xvSN?Wq%VdYZ4N1iAf}>IWz( zy)HK(kqn2Zg=lhJ#3x8uf{*eQUYiitZv?jN_ z@P#~OeX;U7Fsjh7o_yuco4Udw$A9rfg@no;Hw6Ch>q5z@9Hwx>LMpjEmzAG(wA=nT zSj(UOiDJJ7(|TYem8pP|n&~5VXrhi&k4;OW&%D62J$oMxMECHkpp)CPiPQy5HL%H5 z7wJ=%Q}j9zeO zri-!%ZM>8yL%TlXL5V)LKMyx8CyWS4kn=fI9rL%eH#E@ewB{;MX(Ng{eA)~=X{K3#I+EXYZs^tkn`T%LOsGBMvwN_nDu4R}QF z!^SF<_;Sv)NL-KFp_V&g(czOOapE3UK7x6D*n)q%sw=csVk!j?o80^F;k~gzfh;j= zhW&hM$v7}fnPt2wLLb3_lk>iv1Eyw?E(1BB!()m1zI$qbO$6G51in zlSjrOVVZkT<{K%CmP+tdO2e{*M#M$W#(UBJ(8jM2gbqVWK-5|JNQRwA$XWcbO9p6< zlTP+X(CaU%ak%zMPU!z8JSoAxAVh)atUuskk7!ZrizTdo|5%OvWipI2 z5o%}u`K%INXuWkFB1xUkv#NXp!qY_3L(uZqA}mutyfZ|BNtVxiSd*&`pC8xzP?J3CDqnPy2pu>Ru$B%-c%(#zn2G0*ea{hv>1AE_l{goF z*3V*~QbKn_rH9b)f`pZHY^QR>oN46*1s=T+I@nVH7@3n%qIxXUO79J)o_IG>%9(Mu zhKJ}ET8(qAL=u5P3iFzmoeY(}T#JqTP=a04KbEEj>T6aGbwQEiV_9V(KuLV9lYgBw}z;!1}RN6BK<;kfUgq1`a`iEg9C0j2kf#82lWADo(fWN=RIkZeP=Y+T6 z1E>_#B%pFOJucaQ7l#hrO0*RC;lwIGzTjJ{paG!$L<6)ef8oIrcAtj3G~6YwN|Mm@ zVOUw(!!1Axc`4}T@?xh%dp^on?iKfh*j54E93D)34@XUC9VW%Jpl`gQ@r$ngk33X1 z9-yhfR9=h5$|P;MNhywa(-{uNTjwnOFkHL$?_i|?gopl(CqCuX`10F~@e!V{U&#WK z&&0%2dZ&;Z1BhS?IUnSClWxR*i3Q_LTQW=t|Mys>^5-&7xU7=q4N6#50V-eDr&dm%winRLX#{!dVh$CQ^!%-CkGc`hZ5;s9)TV53|44*c28JEYL98XpGWf&H^iJ2@{;uMRexEG zZDN4}9nLi+uHBTp7Q0jH#=la@NreIOQhfav4yC~tPQgmqa*tEtW_t9Op(d|@9S`gM zPp4Sc5Lbai-z<%%{F4XC(h)8lePstG?r5Ysr5gVI`hkUz`PKENgTlm>(kC7;l!m|B ze;j&_M^Fjh4fkBvuP`=WD59Wom!=!gCV?}NTlOx7&l}dhbh!q}YiU4Pfi7|U{hT*H zSIF5cVyuz&%h+)Df?@#`X5Jxs{)?r zk>ojBR$^PHR7i_F@gontUjOnb3Z_PKIn;a4SD{!$t38CId;)_ayh|@-x7#{vB(w`*mC{Q6U8?_K+&%GL_d!4v)jxIqm=yEjf zoDh;)D<7Grh;)y-28>(^IAOgCjdLx7UBu{%(f{9k-UsIwXA5e*q63yV5D@s$W@4QM zYo#PVgS2a!J=vvPfXkVeHjH4(u)x3y9c+Ql-X-XpDtKMkiLSg7IKml{bPZNxWo!C= z=AF5?U*f*!1qGa1=fV|Hm_sI@FMfK*Q(7T>T_jq?nJ~nG7+*~9@^rw~#*o1Y#8Yx+ z4+txs2_bc|Vs%05lU=fA-QeN|n1CxXtW;u-CI|Kdr}i~}37HoGU+e&@rytTU$?1DN zgj;gW@Fj_cfBzhL%rwxg6Iaa#R@iqKfy5P(iw^Rv%efH)E);w!CHLGe>{ER!74UB9 zVf=c?*=7ix_jx?YyL9N#hweMVqoC#2d*FHva1@FPOgDK+i4a%I+44xxg_vFxY8~Q< ziFC^xx!R#sl)Pcro3RK9CyUN<>o+vf!Fsp5*0T&NV@I^0p-YpyU$}?!vY+50v1I^z zU!LxH4(3EthkWX}pHP{SgbR`u`c*0|OKHx_#6|!4Ra{s*vK=|aS|Rx|C!K2G4D%Bp zcnX`Ff|=j#Wxn{bOOi$W-E-7K1#n#Izw#VS;s+9GuSl%0BY*yQ7RS$Lo5GBC*jM9d zeFIx55%Dwl)+r#kdFE4PcnPvAbrEF(8!&Pxv2T|L-f5&MrShDKQ5NO5q^yw6D}y zjdmIs?BRtFoP6h?5$V@lIW(aZpl*K^c#^Jy%G5+=-~hAROs-sZ9>9}7o{BiN3C$ZO z_aVQ?C8gHAIAjpodBrq~p#0^;12hE%Y#X?(`s&UFkTUyE{u4WrM3d)eMhr~6OcQC| z+;Dairx;(qr?rG;j)Hca)k8}D-?DP4m3|2#iEHxMLK?pSJJQMbg^>r>4)ab#&atcg z92J{2a5sNFRJ2{Q40d)d+arBAXl+6m6M$j@ru^p=kyei)U;J~lvKF>7x4r7BliOMk zgqR_)RV}Veu@a!xdeV(xZB1_-WA?fOAScU_xW6te5)NwBqZ} zc8z{pfA4n5k?6w#>K}sq-`HFJjq?9maT#y7?_`F+gOYz3be#sbzFEf`-B38&TUZz) zqif!nKGL68ax`lc1uu+j5|v1gVpa(*HLPDT!Adx)N*@brTQmP1B%)qow}EU$fv#X< zAc6b)*(1rdYgolhpN{G&j=UE5I7Zcj*}9{NGe_35>sq)OizW`TSH~LJc;U?gle}%Z z(hT<1^NJ9J8Hzh>4RT=Iu^HF@FupzCiX^s;^tf4IN+?~t6``11`cKvi$!!AXCc zCXrjH300EGxg1n}>9l*zg#K`PtWt?@<`{=QR*4%ZzF(z@Ywpyj1RkO&2|8QSK1&(Q z?kPCvONXtTU2r5hd)_AflA}YsLXQBk8{QGw;((n(|gyF*dMeennx`*JS-v#&@up(oKF&z+l!VYA-n+zC1RCE z26;K@OrfAsoO_ZLY${;H<;u)ePzWO5+9^Lvsax>E0eX>_27n9Lso}`b=|PJDFNClY zof+b3pCgt_L*v4?zVsT5%^=`ys(mgj>7Iq>6<7unmqaCM*NC;|M=}hsF@`QrY>;sS zV=2a=G6v*jo@PLeqozJuuvBR(t!bA*<4b%d0*ZMHr!W!eyl&-8Y2W&mcwS(!shmWn z;r6Ud!1q{B~y z?8^|moy2~5dh?yih^2Y&WVCGVHwtC1w$`zamw;<{bzvzjAgLE#C+o;jCJTA(Yw z6e07n+PWb+isbNw-+9>yMCLucvq~%gkX&FdC~+M_Kn-PsyJ6kL*^IRh{Z<(sM^sS4 zraBS^5Bwq!1uEbn&`@GM69hkG0`w&)o21T7{E8<6JR;RVgNIBiSfaa@=p|kmC0Y`x zL{?5m=45kpO?Us`xLk-lvx~%M(|*m zJTb<(7U$343$ntaaU0VRFEuvs8jBv2v5<#0b?od?lR082rkQ{|)&Q@Fn&i`9Jo2Ue z7D90dm65+ete%!j3ys{KBi=*XrMu3<2+9>O~MJd05s^1%|J5K&#r9YS1_COgWvwM zMD1M8E%-M0`qNno$q6YsLxm;hyw+jfCh(eaa*3rdaZIdnmqkSr$;e8KG$q7fTIf$* zNB0^*(x}!C%^gNI_1u;&L54vrc;);evU}}ap=q1K)eT>wRtEuhCLO5^DNUKASWa2$ z-u1?KGXR^!>#yICg@ny+BMPG`>Y)4aVZIH1D(MYJ^zU{eGa8qLu}UmXs1GQ`YXuw6^n+QW#Lr3@5*<&AD=PUq zgo#9&Ts_EAXbr9SOTdI-u`g3}^B&Nanfa4;UrRn;CTE3dIwQ-zv=LF_qqB5( zJC0!M>1o0d3Z7EfiRc96o!b)@?aG5*7i39v;h&HE5nAfeKO&lNzjg)`!t z0I8}lralTnOVOhkh7k3THC(AoLz~iZLQ~fiJf?-QhO;(deFc5Uf4j@ak9$JXZDrKE zQdJdJNMj;MrwT~3M?}q3g=`T{3U(5MfP<<76hTo;{u?5p$gw@3Qr6&1l$N7S6%A=< zwYZfTUFz6ZmQ}Tq+sYDAI@)|$S!qYeiTnjnyGBb!6rK~N%Mj0=@g9wKZJy)ppQiEl zdjKPkM4C(uPh_&x=XVzJe9SNaF}#wj>WZ#e8&nt591K?niP{!RjGM%dL46t4G8nI_ zGyx*7ze`#fR6*o!at1P%SS=l;sh3r%XzPwy=vMt-oWBx;4xS>P(2-m5fLw z)H1?HRztM}IBaat6m}4uroNHffTs9RMjbr(q(aRu;=)hT@2s zFAnkz`<{vklPP_bLAYTcQpvd3fF9R>SZ4cRrO;aqIs9D4QL3aBI(f7jWfeA*+A22? zbX(Z-@?WDL;a5{=tK zSlP=HYC*`y1hwEztSzYA*RT(~dl=34V~kM%4J8o8=74%Ji}Oxdg4W9+ih9Va$R7)4 zxgpZo|1>()i&R=g$sDnHXLHZxJb={`=dAT*q-B^wC0v%oqs^3;fXzPTjfrD$Bln2A9$$S{b=$*vOWF3=k|cJXj@(>-d9D#W(Ck zRz8}a#0i`PZV6pQp7KS4Fd?fFUzVQ#R>>x&i&frH6v#__-|`8{yYBDNZE-Xjlx!@M z0XD;Z!`uKgyUWl`j@KX^%0&c7{k0YyFy}XC^G;>lc`laf5s)L6vC^kRXeCMF>`y!8 zP6`QEQwRHHz~2Z10#mkVQ?c*X^2~197Ln{Vl5LU^W&l%>tN#}PDq0+nkWj&@!Pa1K2 zv%Gn|dHnbO&(}T^QI=~;TQty4d(YtC>uB5SCj6=uWvjfDj`0co*KsPnQk1>erAR!e z@tb=QQ=#Vl&cOU$_yk`Jt+_)qdq0%{38mO4eYd_Ol7R}V_fiLJ(@nC z(=2Kv;#rvnLapF+^Icyd$V1l(yp>rS@oFpoy{BzvYXo({T%>$SgUvrCm#`QW?bGY)!HE0%(GXhE4i60-z?-xoJ*ElCziW+BznZJN~3 z_*vSqG)6{t*+`j#V%1pZG1%MELosi_qL^B(5kf?-I)TuP zEUkd9$`Nu*P|mXyS;KfIv_3CurEAOtIfEps0~k_twS_tEYP&v#MS4bwv54K_e$!HZKj}6EgpEBfxV8{=ZumbQ7qMuus(iku>x#AJS z)#$Mm1Eh_(tBfGO^BV!tzLKq6holj}S`8z~L{y`KLl0NeqPAykZ7e$ZN#6f=Z^3W!6|U6Xj9C)l^_5 z+XHfxkQpWlT{L7Qq-$iA{UV_yCRKQ2tO><59Pp}8k*<=)z_?o_hqkW^dPHlcqHnu9 zRu);M>)(oO?@)>D75rNb_+OOg!+Q;cHTc$1SC5(5BRV)II@_m?x{4Bg|M9?$FkstF z;qjnE^Lu#<;UwKEnDZL0ll>)#=twLd)s<>w#>R|F?#BeaK*-fPYGQGY(Gv&P>Bq$) zG7=?E#~9bK;`D}7YRMMw8BJnJ&GL1={s>c|Lu()7V}rQ4Ert%TlC8s@x= z9c^VKSDK3eGmu`Kx7$b}5hCI=rOchkT0TAB!5ZE;3o66J zlZ7f#SJ4{mz-RPYZsr|FTXIy{MqCP~;ha<8OTO1BU_t{)=G5gS?wl>m+-vXxU~m0G z&xlE07-Oj66$8Hb-Lc)kn!kB#MBys(rK%wrl%Mo|ti>Beul2pb+coaJ4!^wzRRp;h z$=3Y#-P~vHyAt%k>6(p7*+)=3Lk6LqvF4nsXi7sbnsh;K03&GDK=?NAoohj zRNm~?bvf{e5}(%3{_~-hT-?e5m)s}s-)|T%_*Q<({+?;=*dD!iUHKv#N<1xYdL4er z^gQ&iBn7Q_235_=blYofP-CF2@cbRj50E~5a>9k8NgEEiU1GvzYodqFo)8P*>TzDz zL!MQMhnQ9lGsI0n%}dwuOZ%WvX!FU6m5D9&XrDj8n1HDs`>q)(C!KjU;%x-0R{FcV zXUSQym3TkE?8H?!8YvVAs*O_$51oJ5&yRC4=0ZAfP6;2GS*gW=`3y7eLVo)D_v3FP zp^aBxZ6E)An2gAHK*=1L2wQ_O3|UL{mm43hrq?#z*@)b`kYF z^@SB}IyBwdPIzI%8x+#f-!3>)DEH(g9hkW`EZEWUExnt;QF!#T7NxzweMNqlX?)p; zRrE(-5k@0(ZYw-~tbDdXAg3?B95d6;m z%Wn-$%5ck(4G2YmFQZqZ#(#!*XyJJWathf~i^k(X79E@gvBg4s2+#R3P6UlFD^X?v zK-7PY>lM(Fb6{nY=Di63i+Fl)0Cq{mCGh@PAyj_kFKWn4-B6<<(#0?30iN{AaKVA! zI$u|Yfy(!MZjks@Oa;cRdi%Yk@Q{05Q$8)cAI1zZ^r+BLqg@t&$>zb&VfoMm?W05d z^24LOK6*)uid1^^bt(nkfxl8GvWzq{0AgU`8$z1|$2{;Fou9ynYi>v?Dh+gCX~J47 z=Xvkzxlr4Q<7zzfHsgeRrVA=x+d{+0ODl*y;<>xqH${!M=Rfdh3DNZ3g2E%r*4vP% zE!r@Hg~&^wc65Fpmj>Sd5YnR$0(tyZj9w#%@A{nI+z%Rr$>9%gjww;-Wrf1>0iy5LN?uY|B8}H6>HrTc(Q+&cRICKvKO~f)!KggpDM#x77P`p&yu{A{HM4BQ@esxO z(g|)Y@v9&5=OKlejm<4qDbdm;+s|{7A`e{lI|Y-MiL@QMvRB#bhV(iO8#&d7Pk^9G z0!8I04Rs7+I5H(lqAt972}utm`A@28mWYpKYi$FM0bqTgJ*=LrA^F;J;&MfVIGFf~ zbU<>=Kr&>S=&!6IZdL#yO}7V<#5xQWmoKYk+Yu+Boy_kFZv9-?55C1gaJn!KhvFmcJ^Wt=Y+BWO4N zK`x?lc+&i! zPohBy!ZW}QZO@lZhz{o-w;^B-e&0u$u;6*GtNHr0;8YN;LJ6$#FRxKq0fEK5Uvh2O zg62ev-w^8xiNR|?*We@2=n5H8HQC6I5aOodh)2uaGy|=fJ{maUG2}_(w-(~osM=0* z6nPZE=H)2zb`c^sZ-=bjij4I-n2aQb4rj3(7bBpZKLNDBgC4D`eyEqk2W|)Y;FwG&z++R*8lj9#6o>;gNB!Dyu`ZTQo7`RE5zl9yu-G^RQZwLDO5@O zrwJJy4eUdJxh(U`&B1t=w)9FFS&3D{Hag=G9{kyt3cCie`(B4bv?VTg(SisLwxCqEUNGjgkbEv1Q{Uq9NHrl;BtGTiFeFMSYC+p|NOWz$A>V24A$k7%44<($VAX-& z8Ddu#kuUfA<%M5l_A)vUzXaPx1MrDkC%>by!vi}!@GkSf0O9-2ciEhGOm~L|c6gx9 z18ri*d7#cAI~qGY@NV?Lj`P5~G3qRhs;vBLxJJ`e0T54`(g vzGKEaJTSroZSIcqzzCP@XzuX9yUznV&I9khnD3bJ4iAj*z?4@H5lQ+V7t11{ literal 32220 zcmeHv4SZZxweQ;JoS8Y3Op{ZXmb8R)w+&^0(vHvz0c*Px`br;G8MxrDAmX&tQa-#! zM2yJCPTFG83R5XqzE6=Va^V`E2&f3BA0SA51VjPh!W5A2hpBwrib(H&?Q`ZUne_I( z``-J#_am##-fOS5_S$Q${dx8|$u6Q@7vFZx#mAF~eV61C@yTNq@s|^i? zGE@(?eus&+_Ns}%WBTv~iYUGG`6O2%YOyqFqH~(zu3`dU47*NuPvM@J`qGb>lp*q= z(vRnld+{hHFcj%`9kw2$KYuJWgcPJ`6Do=B%}m(Vp@0A^+HV`OT=QFOjujvYVd`cK zz|${Xk<}$iYDEW;+IO$36eu079%l@*DMfU&+7_7&iiAp7)OjL8Sys8eg@vv7s@uC6 zVF^W7LM82MtqF*x*$d8OG6}c9PC7K_*QRWe)HWWs{yEzOs?8mY7_L~(nD|RNB9L_> zTiL6|IwBx5Dt&g!&eNrNp7=3?OIt!3(krtb%+x1q45IisY%h5+dhS9N z$j*&R#Rz!hZlgY!HDf8%zr$OEJG8ng31?|gc3}nqMMhfs7bVt*Fb5+L2<>{ek`JRD3dTf z1mnTc9XVfnn(TZy1E7P4x+44Pce#dvxbJ#q{b5gLEftnR@F_u7IPEwb5vXllyb>m@ zbs#L!8YzTwgrVLr-rD{f6c`%P2wXc70HQl<`R7cpf??eYQu_2DXW{@8*HM!ZYbFVc z^K%AFiDfzepCq~C=a1V^ zFdQ8XbrsBVI7~2x6jZt#zxCdRWsCE(ji8_c4|S)##YWFDsOcG42|CRJJvs02HCaQ) zswDatOT#h)7R5FmkFFB>WVEW!d2MpU$Xb*qI`om=sEk1*Pa;gk?;W}nBZvl$wW42W7gngYosKolz1CJBvdybl^cdk7weo3qzE zfvx>X(k@8{77O&8WM!@10v2p;LR*^V(ZPsH#@)fRxeu2tHgRGS2AL)dqM}gyY;c%^;s~HFr;<_d}Q5yeQ6cXzI5nrl682S~kXLEWr#jyLeC85s*+c308_Et;oip zN*Fd0cS@%dCu_zgpQuq^0!m)8dY7zJQ4uX|wWGT=h&}mz3gc4$1G&Pz@D-cW68DK^>JpTk{LfSOux)0sJ zO0ZADCpYyqV1Nzny3cZQ-kY=lPYCrsTXwV((K6Xv< z>+@dqtXrG)q&}a{_*&7kLGLl^Wp~p_tOETBl`!Ulv)>24ehe25-vtKNNAd(Y&g=#s zD!punH>+`ck6(TiIdZ7L0A<$nsaUum0S$-)fhx^x_9XtFC$*bcY%|V zj*ErbZzmyzn$bMSe$dz#&whdpjjz3hEqPMY_GSCs>p=}ARwC2#k1r`$smXIcp)9OX z&rg$RV=d}X*Q-yPbB{vjr)mE+uEX^ZH|QqyxwpEZviE!1O(*u>X;hlM$IowH2ENpZ z2J2C%X+P{7A5FV&XiKfU_;c@bOn7mV>3Q^rJaA0bSauz<_$?bAWR?49a+mD-Hmel& z$`*2_Eo((AVQsU1{8Z|~8u;mU6n8$VtwyW;sV}oi9|Rd+wvc0K;54|WZr=?u}YK3Gn-(JQ;I!&pq{Z+Jz@V>`pR6fIv5niNg{)aq~} zYJ7YFVd?wYC0~YZh3y(?COm%@@4vnm9?ZE}l7f{#Ujd%7=btekVWs{X1Q8?$Q`p7% zGDYcbuyGdC&EIwf#^UUyANFD__9S$l#<9VbEtI3)6RAk?Svc*{3Y3;0L1)N zrpFPoKi>mKtoRi=hxKyx-cV0RyaAk{FqYyXPfC%zl_vajK24!?CfJCLCd)@DvYuJ% z@vbAW#@Ye9qfqPVo4$A^#^AE_Ge*r<$RX9)>tMt%2zMp9<}j$6-AhPMq4?>aUkjtX z7(r#b$4(iL_Lfa%T%fe!sCj74`raTMpGqXDlN^*Tv~+9s!LMhJ2y*X<=P*n5m#*|T5EKoB<3+dh%sDqeLkkFyVG2iw?hGw8b<9SoJ$3wN)U$XGU( z)RYYV;q@G4l5K};LWl$ShE<$Z9)9t|h=74}^NPeY>#P&N`^k3#uI@FX=W<0>DY_=z zX~c|DV8wRyCEz_xlV5!EQD8FMA>+=c*vcsA4!;f_e?OFj!fM4hW=KDs7<*1t%Lvlg}wpE;ZNzjET!-WJgCnIwv zmKx)d?7&E3*pJm~MbR!^(ywjp?spefDN8=!^c95eP_l`n<-Th~$!)w0NbBThu{P_+ zo@QcK;FF~X=~mz(7}M+{IQZX;mKD0^0j%T;nl9ju6a%wXKD03*tt4Lkl}ut>H>MNEwfxVCx- zB{{~HfuK@Vl66x&9NlwvnRO1 zD)5rkuEv-Zvmgi2dD%$LCA(uB>gUJo(0CZ^eIKcHW3LakcyG;bkd+{b4X6tR@kj<~ z&l?))(SNm2iLP6bZAU2t){L`uAo6r2x+g)WVT@YEbh{n$Q$mFZr`jjVsq;X{6Y|3+QY8SxuDZs!FUuimKc7C@@u`B~+J? zJl^kA1Fj|O5Pko3~^GuA4>#h;(ttnL(&~??&GIAT$ zV%%QpgKElf9QFc~U!?m3gGPx}Q>x|=ow8@4rFhJ*syMR7p`%h()v85<+9I!k|NG%D zr1wneoAQ5s^rMB!ULN(X9I07FOGaW1rMF|{-!PO|r|eU)jpFO7Ib$BGF6{=lnpCah zdL-d-SM`m=s-hzh*2G&vLM13w0wbY^G#oz?tCntk@Z(xSSc?5P#beKj`BAftTXa1+ zoXpP;n2{&N8DJg)y@wzI>Fk;4MOLRCCYc*Bq%Hc&U>L8K5O#{ph-j0mxT#_g*O)B6yr)HxHaHHc)v=uXhm!XP zvIjU5`pBvv^o|LqMAU3f6D~OU%C;WF+hVt{BJu_`8)S`tLGkWh2Xc5$1h}HxxZ(6n zR9vwFLWlU|N5lGF;-Gm<5#fy&qmq*nXzSsHfBLeKywH~sv)tp|okTCiWnUT$ z7-+-9pm*!^71>ZQz(K6Rj}#*~Q}f)mz|SJ?p7cR@w`Tx%c~;9wiejduh|bfehMeS! zfyPb0xIGBVL(;UAOtE#0zH%`&(ToFMKTIn_+8Q9^o{ z3N^clBZvR|WC@As=x?^U1f|*I;;Dlb;OC0_LMJcp)|$m6Db6&aV$lpn84#WccZC~= zdPOQjD<`-r3M(-MDs_+{X$M@=3Q1WdZbhvrOpai`3;GH`ySZo?8Y0br=i5$X35_H> z8y9`emjqBl)>_S$;gn6*EMNvFOESr5i0OULV_QTJ9xoy~DAj;_0m?m^?I4-AgBY#8 zm6`3KgfWIftqN{TOQU^aJSp2X5QbJ4XkM5z|{rfM6nyu4gemLDFgfzKY5CYs3)xfJf zT&V2jIe4MHUnM3*!wHc}drMy|$1}uMWtDMVZvI?4MX9K|couVr`FpeW= zCSrKApi*Szu>$ph1c-fbn}SHx+2*Y{!e<(n%vU8Vt?8E)jFrN&5Haot9+#y_1C=Ze zNLyl=LY{yY#|lTB?m%I7N))5rnE6F}$sNT=C8V^a@Hzqi$xYB^G>~cWlsXE64z(Tm zOdbdcjb+2LeUP-)c!Ow&UE1U(k@R4mNb1440m^uH33V7y3{7|kU=V}1iOyxJgE|^f z@^M6B$vzi}9HfJ!8AQf&X$Rvq5&i>=JfL<=*?K314O_H>e}Y&4Jv zAr(Yw>@C52dC=Bd%T&lRX{?05dRT}d2x9Rv;9vi@OP8Y65+AvZ(8He8W>+%;!gqM@ znLw5zhGe9IPC=_svpm`;aCJDX3FC5##-$4w7Hx@M!Qy?iCR;&eWaZ?o_R9r`5c?1C zJ}-GJ#TxicFWg&jn9pQ@Jo2|^BI{^8%M=r<1~DM9b^Av^CE(tYN;=?qE{`$YjJ9RC zUgEVZRKi;qDm|8D(w52m5S)Z$tNrLXyZ%-R*GiXc}zZPX?> z_o^(dz2P$7GF-`;~B zUtBaH30F(|$M=1PC3yYB?INxcV?NT$29RJ;QIy$_-peSIj_xVIN(6%9EBQ_D>97(9 zgneKo^(dp#{^4a(R#j#=WrfXVy==cC4zCB;FBo6)b_BRj#kJ9&egy^pmOdVwA zb?^5fO&@snN;G2Glj)alT#EHK914XH6Xs!irVeeU#M3po>k^@P{ZcPy#`1Pb2*s*JPT+(*O)B`t- zL5F#yNZf(>#tVK3paGVK1rqundvm;VRR!nBJ~OAK!Jflq6RDTSQhM&dQhMjsgk%fLHghKxnfKNRR+Wz5zqp&PrkEdUPvdX#iF-Tw!KU5t!|L+gnGP z)U5ZFzy`bSAP(~Ze+$qS$`b}r`(Ng89Z#%%Q96`9^DKTPo1@7m;w@kNiz}E1NOt6r z;@dyXg?ErlJM|q5D7eT&ovAH&#jUA9CtL8W152=X_l(Ij#oNAcc7_gy%5#28K3To! zaa03zq&T*%zDFI2n_yOvX8n!n4bHd)*wbb)j&TVu^i$|V>%yb)$=%ntOPA92XU(I| zhSyGlNM5lZjkT(7*A2y(6*UG0|2dFhbA9wmjJ zI-s#O@P94A40qK!QrtXFIT|_>p{BHXvsh1*W{(Fs&96~Qt;SS6L2GJIBHIzBbcs?g zx3+mqhAkqf$FI<)hA(#=Dljb!aTMrKNOIO1;=4^_%teIu06M+i0Fu@=t*WGD6<$Jx ztN5{G>R}xv%1F5;jg{9rC7~<5SzlIz?9l#xPqH4l9<8Q7>Ifus znb;LK7gy!-$cX#`ujjh~tnoCBtZsbaq-pH##~Tk{&v$XW7(t~VhG1GS537t?&W>Y@js)5;da0drOx@i;LMx2k1SD`@k?Xn3FT}jSY1KOTk30mKuIf9Vw77!9x%QbEhpj)e&+7O;bWsO_-8=^%atKbQboxuu1g(cyqq9ahGnQZ{B!-H8$MP$Z;GZmcwR zW1-TRkd}_q!r*z0(YGR$lqOSQAxdG8!pBdvb20Z#WTgmYDvq;_u+q{FHJ@K>Djj%h zG@;OE*73;4#_XTB#@GGYDl_P8n>dw1 zUEjY8-4p-8Mz~&587i($McQ%~p__8ta6jMYRYP^eTsNTJB^rYQ_r?$cHUe0dqP7gb z0c*?ob*-#e9D_3n=o_;ywR(C4g&rB;u%scs5wEYid+SAWu6OLE0k!7N4yw>G8rck#gvCQUY3bG zLYX7FTaYELLj2h|yF>`jh$w-BOD(>z$8FL}#`ld@fWlC~$<2TOyH~GcPH$xrkSCR@&eqXXU?q%F(#v8Uu-;CIw}y5 zu5``15Jne#Nx2{lND@=GK4|enUFL*7*Yz9+KaUT1Avg|yg-t3d+EHH4+WheNU$(i- z8G;O;dqA>uS@jTsusBn_YP#*dPov!UhtrPNB%};^HR;|ToIE&)Ae#GMn)6n%P{ZZ| zce7E3GwNrYhK_UxwvEa74aur=){sg$?z!BZQ#9TarF4?akgt-7oGr*kjdAJr;0!8- z^)Mv1R7o)!aAGMt;Ik)CNwy@%ufG@|tdo4-*h;N=SS@_l)WGHvGMi2y-0S% zj6_4En+wuVOyY`9K)FlD9npJCz!bb$)8*lcvaOjKLXnSS4Tl9`367iZK_VuUl%R03 zry0N93OE}Ec$g1q2Tl{zsu|}Ce!%cx227^0i@#_t_RHhaC>U#tuq%wr|CvOEYGs&d zlhvIW)qx6y|1YFb%%y3{GwGE7xLH}Ok{Rkuq|$UpBo->phb22+Rr!Agl`LEtB$cW| zX_#af4=P#{Dss_WttO0&%V8|jOyq6UWF(}kWK|VjgI3L-GTqS35Y!nVv(`a^$(?%AfQRZrBt>ez~)Do8F=?PMjM zp_dBfMav0Fq!IFP?P6xRPFb>Q^K@tJ_944mSFW&Bx&CeuueABCXR+$#w5%+wFT*T6 zJdZTqM2c2J4xN%ghPTaIgHp92`N;#}=1aEI7f;|13g{sMD2BUc=>f#>ce{~#(dafu zi8g!*F~kMdpq)ckHIr|YA@N8etv879=b;Whw!)Ep{CY3~Swbo~M+D^d5+zj0&l^Jl zT`h5srAl-iA-NKPb1`n!Ky`U!yy3cwxKx5j+ z+BcVqVChg>5K1{=#yTY97!kMF@1_j}bG>b}3jAg*Z zS)V*UNNY(wd9px_Zmx|)l}f}~UId~?A3lz(o5pr0eX-Su4NWYEjSmtJ`@AuVPdso1 zrF0}zrP5Gv9m#Q>h3*k^&#LNiwxtF%N^(`7LOS)Ou_%-xG*l%z+oP_%wo0gc<21Z~ ziUd$)2=r=b@!}ky8At47W`>j6K@?JGEM2k)qh8rh;A#?GsUmuvEU{w>xZ=Ri7nrFz z8KP3-V-Z;C?Rb9METic$UFn`sK1wlDIm*q6uXHIUiS_ti>{iD3YCOz2m6Grtj!vZp zH{O9eVTBc5tiDw-uO^f+jDOWlSRpswdO*CZ z^o5H3v>&rWBq#s47vnMrMXIdC!Z6$rZ|+DU*H!T(llfp@(|vqtTrk*N$%ti;5DOea{hnk zUtE*nv>pG>QxiE1RS>NVjyUA}JIoH5bb;c1MacmJG!7kP zKPzED^3!Wkt+e|Bi@;h%_WS?(W#8p4W7DK+X~gQ5DG8XI?IwI-Ne_fuyd@}WzxT(r z1=^~WktikFAeP?rn2&G6R)K5L{jIAfP)d_32_beRbibDet_6-B$GTzvWx4QCs_7xq zKVNmNx3(YoNT-sNjjI^l|E2BM(22(2NK2>LE8hX@nBQN}f_+>?Qk>}! z&u8%Vc@TJFLqAjQzx*dRDn_ayRW6_dyhnex6@$Z?xrhp-DG~BTv8wzdhradqbC|JV z8BS*=c1BE1x+4_lSffv(0;9_h8mr5e@lc;w?HgEFFOlF6Z9KW1@8d&|CB)>S?cQ*h zL@i=*3u*+)1#A1O;x<;Pne?O1LYZTb)>14n(IO*Vte1FQQS=6?L!Alo;6`X|iJauR|rA$vDoaQq-YA2??q`BDC%isL1b z$WxPXl4{2;C_cm|#X(6V%C*VaN}n{I&a45OGO1sF3;TFIkgN^2<{w_4KHBgf8>k+> zOfusVLOhm}>bTc7%=cnUZ^VhhVkCsJ<0y_n!Rfx9A7sR&Mb)8XSTw3eV(^>eKh^DNUg_jm_xxl8_<94q~)m`jxR^&`y@wYp`Ad6Tdsz7*o$)cd~+g zlO?OjQQI1Q5NT zI%0EQM8Izg*?GaXWh4^$SnMHWAsBB<1*qgPn(x(dimk-26*p`+5xkg>q#E?i)Q$xo z&_5khO_J9f+M9!9Q^DUW7-m$doJm*e0CLfwdPTLGyreset_pin, io_utils_dir_output, io_utils_pull_up); + io_utils_setup_gpio(dev->reset_pin, io_utils_dir_output, io_utils_pull_off); io_utils_setup_gpio(dev->irq_pin, io_utils_dir_input, io_utils_pull_up); // set to known state @@ -106,7 +106,7 @@ int at86rf215_init(at86rf215_st* dev, if (io_utils_setup_interrupt(dev->irq_pin, at86rf215_interrupt_handler, dev) < 0) { ZF_LOGE("interrupt registration for irq_pin (%d) failed", dev->irq_pin); - io_utils_setup_gpio(dev->reset_pin, io_utils_dir_input, io_utils_pull_up); + //io_utils_setup_gpio(dev->reset_pin, io_utils_dir_input, io_utils_pull_up); io_utils_setup_gpio(dev->irq_pin, io_utils_dir_input, io_utils_pull_up); io_utils_spi_remove_chip(dev->io_spi, dev->io_spi_handle); return -1; @@ -135,7 +135,7 @@ int at86rf215_close(at86rf215_st* dev) dev->initialized = 0; - io_utils_setup_gpio(dev->reset_pin, io_utils_dir_input, io_utils_pull_up); + //io_utils_setup_gpio(dev->reset_pin, io_utils_dir_input, io_utils_pull_up); io_utils_setup_gpio(dev->irq_pin, io_utils_dir_input, io_utils_pull_up); // Release the SPI device @@ -408,8 +408,8 @@ void at86rf215_setup_iq_radio_transmit (at86rf215_st* dev, at86rf215_rf_channel_ void at86rf215_setup_iq_radio_receive (at86rf215_st* dev, at86rf215_rf_channel_en radio, uint32_t freq_hz) { /* - It is assumed, that - 1. the radio has been reset before and is in State TRXOFF. + It is assumed, that + 1. the radio has been reset before and is in State TRXOFF. 2. All interrupts in register RFn_IRQS should be enabled (RFn_IRQM=0x3f). */ @@ -459,7 +459,7 @@ void at86rf215_setup_iq_radio_receive (at86rf215_st* dev, at86rf215_rf_channel_e }; at86rf215_radio_set_rx_bandwidth_sampling(dev, radio, &rx_bw_samp_cfg); - at86rf215_radio_agc_ctrl_st agc_ctrl = + at86rf215_radio_agc_ctrl_st agc_ctrl = { // commands .agc_measure_source_not_filtered = 0, // AGC Input (0 - filterred, 1 - unfiltered, faster operation) @@ -481,7 +481,7 @@ void at86rf215_setup_iq_radio_receive (at86rf215_st* dev, at86rf215_rf_channel_e // 5. Configure the channel parameters, see section "Channel Configuration" on page 62 and transmit power at86rf215_setup_channel (dev, radio, freq_hz); - // 6. Switch to State TXPREP; interrupt IRQS.TRXRDY is issued. + // 6. Switch to State TXPREP; interrupt IRQS.TRXRDY is issued. // TXD and TXCLK are activated as shown in Figure 4-12 on page 26. // What? Why TX? @@ -491,7 +491,7 @@ void at86rf215_setup_iq_radio_receive (at86rf215_st* dev, at86rf215_rf_channel_e // 8. Enable the radio receiver by writing command RX to the register RFn_CMD. at86rf215_radio_set_state(dev, radio, at86rf215_radio_state_cmd_rx); - // 9. To prevent the AGC from switching its gain during reception, it is recommended to set AGCC.FRZC=1 + // 9. To prevent the AGC from switching its gain during reception, it is recommended to set AGCC.FRZC=1 // after reception of the preamble, the AGC has to be released after finishing reception by setting AGCC.FRZC=0. // at86rf215_radio_setup_agc(dev, radio, &agc_ctrl); } diff --git a/software/libcariboulite/src/at86rf215/build/CMakeFiles/at86rf215.dir/C.includecache b/software/libcariboulite/src/at86rf215/build/CMakeFiles/at86rf215.dir/C.includecache index ca81eca..0be4d06 100644 --- a/software/libcariboulite/src/at86rf215/build/CMakeFiles/at86rf215.dir/C.includecache +++ b/software/libcariboulite/src/at86rf215/build/CMakeFiles/at86rf215.dir/C.includecache @@ -86,32 +86,6 @@ at86rf215_regs.h at86rf215_common.h /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.c -stdint.h -- -math.h -- -string.h -- -stdbool.h -- -stdio.h -- -zf_log/zf_log.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/zf_log/zf_log.h -io_utils/io_utils.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils.h -io_utils/io_utils_spi.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils_spi.h -at86rf215_radio.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h -at86rf215_baseband.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.h -at86rf215_regs.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h - -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.h - /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h stdio.h - @@ -132,36 +106,6 @@ io_utils/io_utils_spi.h at86rf215_regs.h /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_events.c -stdio.h -- -zf_log/zf_log.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/zf_log/zf_log.h -at86rf215_common.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h - -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.c -stdint.h -- -math.h -- -string.h -- -stdbool.h -- -stdio.h -- -zf_log/zf_log.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/zf_log/zf_log.h -io_utils/io_utils.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils.h -io_utils/io_utils_spi.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils_spi.h -at86rf215_radio.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h -at86rf215_regs.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h - /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h stdio.h - diff --git a/software/libcariboulite/src/at86rf215/build/CMakeFiles/test_at86rf215.dir/C.includecache b/software/libcariboulite/src/at86rf215/build/CMakeFiles/test_at86rf215.dir/C.includecache index ca81eca..4bd298b 100644 --- a/software/libcariboulite/src/at86rf215/build/CMakeFiles/test_at86rf215.dir/C.includecache +++ b/software/libcariboulite/src/at86rf215/build/CMakeFiles/test_at86rf215.dir/C.includecache @@ -56,9 +56,13 @@ stdio.h pigpio.h - -../../zf_log/zf_log.h +/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h +at86rf215_common.h +/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.c +/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h +stdio.h +- stdint.h - math.h @@ -69,106 +73,32 @@ stdbool.h - stdio.h - -zf_log/zf_log.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/zf_log/zf_log.h +io_utils/io_utils.h +/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils.h +io_utils/io_utils_spi.h +/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils_spi.h +at86rf215_regs.h +/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h + +/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h +stdio.h +- +stdint.h +- +at86rf215_common.h +/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h + +/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h + +/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/test_at86rf215.c +stdio.h +- at86rf215.h /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h -io_utils/io_utils.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils.h -io_utils/io_utils_spi.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils_spi.h at86rf215_radio.h /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h -at86rf215_regs.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h - -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h -at86rf215_common.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h - -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.c -stdint.h -- -math.h -- -string.h -- -stdbool.h -- -stdio.h -- -zf_log/zf_log.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/zf_log/zf_log.h io_utils/io_utils.h /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils.h io_utils/io_utils_spi.h /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils_spi.h -at86rf215_radio.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h -at86rf215_baseband.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.h -at86rf215_regs.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h - -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.h - -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h -stdio.h -- -stdint.h -- -math.h -- -string.h -- -stdbool.h -- -stdio.h -- -io_utils/io_utils.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils.h -io_utils/io_utils_spi.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils_spi.h -at86rf215_regs.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h - -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_events.c -stdio.h -- -zf_log/zf_log.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/zf_log/zf_log.h -at86rf215_common.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h - -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.c -stdint.h -- -math.h -- -string.h -- -stdbool.h -- -stdio.h -- -zf_log/zf_log.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/zf_log/zf_log.h -io_utils/io_utils.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils.h -io_utils/io_utils_spi.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils_spi.h -at86rf215_radio.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h -at86rf215_regs.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h - -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h -stdio.h -- -stdint.h -- -at86rf215_common.h -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h - -/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h diff --git a/software/libcariboulite/src/at86rf215/build/test_at86rf215 b/software/libcariboulite/src/at86rf215/build/test_at86rf215 index 2b5a243042bed97764ced234aff48e90db9ad5d0..e70b0bd7f8e81e98850a610a12ab98f7640578ac 100755 GIT binary patch delta 40131 zcmce3i)>?b* zA7}qM`|PuC^_q^=YdRK3tIexp;@TgmGmQgvLAcf9?r75lYN}iP+AmRCPhMU-`Eq07 z7mxk^YTIq!y^!{(Sy#1Lt%y>8sv3K7?~sgb?Tlk-Y3m#BzP<2Juu<0VLVM#_2y~e; zjs-`QHHiOR!^b-p>Z6y541RD2<5&~n4WsOEPQkXOoejZ;RntA`vi>bC$3Dar%?~oN zx+^0I8kM}hF)Dt2V^H#{dNbbo&M>Y$+_Pn{8qm^m)YxfV68m1{Xo9qjFKZazY2_g! zqU`Vp>vU{?wZcm1(OnI&M)w#R*$s`k7!j#xU2HAsF<5o7HudPMZnM7baf|xF8rE~F z`o>z)v-`x&v)4Dq@11tYNPea!*ztQbZ~V-k5V!D2NS zoCtPg3#^8oW7?%8tZ$6ozOwOeR=>F3?ZP70H;Uft*7b351Di-s6PXK+U)g9RuWX!& zrJ&@p!*vns8|#eqjWtgj$Nots_oBDm+7Q=yVkMGFBp)F8M6yr%#9oM`kF+^yIYfEa zs+|p8!=#Ms5B~>U(ZT=WH&(m&-ubU#K_q*Tq+>sYbc7l!FQjDvv4oJ8xuhwUSYJS2 zViHT+2X{2mrluR|LzPkZmmnh-7mcgrW|c#;aocgpX#>AEGBOT@QIeEg``ENKjfRnV zxUFGy>?RpEk}Yr2yIXI^$F&VM)-=YZJ!btFAESP>+9mW>4_E^e2CF-)TNC2cm)7Eh z-j~dfWT(|1-fbr%c7Z?o?L;38KJk~TeEl({JVW$s z-YeFO#Lff%W=rbT`bG);0=N!;2jWp!-i&{PZCP8Z6FcWWjfO}{L}J@oY4ZxPoZ;Cyfv{${9&&6%RxxvXKKjnlyiVA0L>@j5n+5+yrH4_ZU|bk6^i ztsW~9J4tuhyf86g^RjH-IckfOq+4uWGdzjrM(`2*JE1`&sakYN!VDW%fSn|z`*h;la2dF{ zxB^@$ZYyptt_gPv7n~Pl#N$$N>9}m%3S0$lH||KDwe*tr)RWfOzIS%1>8p$bxMtf` zLkq39`#v98UThre5`VDq-&9vAw@B-*q`1iEes7_NENMJJ5>>>KL(f=ilKRqHZA*$% zh1S8OuIf?ibW&XYU(k!C#PZO42!9X!Irww%_r#x#|9hO4vI$pN2U)Cs*%ZrF|JNl)G3_o~kZno5OO`vy%{C#{6R z(AtXM+jl=E5mEw|c2T1lgX!N2WV-&6e ze}VN_^7|^=nmA;-%Cy$-n`Si*xkdG}#$Gm6U2LtqY@}*yea!C}D`e=%vEQ%s#dBAy zc!C%E<2gq>1Hcn-S-2I%m5r;zZMK#S^{9ue5h)L;8>}}{x~u8d$0;Ktum7#(SQ~O} zWRx|uWn`RTbs3hghFEKcja1>*zF~b;v~_k^e|4s+&*dGII&KXa-aCB2qd~?jTrH6l zShI(JstT-usZ&)~Yhmhr>YUY>I-a4e--r*@JJ#_L;>f$Qg&8^bMGGb_Lj4KqbS?#YN!G z;p%ZWMHojP7;YSu!B25hqp)sL8b(@KL-uf{LHK0~wBCAS{P?lkuo^}4O{V#Jo9Pn2 z)p)FhO4`-3`Xr;ckvUI;#FS%OHnNyxSwkiaPI&Q@lulWLbONH0j1?zm67Qd^B@@OE zEF!K5;)?jciOU^gmvwT&_#Sgxg-Ca$Zl*P3VrR9{x@+P?J;tMV*e;g<9nvz%Iz6#( z_;gYjM%=+C)*X4%>UY&()nwgt)p(U^t-ETBYPJquH8gV4U?x#aIh;ujb&gyz=*HmvWmp)#VTi5ezSX_AV%@~zpB}{)p zeQv!vJx*=1KAt{Cd8~FBg9pC;xN)rBwtfZ+Qt0z->$|aDgtZsI+0cCJu8jWbO>1?= zbakKAkTJO9d_sRi=mEwvjV*~*&ly+6WWo9YmXZ9dclH-Sdy}qjg0*E>o=6i5gN%>x*CG1{^b~ZP6?yG->I&Yq$`&qS zJu@!6=OCXf57|@JwwZ(JGmp>gJAS!cXQSXp5hkb5IQAj)PPr8&q|r(DInpeJ_YG;y zgrA2yi+^)rkaf#VV@KToW6QAtOb|YR8cYom@n_(dX@X3`rr{68ABF#F{JD`OjWVH_ zWbMCc_>d8B!jQJ76feggfxjL8q4=ZlhvHAhAB|s1dZ0D>=C0v=;S7LNM!FNN**ACX z*Aq@WoI*I=@wYc_Ih>eQc<8H^mTqCjx<=`#PE6m{bk5pvbMM>RkykHgm9OurCr@W^ zVUIBc<5F-LxNO`aTnVlMC#|&x+Jp;zoFN(~Wg}&ErRl4-QA>lW`rgtb$lUvQ)m68> z7oxthLgq~6{>_-vnXdh=IlcRR(A;t?ji;07h?P9WY9DlR)55x<6+71UUH zXs@+tPT$DCx6*#n`hL!f11CRC(s*){+qD&cTOL!gq0vw97%_g}_fLE~?NHuD>l^c! z=3i^QeOFw}8SEHY8HalFJQEyW)?mhx0%EzrI(b)jv(s^_D#)%n+{c~$4mOI%{LYp9P9OMv4TDu8xp~xjb-`c;zONTdq8CWW z%V*}YA#bSq#M+Q;7m96WhYcC=5SJsRF37cUWBsrXx%Ksw(INd9o< zllSHiRf*QR{O(=;ONbR0J=0i16u~@wC?2z3vi9V6ZTGAcXwdq`pRD7c)gS|O)Cw=? zI^t77$@PcVCKu9S__7yFVeEomL+d!u=#!Tr&$i{G)r3+|p~*6l?X;uHhFs5zx<;SX-9% zoe?dnOiQE~7o86_vS|_#D8$#fs;PfXeTm@;Z{MYsyvFIZe_?C}2=t*~}Iwm`jSjeh*0@CjHC zzu4F1lv>*!AFiUSPCx#>QX8wb6iqVKf2+Cz&9!<{+jfWOj z-Cy=yh`PnP{^c8);=S>5@9>%fJbn_Hj1Udh!I$$@jCJcPmO4;%@|7RW@D1NkEm^?} zrzNkjj=wgQC-4!k$3^b{H+(wTU+Hz^!6VFvsRw5lY=%~jzQV}f~b zomP3f>Uj!IC23lLDM_DH*sbuEfoqiO)orR*ux1j0)~7D5_eG1)A3(AB=$41o%Br(l9#p-e&_00nNtbq`t=;6)e#-N> z*|yGlcM{{jjU2HF-yvda~;J9rvi7Vt6ZZNn#4N zB;qQtB#0#b`-8uj?e|;PemF?=uUhiq^QxaHOh)mr9Y_?#NHbUp<$LIVaVgfsT?^EM z*0x=PRk3w^SAS9+^UvqiKv9y|W?>|{lP_!Cpl=dP~(y zyI)iTMfWP?VkZYX5@tMDY^OsdLDQjAa4FXOPaa~>`~H)`YGPH)rzAmw7ZPj{V&~p0 zw1Yhc6*Ehr`8bkLbDbJdHEd5`6_bM9wFLY-0w>^0__tc~Kf6&yRPFhUXG>A4K$L>OgGIhtgF8~6e-M|DD~w* zP4d3g8eQ9+iQi4N-MigOa=a@KY1^7M@8h`#L(RAo+WKql-RiTd)3tZ1OM**T)xg4R z+k#lU9>3g5Cr-b(?cW$@C; zc*kT+i|EXfCp<|SKOJz*zSN+gyfO6Crb zOiA7XJ9!U77voZ`v){a~Dy)|dj8ykmH5~XoNIg(>u)b~ZxJ*L6agncRju2YPTP$pZ zmy2u*v*HW;$%xSO%1L z#_eg?j&2k+SagKf_LHhhPyADk0y#>FN?iK`}}&vVufnysvfaoTDq%TYgo%e_x{9Fb4BkEiYdZ~crM7; z!E9S*j4IwR_SDqW#65Ow#@w|u`4cT;BC}X2J@K1a3!c)$Fsh;BpnHk57y2^03}aRq zZqG2Q3zbo~j^!Ngz^pZqs`ITf&)f?)txOroZ9l=wJdcXzT%&>-{g!#19;^*BgE)->6#^~bIek>(#>5alnNuyWg*~O z*1VzaQy1$PrG}dA{;97~YN=|{`+({j9b!U0(<6lJ(D$2axT?}G33*8$6lST841z4z z6NA);>So<8SPfR!=n=u{AvHw55v+J<-=#kZR!^C2cj+5KRL{}9ceNZFc4^t+7Sh^Q zt@$p_#QTM0Et<3Rnzkz6Y_n5e9I7r+yY%Q#HC27DpA02nvfdP` zepP?cueMX&Bk$ZPmLG>O+07J&CzppKVX79fr|uJ1VJRagATW=WXfHv{`YDMZ_hKLyPDr=ID80 zs;fGzpA1voV>Y8L%bY36D-W$05Z5SoyO_{F=xt%@7WI{m30L>1>-3Uv^+@DMOiJO# zVr~|X?Z4{qj_N@*OfT-JdaEqGp`%)+p4ELisWCJDv%~k|;a-388d8{{o(4Dl-f~o) zQ)BKUSvh5g+rbM>E^ByvVAW`EueL6rOrPEom^lTvGhG3dKW?HbI?g4 z>>J1qpcC~1ui)UFAlzDbvVgJ?9FM(&gvo%a9yG=X6dF;;hS3IE0PP?Fpb^kYXcy>i zXg6p*G!7a|_wboSPGklVCz9w?WQX7-WAg`e(xE}{&%jRvuN7Sq_8$^bfGo6USB5Q^ zPRl-lz}vDtvpcK%Of}oHr;GYASY_*N-PCf`Q%~uxR;juAYo-b+Rfoi?+q+D8U)B^e z5C396HW(3W8kgyXv8sQU5s03#MZ%T`vxoHCu{6`JdSDL~*DjOP%)-sl*Z08k0^Qg{ z{T?11#|myw)2I~ZIo?xEQZdr8Hd>6Dx$MjZ*y}fnxQLW=Cy)49t-_X`L zhcFf88(qdG;xF>-??-tAB)<^7IWD93;E&Lc^;chclV5^Za`&V+`27QDo8I6ORob{t z7fPWVy}vnTJTDC-8gJpgZ}X~=OPW6b$7^!j*^@O`MXMf8x}D;zLGh;xhSnsj!KT+x z^l}EBME_liz@Owfco_+bnY^CDLq5WmOJNPgU!=oR)JNe0MUK zG~ILmD3u@VEy_Z|_wD0Ur1NR&OEWUKj3-T-SAsm<(=c8I1@{wv_9Z^ua^aKSFmMk1 z&d>~KBs9enKA90BvKU;A-ZDE(y52QKz37d0H|%vbyWF$zYIS>1uXGAo3S5HKA%DXO zMl`SC-(KeVZJK%~q>H0Ci+nYqSeitdJRe`D-fyo)d7ivYy%^TTk*yXPWh#cN_1ODV z=V52C|DV2wQ49SSRI>3Rv=nnisOLiSpv~~o@c#^r*H7N3p6@8CAK4bqEcaYCSKX|< zcQSNEknxoW^t1P?SG=s_wqCT}_W*ssoCj2vmw(RYCkcOnm({kPFOdwbqB{3MTlFHF zpXuYDaQHoJ{#+kFH^Z8Mw5`~eE89^4E)0uB9JkntV#D6VFM=jeR(j*3Zw8FQ&G zucrtkM%sXj^tJ`+UFFdW^HkrSwRxsdfJ=GAWcLQW(n8ayz)ip%$ zJV@p0U5nJ0>M8x~V#eGYtsaHkpzjqzX+FyEcV6$wSNZCoo>lBT}kx>3kF&$P$X6RMx2Gu2L~v67LJDf~!DCk<#ARMLGJG}Uwdakbu5PkPopsivFW z1{{Vysavjo4IW#Ko%jL1{6@i-aL4*HqQXB3oe4b!&4&I8&4d01Er$L99pxFgT1_%z zn!yWfJMp%io54kTrKPIWF3;E!^@yp)>TS=cM^rD*2(7+SszOIT%kZ;GKlZHpoSD+~ z=rIQ{l>%t!m`KF>4ig{{|5KdY~;Wzr+yI;(73wS2{s!eXn*V!M{Jw_ttNa z%@Z7sU#7t~vtV$7xt@e6_UsaiYKcDix;myF&<87M2ibbl8>;JNl_W>*z$ua-#VW7L z<~~848^nwaULEp|gAJn`8U$^A(ev9I>My?13;wGw@HzxrJxktH3w-=2o4-Z)y4@!A zbIkS6`4TRfsbNh$(Z<=j%UkNB7+KGCM$kk%^yglyr{7YqtAyQ@Vha2gc;0c3q@Kku zrF#w<=Gn2CTIn5W)6nah?9&r@H~dMS(f?2*m1&fE9`>kSESKwb)g((QVg~wSozll{ zD*htRt8Xih@9p6nT%X^nUi7y1BE;GH)otn( zFD&!Io8F@nsniYcQ57!Lr{CjA=my>6eRWU2-`22~a8#7{)nE<}HB`QabcfHYr&s@*d{swfj{&reivo?*P0{gIj)z7?CY z_$jaIuhVaTq~iMA39ADIB9H%}Y&lo~CWWkku50{CWJGs7Zh!CEp=({c(*NIpn#gNd|AQs+9TG+jM(;lAq`s%ZLyu(AE z&(xU68H9~Do;W1!$}k?UpP=V|#*#s^Zv0G5kC_wg?^Gw-RW*h5SLU zZ1*WPq<~-6=Rap*q)x}|RbP6yO%&Q;CJ?5EvUvhr4}A)n<{i#;{5~~HiN|K-(;T_(^R>$F zB*A9etlg`EbpNkajIR0Go>t#)OAf5kSNvNo^-i@H+Po91^e6DV4+u}#yfA&!H$1D0 z(KR+JNq_IKUbI-PdS8b$tKN~jpOUJQaX5x?MJm`t zJ))Tf&BbDiO~MA7ZW~%!+V|3THM35-KyPWLZ8z(K%_^?n5j!lOyL3zFNhd+%d*O8~ zIM}#Lb`fr7se@GH=|0ER?L5#tcHB2x|AF{h^s_&(&@xBQ|B?P7Qg8T?<;|-+$A47c zDm7d0IjO7>g#->w@fAxoS&%y!1TKN!5n2t6gdTwQhBiYpq5gLdJ@mn!l<(!kP7KUJ zC}q4=H~!2+(LCF z|2<6)`%NwPw!UL_P-8V)2lT$*RR8=6`s=m0blfOhb2~6D9d|Ai+5tW;7IzMLEp#m| zEQ~=$vR}Ef^ z%frpW9U)u|v;6mF?TF$$nazZYT19fkCzTgMri4zoaZFzmJh7*b=-8?hAibo zvtAKK&C1+Ji9@BgTG89^u0hIrWIFclNMsD9;z~!HRLt#{PI}ghEMGArbsj%u!A}C}vba+KmGz?}sI2UiLS>OC6Dlh@l~8A@ zF{NLquN`k+;klxtdDK*|=suC=J!++AWu&>FZI6OQ7~gBqb&dBF_=eD1 zbwZ4JJ1=>b#F(Gv@9s{;!4=|mcJsMh{4;SAaEZ8R+$m)BxEkDcTyQ+|66l#YUzj7% zTHJ2jW?TtQ>=i&0aWiqvJqe2|#Vx{R_YCT9q~b}$Mc{&QnYdX!2pCHZ#Kq&na0j}3 ze(7djs?;8x5Nmek?b)zcbCoLByJF1+>NY*Phq)lK`B9lNWE^7SZDU79hJWc@J1S#wa=sJc5=`$12 z*$rL^mKVM$uh6{oy}ixuF=2~+Pxmr_{ET0yJl%hx*CD>^i$yKRYVVNUZ5fAmcWBf2 z1Sxw4HD>#VgP3eSfxr51$kWOic6YeAaTVC?UwD{1cenumH0H^Z(^ej;>3?yfik8ng z%Njn%rr5h5TY|6EJrd331B()=zP5|#Lyf}UgxiSQi<1Y8_Dnt{nt^(Mq8T@^kEDd% z)NzLK$?onKdtYzd4)3IlVDTA;L^Z)MUXx(mbV47q^Thdx|LYZ(HO%3$LsTN*F;WtQ zck?!{1H1$9V&m%%JF&KbC;AWQg?-HK1J9F>P~(<{vy7qtLe;y&9bRz3#6yXdj~xn* zuWmfWqlN_eN$==memYS$7s|~!$IXx%A&(4ekFc@zRq}W;*eGXHUo!qwoPq1u-FE{= zaRX)fM3zoixxIwgFC!+Ii%SW{kTA!8#x7l+DBme1_7&`g{7uL7HCK(5?Tv3K+A)?T zc1cMZ{&xQ(7TLF~>ZTpaWbblD29I|+#f|&*_kGRY%#_2E%sBN=Juu1auin)+C7B~x zSXh%}PErqe4vI{T)aUz|L)CA3$fai2{G|Rgg1&SXHWmN>lly<8>*zSNbsRQ7|9?j8 z4)nhg|8Re9TN`l<$HsS|ja_@VUrWos^{rOc1Riv_?2u%&jiRza9P3Lt?=L~^Hc`{xUuHhyWk~`hp>y@Tq2`Yl?Ilp$7N5L-8_g`Ut?GL5 zo{1xgI9x1O+x%3$c$E32clAw%W}c8nn;tKeQ94t{Txs_2@!!8N)X@=gx7r6M)5piJ zJXB7Tl{fR>>e*MC@`$|nN^@De%r_ZXaM`-u7zV&~`ra|-7&GiI`n56URr!VE7y|xZ zxY(%-gjZ7~aJjfqxcVvoXV@Be*|>^ybZ`dKksw1U4E3k7tnb%o}Sy(UwgqXD6G3Rf(tH6q652kLqDp z11IXct~TRhsvnY7wGY^` zJk!#}6gy0&QOg$V$EMLlf6#ACBglPv&opz4I;F#}A)4uW^fl(Ogj_00DUC@67TLIL zC^q@QTY)RXmFOwc&8h8nzQ!~MSgzMir&qsM@0gB77Ko?Q6HL)}Wzb!uc;3!1?^LWu z_PCaM_n}^Vt!b$jbmVpBc(qbrf1P>bkOlMj;ECbSi87RFYg8o-9NR+}#(Lq>!A;;= zT#7z;9l89sj?6TNcgrQTkt|C}*Bxfvqak?j)I&zmwx%;*={qvbOS)ubQWtSKxIA0| zu1K%TG{^VYNKkTgA;|7J9@JR&i-ykeoX<2nnJQC<-$<$T(Iaj&uj_J8j(6tL5SrYb z8?dago+XEDz2QdlO;zBTG1L6rG;8ZT*W6-`Q|cYPdKM+{h^KLu`ABe=iW?Y}Z)CEG z@gm#`T(M{VY;(97BDm1A=}z-U)vm;r73x>-GN-D6`t)5)ZvXAM^=|V)MRNAsV;(kZ zzw*45ZC+`rMf&8Qm;y%Vga^zx9dVx-t;_B+%V3P1YaW9Pyx;s;MR*W@8KO3MPCsO7 zrLNE`=bJ-&mEWt3wK(~LH7uDq7;`Q;&4Xv?#`D=NM-%6I4$U{8Y2PDz3B52b2bYH{ zz!l+&aiyL$1?D%rOQ9E@gDb$5;3_>!mYN@iwcAK7sl;vdOj~8X+daRWJNQRSOUoSY z=y_(ggjM_*){Xcu@}{33?Ek z0cEDxk_-I?S_0){f|g1spG&nIfbw~BOYml1#zPaKOcz@wK=(s)ptaCK=$Ft^=oiom z=ssu-l=DPd4nRN8$8!SDXV9oELB<|v60`=I4*e9G4P{-Yr4aftv<%Aky)D(ykDzr> z4nS!+1!b+LCF&n^1kfZXr^K|3f_?zag6@FkLbpSUq51FQslf9dbT@Pxv>v(@dJg(7 zG}^=N59lc9+t5sCHFPf211*C716l^%0crnm6$z zRkPm|Isy6ybSAU{nhkv&ng@Lix&rztbS-ovv>N&fbT{;6=n?2kP}yu;4m|^Y5gPS2 zJ9MC_&x{5cCA}&(MhX zf{c046lhLM%S9~{@H_}33;F6w(Y$!HI`@^n zrq29lyRYkljDgAhjXF<{ugwobbn@3`TAQ+1W#q-`_^-`gI`msJQI&fJerrAyl3(*B zv0;U$Ca+`7*4W#McN4r?c)TI=UZTrOapM5IWj>ZS>@E0@z}o?BWAi1Y&G7DmGERE+ zIzUhOc=;EYED0E=V7e323Er7jyg$P`2TvklrNw(mnBdyL1WIDV;63hB@&3-^HwvCV zf%=6;v(4yOcpoBTZ1r9<`Hi=EPDXBrmk3WX!kU&hBU#WS-Oy-u9z6ij!!}#WCl{9t z&s}Es!b@qzn+-2j5BV=9Mj^@vIx*gXKMkI{h_m5Mu=SkO{s|sCLc~NmqQ`(Dl2qJ> z%YY{tcCPF2WWtk_IeMA+XX<@oViqFFV+c+zR#c3vR=n%sWy4!23S1J^4Y;{F;X6#^ z*y>I(4M&s*&s`QgMi>R~By&zGCgLyB^Tb3kq7Q&hS&YVC3QuBm)Kl@7**r&YJUn^l zVRp{1Y$Y0rs2ZL--(%oyZ)NsMc)Q`bi+u#Vz3|-SI00TAJV~Z=jl)y#U>VK- zqGJ;em*~iqh@P9*8QuVRQnTd}y)L+9pFL@_KITQx6g}g6Ze6M!mm|6d&nTPcr0H&W z6X3avBpF^h@0Bo-*-E`FqM5e3W1<_pS@5K;Iu-3={B!h4F_DevA)u(s)fbmzoAomI zVRhKZvw0GQTuHbBJ@zOjidw19M^p^&ebKWoK94j?Z9OMtQWwkgYB5oc=uul$%1#

T@kGcax%PVozP6V$J^@8Et!HS$yRsbx*A?GJTiIVnu;$~ z&l3{|5V`AC1fsN7ygu+I!*i#s9lQ*^0~2bim-L&7hWqBSOT);5w;k#fMi2biI`TLX z=h%@rX}=Xw9=u&p=VC#{D6sW}C-;Jv=k%Vl#Y8b8DMH5tuQQBNn}^q{-V<78n{ZMQ z2XCX^go$aDt*o=wWmLD~CBoZon{}e<1#fpNUT-}QllfAbb+!pdo%g;*eJk}j@S5OB znK^pAV>C{*(u=2+oPj5!zg$uX3GmLf;>q*5@l}w1@dq<>vwpZq~87b3}vToPvzqNG;pEIb(lTJie9OVMM0#Kb5>G8vIeO!P;T*2?Svc$4AD zghwvX8wf95uND&-twe(nWwzoa!<*TPcNx4{xRxQW52~QXipb5L?IBZT8V#?s74J%TYxO=%=u)-(Eg)YJjfJ-no;zbK9kQ02a8hOktwa+LRkz}?{$*^1Cv!Ntq~wRd+YZlt zH?D%WvsGBu%#3IT@kQQ{zOa+w)u2a~rKk2WS$;J3whCOzls_K1duk6%D`iSAt_-&k zSBa~}ZO4^*LVjZT`?B)+806xeikDVgz@5BU4r`T*HzQtpq)z|&XY;)wn>jAkcZKr? znSS5aqgiNdXvN+#WRra3O7RVI@n2a zic2o3Nps=0=sVcaXSVwbvFEwex}oM^M{TX1{fjv!Ql7w^*zdtxrZ@d!PR}oQu_f)v zJ^X5th!hw07Ksasyw-(9o)#Y%KHG&wo|_OL&o_!)3{fyH2~aT7U0CF`E-VSCcVUsw z?Hd@r(1k@F-_I>)dXeX1h{CM?ZUsIncVUqi3<#93aAA?}bYV&0ZWk7L@F0Kq$@1VM z=g<1CPR7&71WTJy{FZ^`fLLiWg8vSdvoK}eCwMDZ&a8B>M8NyYGiF?Vm@A%xs0p6H zc;?iL_+*&gE8LKDX1K74o?tdyT+ol=*j%^19HZ-CiB^uQ^j)2dOHHGfmm#CPq%##m za?WIejU_<5UVWByo@iyKB*kx6(pB!l5-ep{pnQT0i#*$fC47zxi~N)eBhNR2FZUZ1 zh0x)CECx90lV7=fUCUk<7Wo+$mhjc7etnT|cVUqa7~z&v15;cKQP}RnVqmumi+t7< zZUc;&E-dn57nbm)E-dnlk*@GE!OnIuM1k!+^2_C`8WAom@)Q@A2u8WE$hW(&Hv=y0 zO~7cs{d`fF>0*dNnG1`2qYH~X_)5P4k%zgk$kSa|5oRk$1ad~I z@9Jc%LGE0^EZR$gcse^riJl>B*jUyNBkiNRR(}hJ|=fV=c$%RGU?7|{X znc%mFJl`1QVu(Vi3yXmY7Z!Qw!~g?EtP6{LqYF#;tu8EbIVaS2buzY?<9!ULxBQTT zlH*7D=GR;D`@pH-cpHBYo(XpDgczKw$NoV%lQLmWi;O4e7{vhi!27;RX54;AF77peN`ZEfjQ< zD_sjv6D;PPVad47pKhrD(Jowwp5Pkzj((j>F8W7YxEOuGh0Mon{d{A>oq-X^$2Gny z+<2Y8O9CofxFHHfVT7UM1lXwOsi3(0>bd@KCr}eSD%7u5 zo$Hs2-BT{yh7$H-m)RrpTj{%SwCIB+D|RySjnoB!0cN@IWdsn+TM;LKvGZZS{y5|% z?0P!I@`@Og1V%sNm*0e3a2*S67xKN-FP|zaO($H82M8dTqZ}^;C@gdbpoCml?o^En zO9JHR5#JT=t%HI$yX=j6%rBoR1F@Vg=L8UgS&#d%7%X(*RU}aGEIY@dzkNlZe!UB? zL0|A9R|%#T`}Ms8@hlf(JplxBGQfor$dw7I_wp5SfeXKizF@b0X-S}dxeISbU+~%v zUi&{1T$}`aj9lpSNXA|YqASVu!t?K`BimQ$I_ zT?}soJKYM**>?G{$g@B4V~Jp+3yb{R$AR)upZKvie2pJ_8`DM?Llh!Db18t+Tv+7g zp9jioU0CFEzX+6XcVUt9%@eBNr6Bjj73nC4$oL0_8hhSmdeS2gVc02b_G8IGr3;IJij#rzu%G-`lU-Qk*}wbb)PG+Il-LaC;W6c0pn)_O76VBwetEt0e=Pi- zgYS%K1MvASUx}WH^kd0TQfDug!NjMK6CJ3q$b}`s?Jg_^YFt?4Q89tx<6T(fb6i-$ z=XkNainkL`-AZAn3yXo^Zh;X*xUk5xU05Pu*{eMrY^94WYVq9z%_O<7$g5pg%+Z}AmD2L&zWF={SEIHii!eStAe4u=z3wskVDNtVP!XlqD#g;oAY>~sTTYLP~ zfd2nT7Ww4s0_7DhEb`hv`Q#Tm*g5z41BgPM3rh){a$%9D-0#;Hd6o-{ z{DcdOJmLYrzR2@@*w?{|LP;xyb1p3M=m!H06u7X+*SfGo5S-)J7kRu3i@eB-C4PH| zDQ~3^HZRaXk_(H0Vi%SODqL9PQGX5$pX|aSFBR;K-%h~hRtj130}WKVuo%c#5GXHn zVUcI$1r006>s$;`$bKVGVY>^9Jn79qdAbXWys|P-UhBdlFL^6Ko^Nb-F+?GA zbAWN$CASc7nbl7-ge8We>pCOD1^T2R-h|&VUd??4V3S6 zVUf?=7AVhgVXyu7Tym-Zu`Y%vB=2x3fQwyNfc0bzyG;Tv+7iTv+5e{|*el!i7a{eB+Z- z|9m-&wHeOiMzIS^1QjkU2I3C{Mli~Sy$NUtl%H^6k!OGBl}r8iC7{m7@M2LI^?jg$ zY!?>!fTMx(3>OypoMVCVA{Q3S)7R|kx8BxUd+=`z=sj>cUa9BEdE6NORWowz}kE zuk@U2{1<}c=9NuUOsk)IoeF;V?v@ zXpkR^f$g##%X|3}(B#4*FO&U5E_tmBi+qJ_({jlxTv+7M`9lL0Qe0RRGF(^^nCZeI zkC4q?-piN3R2LR`>99a~jSGuBzd&|@c`u)Vau*hbt-}N5O)f0*tkghxfeVW~WkjHS zrVAtISmP@K6;fPS3^cj0lt9=>zrM(mWY?MZ@?{{+g+-q3!V*5ig(pk<%XKkCAjfvmkQaa%;8QMqb3P3DyD|A*Llo`>%ins*?rgz% z;54x8s1+>wau%U%PZj)Eu>8%Iv$16zSkCKmUgcJROTis%{dd9g=P(SeK6{_Qkbh>8 z-DYC&Yq0$Pit`e^30wnq68I}v{!7J)unn(Gn!&tV@P_XKmj6F-;gXQlkoD!%5%l|^i)^aJK@4!bc80cjD0+s`%9ew%N z4f!Vr$6gdz{=&f*VJD+ESpKuYE6>N^WiaGl8XSeOVEIb~2WNogZw(xSw}a)M44hl? z09gL6z)8Sju>3cHqrUmq0lMe)G*qg9AD`eoP>fL2k8X30W3#7I<4R?u$<@U=;wm7U3eK-PGxi=cp5B6 zAv*dSz;XHlTyA2g^}^40>Mq z9I%|5M{D)shrn_S9(C1=mxAToI|r`@%fWWGe!fu#LyoI+47>?G1tue21Mh<6OgcyY z30O`NbL3xx<*X71%OASQIZ{ru{S`a_%$Vf0*M@tUase~`cYz`27CHAg5sw^D<1`cb z_cl48#%agnz;Y&ylb{)3Ieo^-VHQ}9nQ`!gU^z&}De_0ba#jrKGE_GAY$Xgi7{*ap z3zmanoI3D2xY>o@0n6zxj{e7BIrhcDbznK~#kr;5fm1z^mju1vR!!FX2J+VLfNRb= zg1RO61Xi6Vv+c4o&3pMK)io|W8Gfw`PX*Vxu*fUN1csMGSA3WE(Kg>`y2j5C17Xws zSPW#ku*kDqSme3a1?tNoA-=1Vkw%6D7qiwSZ9??rj1k`@-xBB_1_fQlAr}dQDT`XZ z-tb+WjJpUb*lDl#ft$b*SWM-Dn_c)(aK#OL(vDopZUy*+OTGpyr*TZM<$nX8a>@T5 zWehbIp&%cKiUG;1dFq~P@LKrJ zO`YtLd&|^?rHm?F_&fvsh-a@g{Js3%>&%bt`)zNP|-X z@w5F2k_4o>@CWD%9>r3Vqn~}JU%!t8$aOJ3A%I}n@Zki=(hZl<(B|Id5BDF`1Uu>c zFSr&w*G{+G$x(Ov^(C4#7e0Z$;1pN7a7%X>TiEytAi@er_MQ+?1 z7(uWLi#)@HC48m}|1MQU7+J1@sr*x*!Obo#29xd!ln-!WZ-V9q%7a~4ef*=MMJun7@3vXm$Q97;{kv8MC2L#6;IIM$XPjlb~@}iI$>DQ zV6|4y7#0-A|BTENQlVEv^0z+Z*Oerla^VgCBTDZcU2igcz2gy*=({3}H~)uz(tJnm z(=TvgZj#BzwnHutii=#~;!8Tp^laD{`OQZfyD-o9c28d(^g=KB97#IEi|DjxF;yrc zxP#|{cgE;zhX)Nx$g#6?kr{6M9llh`WINnWaG2gOoY-XpR5~?7%$Oxz-5iDO1BS@kwi_0E*N#cKCb0pM=pfP+Z zxMW1o;ESSrDWlRhlEI|4u9yB`gp6Ihm6Mhrp^tA6c%}t06;VS=5Pcyv(KT zOQuw_!LrFnwvUOq`@!kDfnZ~0S6YS5-T+%Rg*mx+6D<4o%I#P^VA(~RY3qLs4%TBw z1`SS-*IQ2FyTsBDv6(j2R_g_h(yK>uL*yM#vCX~)wtOxm1A!!JE;w25Lyd1WPl~qe zDk`$${v4_76J1MhDcXm@v3kg;ptu>*gSvpI~`y zESnm|?A^2n=d;+0%#Oytkjs{%bUQcoVEJ|{#ZK1w9)=z>Iw*3iyt8r&tQViq$xF5( zTWJ_rJ_uWD<7r^|_@vl2dM7wVuO3Z-7U)e7^Tbg7#ps|x{4tWclJb?8p!5OW6kZ-j z^WgOkmC%dFfEVepsLhaVD^AApVaqNP2bX|z5AiaVHC4%IIaqdyIP!mhWlMu|KRyNL z=}i*5d@SeGq_B9_4cMBo(auT~I9i`XZHRo$;`ATa!j|345q1vm0L#WH`RGDopAY7{ z_Az9&CR*PyCTLKkd=ogp@QIrcXXy=yd+nw1O}5zs5`3SP&9U(maMNIYh~RW2k!iFN z`S{6c6|W<9E@@m_!LqsPh@GfUTgeZCQ}nzvx}{XT0g^8t(K%_luNOTfn{$(xrb-qb z0y~#jUkR42sZN%k0n4XaQOHDp6IcfMWE+1BmM4W2p3Ne80Nf|?4b((iUf+vZL(~;=!`v!MP^`ME`OApv#u0fMt^k{fIY# z3NU@d8zHR$2*Y9PK!mh_Cw$dy=go{NvS?LK4`F6 z-&OaT5Oig}yueAqzND$=CA=!_=MPT`yx6Ic6J6Jv- z%(3w%fC8=S5gOkURuw~Meg^HBHRIqHIm~6*B z3oM`c?X>X&VA=PSYU4-1&Lz>v{|nNS5)B83Zh}PaW>O$6NUZ;kG+8$YTXy<5w|`_m zo)2{7q@d{u@_m34_iEVACAMD#m*^#v$f@jbD263QPr@!_`XaYoaK}rjx_Sp{k@Ai1 zMw>kvcBVcFd$xSU;53V~G$!Xb1quBiYO=*&b~p&m1Iw=ESQ{6DGw1}I*q#%8vY25< zvjuGEd6StK%Pz{fb~$|qJ5z6(OgAlC4{}0$*4y>x=DE6?A!z*z!erxgGagVolGU5;P{VW`Hu%?6~{VgBI&eQ-V6*>eSqku;lf(Gb&F2 z%c%xV1h;@?Ggzo?`A=Z^*m6Q!U%Csx^8N5+TmCXQTPIx27$~15JB3j%YAohB(H;fM z;RgiuE@M&%Uf&yJM&V%hOjbGO_>BK!dxlhfJ07cHR5)=#}f) z!XCv#P`s!!PNWQy3D;`aLu9Y>UfarDu;sJ(8XGSI%WiF_DQWOp-7qz1Oul>{zFp@hGsoMyCt$W@ai_-s_jz^4lc5 zeMw@p%>Zm zdT_N?)950qb;7iu!M)^&5T~qfOC~m2bC{jn#o*fB`p?sX1`TnZG^LQ=hA-baJMFLr zEMLCNw8QNOXX$;!GDLQwrrHheENnTuAjwvfe<~~ER?o2$mjvFb6Rx4g$yUA!o1G0? z_8d9)>H)BPM^k2-c?2xqUX|Fr#43@~{EO}IFGzSQ`YBugHLyIMJ7x3%SiWk>;yFRe zc;66+e68Wk)(@btQST#N3Er>Z?0CX1qjdP>iY~<)E(%9abRmDoW2@FzF6AL?NK#s~mn@Jasw delta 40156 zcmcea<~}6@MeU~GuwEf2R3+qu2g0Ulcl3w?iaN1r=kI|jNpEfox@N-t z>qOD2!rvD?a7EM8X;ay#44k6U6@K7=b3ng1SJ9u!95nWwBwSK7e z33)GIB7{AWD7kO(X=XfLI~6ioJfZo74i<6R@X+xAfzViHxJ500?VivvVu1F1=tz;F z9S*%!{H}!#nI)RFdxi|2eq`}BM|jQwsO5&{9O5u2+9C_G7*^7{Y(2fh%0$PEftn^S~ z6Jvf<($Y@*qHM>ZTtgB!I}|0oxu>G^8PtKylvqtap)hSzc-UA)+3W~OT<3TL?t7xn zv8X-4dsRQ3qfOtSE>#$*c!|zv$HRw-9PL8*7?Gt7j|dZ=X(GA5mJ#7UVm?nVv9bAx z;qF4XW4fupy%f5)vg(qNl4e|P<)#+Ek;R4Eqe)0$)Gr%4O`?m_|G#9Hf}pl)*v zxzu$g9yA10@vnEpBEG#JQu&M16`f9AICv2T?-lLbu>Q7}42eQXfK&TgIk z8j?;_Bh!aD2Coe~`Y;o?1@V7@dccSM)P)bp+HzR9*5H_VtUXh7&h@Xzy5q7dQ` zQV=o`@(_v;DiCTB+7P@~c_@(x)xGxp?AaKj%^$H(tkHZ%-WlW>C6qvfNF%h56v{zu z&Bzx6UMo?~1cje+u*v$O6g{+eY(-RFVjwpzEjNCrbH); zc5O}cL~%|#5dCRC0X=VA#s$!0Uw`S(s^?-J^ z!tXR~_t;y-Xw7HbEHO~KY20Mtqiw?PpW3l;latOAJM&r6EuWOtu6z<;cNuUYLODV$ z@~S`>i1>MJ=6JhUs)@LVLT~sJmpHuC!5eW81s&tC@}}x=^)xH&3~f`~- z)WlCkwRU0REYVj>ieDE8^_;mm&TF;ym4+v8Nn8&>q-kH*2F3XN4Ytw{-{& z2%QKaHV-8cVHe{2wM~f|1$vyMhr~7b?H}|J3MLL|Uxq#J#KJ~JdC`%i9ZDJ;P=qw4 z2xSQVzj`XQ+K)-2#UL$c+UP-#LEE2q8bx!2{@!)wJT77j^x_M&Tc!;W722w4$>MMA z(6rI4XVWIfv^?d!n>H9%yR!gB*T5;zG=v1i!x3)vSANRT<|PmCyLX~%V6|Oak(`|L zK5PWm6`zj9FiCHK&^K*l!iF~;5^EcyloH2~t}#utn}a*7z%^GgQB>N+)M>_ zli4|3KUG}Rjw9Zx1gfmS?A@5*K+i`TT! z*~wzFwiv&K+N=0|Nc$1LcW5zlCQq0T>n%v3S0$V>i)0o~pT%+>#j+l}MZmG3Q$ce; z=W1K$Ohm`_<(x0XDea9Lri!bzA8&Y49M_i54HK_uo90dx2ejjJ$HeS@LOIiDSdTZX zFE^}vBZnIBUI4BDeN>A{8;!PqbJ|?7NP8n~OrMoV{Vh^QE6+K^aP7Zo*U{;D1CZVH zc@xpOte!V=LJE}36KFTGp!2(7HXJmo&_nqU@qwWK1dRhdpq-dEUqov$H*N?>OBYHh z0%_w-LP@Fm_{OP1tkZnbN8)BMHa!gGH7|X%mr&{*YSo(bl^y|WP}4Z#?LbZIKuvp7 z^U0Viq;_*gnBPi6mIqmpwk~6gDA4w0jGU1Wj*&ak1cD!kG!GUkXFkM`k_BH6pUk?L z0H0Y@U&Gf@@b@EJK>R%DNLA6oZcdtX+b>;bIH0NmRd7>}L_7&`-uyYJx)yP7!~+qZ zjCgK9se{9Wcs5WySq2*d{>&b!1AP24hI>_Bj$ z!6}FDL5$;0vjuTQxe>!}<(&}7`hp)0S_r7Ah%k_7C5T@e-&~ ze7ag&o;5PyrEc1*v^TO|iCOU03;~V2l%c zw=-mFYwjAYUO1z@b=M$~s(pOdU~!PzY;1c%=;ynO=Q}s%$69pckvNYe^JD-(gB608o{UX z@}_k^uw9flD~fb%MVz&`7J4Cg3TC&Bs`Jh=Zv9ddD>|VY2Wd}1@iGPZ(=pr z2tMglQdAj{w(fLfV&Q28I&3$0Fb8Scmu%;)>f~c zf&13c)icx!|JC|DHVBt^)MJx_zDFT=D+`+b?CKii@Bj2^Hv4b1RgZ;_>aopnTH6h@21o(= zMmuI3FzH<)%=whX7NWy&o*p`hUi-kWMeC?_IOXM#XB%={lwP~F(1HQMuN$d%K)S^u z-LB0k80mK%r8vpD-a(Grv^53&{jN1Q4dDDk+YZjv2B#668??jVTxD=t!MRRr0%wH5 z@$?OHT&syS{r!d*oN#ci)*`^U!r&x;bCs3^P9KAl0nP|*F*rR8PA)h@v^C)T&9j4t zCE#44Z3pLfgR={qKH6b$el|EY;PlX%*7Wc5UxU*E&fgAAef$P7Nt^fh06*2t0KICV zw&L-T^Sr65lsB^&^DlWS6=)Kzc$D<-=cRWBM}Ye%XiQn2)qO!5f!h!|5ZHOKLBC;i zUNvZRb=uj-*J6OOeC@~}6*dzwgESYNWgG5QY(opQ-D`*8etUfFu%PLXXARrzD2FV2 zJZEa8BT@4y92`0cl9HjD9i`(oJGS(5N)*f!WkYkEmQ)yq(c0p|!Qx|Wb>V;r-_3X| zM#w@aL#RV&MF@Nj19gN{ZC~M&GtaNXBQUz18qhW)PR!69oBaPp+q8A-R*JW@OY0u; zD};5wE1X?UgSPsKiNd>T-xKc(v88Io`WdSDs_M|w7y&#{b!o$`B52EL+&@tsCx>q1 z9kbapeC-cf)qTYSgczf(duF5Ptp#noUHz>|dwAn5;$+qFjl)zthF;iox0qD5=-G`z ze5sw)?iTM>-BjAwQ_RtpY?+0pjy+qp;R$En^ZhXlS@Qf+k)$0*+*flvf2(*`OM2mp z;9tJ)I+Kbns5iQtmcVU}_YAC7#k}~L5WZEH%GQce-r%x(Yd+O==1UAG{9{WU@k-(8 z9+pdQ$JAbMWVaL_tD zcKzTbW@$mMEx@qszSoBN9XyHWM`Xr3#BpuwYc>p5LtocKZPlLFe^LE5eT!;|i9A2F zySOtf;OIBtqm%suy$&Bb9?(X;ISbEvE8d*z(}?G#cI0@z>e!o8Jw-wZOhodwS3P;=Zbjdq#_3Ux{kI-b2}G(cF%Br#7X^ zrgk<|y;pUc7@7htUub=5(R!a+u=xQfY+k$X5wW`Jz`h5>&~#{rK>M6U+hJ(8I<>F2 zKcW8dwRX+H2dh%{$BGy_7>y*}eVh){aBsxvUH~Xf27>lMNYS<*cvNIng}!6tm578> zAu!K$JmNHPH7GoL>)oftsj5i_UlF62O&YXsF|?_*NZ0aE?gV8vcY$VUUhh3AQmcyJ zd)w(-780$qBwBAIdd5h!33LNOmUd6IP2KaQ_SVrMn)hK}v8pQQ@ZDkv4IhM@F6P1( zGp+)rLvZnh4<1(ktk?Q|c$FAjmGt3@ViXnDL$TRNL`52D17@N82>M@yT+Qp~N^!fk z`X~p=yN`~B*C&p?C}OC@+{$2NU|;8{4F;v*exNki7c>YVSKI!PR8M_z+3Q!Umejl= zVyJr^J4}-dOxv?T>CjxznFzVsgijvAP4A6Q#)t`3Cq97-OnwB(@*y^_%_<|= zI#8N<9MpyY7i#B=QB@aeM~dKF2u>p5TM(EDUq!rAoA93nLa8eL&oHM_8-ELy05UzF!l2IIdG4Ls zr7s3!;1^s!c+kyoN1t`TjMR?fcvGi*`f(UE;l}ef4*W5h>vK!@^?20xjNz zI4?&AC}u~LxGmbXU;Q(*5>H8K2=xs@DM46>5RGsiyy_~yuNR0=?>ZDvV%ljwqo}y2 z`S;)ENCl66lxk}lMuz_JnN#C8i~*@phr*s{XsoR|+VG(|mX5|6&ay-nBF+mN0ypX2 zO2fTJKvyH=X$QW2QWH!1T!HG5R;$%7lyFz<~%;!Bc$@Q0f994!rxo<4}n8 z_EyAMz6qe=TGD^|YvI4fig0b-uYc%OH5;}zLdG)e)NcOmc9BC>`KW1NDE}Lm3KvjxK#Ms`N8P zm>q>e8TgWiV#5_E(~fubU&L{yKSpZ><#?R!;ic5W(r%<|7=cO)+yT4~xZ^udr4@lq zDiRjH1dkV@7N^$%Z!uTheC5BJTGO?pv_65fAE9gvYQ)9iVDN$GB4m+ zo9`1#MUTxV#CY}MQQ1d`$3=tOB*b{}sXR*Zp1er1N8%;GMDdDTLb6$IRl!^@kCQwq zy*$K+;(Gb9hZrNSl@~q4Ln1=n=PB^aendX)DV|pUI3lm`5<{l+KiYL>!j{Sun3aHUdT0F9`+I=#VGls zmzXQwl9PIf1tMB*>LFei_sdB=p*dUL)Kl2h-#?UJ^%Nt-K6$C9m?cignchekE|+_Y zKg2Ea9v?9{VEz#n8=t^7ID}H(yj^bg5hH_6eCX`!z7fhGF`&GeWjJ3R_YvcR)>DK# z$q|g+*amyS;{X}lON0q8IjI*)LXr3Mf}>S(YcDu6S03nv-1|ze-YCKQ-R> zXXOZStGutb(8j*Fll;V^0i$7(g&P8M z3-Q$cgFNOZ9uULjls;mZNRx~Eh_&J=>F6V-&il_{=c|L8UG6o-VSwrYZvCt4CqAYI zFNIq<<;@qN>m6I(^h8W~^ImjvEYOa-k2QS&T`xFP4&4;FqObT%Y_Tu*7cUB15vq7` zwRce^XdRlvLeNn|6s4;R)gCzQMf7KE5@=j2(YQKM<(~#kEl`w&=z2cX=RnZqKqnVz zcS9C}2AGa!avr=`@XEmB{7D6HChVO;ni5bEinf>r!wx7^fYAfA8nibPfck^Bg9d?m zLvIjhIA|E?Li7&*Vdiis5t&57={)G31}_IXKSQSo)C2r@&`98u)P-Z;!I5h4yoU_H zT?r5{!1Nhx|YEb+)J06mgLd58xQ4l?6h{)!1*`0D#2%2e6c{W6Z`IN$&GK3v6C={0O zmam42zx-0dR3#9heF%bm_Yg5d1hZd{M!`Obn=4(cL8_+_Z;*cB!r9wK<4kz()x~z7 z2=TUv@JENrvt#mjB&Y0s=(HpGQP3KBVyI}=JL?RHe>RiLW5dK+zm(ys;)_roiC|w8 zDP9r5-rMjn3{}rz&YWoZZ(6bkj}YIALBu(b4>RO+bOhqz+w6U!#2sQdUGg61rG#(q zsU*Ny9+VeYj7>+p#=dzJ%EQh5BhbsT7`+?uG&y~=_*!?r9%7!|Q+o24XtYf|IkQTf z*7tHLv_S7=(~Nyd46@M+_kDxc0XcpC061J8ep&RlV@1Y55o-Ev7N_q959Qa(hHi=# zV^rNx2KauFuB+sac(#4(I5-r%o`nbbBty=^8jpC5JT_i@KM%b6(vKW&R-{9T;tMq(1$NFEyn4us?Aw!thvz8rvqv~}TgZpM zzQC2>_XjNj4FJuxAH%Gx2&e<@fZkdoO_5wTQ@o;Q>s{obY&F;|_DR=^+dYOB;cBqJ znQS2ByUk?CW+&pxcKbVX#5-O=refK4j0>T-1@D`%=X@AneX_L;YdSA2s^*(SuLPpkIOVG+qI10A3IEy`XuZZQvCk{u^kfoVirI*oUeg85ZM< z?7uD*w+Q`8roxS{DUdhcCtlZCXAQj!x#@l}Kt|p#GIf5Z!OtdtrOxU(%IRdjQ#JYl zL$#m5FLm-OO@64s-{s`TFEXY8YgwO_FAwuLT`B+)5SV!Piu@uV0w4Mo|^m`m=p8e1hVw);f z*%v(}=Bj$@oelj8`@Rk056`3y*a^qmSk}ID<9yGI#>^1-=RmiBo(HV}{R4DA=%1i< zpnrqr+s~GW8ESC!E4UpSb}|h+w*c43Ihv>v`|P4rJgSPZa`kiKQPIzS@j3Ce5M}cC z7TkMma{BY)GYpf0UJ!SRadOoQXaWPI14Qk7N#6LPxJ#V2A9xYMvCL>0GT3Zn#uMF$ zI16A4GeiMA3z`S~B50~int&cNbE`-d5s65ghr|~l;2AFj{u^-``3aH`tY6KW?(^2VTZ#N>{H2 zUo-ff^6V?dEu#+X*DtGoxkL090nDrs{1XPhNM3vuC7Na5{Tj+_Y|6h-6^;Apd&X&< zhdPrT2Hu5JJjzo8{RA}CzPrLS>-~&}a^|wx<8NRLakaekhDe@%;ROsw!^@k(@zBrL zIlsN=e62GP^u93X8^0lCP9E_k@Hqy)1(OBs80axgfqmUhSQN|Uo;SrAahu$_3+fyK(#WPCk#?kWt|z#F&&MLkAQTA=3v8ok5*&TjFrv-DD+z{Fc2 z=(H!jEmk`D=>~rv`SRmR@muh8oCuxa$<*=g#Zm}YkKtIVWeb|jhyvBad0bzH(IotvH7>m7eh?k;R;z0}TN$;BZ?uFhQi(VS# z^3Xx?ir(65Ag+-291^eVuu+G>@1YYZm)qY%RTw1qy@$u3>*T5T#NDHQ+Kg3#34!`+ z!5lo%cqn-1`y8$=-p1aBrw~4$wfF`)Ua{ZzKAOs+Dgj9Uipwpk)k9~k%e9?T!{=Ya)2g7j^ zf59o9zy(k`-vRo6ciM48j1Ks;6xn0?3?r0YzHnHP5l2P;m`BJiNIQ*dJ*WqsjJ!}U zeeuM_XTLWnLnFc~mR`gQ`Zs+b|SAH@?}th{toEFGGIaty~>UcK?aCA!lacsBTh zKx1E(PybsyhxWu>7qrbPZ)sYVj4>9|>)$tK! z$ohJD=p!-G_Auc4c!*@`Ks>8O0xOkir*qKEdmy@k|f>G`C2~pu~?ckj;8Pg^*d+^E(L2;4KBla1kMqagC0R(bKsjszHyAt z68a^J<|VXG_(b#-!7b3?+r+og_nDmx-!j(N7k!HMsmn8A|09e1Fy->8S}}R-)6c>U zyuIR!e9VmLEjEU(=>=2jn2tEBlXo2!q+tU2L3yE8jE`8tWbl3z#PrNU&~dWiOD|i!UhZYIeF+a%!=T-?=$g*zGR}%NK=S3zOUg46F407 zY0yOdb}k$1#6t0aoN`<=>(6(kP^~hOG0DI5B=&1Q$3RT)oGYO4xkcei#1*+`h3GHK zz7TO3WgY(lUOX+&eu2lL*QHmzkp0-U4kF>1%L(OM_2M@1AeH;e@Gr5Pd6FFaB^qh2 zT>hn)oRn7Lx)v$MwfK!Z<689I#^6(lPu9O@M(&wh!veubR* zmDu3(=SJrxdQYDEN(|6Xl=UMGF7YbWf_jg`;Po}x=WFpz5Z!GAZz6cqOGH6jBLlt` zqh(Zs*rg9V&O<)OluJj0u=QoK#Rki}#6x0Xe6TD!VGOnJGbAA;^3N0Eaeb)0%HYM8 z$fv&%kLyndPa3@0GWc6OsMF|XgOx4cFj=n{tOEO_lj2h~H0Bwsg$Up3$c^3X=w11E z(=B^9H22)I_6JT(jIc+1k1-<_+sK!i#E`Kxc>w8oZ%xCgeTaA|XdNgk@6VvwzPUkyla7Y zlOfKMGk+4J{mM4r65}@Ehl~HDT=SEdME!^o$r5u>WaRl{9|4qQ5GhATOT5Z03Emg*CnkzUOG_>gv@`4sXLG>D_Wg8`}0s zxwTD%jjA`&;v1Mj5kt^PAoFVQ`V^dU97XTXrBgfL#eV5%6Sw1mX8Kv@X#Hp8|BJl& zXUwvs%L%`5uqPM)g1ODB?Yn;w-wQEM7M~N^q$5b|9p@~T4x9q7qz7<4_U!_sC%678oG%_cH(|yCLY8r-eDyax6y0g}JCD&&L@LtxL;R#6WB^ux8)0u>51UdABl!r42%ZQvJz)?b6Cn*D4j~f38=<8K{6;u}P>2wZ zkOsripdkp}2Lm6iI>`*Hnd+v4kAP%cq5$mz@kos zBM21;TM%*)(hwpM{1Fs{b`{+n!a;-zgk4BmhERkMkB|nQCqlk}69{Pt3PPoVTQou* z!m@?n3n3jL1tAt89HH})s&s&!MA(l|h7gH#zFn$P4_OXECPEZ~ zFG7Rd+$lanV_S3yF9B|~7he*iJw(ao1L|CnZ}$=EnclXRat~!2rj0l$SmvoHSHQ<* zNs2!0#ObHC-wjS?2gN%yJ1O%yiQRGtni4y1blM8%aWbGk8#Sx60woU0-l}MrqEA3_ zGO}ochjK?G9$TT$jySsnm!F+<*(;d4!idy-{N!vOXZ<*d6c3}ZNWeayQ!Igaw&YAu zJ~IQK{XS=Ub^&u{ry7)#N7St;`l&yuLQ03f zdbe0@pA(?2>={~U!{=GC<;}i$8RL8fy4A6uz3E@~iLMFm&gpd&XzXqvS3UNp_4OaW()!Bu? z>PAs2*M+Dn#SQWjNWjU*IAlmWjYYSPKDaadTdoUL7x;Yxc5)xC!!0=dlKsa}^+`|l z+-muEh&o6X4OO!y<>0DsiSxQ#Tf*OP7IqtI#8%uI*k@)z#~b`&V7~0leI3n9jvA&8 z4(`l%KHYP)*u2_V%zV24KrVuK$p;YE-@%n_Y0WjgdpMqiXHP&4qy1(N3~*K=zW-&& z6U&=wdSBtN0jr}6n{nlua}b|{;d5$Y@#)&pS2)B#etcNo^ge9T-hHq|JWZY&rf!I- ziA41^LfUZjZU|8bt>F2C@&Th42A|9(RBnz`!(xKyiE?Xmn4)}AGx!Sq-Nsz-&fyNG zgr}XRYJ{TfWU^keDN^k}eF?<>)y3saS$OQAiUJ<)lt_a2@&@lOIC&DhknqN4GuPk2 zqyBAj(r|Tf%vnHh<<_Q)xQBiPRjzPv1<$i!`sv8Zb(nwH?>G-0lbn`ohO3`W=fXm^ zgalj+UI;!il(l#&^}u!KJe3wK>dQeq4mxerB79E-CA zeQ*M1oF1@r@kIH~7Ua$k`F@foMyMN;xU`YW`txC#xzm#Z#C`rpE?l!L8s?l%$GYXT zG(6trlsJycH%6+%Fj77?QVkRTl4nP%qs5ytI7*$2iG`b@)EVM7`_?GPF#J3;N*ynL zlIKRL18mu&(Fme2M{iKZ|DVGD8(mY!q}}r{__qIgoW+=~B~{{M-WJ$j<+ zY`Yt|Y&bj!ZS2P8QC(f%@JjNjR>~=()$he_duX(ZiBoTRAx6Db9}=@on|Fomi=N7U zT*RHYh()L-rHF4u{Ax^9?Er3(Yp%le`9U7KN}Vr0mjPqc_X8TBbP&P;#%lK>*)&F7 zE9`PftU3}o7RTZuMo_B;T9ZsIjC`&}T-k}WB%p2b*jV*5ecWFUwcDsB-x#MpsIb^1 zwFWOycX{3<^;4a#8SHeht7XhJ>PI?zhr!MUyUy-485#T0@_vJ#C#NK+U+A-Myfxzq zX^LvsA@9(vEj?g`=FL)I* zF;trk9fS~sBBbk>gZmtUsh@cRY^7pAaqv3aPm){$Q{n#~YWx2$;}D!^*>u#)RMfW_ zSbUR$rxwp-+@$Pdlhh}BVnxbU@ z=bISjRI-CuG#9=4&2r6LSi}VJT=WE!&`BF>FnT-2{ZOXu3lYiV%-N2t>%0Tj%2}Kat1hs}l!h zA+-|ADW&<%n3`(xtebUOS%0WCzCjL6S4RYur=u<+R3hw0s79!fi_+EP&@D)cXO7EB zyiedk4by%Z4S067A4*sIsv<=m`v*!bNM8JhIzMPZ4l+Z*d&dsO1z787#FRsZT)aSi zTU6TvGSt6RHSmPJ&#me-A$H1n3sC|~?XNCWAN34s`v>mI3ozJ(@fw6$ggX0##p*=W zi};9r`JL)7!l&Ml9g+7eQD=!zxo-&ux1ZQU?@}KSaHsfgwOI{pus@isUaN|Oa?iaO z0;b8P`_wR5cdt57W-e9B!4S*TGazS|slSOdJH%gjiS71%52;d!E9IOO>iD5q_h1nx z0>51Kjl~!YV=j-_jg5GQcAU+|=e0}iFRxIa>lIqD2E8ysCBlA$YJ?huI)n!MO$F+= zc$e}73Jswep&p^#p7gl-p|4NtPQ(#9?S328cL&>AP=WsL>gw8oD|rcYFK8#|5zxOt z>p}6wOjipi21Z@&pdFz8d+;(5G!7K2RJu|?FMy_l;#=UZY)~vJ?aBrH6?8o)hH+ix zpzWZ0L4WD$vUOD>^0UD>3wjc`4b=0Wn6v{82mJ{&5%fpUG|*PiEYKf7^FT2G>{<`% z04)W@H<4YtK=EB|R}JWC&_+-U3cHjlv>MPT(C?9dR~jPUfsqY*3bX_iBgL-0px=Vl zgJQ3Nu69s-D%BOT7o`lE0*b9dy0SnqTx;b=og?3pr3=bfgT6--G?g$ z8Up&64Ut$x{sWp0dJHrh6nlf6;!EDH4$zN42mZ@L!5%4H zaiEy%=}HI1rXF3{pdW(fgMI*74tf}LFK9Jr9jNVnMA{H}57gW4p&SAY2R#Uy0QxRy z2IxDW`Je|tOF{R8?gF)g)`0#Cv=MY4XdCEW&`!`QP~ZI+r-DX-?g5PltprWmk9*DA zh-8ED7HA>pZqO~DyFe>I-vr$cx)Zb(^bOFHpcSAUps#~^AHWO{Xe8*Xpj>FY12i7^ z70`6hmqGJDw^I&U4qABt_nK{p)PeC5Xglau(7<BL+ujx4K+LHg9|*AUKpct z@gR@J`Dk8vv`T1@eHzq%`vjlxP-0?7E0y+B4eEzpJ@$uSIedt0Y*2?v@9)(}(PEGJ zUVX^R=39@9U;qzHdcbroHmPyv-3(qJcz8LcAJoPBa3uu1wN93v_Ey9r!8;7v!{F1& zXz-SR;ufjv^#+Y~@@$uxm<>?kz_dEk7rgjxya&Nc0FRkql0`q5CIvjJgN)Ax?+K@h z{<|E%=|)@IHhLw^jYfz;C9(Gf(7p@Up<;iC{WQKaot(Y}w>c`%hU0 z(IW<%<&%q$1D@5Td&uv`TMS;F9Q$9G$cM-VXy$ka;sxMYi#Qv+LPO8=_FnMT%QZAn z1kpM`is;2sgc9&rSmrSwky7yJnW>kK_!fDbCdwez?copESq5=+P z^$&zyGU5lAs5I2gVwwoie(BV%!Yvgj8sDtPOK(j2SAl?8Tb2QcC z5pOhjrd~35t@1ET*xI{^CPUN#p7p$^f~S1xZuVNRyuq^;`y}xE!LycQ3U~v-qnqY2 z4Uups4@(M+;~LN?Fs-GP0A6f2ULtt$;8`bu#;b=E`|L;?m_A zd6}ZS5y>}rrcZZ)R|uZ9NMgY&lG|ybw3~WQh_)E&rinq|m4U~)YF4x>5Z@us(L@DA z4*^o0$4G=q!>rE04c4V7Z}M89XDtg4@Q%nPn6R~Vvpxr+^9B!5{gT`W+SyHSHh7-M zsu0vHgfzr`jqJ_ZbOU&S;8~l+Jn%xmJB;TVM4iW6kVqpjIXoYBz_oZ?2S1~`iSCCe z4!iiMk4c4 z%!ER=k;u&Odhl|y$r41yHy0hJ&}>$ci>t6g~(g@-R$@ zPMwU}Vq|P;U}1(*4qi2=St6l`SIB^~$hgwT#Pod;MEk)z3ThshLs6;?J@S|_-j}1- zTnrO3@MqOO2CprY2IyD|bO?Bj7W;ro7(%PugLJm`ZYD9OrF3-TMKTS_##&E?g5}+f zHw--gZakLVK%-pE)5FVNCETfZn_e6|mn?)RFxeJNMZ8&5V!Ii{I}9ZrJl^Q#e@5}9b`wQHl-7;M`S*-&yb<6nl;>b#QYJ(^6&}nH^SerRH+4)ZDa*R? zM#-dhT%ELERL?2-kZ~BogEmG(zo47lXzcxOpBscwnGb!mN8Us;jH(o4w zTe|VafmbG*U_!REtNx?nfOkO0T8IuFvCUbS3Szt-vwRY3P z>>;LeBXBmh_HLpSh&sCQFa@S`g2%BO4_=3{;Nc~%+qJn4JWuefE@9eC$-rnMp9yg= zEvC~HU+AG=*ke1Hn1NLMyCrVGs6QNX>*yXZu>pDvjR>s>?FbzR3P$@4cCTMC13s>0 z1uzc0R?%tOW!zWC+})K2UXJKA@`QZwH}$=-wb(G#dH5;pS4};5r{m6U@;15nygDYr z8xIy{vdxH?SjESHG!rTJoX3P)i#!f((JI9SHEdor_l?pIr_!!O7iL#nYr!<)JlIBj$jo8$S%2unM7MW^Fn$Po1V?K$eC$|1^0x$iB0`N8S%Rs7ExkR8w52I zn`(RI;@{P&0es+c9)8N*i0+qreplz(4qDjsJT=s%Mki)lFy*ZlOnI9HQ=T2}p1#C_ zDc=?0Cbuay76ujKN4O~{g%(V???`v~KntdPhXvEYgBDDA#wcrgJl<4V7*r@7ZB@YM z9~Mmc{%Cjk5eufg(}L-M664aRJb_!d=?Cf>pL4j`tn=urJPR2yn})z|Idva)HVxvp zfVqz)hk3*Yfw|$NiJ3u$Jl>&(*-|X|oPrv03hrTMy~v2AZ61CK{akOsD)fjuE&8*u zN3Qd5>T}Or6Ej=xHR(M1Dp#r)r;)+?JpGJ^A#M^GVPGc6l$$QX&!{+eJGB-}zfM{( zlP#Oz(x*J%f+;VzV9F~jnDXeW-O}5X1Pg--i4)xvlq?IT+&kV~9&5prw_7kB=&)eQ zvnE;7qXsUsFsRUB!8D*;<4QnzsRdJBX2F!#STN;v7EJm2$u4`dkiSxHVNfAH!J+`1 zYQdB*vtVYBYr&LvSg?KqQ(X3R2Q1h|g%S&c3Jn%ad7}kWo^Y+pAmu3*OnIRNQ(k1j zl()FBO|SfIE{1-WNU+=x^b9PR2KIKt)vH~VKLd50I0AaC`*#7i00$ZvUo$Bkz`WfF zWny1t0~p?qVWo_rzzn#Rsq^ToY=+!CJTW~_hYrf7PBfk^-EKVD$?gSHXu&kwX~8t( zpW@P|JlujQkF;RQmsv36HYL}>phBGm(?E*_Q=T~8l_BNn7EF1g1yg?Bf+^=tpw6SO zvQJHRGR)rcLlhJ@7{#Z(ddVLL&I1lN@Q=XU;?ukmG`LG9{f&Z*zRu+r*+*u$F#Tw^ zV9G1zxXT+XnDWFlcX_r2Q{FPqO>R>HZ*(!J&~CwWz;nL4Jo;uArhK6VGkx7HE;%tb zDs&!wl^%!^_XC49G|=Ntlr$KeULk{zDct;$^B2S%y>q9^U;}gL%UvK%Io?z&+~v@e z-vXQiY%S;en#Uw{FE1wfVjkxL0pzgPKWqifvJx*20G3G%XFw{iAzrX3JczcHz_)! z4mHtCu=g(a1V=2GS1vZ&U7lybpThy-Tu{?q?NWFBHVbYFgd!Qf&@mIV%H_f%%+|5Y zm98CX#0lOmwT@huoF^8y!i9UFgmr8&8~LzHuIpRyK!wif3X2^*fFt2v`ydQU^pT(K(*tIUk{YXGu1D2WK zNTEy447ja`^Kd>k_^xwd%DKUaDQ6ud-V2s#FW(~9Z^Ya~&P>2dU;cy()8G*c-Ux%l z3ym|TzEbS2A8x^$p--G|DZ#uFm%e@@F0(MUAptQq0J!Y&UJg+8!&$`D7W@YEiLLq# zrSAGI7Q7ew#AUs8`!@Z?8&&Q~@E#Pn#!?AjFEmiUrrfW^bl_vii8Js{$1Ku{oh~^` ztigg=LY}+a<^GrP4CggWyv%T(VX6hwz`?iO4V<)K{gTP!o@h+Z`{aSCq zl&9_MCbwY97uwzBr4~$i#Q`_DO=-0-s8Ic`n}X6|!Ib;I=PsXZ!IbAzyUQyrnDWXG zta3D_77Ihq;HXsrPnH%;dBsQW@>UC`JmC{}dA1!==j%r&i3@W7k$D#mSV8N8P z;Jaw&;k@#JbuLW#uFqYVmr(h_g((lIcVWFTrCJzND70W2D6wG5Q@?TYjZ!8Fiz&RstHR~M!{_cs@&13N63^1us*+-BSYQcQ+1`?KYD zcLUWHOaogw+~su^O!>k;-R0{onDUChoO0AZ=NZ-;3}PzecDfrVuwcrwyIk@{^l#jc zsuO(nZ#?5=h&d0Zz4(4EOnG*H9kc#B6)Fe1D;%_7W~clVNRvsu(1Grc}TbeDT3xv=hFvJ2CJRtwf0m|@7x4m2>;m4FI6X1Xv9)L1a(nb*6^3oKaAV2-<7 zxxs}gUq9c8FL$7A2E*)50++fH(19HmOalX#xy$1$nDX5F+~wsKOnL16y4=};GJ`ZH z12N|%*l)p<*IO_RBs}1rL52lWUTwilf6{^}Psw3==5KWQnL5LXsZe9VG|*zfl+Rx7 z?m)H$Q(kAmOy6d~l&3$4^m_h=136^qhqDA4ESLt)TQCijuW;#8-fqE^m#lP`AF*IP zJ!Z(9`5O*!10d(&bl~6-d6Y zj&p41EecF<{tZ_G8XUOOg(Afrx_ z460n1^3uI7OnHq3Q|^y5bRN#Gn`dld%2W2cus$1+W?@jF@PJhTwc3IyPkq;2zTSc< zuRrK6_dMjnly9+M{r+ERVdxpW=SsjcTxh|R=NxvI*I6*-wMX3L(MMgF^74PXuzvrq zvoJbPNr*Y+WXa z6BXQ#qt=*>phM{vIrSUsT>6yz9(Q5N(?2({asMy4%y9O6JH9X#oRz4?f@z?x-d%p) zf+-LG+FhP*!IXzI7;^LepJ+1B6H>wZgu8)g3#NRF1@jE|TCncGH}2^ZESU021Ec?S zo?&e_g~V^&4J@=^8mO~iI?!gplxLoFPoHnWx&uwRoc+Jkf!Nco1XQT_!G)Q@z*ZNg zJpV@*ro6&}DPQ)JyS&7LDc^C1a{c~eTw|R9o^c66&bk|jvS1nr|J7Z-#eylX{>@$9 zZo#?(7a(`u|C|n-Btt)(b)f7I7v>q(TQChIUUZk|S}^7N|8$o(Sa2X(5wWcnE78n$ z-f2-_h7FxA12h=ohfYC1oDOq`1`|_0dyoq=JwHRY%43JQFy%SJI8U!1eo72H0z=7$ zj_FVZ7i$@ECg2CuCT503T%@HRPKWpuZ(_zb74O27ms>F9 z)fNmn-fVK&n0`1t++x8rkj5os7J04(Qyw+hT|V1_DbMCQGyQPdFR6|WE*Nd8Ij=d4PY8@oCV(k%s&<5 z=NXjW1I$0~;$m##Jm3OguBIiXJ?=WlRjI_!0Q1kZoG-!qDqDfMGne@)*R~6c1~7UX z3El03 z!z{64VE!YA(?0&NqYMoG`-XXjZvykbExZkn-vRb~%2lKv1M^QQ%w+@(z#+g~NzM}b z0XP!aO#eGD_l-97`QIAx!1^V!AweJ*DV7AofM=TukdFh-u*j2u^*=r^GE4*JpBI?d z{B~geUx9f^?guUeHXT?E%zq%DzKtFifl&sA>G6xeJAln1-wC`Q*nDk$0Js*|yhJs? zCxOjt{xxu$1^*Yg6WFwO0hoUw00(S(k$EC#ZfR~_g8snViQDveC~!QmdCkWH&$h^? z0A~Q3B`_N}3)rm0w*qs+Y!E%adlAV44rKdh39JHx+Z*%i6ygHlBf#bvZ3O0qGbVls zxJ5VM)ZY!u*NKrE@19< zV-|TIoGSOIHZMsiF!!W3O9=louW*lPGyP;>?kR1izaE%-N!!c>H-ph(QOE}7c0J}9 zuLS1q(58VWfTMuT3^oIEXB|_%12`4ftb|p-85a2mz}Qj8cG=_OU~mf_bFD)oFt^w- z+wCvF+>*z1_-|lt&135O;bL=Z9#cOAm|OFh4vl7ID0Y?DHNb(zxc{35XM(|9m8r)| z!q=VLFxl)G?*>i;M!vfIVPI}Nj4Pw#b->(k7(~aVh-6yQzXHsCeogs55y`WGVS0QR z3~tVAdRzxw4r~_T_rTnK*OdPZTy2qG0_KKCroJycZv-}*-C$sDq-)lhD}im?G1p{F z0)t!Cnw2mWn0vCA1{VNxr&_a4+y$I&!7G5d=d39&1kMFEo3RGWJ!MV#%e~S5xM{4} zO!h#5o5Y$5)xdj!%`^B6n7hB4*ZezRZvSd7%RCFrtyoR{PGEhjR^yWNh9}(h)I8xq zzG#1OV3-+>f&w=qG>bSMm>X4^24?{0TJ-+`%uOB5R+^=q4$BgJC=C{{{iN%S*H9HFgLg2S5mCRZNS{riXV^= z{{@U)vM!gHtrspXx6d+{6a@owzd_T1DBv1kvxFuBb5lT+tZwi+VD18F;+ufEsUL27 zx;zV*JLH+I<{@Bic!#=5xlMT-3~q5}FqBQe+{4aHP!7zE=}i1Ka2zmBM9<(|;6#i3 z6JYKcX39?hb5{}*^KaYq9i#O0xc~nF#xf}2rlcF}fs2`I!9l>>p~$?(k%(|B8ncz~ zKiaqzjoFN+0dw~m)8To*+-Jr-!AxLoD5GQE{~rK@d&ZbW{unT~g@IqXL&d<{0>+e= z0dor&vktro9Bskx0CS%gQ~zUNZt!B_24L>&!b|GIO7R02dG>%29`EYzpastY9%#Xow_7mf++W3c=!0aN5;fPwpu%hm zrh!rmro7yODc?Kam7bXUfjE!8N+M2(xCC=uJb%i$orv=o04#sL%3~b1FpC(2vIuN& zC0&A~#AbV43LFK@#59!)9Bsjm0rT7KBFI^GMZmEZ`DWm}1>CXEDSsI_&LV#+P#Lcr zgaSVZr2(ERKl*ka&L@h@bQgXP2JkWXuVXx}vZcY0Hx%g@(`8xozJwle8TjT!U2l== zWop4Jqjn4a4(YiiiCN440B*sD=+1%|pm+r-a$BrNgiX20HwNJb<9oC7Qz9C?4GIMoybSm}@Ip(8q~7Xs@Da!ZQOsuj zq629b`8vpn(~JyDfXji+`u2hijOc|f4_^b`1)Oap*aIB6$c5hl&Ij&<4`vBuE_OLY z2l6cV1LzYcV5-Q}uej5tKb#5nS{R=o0Wnv6mSh0SmH|e9n^?TKmQ9Hco+7! zH2mh3%m;QJ#-qIjw?n@GIL_i%WwyKiUJHgjo6=}u(C-!tW`_RvxElzxV9FCMnCVk2 znDP<}ro7aG|6)}kBhyka?f1H8c;13(Fng)He3=F74lQ$+r&uuM(f3*8SzyFk7*q(q z-=Y8 zAK8w*+9NEW*21UVM!6X5elGI?%2AldcXiKR?eWr3eviaf+YhaNA;t<)RHY`!Vs(pj>v#4vcT z6!9Ko=J7*}OvA_qu+7WBOr8hkyXrziUIEO{2XYL25I7-DDCGujp}t%bk1J9qx5GOA z^5r;CM9NfwEoYs1Z^roXwC@@4{O-wgjHoo8WUj6gxTM1Hha~Z7}eMz+B|gYTz$``Mq1B zft!K3!fd}`?+h?o0xLZ2T>|FhdbuI*F&J09RxZB=r;I=90U66_Q8T+_4yKKRW2(i# zTxG=dV>EXkaG`8MvLr4`+hwq~gUwZ8=2^TA%!PY9j9l%&Tt=I2=zk2HB9kV2jEUg; zEz|j+5ERlbq2FSd+fd+ixoI*k2w(D)7^!ano8JrZMnJV?z}fOR)bLH_IcjrR(Rw5A z&miSO(K7Uw)NTe|D90vvgw11@V_xOHcv;|$7rtDNO*Q^YTxx@JkP(0$U_3BKg1$zk z*H8{$OHnbXKO4AOZiiVLUt~ubW=g^42aNFs-T_>Lw=w4RuLS1u53|Pp8k}?XV5WBhWBctXC{TRk38F^D$FTqW|QxEAHhx1iC6hgXN8dEoU9 zDxscuD)2#>1hsiww_=`{4QwtmF>xvIUc48pz@#ek+yTs0BBuObz+BT{UXM?K;S6)< z*K%e}@(stt0oGZ%sQsZYDfPXXhv3KG#R<;m?JHhx8C`m}T?Oq>)-6o#pE<{@I^ zp!H&4u1z&h`8i;Is}%?t_4fetCLe3ykAeB55Qk^806YO6ryOfM+6;N)P>dql(4+f< zF%1Gau9mtZY_XG0-zYN3LNnkGjOf&R<0yYo& z>N6Yzo+0@9)sPPaZjtknkz0XW4idq)+Gcya15z%v$Tzef0xpqzl5s1kljo8>#;D-~ z<UB(W>k6*w( zBFBP_Ju2scEat+TNJBb*r1SlDt6}6e;Hc|d*4jo=3YX5O2?HV@i<1sEIE%)ps)g+mEgGvJ>~Y5|3f>Fw_G0k#2>- zo&t8MJO}n-e#Kxmi;HMX=C%n;{Q%Us#{Z;Ij(Nabwv4*3TQ3A&k50hM?FHa)oMM`h z%|75nxjYpEV=kjyW|Z3xV3*20spzJ;_8`a0Y0YOeE}o@}834?6X=Wc335;RjOq>fB zZJ4K=19r9?OEy0#-(lqaPg;|UXL?Kx@WhBU(a3uwdeAz#XQoI0MavA$$zau7<$ONj zS*HMVTLUwLTY#7vh*J&uYrqvU;(FWz`CYPE7>!_avd3&N zKLK<10~2=v^NSDD4F9%{>wnGrbU1K@+yt{WuI%Q=CG>VS*jxn5u?6uh!2Bq--0&t3 zn2T`t8v0KHbJ8l#->F~1^v1!8^)fIQX7OQz_NsvS^kugDk75)R7j^6`mbc~FUxHqX zTugR89)iMQisR+Dt2p4=1ol`icCI5ER+oUy@8W9>ycU?Nwaum^fltb&Ssqhu{6MP1 zaQ=6&8wVhNBbnzIjK)zr<9j&GUIEM%M{S1uN?@*!Ei`ZfFyEu23(?(}1G0myEt02ui@@$;J`@v;2e*u#+px>EaU^=^FwE| z9o7Q#)0Ye*-Iu`F4G+1D7E_T%B-O3 i`pxu0Ad?MrR*lTf>9abRmDoW2aFv_e4|OnqV*~)5s(Km# diff --git a/software/libcariboulite/src/at86rf215/test_at86rf215.c b/software/libcariboulite/src/at86rf215/test_at86rf215.c index 618f0e1..927e4f3 100644 --- a/software/libcariboulite/src/at86rf215/test_at86rf215.c +++ b/software/libcariboulite/src/at86rf215/test_at86rf215.c @@ -68,7 +68,7 @@ int test_at86rf215_read_chip_vn_pn(at86rf215_st* dev) // usec_gaps - specifies the micro-second gaps between freq steps or '-1' that // tell the function to put "getchars" (wait for enter key) void test_at86rf215_sweep_frequencies(at86rf215_st* dev, - at86rf215_rf_channel_en channel, + at86rf215_rf_channel_en channel, int start_freq, int num_freq, int step_freq, @@ -95,7 +95,7 @@ void test_at86rf215_sweep_frequencies(at86rf215_st* dev, //printf("Press enter to switch\n"); if (usec_gaps > 0) io_utils_usleep(usec_gaps); else - { + { printf("Press enter to step...\n"); getchar(); } @@ -109,7 +109,7 @@ void test_at86rf215_sweep_frequencies(at86rf215_st* dev, // ----------------------------------------------------------------------------------------- // Starting a reception window // usec_timeout - set up a timeout value in micro-seconds or -1 to wait for "enter" key -int test_at86rf215_continues_iq_rx (at86rf215_st* dev, at86rf215_rf_channel_en radio, +int test_at86rf215_continues_iq_rx (at86rf215_st* dev, at86rf215_rf_channel_en radio, uint32_t freq_hz, int usec_timeout) { at86rf215_setup_iq_radio_receive (dev, radio, freq_hz); @@ -121,7 +121,7 @@ int test_at86rf215_continues_iq_rx (at86rf215_st* dev, at86rf215_rf_channel_en r io_utils_usleep(usec_timeout); } else - { + { printf("Press enter to stop...\n"); getchar(); } @@ -135,7 +135,7 @@ int test_at86rf215_continues_iq_rx (at86rf215_st* dev, at86rf215_rf_channel_en r #define NO_FPGA_MODE 0 #define TEST_VERSIONS 1 #define TEST_FREQ_SWEEP 0 -#define TEST_IQ_RX_WIND 1 +#define TEST_IQ_RX_WIND 0 // ----------------------------------------------------------------------------------------- // MAIN @@ -164,8 +164,8 @@ int main () // Init spi io_utils_spi_init(&io_spi_dev); - at86rf215_init(&dev, &io_spi_dev); at86rf215_reset(&dev); + at86rf215_init(&dev, &io_spi_dev); // TEST: read the p/n and v/n from the IC #if TEST_VERSIONS diff --git a/software/libcariboulite/src/caribou_fpga/build/test_caribou_fpga b/software/libcariboulite/src/caribou_fpga/build/test_caribou_fpga index 68085f5b62f93ec88580b18328f59e2bafa367b3..fffad27391dda576a0a04ced8c77105accf9ffed 100755 GIT binary patch delta 476 zcmXZYUnoOS90%}o?%A?w%WhW4zX_$>Hdmw-LspcuU3(!foA#s@<;uN zMTsZ6Whf8wKza3`JlWhRUOZ@dny){nZr{)EdwwtX+-B^z8T+wDi@%Zs+jQ3!ZauF* zXj=@&8c$zzoyzUBmm3{-VlyS=d7P0Fq;r-Lw|?cjG1mkzs&Ph4_!6iin7fcY$OU9b zKlI0ivg!Y|Qmj3o-obo^oY%d*o3!!-V-v2X<`}tw8<3|+Kk`yH`t}5~K<*8yZ}i6* zUF7D#yin!lD}hndx<||M_kdLVi2Z%+yCxXuTVN-=3QviuVS|_XVX#e}L1V*hA<>>DVFzr5CtxRRfn#tfTmX-8Q7Mt5Xe2Zm zNg0tJyHq0q6Ec`J5pq$1yAkv*$d>5)asg#x**|nAW)1NIY)cy@I2(Eqr delta 480 zcmXZYUnoOS90%}o?%87OmbKLonJ9m@*h*NX9e_dUOtdoL35MMB<>QR~vdr~T%p{L37? ztXvK{r>dLgbe(#9w1tbhD@z3>u7;x87cVliuHXvt^ zioV;dNjW3`YuQ-4N4HTUTlR9!58w#wHj!gG-Tz$Y$iRF8o`Pk&;^>=__p- zqqE$oOiNlNpI3SfK1WpWSH)$&!~Qn*9m9-#z|U}rM7T51QYsnU2-l}sBJcL3k`dt~BAZpSUJQb7ZC$30GVW}^Q1M-^-L{Q#Z!v=jgU diff --git a/software/libcariboulite/src/io_utils/build/CMakeFiles/io_utils.dir/C.includecache b/software/libcariboulite/src/io_utils/build/CMakeFiles/io_utils.dir/C.includecache index d67d7f8..0ab9f2d 100644 --- a/software/libcariboulite/src/io_utils/build/CMakeFiles/io_utils.dir/C.includecache +++ b/software/libcariboulite/src/io_utils/build/CMakeFiles/io_utils.dir/C.includecache @@ -8,91 +8,41 @@ ../../zf_log/zf_log.h -/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/command.h +/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils.h stdio.h - string.h - -pigpio.h -/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h - -/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/custom.cext -pigpio.h -/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h - -/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.c -stdio.h -- -string.h -- -strings.h -- -stdlib.h -- stdint.h - -inttypes.h +stdlib.h - -stdarg.h -- -ctype.h -- -syslog.h -- -poll.h -- -unistd.h -- -fcntl.h -- -termios.h -- -signal.h -- -errno.h +pigpio/pigpio.h +/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h + +/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_spi.c +pthread.h - time.h - -sys/ioctl.h +errno.h - -limits.h +zf_log/zf_log.h +/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/zf_log/zf_log.h +io_utils_spi.h +/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_spi.h +io_utils.h +/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils.h + +/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_spi.h +stdio.h +- +stdint.h - pthread.h - -sys/time.h -- -sys/mman.h -- -sys/types.h -- -sys/stat.h -- -sys/file.h -- -sys/socket.h -- -sys/sysmacros.h -- -netinet/tcp.h -- -arpa/inet.h -- -sys/select.h -- -fnmatch.h -- -glob.h -- -arpa/inet.h -- -zf_log/zf_log.h -/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/zf_log/zf_log.h -pigpio.h -/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h -command.h -/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/command.h -custom.cext -/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/custom.cext +io_utils.h +/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils.h /home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h stddef.h diff --git a/software/libcariboulite/src/io_utils/build/test_io_utils b/software/libcariboulite/src/io_utils/build/test_io_utils index 2cc38c79a40ca6f8b231395fd27d3c7156a8f7e7..b46a8e544be31e935686c001def422e7a0bb716f 100755 GIT binary patch delta 35189 zcmchg4_H=J_V3Sr0Rx4Egp>q<)YOE;)WpQZ#Ig|+OGhhBEG(L^aKf~*z{I4~2|GHQ z3KPppOD7EM)w0CWUs^QksFM{IC`^=ESXwsOa6jw3=k%VJ`@7G*_j&Gf-{)DZ{atJA zwf~%b_TFcoeKz&phxWf=|T^7uhv zThZinSH@IxZ+x42!Q9(pv^rx(B=lF`n8Ol=r+mK5IAItK$B(qNeOuZ2LZb-}=b)8P z8q{k>kP!+^>|%U-m$^2fYede~#)*)~%En-$vQeA66Go5Pf{*C^%a5fNzuO`{(y(`Y zjKxQ2>Y8RlEo$g%lr{Hg8_+0z3o=r^QQ4J^MowkpZ{`h&!(&7`m6(xeBKMC!W@J=0 z-eEqQI69>m|B?8Q{9pKY$9UHaOBy{ew_S`vSB{EFCzUkfNW()K2Dzv-A5D57emJp? z+x5^s#z-^b(n0D~bJV3@M_oUl@_2A+HO*DVnr3RUXCL*IIkV^W>JxKA&;A47 zUuvA#V|%L42{P)Sd}t5(2%i~bT&WDB7Tgtn4t_;-NH0_M`?8;0jO^pdhVgdcz?IG0 zaPgTf8)wVjL3YU8*}IF{XCCg|Q~j6uOYhNPeM#_fG}Y#q%f>}?0ZIHvk*qdXUpCs) z_LO<-vTM}$W>TNg>PvH0pV31;dCF&$h0zo{kvKb%8sE%whdTtEuLr{e};@0q;%3koJ&CiKPZ6P+F;ldTL<-vYFss z@X_#G_|4U)`i)a6*&Nn?iTcpo*?)$5!%RvZkn+-##)$^oS+ebHuI;Q9XWNmz0Iq-+ znU5yF9xOsb z^b6sZJA%vyhKw3^%el4_$!bmW-{3~%%I02#(+LL?jw3vba46wu!dDS4j9S?oPI!=c zYRJ%{-bljHcH~CBlyD^BFv1ChqX~x+jw9TS@CAfp3HK#jLylEqWuuCEqM?pbDr8+5&K}H;u4o!oc_Hm8J*Vxj{VMDvCdUNv71a*Zu zcWBS3Eu=5yc>&%5o?~tpIy5Q*6q-2Z*t*y?%_7aOK0S1_in;A)#75-t%C@$S9q9F> zQmV}xh7FC%7^#e8C^uah-QdCIiedfLRpz_H2C07L>0#Z~rDnv{-Bow9-__mYqwyoR z_M_KS26Y=;5)xq;9do*_X%4|(ggFzt4(6j*_lY_Glh2Nd>lkh8e>S&WJv8d9XmYZT z-KmU@lED&F4ezhcnJL4&_xmf}M_su5Sg4i5;$!=4FHv|Ac}H8m*PJuFYwQQMHpJE% z7q8)B7ax1qTsu4=c0Rtu|4nv|4@k+uW#(tYd#YE=-|$drdr$?HLC3hww#N8M@|>BH zJ|iOY8f9cdX;6*%Z2I*@nHh>cR~d0oD0GJUYJlqO`r8U$XNRRe{~yyI|DQN4vop8= zo(D}bw~R=L$)}KIP|FBql-PW}`OSzvs+SpcO_!K@WOdMXXd_esO{*Su%?yvqF`vyi zQFLx4H~Op~BMZvDE68Yqwh~?skAqi1y9if8MxSl{>fnc1{v3V&@&sJ z0~J8?p<<{UGD<$}T8`~WXggE|t)CTCWRwtC0Odndp$sS$>I+3f;m~;;v_K8eerOjY z!>*B$eJqrLG=`?Ml6(8fv%a2a8R4#kr6-bmKAN!fL<%p^vsuM=X2YJrlU46}U?}ez3&ELk2i+Sota+=z3JT7%{b2nr8F(b0_c$_&st7p`< zAKFf2Bvu~p7Re1o!(U;p$m*(gnH#eDM7`Wj_o&&J^-@aV16273Oo&Lnh42Me7{*F? zW?qodIy&W>C0~s{HvghE&GS8Lny)iAk55o1%>(1Rsy~?DjF%ffgG-{zw?4u}|h zqcRd1Cxx=jZ8!Fh|K&9Kq?S8T!%R9FgUOj9uhHZ=%TyD(tJ>=L37ym^X-9JH&mExe zDCDw1PNt-N75_3csJLrQazjW;r`k@pUL1|SVTdoIlgMb_>U*+(_NasA%$x6)y87tm zSHjc}=A7w0BO<=%N>URlrt)}ibM5q-)fLrer!Q4W8Thy#A8VdaR)Ncu2FD^hFULG+g-Z4S-FxTEOS4jGqiRu`3MZkctxy3qV>*7fn{DO0F1rSacwZI>LvLUOz!Of?MYvGCYgbLL&c zWB%o`h>U#dm`n~D&CPcus3P;gT~pKtX1}|Ks@~?zy9dR0!#1O82@{1|8$ZWmXz}Xi z@SN4wB;s>(^W9x;slcMHQ%tkmbW)EiQ%jmQd4w@tKy2fsnIHZ$D8tYuPubZ>;x~iAW#d&>- z#)}Q*-cMSyEc?pihwvT2cy9)Qey$5{*vJtjk!jo-%6Z)T-$h9CFV(TSr zrA66V(Vs*g93E5DoMIaQe2HNYo*vTNh(RK<-nL%CR$}P;qW>rQbY$_iUcy#l=rhrO zjD9Mz7+Wu4D=qXn=xfmzh8M*&N7x317+8s6umFQC7?dFkvGo$R5<_2({x$R)k^LrD zB(n0jgssHT*Pvg6eh;#@RCWcE~v77eH;_th z&sEKpHNLQd?;V$m(S*RzxtDJ+fHnykvLtE20H(s`Ca}KH#E&=E_t6aw!-V}uwbF~*pdHtyxx3w_B{2bIpn?v zBGTps84(xzdd*$tmivaP@aj|d?NF+sdQ-vm9`$MU**}j|YDx8w!rKsWDdh-V9Z1Zkl(S+E5)fzo&=3B<10|Rfp{!h2)JxHLU&O@tZ$_-$&3`OxDB zV}C?HmVUKUVr64f^qS_~HV&(vwP>GGk<~*MFH&Khk&4eIbLSIX!!EE+4&vp%^o*!I z=9>u1sCUFX{Ffs2Pjm9qrrKZq&C}m|B33oh0JF1?$xOc3Y<^~(`nx&MOo;lg2IQ65 z$9|?4ltJ?WbEY{?{ngxLP6%5^YU`nm)gdcK2D64UrhJBqKZ&HCJT1eOm@)%!L|MdLrD(O5{=dk+NWwk@BkiQS# zWzJpwh+0^EdiDJ(DSSTla8HnN+GX5q8@E`-3)d`BzgCA;zND^@jCI2}^g>@o#bYPJ zlCLPZIE#i~2%Vp2e!li$l~+CaMLU^fVva=P6mbw?@o*JJXWdJxpCprx z@vXM87)=)=_?>V`<}P@gIpUQiDyw?;D{rV2iIh#G#jZ%DcBH@9kygN$LUHEW^+jrn znY5w5Dy|;C;cgW#KDVQi%;n%l(yRuH+g;{?*XDWD7v>*czd|KcKlJ+Zs-Nf!u{&g6ery}`wG<^E{-pP zi^IzTD@hC**bK{+)tT)aBKHsUYy8n{?HLt2;d$D zlnBB`arUvUG8x1s7#o?1A22s>?aKUp@7B>_UlV^LYZ=XE%(mfbiaBvxcY3MW+iv5r zd+#=iXwG_j+^9UV8+3tTgh0|Cmw+oEqw#Eri~^Ggx7fLCA;;<9X0TM}F?gYQ`t1qo zCv((0$E_CDicOzLU(uxwFDIM=707ZXIhV!6zKl@`=EUvY<8#QPbO8lC$gKaA$7H-W zNs3UFx$syQvtoO;dcXSA_B&PY^9ax5ex~hSvM`yjBr_F$8+6i~`(AZ?MlG@IE}O;;hO4U2W@pG>b@>@f~d`- zhlZ;{GxqRpJg3Y(yiWyHkNIb3#VXb0Pw%|vm3?g|WC}cq)_CoHT5s9n2ARwoIhBo% zF$hHSxbh`(c`|zy+u+E`MhV}B%n7roef*5AlSP%cul=O41{|HZ{FvB??APj~BOj{C zHPnXjzA}QS=Z2rSa9od2nsGhyDR$1YC`B!}4jPHf69!?gpp(dEBa`WeH1pdCXP6^C zAIHMPlb`<_C0An-@t?MR)tD>3n4yZQPk+H}ZamB-L-{vbDaJ1ot}zE5oxp?J!lMc5 zthx5+ua=tvEO-3wX49AVs~R)@s{!gr_4u!*s93p(3ovPLIXg-?*?hNQiYhQ;8WUtV zZ|tvPqrD=kc!?uh%wt>B(j#Q@$Y>iBLS01~p^pR^J9&_h$)id%j8E$7 z>Jk>8n3(%0_21eyGAf6b+WK!#9r%WL!>EE^3qMabF2!CZn&C_^Bkaj$OTIGpKgL2d zmtolq9_9Z<%fGg>k%S**ltwZEMa9Ifpi~)=D3@Wp2}gS_WF!DrfwzE-9n2fw^IiCQ zWQUPSYu-x2N60+Ok;ku)!lq^)OHN(hJTb($qz8C@@$zGbA`_a8MBj4dL&&7}yi7Qi zVI*Eq*(mFdH<>TD+^ag8QQr(wznNpcS;R8wUc&p#-}w8!Iq=&-43e|H9m;!;)%<|dZ(eci>zvbrj`v$_0KchzKW;BTC{`_v%jalf4ELx-O9 z-2~>dbH1CRelhoc_p17{Ip_QCD%)KCeG$ti5v_gHHgibpICa!qNVu1|q4j5VySess z_oyi!Ni{VbuSi{LJyN`Eesa1`%+bBRPV#eQT%x*G9+yhG)eQS#P|PyX(1vz#Tgz?P zkuEgGocKe63ODEcFhB*HYkwFN@3pOZ1Q~A+=AlI@x8?GL=9Z2L%{QB;e;7x7j`(q? znq$uWv1f$%l7uX-Q!Dzn_lfSj7w^;NDEJB{<}HxOxM_{wmp~exOi3> zCCBDxFK_nuz~-AAu*pqv;F&j-z#C z=o~(Jk?B-)EJGQ6!QWw%2@gWvio6$ikJysQFUiP!bfG<%wj4ny9k$%JtthPfw)&P| z@Aat3)w_TDK3GlDTRdv1`a+KiQctTKeIQ8nSE>3pM$niMyX6hZq~kx?j}S)Ws^*9F zoM6>ICI!`BZIy^wwK@JLy*XGtpgQT^Au1s(i%OaVP1EB;@H|)_4N<>F48Fi)1VarS zAa8RAb-l7y@48W~OBin@gT=&pif|cxIlN9MJ*m3roKUsj>Ug_RC>fhATkoha^#%_c zcl!z|14J);iEalr>+!K0UaX@!spD4kOw`{w(RE0;S`;xj!eg8d_ZVeD-c{l1B^6ss z%xp}9d-_rm&;Jom-ia5gFI24HY3O^}ddc-=gpYW~L@Jp_k)xKV5F>Hz1;!b4x!6u| z`5HsG*!xKobrX>LD(rGyKJO+Rsvqs5KDBaRi&_fzq!oQuwCd`ME=fuEE>~N!wja9} z9XH<9F(hNf-(ky|&=-40bX9{?ypvTY?m2Wn{2q6CH#NXx6*n`V;iZ@VB1IBT^d6?u zqB@GHF7(4}z2spK;bI*Ur#_4jn1_0dsP$)Y>gg~MNlE5==fo?9=c#xo#bkl)p%DBS z;S6tM4>c}iSQEGc{oA&FKiErnBYZQw489S5@^S7)xLlBz;Thgpy;M=KRr)I8_v!64 zowI$_K~L0q;+?i-wdgavpY>Nk!Tm&@cbU(2zsSjNI5=-1GdlQKcoaO@84le5H5iaJ8eO8suGgoq8#Jx}#emI;vFsR$;#sT+h>ACcF@8C9N;}a7V%a z36~4IBr(@D16@P2cv`q+~qb@sU} zi@uL{KGahKxC!0_Hx>mMU&FtJc6l48t9MjvBC^=aeWgq!j`-*RF4kLSpnqM@$x(gc zgJ*h-svM7zd#A@pzk?nLTn`mO(Rxdc8meybp2|`Cg48ViZmv40uGcGOsk>E*{zX_9 zJ@YQuFM97?>R0u)-hH})2JO?m7ZF4^Qx8UmMWUkOk3p1n`4@u=&(tLCT)9_vm{!+xdr)C210;89ihNo4bg zw3Q6IxF`A(ANgr`Hv9}c2mUj>0RC@yDf~b1!QS2vsp~zlP2hR9pDf$Yt>9w4_z_jD zwt0swP>*=jfAp5e)FbLE@4zDUsZ#gou!RgmS^Ck1YM)2FtZ#f=-J#m_=Ev2&s!7K$ zg6-8$E~4OXcuy~)ukI%aO(lUhfr_@HPC#gXHxTE);eoFQ9b?Y0Eo3^}0_g-d?7TXcO`n!3=a@9peNvd_oKeOc- zdf;EE%{*`OU(`M|aPSMB-v^QR?D92WF41;^bIH|iDI@$NxZ!OsbKIW6?!@nI!=7O( zakU=uj2b=Wr%Gm>iIt6sEC9$`s(-Kby{)QO(ugnCgU@p%1TA2XBxSRZpm4Oy*^lJl$Uq%yK;a-+J;V4KVS3-~A@<2j#us z=_?pdk?lu+;Q%)4z=PmT-qWkpJYQ|5qL;X8wyRNA|JJDZ4IMF-g1vOl$pS^R|&=ypMUR6o1q=7ELe3WxBaGOTB*t>SE@+xb} zRfc|m%iWcPvle3qPxn6bl9OyDcH>=k*`n8H*QuAR8@~qiO1<`F^{NHyEI9EMwIpU; z1=p}3``Dj(rjX|vS%gT^r(RKg)E{)rtLpBU)6ch^XiAS}RX)41fM*=)s*!=@Roc^Q z-j`qH7MeZ~C-NfokKg*15XxD-mkM1*PT#b1b&fRaz#oC-CTarL6P||bD|i9C2`+Q_ z4RD#SZ-Q^sJ2$AMF&~l(St-wVwuymzupaU^b#GGNC%8KX`r1<>S&?jX z0tX|%1m2=|{!MB1H+{<+YFtD$E@fdQUehUPejmXr9yh>Xa(gb;MG{;xtV-kBQ<*V&&Sgr5qiQ9f|U- z&KBAB;--sUSf#o|{1H(oiAuB=^x7&lLzQW@S#?PngvNP;K8~=wpXpGrxZ%NP3C}5M zDDI?rf#!RY{-7SUnfvIFesr_ynljW*rU^?YTe4CSDIRlts}@sjn=kav&FbD!S79pe z0e^$1Qkl{gimAv9NS1V_!WTf&cI6$RyesURrL0O%mW$w>{if=y5-PBf_keHEO*tKc zydRVse&ZE}zW+@%P}fzf`dG2dBBc*qmOI5#@2pY72d*e5L)IIw>_!&3tb1#)WRj}G zb#oSBX&TNBmj`vq7Bwj89*IUdQc+78oX*S2BVA&;UbuxDW4hk3g=e>J`t%m7laGH( zjf@&g+(cu^F>ATSc%osrp8b}(Umev)-%=A|R~o+3OEVj5H@h72U!})*8Ir%yo4smy z@AvT`Rjsuzd(M8mPDz!GVYIb8`KfRE_kw$fuisON4| z$1SU3wAHqySiL1y-Z^hGI9sFYO7#D5^m^MnYJ%FW!?vsLu}lBzs}3t0?D|PFN{$)E zl7_$O5!;ovBCjX%iQgkPZ>Ir1sK>lZZ+x}B=Uwi)QF_C>YIwgX;>x}tnf3+wx5(@Z za*yRH1tWw5haF3&^>ml z$E-Q{Oj~wFZ`i3Gu|%_NQK%mHK9AE9`ypEtr*}G{CAKI{PyE2PT4jqS=|)HNk}b;j z&e_F$o7O(!L)Bef?!DzhCVT7%(*NA6dJL2_wqYvwMd%XNY6xe+_rs+^zJn)%E9WpS z5MJr+{gGPg;SS$iN4LGtySq+(?TL^_UwLennM0-CwommOxQAFZ#EKu}>zTvg1;{$X zCADz4q)>|NBEl=Z5&M~MS`BR%@#cw)NS}NEr|K)EChOgwDRbZgVuud))k_m)kgFF2UWWWacojSfehA(Z-lU)W94}G& z@aM|+ilCKPxoD(jYxL1Cn7#kWJEmS{(!BDdBACW^@P%;#J20c6-exw!s&mJQOO8$w5Mr4tM4JZ+cBYxj=9;1SA8oUl( zh|Mx=)1h@gdy0%Ioa95P(3$T&##Sf?%7!waUQjf2?mKpzL%X0Fs1WK4W#Bjt9uA#5 z3{8W^LTON6s2datojl1C?n$-`)DqYTMMLLK-~ieK)j;J?DU=IkK+%u^ zwSLPIBD5dc2$e$>#9sz2fcioi$j*F2;hsUukmS zlb}p!Fq8;ILMNL&Mhm_vl^i8@a}F_ z{ezU&r(3uS7kNj0qfT^U(w=fwbuW@tqD5bJA1(cn^zG6oIZrLp!-mqvrSm;Q$1H0s znJm4e(TcKYotbdyoR+a{_ar3Cg!A!t2Mb{N#FJii5?nf5d9HkohXLo|P}byFoBNX? z>pQ)08i%3u-?H>!@JJ^MG|<>GZN89! z;UoQSh-XT~mxxEp_IftSzvxZs;8_x^&gl&uJw?N&as6d3;Ix|~v&fWy0*~YMJdW>Y z3jbevb0<%ie#VwC9 zU3%*%_^lj6XJs-O<_E z%a0iaWzBnaV`ooKRw6?pJqfHG_Ku|4zM&^ZdWNfYdU>ShdNsp)SafQDJ{#p3RCIbF zpW&p&H1|&(ee8Ogbol#h%-&IjuAar_&$% zS+G&SMSP8mIG<*cMR+ygt5_H+16Sy67ju0g_1TL(H>+Ru4P8AuqRKE@kFqQ4UE(Z5 z$9D59QYCtIH%}k+uy=PiE@F~c)nGNuv0_GZ72&PON5a?XkV`!KteJc*X19x(ZoI_f zo9{~o#do>Br@QC-s69kVxXLH0!}^T(o;c6FzE@z@#G1w!yp+Uj8?jF%+<@&?{Y$*( zW6Q-@TiuGdoA+=JT43y0q6L#ut}E)Tgp+k_qUUKV+5@(#8)h4QuL_GV^#tqviJtYA z!6MrrS^VfHlRO{CNDfR}oPl^g$^2ET9-i*H5J2^vgqte}~>Z#4}R0=#Z;O z;yOL#D$fa(uaky)x9?orZ9{RgR)02>dwqnS zo<@hz*Sk5*bEi@_>X@r(W?nt_YLBU&(P6_qqt!w^ez<4KzueqIG$? zCnLTB*9?h`!TZM@GfLlWsolmy6jS#|_%!d?bWdlG8mmJ_%5AO(j`Z9dbK8T~RH897 zwR^LXxTv{-b*O3j*^!<%)O_y^8J=G~s?IxRG`Uxs^^=(tG2eSM)AL9$U$-%kj-*}V zyBI2gO1-mh@C@~Y2wvdbFwXP63R`CD7U;F(J?uc!r^b8kR)6B+pk z-q$Ri?im!aV5Tw(^}EwOT|-Xa$CN>THr?}dSlJ9#YM^pG`*u%PZ|UuxBfOKzMF7=6 zd!Q!ooE*=Gox>`*%!CS#E!NZ?p>k2#-zE4p{+QeqzguV&jnBxy#dKL_MmVzz&f7 zBtK2aX2BWGEb~tAmUc3pw2hNGYBw%gQMq&RXH;iwx4dh%exg5*%$*Af2kV*QB793= zE~cQ0M)m=mQPBEL=UaT5*w$N;2q}vjAaCLMB%v1d^Y~rnZw!MwX*bi;G-T?hHnu%CpUb2}>@9 zLIud&b-`ran6F2Dh>K#|g_DcxP?aKkAMO-x1mQAdQfH1`8sT!iLR?hZE*$ewsMg!& zj%+xxDrD{gk3_arH;Ri|+XWIU)5G9oXufTv##WNqVQanAXnxGE_OXTS-xV?0qxxY&%Q9A689y3ljAa zF6N`!;mF~vbsEL(%;zF2ZD+?veny#|DK5%Uxics!S0H-~EvP!*K zTr99tbgD`Ew{`7gI1ll zsOTvLVQFwc1a@dF@m~QRO3Z7e7r$VHz?FRiU0paK!v}7R>RC zVr1@$Oh;DQ&Ta&|@hH??Wu_)ax;a zSf@Cow;W=P=!kAU&K#RYKJ*S$d1pZ73x8-OeuWVi^`R=ntZ>`#(jFuXPz!a-A4O;&LfUs z=|?<#EGTKDUgy_K9>%(G3HE~PkURFF*9Y21yKouyf=d_#ZTljlkWHJupIyr?{5%n4 zQ)ihgfnIEkbKA>CIR~%DUT^^-CqoOy`}IY zm>sCkbK!sBM{uF52DjfFXkYKbjnPC9K{z%}29D?<^)%O(N&a~3y)Xo)hx*N0rup@f z&tB90m{-m|>~b4@yI*hFyKpzL2j}_ii;O(J4E6ndS4O@Rb?}u$5WL-0&@*@V_17Yo zGmXwLb&_&Pf@AOW>u*6X_z08n-^=|czkZxNg(lwX7qHd9!W@D0dxV;Nzg`lM&sv=f z%9T3r!lIWiT7AC=qXd6~Yh3>FUHWmPZ=G-AL=cB%oNwY_aaiNRPvcPVG`qxN-&z=G zA1Nn7TE7Tm750J`z?~Y%oA1{bNdn7U0!9)G_j0$@hy4-6zRHC+U@zEhzh^<9{UH}- zxxx@!(aFkC2kZ9lrVoEfTa@pBeZL4}FLr{bvUcKRy!;uzUh=WWg{30S$Z%u*d`-bv z>Bpi^bzzC0=ECSN<>j3}f*91fusA5wtG*(iyPox%iFoYuek{@EyRhgpR=3l;u;{ba z1nNs%SoGyGY+667Tt&tamp}|S2g7Y(w79V7jaLHo=`Jk#ob`eFN*5M=ySoF!VbHJs~cVR1jjY}{0%n_GB3^L?&QtRhSpumMi zf5;oC58mp>qOW+{kL41!y0GZOxBKeL$fprgUulwD=(s%pBk0pUU`}|lkaK?p2pLZZoU+%)94?pPW zi+oj_{=2}=;UUMsr*Cj!aZvwRpg#R`KNfwi9PVoUeDPPhu;_!2+WI29ryuMH>`6rF zmw^tdTv!~GHU#QxU0C#4#{%{9U0C$x$9;O*pD%~IY=K}g$d!4g_4DZqTv+r|zxL}J z=-=d=gY(Fpp=(-;UoZB3|Lww=)&Tl)rG~uc^4LarV5Oo z?ZTp8>B17f(!!Fyoq?8i24`GY91QjZCXnI6qAz!0Nua`oMSsMFMc*LUO5e^vc2Hmf zQ(agbw79T1IOoDv215elk9A?uck2+S?+f;&Z)aeCI|KQw-1qZcqUcaRmJDRNu$4eq zpgzNeMc>ddP#@VzW|P*>mq0_OK!f0LKNbhYE-V?4FU);EU;IRQ__2PzHk9qc5hMPJ~;qOa-^ zsBds#(Vy=bpf56VWwvGgd>Po)*N-KEGcGI+*7pz8A8}zT0|Nr}!Kr>M`jrFy*qSqM zbqT~E`%1R~C3ImcfgyqVRu>li{NaK6dKVUb@Xap0wEvYZff$5Nav6Yoxv=PSCkN`w zTv+spe+<-TxUlHgyRbF>?{W#mAbColgRw3w`YIQ;?h+Rk{otvA@v~i6^jrPdH~t^; z3#`E>{gyxnQ(agb)Vi=_px%W=pLuIw{2Uh+{hr^kJ^nZSF7P$Nvgv^i8eCW$6we6M z*SN6g%W?ws@*%qK=kveuJ{vpZ{~1SMcg5xR`y)sRD_vL;$S4TZk9A?u?|L9mf6|3T zUpwEYr~UbQs$LKIBZxuvBYrFyC~;xYr!5ZDFK}Ve*F6!aHIH|?vEe_;eYXCaggf5qF-6& z*MG+hNIvzJ@yMA0HM#U+-?YMSFaAQG0bA)i*L+o=L8}XkgQ+@Dzrck>-%uW?4}R8< zMZfDgKelQN>`ULyKuJZQ!B!U*2L>hd{d_ehC3djr2Uq&BB#`04qAy$D^kskZ zW2u2!7d}TD5xgGmtOBGKy%`uG&xOTdes!RJr3;I`ye3dz>%yYX-4du@;KHIW>gM$u z@Ckt--<8(c>l9LgOqX6F?BD9Q7yWq`7JcU1f%*a$7X8Y10`x`3R+m5wYPSa%7)>rL z`p6xD`b-xVeRyr4KGlUqf6j%i@jq^-KY|#Py0DaRoeNtTc;9a?`eYXteWeSFe!B~c zKJ^1ve7XO!TmmuR%trpo&v%>EyRhi9cLnP6UD(RN-avigM}92&@_l}6jsKzh{Q@z_ zKj6nwf^ruY{j`4s>Wf`i^eYbr>bJVE=)({HuJ?`q-TVS;{Ez(ncLVGBztn}rLDd(5 z`UV%aGH^6dU+2Q2U-p%wx5xiozYBchb84ew;JXC5E-Vh#9}Cp)ap7q0BEfa>{`>r- zOD{FLr^${lEb$XN`LXD8TsVac3C?qMJmvBw z5I@?VuY~fskniV9Z~@Qr4z_aa!jfP)OVw_@EL}TT^l2CQvBa0pHQf5Vi!Che-_C$f zU}164+SMOH9CVWvckAcN;Qm-Y7Jcv~ek}2~yRhi%U0C#EyNkUw{@K^q5+JiLNwEuy zgAx}O2N`kx1Vx|c!lK_3AE-a?!lJM0f!<2ru7N`$uztQ0o=os#NuXPzAB%%B7Z!b$ z3yVHiUhZ2zUja*9So9feNB5;~XCN1W6G05RSz7=^;&YJh!lIul+X1YfPha4|qF>;` zlEGpZ7X7+ja%iUY^Ep`G&LFLKU;^TD!_MsN{Wj=Tx8@n695&no2IvglWX<*W(k{qRQc zE^sGX{|;CVDQ9rC>^}n67a@?B*W!ThldOZl!)@FGmLtEN4E_w3Q@x!8JA`nFz_NKy z;>UpHIBrMZ3oIvYJNiLjIZWHh;I-f)ITqV7;H**W_-p4?a}HQevUc7K-w&2!sU7?n zSk9YvYHT@Jj*)f}r~u1}(M|zh2g`ZPp>~Gf4x#!LvbDZWnek^*75f|SWdciYW%e#1adB=^EQ7Qcq`bc z!aZO)i_*EKpMsCL@V~$s-Ido5Uj>;u02Fsz0c7ckFrxD2a z)lLat0LyWUj)P5LIbPAh+rj5t_+xN*zCVF~faPdKCxeY(IZDylrqK$PvlD$a!cpjL z2;}2#r^+v+C~|V5b4lXCa#EsGL&;z{`OvA-;b1xG(24&Cu$*w{*xv${lMEew9#}q3 zw+dW@cpie_*?xm3z;ZUBlfWvld`Rv%SO=Da0-Z)!4bF7w-v`SFd$NdgZNkR$NOhlLk|Gh7Z&oHXYyxC@-&!gIlL;+dl_0q207``84_ ziDr)eMX(%M=G--#!E!{Iqpt;5f{UCI>_Z@jk2%-;OR$_i=In+!1(uV*9Q*TNIVsFZ zpc5BUPT+D%doElC8bKX+X?+&j=AO{#Z5&j64bBLU~U=~$YEslL9xJV9QaRhH5 zNCd+y2k#J&gH;^;M_@T3!_j|6K)%j(a0>xB7Qwk~e+Ewl)4^K)I&k~sxNwZzfAYn? zbB%jpAfIMCx5*%|e46dt$Jc`8YiuV&6T$M`wNt_zuzXyMWiEpXH1dJ8(*|A#%a_m&ej6+wK06Ngg5`^62Oj~;7tYQl{TiIR<#5oB3-~~F zRcKH`QTS!f6g9#ahFig{WXD+%YIW(yAwTcJW5L0)r`G!Urp%!(Ecyl)miY4NvF~R+ zRNP^7lU=FS&z{t~usA4jVR2CA!lGX{+#g@Cd^_v=bv806(008lEQpi+b#oZStz&nm zqn(9TuyaS=3vK{2t+lR3A-Ktf9|OymP|MIu^(+Ioxb&;Q@|kL;t$z`G(xvC$99(J0 zCsgv;hO;UwpHTUJzK5*rbU%I%2WRw_jzI%v%7>|;*vXA8wJ^zLb{I3k708{-Hs7VU zYR!eEmKt36OXAn+F`a@&7PY#>@pLqTqj@pzxIH(*pF43IOm+Bv5k_C^1s_4+*zb4g z#a^nDUV{w(ZA&cY)Jv zd{Z=|-+2Uuw%~RQ3fQ3R;Cyfsc$%w5(qxyi^@}hbMqkH;avG3iAj73!j9zdya>;NR zxD4zxsOO3h#AW((_zJiJoM%Va3=SUS$8Ur4!RN_?Qv=yI_%kFK$aCTMu@{`qVvb{9 zKF)97TOzD;2|gl%;B&4Lm+6-yxRWZz`{R9ynP4ZM{{jc!$bkfQzU4~hgMB~y;oOB= zu`d7*cIB%wJJ5ce3*)cI*zXcZz7M&uBxpmuqg^Ak` z+c_v9s@Ns&g}7M1+&O4K)Uv7m*jb3#8;&@l?pD9JP%rEp)Fqq`E|6QqRrf`$ZaWSSBe`?LG9)Dp-{Z%TwXt*)u#{gQHwvJC}THeWhZ`A(3&W5CO7Xz+?>}j^E{(NE(#iuq;7*xE%zp(uc*ZyteISyLkk$ zylil;&JwU}ZYZ(c$ZJi83xrjMr zZBQ_ijoizL;)E;rI#@PRIC-fB%f1H(9|o`E zP0f1Nv?P8rSoSSA`k%q_lHIAY@OUoqdcC+CnU&oE>+Q@A<+a0Dy#;ZSd}iX@8FLZK zR$H!><$f`^K%d3TbKcMw#|B-&36x`EDPlK0E0!B2Td#_x&7aYSMVPD4iZD<2z692) zCtrfFO3xJ*tXILJ zoCTi?($~dt?;O&z;z+7MFNP(_d%jZJS$+~py~H6xwwFi2#d>#K&K)I_P1dse z+SW0bvSP&IdcBSNfMru}xgGl|uzZ^oj9yyz7_hv&cW#==V0qIly|Y~UJg{tAmf>0O zLtxpTkz?bMOA*WdMduD)fdN-2p2EcGm>#e)Jp>jddk~zP!|2H>kDi6tbAGFSq6ZaF zuV3yFG+>~NM2X^?W?G7symEEYdKN5WLXKUw4d4PDl0X`*y0@@gJx178Ju87rB6|j$ zS}W;K~Nb-CsWxC)pp5pXk%%bF7tCN9i5Kq-x z5K|F{lW6Rd8H+wL^L_)=~L#iA76wOrhOo=`G?!cK_|SBgwxk zk^PEkb`~nYb^2^i8oPWu;Ph+1BHrJoj0`(kSU-kM-aw~y@a3gDSl+oiUBLiwovYs) z1-8B`>*TYa0+ts`gY9JR0?V7Oa@+qy{R~~*D=2EF?87Uz3%nX5*|)dVHrfQ1*;l@e zKLE44)Hq|~I;QhE$tCxahH@Gvv4*<&-1S{kZO7b9B_GafWMoGh3+#e=ei=Cmi41*(j%VlKW`j*2^rxvk% zIB?R&d%!jN>}A|xaeR8xWS8pVWSJWb=|hdA>shcU*$O|+t^@fm9vS-OKHNHmy15VS zOg1&O*ca(bw3RxhFDX~V_@^`%r_kxI^Vd)^xWPNQZ_tmac)8)0po9<^lMm_Hw*>VJ mk$$sYuacmA&Ur|`OE664ll9v;yH~H664b?e{+6Hy^*;cQw$RJ~ delta 35105 zcmch=0bEs87Vm%dyRd7t;*=kq@Aem;w} ze`~G1_B#9Qv(G;J?6W!P2|MWtD@*Tra<9ucxz}x+Ts!`r;8sBE+HK!O9r(bz|8F0t zHskeEA08OJ_|6q4W=B=;R`oIJT=nCzy_HHgSI3==ZlCKml7Hzo(xAG7K}P1}DMqWg zI(|gY188z%m62<{8{es_%y+Mzp#EisB@9+)&Cv;ChMrtyoHdNb)5kkIzo_bSxzUCP zW1ibs56^^#kPe4tL>gb@nVS;&hTVOmaW*)-swv2*YFcN$oiJg%2Om)ltD94o?`stw znb_Mtvhfj;y3uQ>6^+S8nfL0>VNK$Y;m%@n+aHBh)r?{579P+&rx6bWm#5>7C&ly*rJKUTSi{Ak}2vHQ*NYzPW9{ z;Ngd!HO|&qo?3F<#!;vcY9NlNyWGZg$}sA{k#Gb2lj`6kQ>8Sf$jQh)J;X3xFC1R( z-HVG)EZJmB_E%&dng<6)sw3vHfdf>X`Tf8NJ%2)hPoUXjPP%q-STsoTKZ<0dx#8Lg zF7>S0eCQyr( zd4l@Axro23&0YLmW?ta${pRSD3F;2>(UdVGC*!?^9MT@9aD-9=lQ~+cg%)a|1le5h z5coKFK73mBxs=IDU1yFS{FHjvJUDos+GX}1GHmFUr;W3Xma{a=*<#CC2hI*5djY%| z{)qYLkUxbzNRCg)F~oS@`@K1G=q(b~&}r&s^YG9?BQwz2!L?cbB(NR$2#4q1?Ka*b z9R+_4o(kVvopRkw7svj1>f~T$9QC@YkEAYfM?6kr2&OS~&=@*s3@@3p)2694=C-ti zuqBqR2wjPJENz%7GJ{7Bx+NoVqgNVG6!IwY{OT#=>|6iwS5^aMNo7eqLm)ZckBFfR z`EKX}>2~-amtj6Qa{TC79i3-~sEytoa3j3jn?!mH=^)Z^q|-@sA}p@I-GP*(pQs?A{|0HmUKVTmyzygPQRh=@GFoEK~hEReI%V& z?yV$k7{53jM01G~FUS+&CCGc2>u!iuE#{sZ1{AwER_QF-*Z4b&xO+H8C<~ec6+o+? z%}@>00JRF|JcrD88%fYaXg*}Oh0!j5!x+9~@#VZ~nX3Qc48gMc&Jj*O`mP^o@Db(gs^vr!h$^feBGQ(&N=0q4@Z`6kkf8^SaBrW~VGScCsrMD?09d;qX z-`(T`dZCC4FKM1nIz;aDnfqFU%?&q=ncylh&iW+W`->L3jdEx^R0GvPC!sb-?lU>? z`A`g9Csue>INVs>{HD2S%Akmsx>-MBo|y8|&}9!%gKse;B8+y@ zmtAKV>)})KsJ#h8&ph?n)aIfqHhPO(8@&_FZBr9szQB)M;sdC^pv0;sR~!*gN|xC) zHC6?gKTchuI?RWEJ}fNb=gLT)<|o?W&!rxhw*#Su#TmJnh_wv5GhcpHc?R}v49aqMoZyf0lat=YJR^OHVor^KW>=_HCuHKyS za!=K6-ZytZSnsbmo79Vnt~x!yTsL=y8d803?z5_Y7Cs)t$Hpg>?_jIsVCjDAX%DhqzPl!<4W_z#u!=W_-W{u!nz!CP zO~sk(?w%J@j_*MXN2C(B=~Vcg@D_9YJu^ms z-bVGNYOmYKZmOdfrG+#-b55FQ)oH`f-an9*&j0V&#Tn(?y0TAm7kT}C^YA@`rw$j( zs_f`-b8>ppr%DqjM=pH4aGHzCUfGlE z1(c(Vg3~n5UYW!(-t501a%={gB8Ns@Rdsq4vLJ`-Jl5AETjr1@A>&52ATlKxO^8Di zhh_k>5{IlGva8J<3vS__bAiAAHpku@tG+d7-#aF{g*pf^W;Xr1v-7I(@MoH30PvZ) z?cN0SsQK=_Gu1(}|H4sffH`~N2z8~odSPtzadND>;(2d5B?N^(-mI>uYI?!kx3F)| z-${MCH+nxePl28U8KBS19~br=y-!eTb-{3Gi5PHLq{XHY@jLw3QC+OXj0_gTf|@mSb$_9qqlx+?5v@Ho=k{M{=im1j!gn(uib+ z`8ARemZTNQWHaKv$gshdBq%i6JHZ@|WPl|}L^8&ljwIHSWFQ$~E=Ce*NoFA#Y_3Jp z+maL_8DQ>0;Al3#s5&jRIOkN5F`w&U_dQ&ZUkCYmg5}`L4SK}+K?nN4 z*Mql;4J6%`T+Q38ZmX6nZj~8-{|faFbJP8UqJ!|6nfknU8r@_YgI2kMW}Bz(AE2^L zl|LZ*7WDH6RCue<<&CKD>2ESe=f}p6K~r{3g}40r3UB2VevJ{n+M9=Enz=YXK@Bn2 z=EthPnLG0PUQt@67!$=Pu|+Lh@r&;+*#o*R$8!7cWlf5 z8)rniXo=cs4t?OEu*@ZHBkW3lhf{Cvcwm(3RqcIXzfvz$Zz;INrH)mf`}H`bR#p!! zyi-NL(8@@f3ORWVH!mtZC~?K-71bLa?yI=6_WWjz`p}%TWS)A%+_L0O^~Y*eG{B{< zHV6K8p$e*A{o6H)C-L}Y3)Pd=E0^^NQok@OmQPmW%%qo24_?K2lY@j=Yj-p;dGbU?l7a9W}^df&3}Wz}<6ysy;d)k9aVP$^+ZrE_aO z-FfyH&lTaR<=%9oq`60`yRjdaPq3x`*gWy%G&S2ydFm}0xIQ(l|9ooUPp`c4igdfj zX#{29kbZQ#@I?5k>ba$#2h$5o`rSUnAt?L%+78O<3fJSJoVgURd1%42@!`Hk<-O~N2eoqm&N96bFwNox0us8W$4^%w%CM|JAz{Ob<{BkI$$o$8q->Q47r~bhz zCXJlq(AbAKg0y(J0bXyOdg)p9S@nIJUs5Sj%tVauu#ClMt{B1ZflD#>!jsIAFF&Pz zR=w}#SJhC-G>c3t9hpk4Ouw@-t%W}eC7J8C7Q3oHF+bgLwVA#xR6SNbY1={-FOF-` zON4p&l7g$j;=A5__mw5C&X3KT{&by+uU`D87gdVrmtl9>$|H8-qYW&*GJNShzU80xk~wz@wow^T?~(_1TF_ zLBCR6vGXN0RBUfXFMc-TNAgSni|;9L31}MpRw&I}@Y+Kv#ys)bFg2>WXEh;6_8PJ+ zLv5d#rB=3;aB=elyci;c-80m6)dTkoQZZ@xZ6M>HQP>%`kghcs{AH$cRqy-D0KZWq zMt^e{z3DUh-ZMe%HpkYCiht-Mc`&VNN@5t9NEfi=I1kux7k7}}=GvORG4Ep`y{aarQfemj^rGF)ga2tWZ0{I#n|bTrSbC`id+$_<=E1!$(&fy3ee(DM z!gcc`6Aa1taTRzoWHem}mb=6p(ydmM?L<5g>;+48Hp7>hXI`JC&YPqEdOAX69oP&C z_aAz#IkYyGvEHqiDhum5~M2AK=Lh%7K!gHe@x`WB1Qg@x4Bzc6ex!2?`hY zvGB-^-l@nWis#K;2L{D|f6Q<3Eu%iMXrQJuEQ^)Z7Y@AT8ZKeBTcJvI#1WyK)o`Me z=$2T~{TBWxly07R>rYITRU8_l=2Ra!^n+W?tv+0LS{|es@{{X)28pBAejz0XBD?+Mb2-!~~_BF5SGw(m^Z&2xo|6_~se1UXr z_39&*IumtdWS~*@B3)ZO`~yop1$DARogixS*wHcS*Ji}AI~mF^I>sHRdhCaNlsaur z{pg;s&F^=fm9b+E^_FSw`>5}vlMOuA(MGqR31SQ_>DkEaG;_SCzp->O5Apg@YG9@nRSpr>fsaDtkhyw7)VYGrBU^+_20zkd?<6g? zn*mQW7yl*F%>Fo4U1L7}@pryvItO2C&`A~6nooZ+Pd!?F<`XX8kl)hBq5QL@6en9q z*P1D(rtu83^i%?)=yj)l@VP0%a{nbaTRwe|8KdaWhN%y$Cw(?k#mLDj!KBgQ>=fxV zb5G+;^?(`Hlpwe8rok#&x{WeSdopQccN^Tlny2yDx3BrEue3_^{Vjd1x%c#E+>zI{ zc<835dp9cekoomL`>I7|&(CAkz2?BrAG+^axqG^Usiklu{1LZtkkPda5mlmL9Imgg zPgr?&dj6wa?CqW7B61C5dHcUzN5Qwo8%7QMCV0^OZsQv4WjGniFfz;qofGZ(i;#wdtLxuNxb6=L>0 zGlFTgv1eAOkIjRm51SYGd%#TjVuY$T=YBCtZ80nOtIfkmo-x(g5j^sYJo}cKVt#*i zn94L$+8*M;XG2@8Qs&;aXVv%Sq;m;lqyN@kbr%V~^4@B4BlPxc4D{G%!` z@B1oN{oGvnRWWk_!R>>T#~j)|S$$$IC4G&#sr@@O$6WVyY{YHvNxjG;)w4WWR-Jyy zeEaJ`(Vx8Q@5nw@##O3s)oH1tS*H4CMD#PF;TCa-(U@Fdy@)Wtg2@nR61nrIaC$Im@{CZ#VBc-&Y+om!6M}c^O-I(U6w9x_Q-*1h1UI zGV(T>JI>$9JWbCF3ssi6_`)L*L-8i{7l)@gJa(Tkf4uOZ8e}f|*8s-OYyY)^*BjB_ zjho)^PN(nH)SZE-n$j3wehzN^q4NuQ$c(w4XbP%M{|DQU)T*W@hgO~5O@}45*Rk+$ z(>vG(6G;`eH=A3&`+*05O&u?);wd!E)dxaW6~I@~wBz6@R~trWCl?Vod>LI6O}CN@ zWeFEbJI(hQc-C^mh@%&IOJ*;m_rNBNygSf^b1_8~Gg?K~PQLZXWaeWlI1zuR$de6M z@myEo7FAn~rQ-8T zY^K27$UBfHfg8k@z&<6A<>*4L?u$c&_C?x`!grBYPygY5mzq(%@5ir#)E#;UubR{e zJ=(3-s9)-L-D-Di<^+Q<3WiBHWYV84ePW4c?s2J&>6RFmxxJOEW zrQ~{sbfq2}qWt}4BF7=OP@mc}x~F=TrvbV~IX1~YgzTc*kIhc9zYQQKwUJ?+arH%j&OXP2TfZ|ZZY5{_f{2iuLOBAQ}+b-Uk^wV{`g-vlS>ub)wop6tG= zpNhBRwrkVKcN^baaQV`b6g}vnl%E?W(WNxn1S@vo4zks4T=xF%VpH$x{UmLT*kz^>5-ro zWXqs9y(3qRQZqf?T=l+N-J|!+S0AWJ`soE~p&G2e78apr-wXRrAG}xnplbBKg{oN5 zZ{{I<%(EqrhNfQD!TG>ddXcbS>tn)ZdB!eMPpK3MGu;X^jWFfuXpDr(#WNBvVP6kV z_ndn`ZFH%Lp4E%hG?(w<&BT7a$NP}_E@*rWeiB&(A+0aVI^45^$&dVN_$>H&cpm&a zcoF>H@G|&+;2ECyrRo+}OdEKKBaGMm}M(fmg;Ym(7BWOdlYiSap3=m5l+5^m+J4I zRQpwvXYW&-L7#aE=6#1+6@=O4q@|1qc&3hcT7BZ{DatV`u*~M@A8qkw#822_&zWb` z0Od2^joh^4wK{&4b;me__>Y&&gIB9a6(NNkMSjAPXX%vRQKR>IPW?{3uZCy*-gW6l z@`iO-t(Z%;gW!A)@ohOY_eKBp!OKVQZ0GqI{EktcU~ z3)8X5|28HAz9_-}k}mNNewkpG-t-(^pYZGvC+Z>H#0X!_)rZSz`t$Xs3e|V`P9l&> zPkzvURmuA-d5`t=b=)O025b9L|w>YW&wcD3)9v#i|j`@DKzP=8YW>!`Opmv=4d^?KbF z^+z8(>VvnwOt0|=edJ}%QiArrtOltp9rj1HFy*TkJI}UcMEPFd74WP=^)x-Vo@Wi- znEsOI40+OO361h@^=$Yf*T&po=;YPs?O*tx`KwrKBo$gtq_0^~83nXi@Oxmnw%Ul{ zBHu`Nsk_qd4ikF8fnaj9I&whoV@7IsNqGqJ@ zbp-vO74++pi|OyV-q zwL8=}b*nzIgM0S%dg!0k{ryv)q=z2vZ)C}YB;oW1ha$fU-mdrlS!uOhPk&WS4%>xG znW~gG>67%fS5?Bm+Yt4pJ`73@F*bM~2NNLkl^eY8ijI<}=yR{CLB)>%_w$@6xubY0 zyB2Kh%x*4VBCiM8gRBYBr;$r3Hwfoo#I?A&8!TK7Oze*{Eh=`8TK3{Mg0%R&6QA>iSuQKXc(QS{Uho(7p!!4~`-_?uv)=F@vm9rZ)l&0_zebPsa05S~w|Uf< zo(J$D6Sv>c>&RyGge_fCMAGTia`m36z-qNa;a-Y`mwLJH1*CT6{_Sx>l_Ut9w zQ?+UyC1D$>v-hcRo~iHJ$3;0#Z`!BEjKA&qK;=)gD!)TyR^^9lf8}Q&>y`R!h@-=O2);M$JWOW#n>_Pki(KPPYLu>Gp9@5rP7Z4yf%{h`ts5)JuAOy|(BnOO&Z^eao_{utan830w4%B`WmXcZiX9{IGJ?CM0g~7N%Bs zd+&Ur>5kpcp1y3?iqB=bA=xwi9mawzebIk^S6w|^3f@b8xr2stNNPrfB0qt?%(|1Iedz4nMT zY&(v6t)))VOaGw;hy8vnXO_D`80Y+Hz3U%pa?dJ6GB8=7gFjGa%#_l=RnvllEtZ2c zz3T%tLG98PK2QT9UN4gtl6^Xc+lO4#qjbtq6&vwu@s)atW;Nq<6Ke*e^le9J~6W?|V!G^}m{>UKIW&vdfpB^1e+kFAJIG6Gf4ZK1QRzS3i7AM&WDp z%^#|p)R+3{51Bl4DAaSzpI-*295 zYKP0%Oga;O6uyjn<=9Sywtwd;HfnHE2&F?8zj7G|pgd?6lm!ie;vfS$`K8OKhiai^ zP%4y#<0N<#WI%1_T*hvw5-Nq}L)lO!lnNz6;n4Xu9)R15T}B;=-B284Ky7DTMgvp} zRY7G?K9mK;K_O7b7fdQb$D!R&6||YWw^sAN_y%1@rG{_>7pKg zLG>+`M;_^V!)f`_D_^9?mT8zN%+6%el5%L2Q{d7ktzt6oX-H-gmopc2cM`)-@=13) z2QEGF9G)y*VRUIfJIYL*%-G2c&=7nkVJH2%Opb&+;Qoan6FCJ^7z*j#Wum4EEE6-k z;WGC#2QITR^>CTsDTT{yObgr|I9!^A@ud7qopq_Zb@caKk6E5azgJ5xi+^%u=UJ6n zbvl&yCH|L?8@zL#^xqw)=m_PS$7AAR<@#H(5yTUMo9iFC=pelPC3J~HgI!A^J}r^KKz1`r zT)mgm`Pb<^!LFHMClODOrQ|H^uJXk6a6J{I{-rndautuB&&e)|3ib^r<$l#j1CTo2 z4&Ok(FJ0p-Z0f+P!SbRtt(2!!J-fFnHb$-RKa$Jc!An}WJd(eoSEF8V=!wp=NAH$( zWZ9?ddiU@?MNH3P#*qEAn?cJ`(lviTpIOyZ*ZWFu3D`Bb_pPG-BcyxWZ{*#CHs*ePa=y;Y&>n3+JQ{$bM<{;uGpbp0Yi*Co4)4;`5~tAVaXTBf|gHe zPTIM$IViEldmfo&Y07{pW_-!p05`rxjyjCkjWjF z$D4sJj}OYtH%Irp)-^c(_%iNcT#B5YUO~J&4@q3zyqQZ<-jE;B)30^Ks`vDwYh5dP z=9F;@f%5eC*K%iDqh}9tjdT6y5&gm-*UiPXKjDt^zd<=8=pCSw*YipYDunt$-SY+^ zs~XN6S{gP`6jVaK_L1DcAlrV{4KnY>=O??bqs~$S3ftiS4QC|t|E3^nf&(odN-C@;S6Ck6*QQekms%x*Hf4Atb8WbHB3G2i5c$Vqu!Hx;Pt>8^=;R?5@KFiDpO-m zd5!Sry>c&6;iZi{vNjFztVXTWZ}pB5^peAM(+KYW+4{l=y3pG_W7EV{q`q||t?bwO z;gMXEje5gKvgGQ0BVFUvKlP6zDQ2P`dV}k1|3Vr{5tonLIAjU1p_H*4*(#_CD%Yb& zxhD5K#AR{_xLL0rMK^w@-Zcu38}*S4}5ZRzw6*Lt?4yY5kHiVnMxcDF|_y3u8- zGOfnACa7XPX^d;;@cWkV-5|F&yU2F#Q&DTVqVY{5GOmH9fLp;wp)`GX3`hCCRvE5Q zF_POzm3f~Trx_?V1vN}=HcI!kcIfFDu0he28N>{2g?2(U&;h+V!!;rP1#+Uil%sAh zk6Szy^n=g$oXc?aajBd2k3Xf-`s$Q%t{Ks@e?wW+dq`?5^}oW~$aKLSdhIyZt7^Gt ze5UIMmpbYhJAp$}ujv7Ym_TkaEWKr zWY<@!XSt;-(d(wVCaY_-cdBcls`pI&x$8kiH2bExPE*FFY_49lTQ~iJkzKfsxZRcT z{0vt=T{gp21so+rja#q-mf0${GweSnd@2;UpbG-dZ-H83hjhypaW2y=h0ufK2edS^U*`KPy^I9 z->v)Xb&b*2Ep$bC_U5{l^y#^kwz3nd^^7iXJr!HLne+Ic&d$#HoYx=Wg>t^(CGa2M z<#0Yi>D&xw_|v%)-T`lbvrfG8Je=htok7nr`3;YPpNA*IS+v=i2LA@01!u6@4nFPU1^Ta1Op6+y*}cKMQY!e*rg4Rwcv3;e7qrnFRkFo(^Z2*O>+P!gJt! zNZgqZ=gaZVQg}1G3eM24^8lO=mpfbGpHY5icsVc75hTM;!L#9v2RjSle6QSD4*wXw z6VAs+ohRXZn%@~z!CP{85}ZL{=S281cmez@C^7~cn-V2Ye?yd>wb3S4kwVV>KsyB76sY7JNHA5B?{3 z5&RYSD)=_|diYj&4g8PrI{3@*7WfvpEacq`?*P99k9vWHGw^iyCeg#I-~}%f^B7K| z48iZ=JK-DPN8#(?G8gzF{37@Tc)u6j#yWU9yb_)R*YE=P^YC(b1-u4c4sV2;@Syd) zY=+0d*TPfbW$?^m5^G50!hZ)Zg0F_Jg0F&ahCd6hfjY~a}(o&!p@MZ8N@N)QX;Je`u!|ULM@J9GU@OJpG;o%$I#$tFHyr8r5iq4579z>7} ze*nJBb8DIF#pM2WN4PU z_PW>!;G}2he%H+4vGu!gO%@)6e8e29(a=pc8`)80yt(rI#P$y8q&|4a6*;yA)j?nn zpOVoXybaj`ICn#z+urc@ZZe+ejq^IHE#%Z=xl$qn(E=N$(OD$*7!BJcMcpvfsk(`Y9xxip*IT_aRHu z>VM!O9o15x{3Huc^+pD=Vz^!6-J~;-t$@o{|+F*+ZWGr$N`1(rE; zalR-*5AI{T&x?d){#cjV-l&*kRm^eHpSh;r7vuC0&V3D$TY_Ctao2;%Dqu z%Y|(|9@S3E+?I_&R)frW#N&`1&`si^&T@go7xid(12SjbO+@l+({IyZlnkh%inc zA*Qiy9y%eQ{6s9jBO#{sLaBdD9m;_7JKPpncrgr0bDMiFR}U zIq9R_+)hV!Qco8bEtWYFpLgj#SN#`RTQ}JQ*qzs##KlERWtYfGC&S>lolW*9h(eG# zn>#C9ShD-Ixail-{1#M+mbqO&w<1eM<~+EcAxqWc>v55e>LAdLBAoO|WYS{o9!O-F z-DCriW$CrzBHQwg#8(%Rt@gjjW_6RXzQM@VP2wUC)ghpLMiNOEAd@KU17VSjQH1Pm zxcy_6gBg_Jhv`a7yP5w6RT(m=PTK{q4p@QRwTiFSYss$54!eRzZ*};<)aVcGv}A&% zc{1f>)O3?2=_c{fh>x*#mVdj<0oXTmlgVKnw|v-kBak)f{(q-7T2VQ#5?Yw5?X&`7xiLsVbn0l@hSYO0jNTdIn9%hg?Ez;M8;&VxQIiw*x_OjszhWG zy!@oZWMs+RT>K2#5FPP8F4DT0GoNH+baOixS!OpoWc)g!);{XvgFm5a<-7iq}mcax1omakO;GHawn@ht}$f)E88F) zgIusP&O*K5ge##qV^r6;mX8kL$@njKU^%>1?9$}_g&Cq>@4%vObYRifusxFh=dXvO z4vfCow||n;Ac>ukY%C6BGbtNO0VU%D*r&fKfJNWh4O<)kpkc8M^&nV=DE{+B<;w0# z{$C&C0loMHL({|w0iR3ABUpME7hgC^8R<6%^b$k11D9YgxE{G}AAU<$`#1+K!(MO+ z_fgBf*jUDrRsYXl%d&*k#xIgVa2W!-fFUg6v-J{?Eb6oIR_p~AAhPXCrUvw4U**7i zSft?-G?3BGu>I#Ty`ls&*)2zOA5@sC!n8=Uhr`S_m__QR{{NGdE!mJKOkUr zybrUf(WMNv`2oEYAm8HpfBv=`#8xjj^qp+)ezlQ_30(-&B;C287MFD-W6j1IEY{XD-lC!P;HjqK= zYaDnR_JW=E4a>UPpLAfROANv5d;0?F;k*13^|4QBi}EeA{}*Pwi=E(E%+uI`S3MWd zOB@XjEERE4Za2Q4zbS;22e9bV9a!>bIxzZcSd1RXAO=SrSR7R9iqD9%eqF#!#Mv(f zuw+~Az@pFE&`s~aqR-jbRlmxCMPDViP2bOVT*XGCLm&n z=WXq(-|E1k-};JEPn$jI5cmq%;WXg6+kr)2B{zEC&wpkb9a!{fvc$omFLYpE{@o6} zTr-Uhff!`{#bE$0a$wP)^mNsS)&#KVH@_ahatb>fSoBe~0ln{<$#e+BV3`ApgHi_; zedZeh2clo*z@ksy-&HUBZTNrwUZ&=)OSstA&vgU@zH8`E{UrmRKJjn>O92h<2e1Ti z(Sb!@aHOlg%7H~6^?|J~_MgLvmjqUXM{NVYzSV)nLCcA*`iUP0u;}wY31A6uy#tFr z^pvG9wtD&uTVM?$%0BJtpvHm4L0My0eVqe~KBu{>ez^mSzUs7JPy6#9VZ9{~EC%^9 z?)3fq`XUDweeUN0eIxyw?A34)x%6*x#PeGNda+OacL0k%_Xi)A_U|unD_Q+Nzk}Tl zEDkyxSR4eYfW7FaII!qvIk4#0JFw`t`mmI51<>Bj;GzSIgA7-oKyi@ez@o2mV9{@O zV9_@^u;^O_`^vWhnC0$TK&}IegLVfN2S!l9-WOnS0E<4`fkmI#qpLm@>@VL6;CMF! z`C8up^Pi%)kN}nfrZ}*#fSz6TSq?1v)?Qup{d&u2()aTh(AvAJL1<_Ii-S@JmH^}{ zdjHR#KUp4rd_R91n&rTfKQ6qheo8n?e(elmP!$otQovCM7JW`+SAB^Ci#|(6r@o&* zfP4oQeNl8*{pMnaKn&vgb~Tvjz@pFZ*HvHcz@p!LRabqZ1B<>TwyVB4RGtrgKYtbO zbYQ80lMXBn=EMi=MPKB=qOZBStG?BNMIR)CE8ovoL&ZkELm&qA$z2Rs&l|v^-#Ivd zC4fc;_60Dkt3EU}fJMK4cmVsx%m*9-F_?9|(|{v%U|#_vyXrd}SoF)sbk(;wu;@c) zIP}u~*EO{W_ERu?ZBe1 zabVw7;=rQMnAJ7^EC&|-fdKa3|4#-4zMId)*&WEFJPXFIUy>mTZ>KkvYzuPgHFX@CBnYRJ++1~HiRNB~O!s~lMLnJc^M zOB`7AN1yDf4|ytpMc-EH!_xl!0VF=%)u7dZC4kUpy6TTQu;@D+SPBST6|fh5ssoF@ z-G_bmAAbN*s{*o(jL=fJ-5 z?Q>qy)u6+H#X+v_sxNV1(YIE1)rYPNVA0pV5Wv0~1N+Oj0$8=atHA*W76&05q5tQv zF*#xzi$0?&fTe&e2NwOZOT_Q1cK^@Y*43cSfyKe0?OpXrI|5krm46Oksew8N?x2kb-U_#80n&?K>zbj!fyH5A zbyxj*2Nr$R?ymYe2Nr$)p04^52Nr#Cq9Ah6a$wP~|7#b0v2nm55QDnfE(S)M1B<@j{;v8d4lMep16}p$4lH`#UUtHL z{SNIv>0ls(7?e4%9Km)6_66`}z+Uue4lMeu4lMdZ4lMfgw;cK9`pa<$#GuZB#X*Y$ zi+ugtG?BNeF2>6sz2($qA&l<)?4@g z`bz@;{W-nKHt_d!`3@`&b~bm_H#l$Yrqvtz|x%C`c@_X+%13`!kX9ISF+(Pza43KV^T1B<>PzNHrpfjbLB-Rsbiv8JtfDWRL<969ZTrR64NeYaCeg`LYJU_w(0qnFEVHi~yY`<;4YR(1APTKaH;RnI8Besnn1It#|c8#qD%MR9d0qeoCS+#wDe*()^ z&>>d9uLslqWZ!4IO5epmwsE#=;5b+|OqTU*QbV7EWea56!N0(=N26^Y%$p?HOo#sZ9<)E%zt}bykAdtKY~yUO?D%UtoClUoe(h8809dy2wF7t*Ec^D_ z_N&0MIj`;SMX+qfYuETI#Rz1(P5W*BUho01U4?aE*<#Z^ryqeE9r&N%cChX60$4WQ zwF3yE>SXUw`xHciWz$PL;Noi#$TpVtIUf$rbQp{U%RZL2!zp0dtkQ19cY5rz9RM8$jAM zGz2UgI@(n_1}qym+WCJ5mW>;2``KXGpwZUnfn{e#-vJjRUV=cjVzdpO1k09-b^#S& z`4ryPZwAX|h;}2a22XM5-vrAS@OA)4!1Besy#k~WEMLUSWm_zl)mI4Q6M8$tf57r7 zy`3S9lP{mr+t+ywg5x4h43Vhf>l}uzlIZf?L6M4g3Tw+tb;2G*~u?vm0R+SiYqW zllz|pFcX375@%lp_kyz=_+hZ@_h#!$z~dl2Pl07O3Ry@kr>qSun^4HQQ^7xgWmgNk z#@I&9x7&ri&MF2hn*iAXB!g><5!gpO3W03ZgCC#6o58X-kBx5w%T_yd@jm^0uxwjH z+w|dwz_KBYoEZt|39#%yW8<}8*Ex7c5_H+xR$GKH0WU>F415J;&VpFH_5OMTk40ILa|(9ZfNU+X=^>5$bU0 zCnFD%rMAAG|FI|3fkhwgz**o{2Nu12HtqlU^51PF%6e7b&l=P_usB%dz~Z3NfknT4 zOd!8t`OMe<>tkecK!4 z-f9(ksh(Bfc89(KEFaBIwDf-fpLgi_PYl-^@}ZV|6k^ZH%7@qU;7F0c1J!E71$iMlJ!Dfh)mwgLuQSfs$dnL+~CM1a~-&xKeKk<4W2*HIVO9 z%mmwU{u3PfbM{EE;+8X62=@Q{O{d6#+p!m%=7?)+c31oD4vfEIeF5ck)n_=c z=#y@9=+h7+I|O3T?{|1aENM*_+k znrp40msv3}sc~RAEAnsR{l75duYRwG93shb+=1Km*B`hdBLW3Q7;kf|ti+FSZ;TLU za`9e4VK+1KNkf?BR0#yUb?Y1Gjy#7Ph% zhwXZgi0xVzCsPsU>I;b3(PChvdz_l37esPu?8+9S4vY$Qg@|Rod6wmJIODl2{kCLk z)WK2iX~ptdOWL6n_yJm3X(U~Y;3lxlL>{;BS+Fb;X|?bVU|FjrOZLRx&FE0t-F!3dt85c+hd1zJp)}?b((IOjRQ~7UP@DGI`~Q$A5y^j zPYGvpJq6^5#&E?>%siUVzzjxjc(3U;jdEJkR!cR<<4tqC>1(ZUnd7tH3hy z3AOC|gJp$lIgL-;jsVx_W8zj`+a_6V9ziTG8|<_56j&BFlvr+Lqat}L+Gg2j(wOXz zNUm^QZtOr`t|#@S3CK&AGAr9j#7X*L#O$9}(U;Lpq4t7`Ch7AYHeF z_#${W*K(pb;mrLBEDI^@SPpRP2 zuk1&#vN~X^73?TpJ7nuUi2KWDCia!_Fk)G1%enHoUkNVK7cg@LDSc&(`#Lu8pA^F( zCh7$-Tqv`2MGS5JqCO_Ve0@QL1$y9Bunv9ORS0YJ!@@#!1uQ~d(6?DZEJ=`S{B6X; zWo@S2@-`vvcp-4P?f}cm2fO9&0f*@5Se)`94lF|6?AT|k4^_EbFNh_8R9zA49v2}~ z@-0@8Yta^HFXC%tP4jN+G@L^$iz7B$E$0H*2+}vlaqXPc3*soMNUwzTm-l?7D5diA z`%~0Q>?>(`c@$i#-;Q(7Q~mV7ct&nAmz```M_kG7(cA`>H_g&JOX+!FS+*>rMMz52 zB*grah=&t#QKT!xNLKrvv<~$Vj8gS6F-q6L{n5&5sC=tpKSH}oPwLOvlUIlZ)}`}3 zV!1(v6Z173(`DBh{Bx0&m_^9DRy%;7BF@!&5K|Gy`qS9!bo4duVdD?*D$4FcKE_BE zVuV=7e+E3{I(Eh8p;pdKCs>vj+f6)VkgqenhCX>BPfqq3%0Mj3tg|dPKL^Xwy7d;G z3zp@Q4c3u82=35c+(gI%HoG;yf;e1958!}vSj$l8_6H&VVMRtag_gH3!0Gz70o2+_ zy%Ot)LOI2vr8;KPZgcb=aU!e#8mvt6Z&_r$V!9Q=dhk(wVE~O?z8$dpwI2{4?^H&n zm91wAw@uzar}yy35(}1h?siu&41Cnl?~MohzANkPx1R}?7fWeYvG;=IO;@Gme`$)L ztCQRjcgZ@u<<OA6x#Kqfz(^5eppbst`Ig+?}7D~RaEI#BYW*9xTMbKTP_ZO&+7~1EtX{`c9#}6 zn4W|8<94lH1D4g`Ro3AS1Irf#}BM*0iMPs2HH+5ZwO<52rZegl@Z*}2@K zq&==243nkB_N}%IgGRmQTEh2z%VCvs0I_^H&}QK}aIL;@Emv3)pPsZ>M|I^8Y0e`D zQ6m%e0$7ABg`Z>9f&8bEEWKqAm(DWn9Yi~mMNO^NN%|D+Rvn#8$(y4CLz*jx(&=vw z)X)%ctLL_4_qVBdxplTXAz1FoC-tJ)?g7ElZ?@_NM L(sOaPyHWjr5l?!4 diff --git a/software/libcariboulite/src/io_utils/io_utils_spi.c b/software/libcariboulite/src/io_utils/io_utils_spi.c index 72354dc..bb5ade7 100644 --- a/software/libcariboulite/src/io_utils/io_utils_spi.c +++ b/software/libcariboulite/src/io_utils/io_utils_spi.c @@ -83,6 +83,8 @@ static int io_utils_spi_write_rffc507x(io_utils_spi_st* dev, io_utils_spi_chip_s uint32_t data = reg; data = ((data & 0x7f) << 16) | val; + //printf("==> io_utils_spi_write_rffc507x: %06X\n", data); + int sdata_pin = chip->miso_mosi_swap?dev->miso:dev->mosi; int sclk_pin = dev->sck; int enx_pin = chip->cs_pin; @@ -196,6 +198,8 @@ static int io_utils_spi_read_rffc507x(io_utils_spi_st* dev, io_utils_spi_chip_st io_utils_write_gpio_with_wait(sclk_pin, 1, nop_cnt); io_utils_write_gpio_with_wait(sclk_pin, 0, nop_cnt); + //printf("==>The read data is: %06X\n", data); + return data; } @@ -520,7 +524,8 @@ int io_utils_spi_transmit(io_utils_spi_st* dev, int chip_handle, } else { - uint16_t val = ((uint16_t)(tx_buf[1]))<<8 | tx_buf[2]; + uint16_t val = ((uint16_t)(tx_buf[2]))<<8 | tx_buf[1]; + //ZF_LOGI("rffc507x writing to reg %02X, data %04X", reg, val); int r = io_utils_spi_write_rffc507x(dev, dev->current_chip, reg, val); if (r < 0) { diff --git a/software/libcariboulite/src/rffc507x/build/CMakeFiles/test_rffc507x.dir/C.includecache b/software/libcariboulite/src/rffc507x/build/CMakeFiles/test_rffc507x.dir/C.includecache index 7c5b4ae..5c82020 100644 --- a/software/libcariboulite/src/rffc507x/build/CMakeFiles/test_rffc507x.dir/C.includecache +++ b/software/libcariboulite/src/rffc507x/build/CMakeFiles/test_rffc507x.dir/C.includecache @@ -56,22 +56,6 @@ stdio.h pigpio.h - -../../zf_log/zf_log.h - -/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/rffc507x.c -stdint.h -- -string.h -- -zf_log/zf_log.h -/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/zf_log/zf_log.h -rffc507x.h -/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/rffc507x.h -rffc507x_regs.h -/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/rffc507x_regs.h -stdio.h -- - /home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/rffc507x.h stdio.h - @@ -82,9 +66,13 @@ io_utils/io_utils.h io_utils/io_utils_spi.h /home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/io_utils/io_utils_spi.h -/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/rffc507x_regs.h +/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/test_rffc507x.c stdio.h - -stdint.h -- +rffc507x.h +/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/rffc507x.h +io_utils/io_utils.h +/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/io_utils/io_utils.h +io_utils/io_utils_spi.h +/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/io_utils/io_utils_spi.h diff --git a/software/libcariboulite/src/rffc507x/build/test_rffc507x b/software/libcariboulite/src/rffc507x/build/test_rffc507x index 6d12df49cc887d2438148ab3556677bf7835c63b..e34e0f700ac9cb2a6d268ccd54f255323ee18854 100755 GIT binary patch literal 225132 zcmd44dwf*Y)%d;Vl4J;DI3z)lrZPa(sHnrGic0GMQBlzj7Zoin6G;$igb`xJmfA@s z;buYu2@ny?QL&}g=V?l@r4;RO@mjRnQXflcd(I4pP{5Y9*6Q=1yx(=^3>>Gl&*k&` z<4t?q`|QiwYp=ET+H0-7&+v`wCSC9GcvSAstE!Z|wO4r5Xwn^D_9^981*26+^`Y)O z^=YY(Z~CcMZThLtegd?U$L~Caq|$dZE#(Q(L7rSa5Y;r^r!lTP=khL()KhFfBu>tw zdKgQd0;f#cyz_>a$8SHI%arPx+(*f{(%UP^&-w4qfhdmyRO)j$#3_q2wmj98%af~{ zY&F#K`VW87U*nlVy?gvBV96u>WvI*R=iYsJeeI?7bLTy<=+c@6_syAo*~0mkjiSnK zBQT`h4Y%GVMM~&l<4h_r%AGQ)A3x)7?-&2N;@Dfic=DH3#!&xX)z^#~r(KA&n8&-k zzh8~>6i!srqk+K00Zo22QjJ$Wc#=?oh}ZA;YJJXCvy-8VR7yL4vr?DFLOVmjh|)aQ zg(3w3PsHE!(8kpf*r+LOTqqh){rzjySijOjp=E02B~1}u^gE!vFW^^tC^4>N&dvd< zPvFf)r7nutID>}$Cp;>0@*)$0Uy$c4r>q~T%x=% z=-2&U^ZF$kn$8*!d8qGeYdb1t9Ix-U_uAn1-mlphzvGwR^1naqjnL>H4DesF;8$m@ z{?nV!U$OfyU+wekhu<7BqW9)qClW^orTVOz(poj-@>@Uq{?`uQzVhz}wYN5p+ER4e z-s0qxKRxy1HMeYBeC>xPcieMZjrO^R*Dijdbk}zC(O+!8=f)d{YTv%=*J{{@n>w~^ zDj9Oq(X$pmvasLApLA5*{cMfiynXHBufN;B-}W^f6<=6?O~3Cx^B-T20P8!o>lPQ^ zf5%gwE!tOb&XKQt+)#S#+h5uHPQjSJJW?^`R|_8Q*Zk>2*H6E)-xJ;6>G!?Z(v#aR zxp%-fZu{;1i(?xWj~?If!15c`Exzh-wf4|g_Z7VRyN-&lPnywi!O#Jp4~IVPxBHrh zDpnl)W5su#KmL=qW?t3rCs%p*EWNqqQ2(!OSUhG(y5GB(fA^4g=ywjiY`*`aznp#O zZ~d1)y7=m|T7EF(=Y2j3Z)-a1%74H8&F|lI-Oau$zw*%yo(KB%?f2Z%OOCHy{NR5) zw)p<##STSxa-1KICRfwSo|G!MVe=GD*5gZFmc`vZCHSLfAF&VxTRZ$7Jp3Nbd;ex$`*nHnU(IWOLEgJt!OHqsl83Kth&eE&zBF$QxjxAT77MgKK<_5YsNe|O&dpuF}E=E>8ry!st^@9*ZpyCm=ZioE$fmDhi8 zUi<32_r7`WALPLkdnNZL^nNR^{^`8_3R`+XgP6}F)XW>F-7>SbZb98Wa~C$$Etq!8 zg!=jO>ZaA)U0|^G?0gEn>nmzO&D@1`!i-tMh%3}W z2vgUf06$C3o?ZXI!Z`|B&Wb;zX3x8?rePMZ@db0|HOyAC=f~^jN#(p*_r=w$+4t4l zTeqO*K_)R5AXi;AbKycJJX_73cSTKY?Sh##^By{tub)3Zem4YFuyyXddl?_Wnx|^+ zp1+_0khKuzzPkHn%~>Fb#OKzkS#$22Ukm7(g$wHtwAnRt>(%TmL!ni@kYeTnL8f7T zy}Iwdnz))>H-EMbL~x%q8%E7t7_Y0VRkH!PP|=C*(xaY%8H@(%p8ENBOA-H94YMc_ z?yI}!&Z|{lSvb#`cYHqdogIHb2*iIE+Jgvv5GqJXFQMUl#NfVDW6Y{qSSM6kIBQNF zjHHQV9;mNdz?^0^JQS~+dAD#~rdv1fD?$P!LCu{%tD#;kdVd2@&wg;hT*Pj+EH;5C)R{lKw&o#ce2Qi=nfbJOXy$!2iq_+eKl}gs1MGfQ)VrynaRqLHF3kF8^=$WIr6g6r*b2&Je?hLD(f=P zy?FLMJbU@C`+tR}-u$O>1*h6c`|NYNXEt~GJqOK8OE3Pm{5Mx7Y3?ltKU@FFRymlT zEOkRU4JQXL3tQei_W!c+kPv#jeC}Lae7{=m>_;B*e?+&7ogx18(p751UwtQyR_A38e z)&?#opb&i1ug)f5ph=3uUM?v?i-D375U7wegl{G3IZ9PZN`RnBQUVIYC9PH}EGa>V z(UQXRF_PlAkCk+YQV~fBfK*FbtJFkEaqcEbN{}HcDZz?ql71GyLsA_68IrO|#v~=U zP%A0Hg*lQEEUA~207_ia0ZKJUdaF{4Bqi{$Ski&aTT+4?&5{y8SSD$OQmvAXBfcjo zL6S~M#}hx3^wUahkd(lRE@?ldHc3k0W3!}pEA_0T7b(>vDM68~lHRG*Hc1K2?2vSV zQYlFZlQQU@g^@N-yFBONxM1 zNLmd4CG7+MB_&W*CFy|B@oRV7o!hcCi;J>6-!+%Ndh5wR%9{x)@3;s(Qf&Y@m;lHF~;J>8v;J>8T!GB4oz<){a zhyRjJg8!2Ch5wTFhyRk^0skc>GT9~RMEEahCH$B4Gw@&1%izDHXTyIB_gd z^3AUNVpqPwm9KZ@YhC#nuKYAtev&I+?aGgJoo z`M&4MA9Ljoy7C8H`F*bZURQpPE5E~)-|EUg>&kC(> z`&{|GuKXTXeupc+)s=tNmEYvbZ*b*1UHMj5zS)&u?8-N|^7XEKtt&snm7nIyPjcm} zUHP%D{AgExxGP`j%2&AZ<*t0tmG`^yC*F6_-<3b+${%#)54iICT=~7O{2o_+hbzC; zm4DWi-{i_~aOFE)`BqoH*_B`H$~U<3^{#xaD?h`PpXSO>l01KL^knGGThhY|)KQP7 zX9g)fqdWOh@*Bx}LwZK)3V5H%yK?ed$nQ|y*2t45k8TThTiaqh(Qe>&SU;yM*Jm^B zHqow%vf2HvPrvWLC<9&#`PqZ7PtWexm`*5lG(kHR(KDZ>ZG-lwLxoB=7oo@fnr?a` z&1n_VP4F#XsdyAKdLz<&>9)x-DP$n}#>k(aQ-==Dd@adp`L1)Gq>;_i}%B=jB)7X$s@;UtD?GDj!cxt6X|K;cAFpGn4ZBL zIwCv}y0gU=kE(AW3a_&|8P5mqo`h~< zhV5Ux3);q-)8Y2kbfKY}L2%ZLmFa%!xx-qjHQh(uW@@U$3Th=*AXH-c!zGr;N|D-e z*gL|=D7Dh^Yb&W+Y4wB-m^(smnA5_|R!-Las?!Q2nysghACY5uf1kX}OYjeb+n{Hg z2(4ICI7fG9Smf#vx&6eQ$!l-@bKHBt@?}J8OV_LY=YP!fUecH}U;T1`6 z(KAo-?$sJI-m7?LE-wt*V|wFyrl)+5=}YL)sM*@$BQHFNw!nkiGTzvdw9SX}vwZjf zKJ=vCHU&q29Q+b($e-|Fk69jl-Fj~4>t=tO2c0$#q<`sW;DZBC#t=RTJ|Y_$?-jz6 zZ$5u`;0WY&6*4dLZf`@T^-Nso(7)RXc)G1)(QYfeQXLhZD1Dt3?rE|4Qjp5=WyfKS zar&wm|Aa5k*?jS-yYhJRt5dvLck<+Gx~vbz7d#pmU+`#VO*FGMG{#?v3@1X}Rz2+k zD$5_?Q=`*%I&G)Zwt%)>v@H;sf=5{Rnccikq;HtM!dK~cAN>j#BSb&ZBY$sGNB>H@ zF`*K*2U|08^Ca~V_Vb2XpK9kB&XYg~>>%C8vzRAruc>G{h%95e7Hg3;tix#;x(zza zSSs9M2_BykJR%}%$TM^gvli@kO*cg@{c4S+MOv(ned?&Jt5L|>W~aZ%+n=D%2JqkD z!he@D?^f_{1^<`9-wl5;?S)pk`Toe6?+n_{p#4ws+K-`a4qp{9cK?zw#{#3u1>^e; zjDf%q{q_tnXq$P(p<8X;Xdr!* zUqF}4WbQAh-=e45(*ENc4+@PvtQ+aus3i<9awoDOaJKH;X+lRcsI}Yk6ulHs=r#B& zYbD6q5dCx$FsHGOMfS@E>@=ro&6dCyJ_w(Vj}yJ5v%WekO>l(f7sIpdrTbW~`_Lbq zwoYmssGEfs@5*>t+fR`9Ufh)NUXzq{osl)Yy)?U~WsS?4``@nVtX|!N&dcImA$T*k zhq?ZWE?&JaeVA`KyefxR*TSpU96lvneA)z`{{Kva->|R$UuobO z{z)2q+AXs zC^8v!$>fB~v;2r4lM!TcJ^c8#Et8+rGsEd8vMYKGCi45fQOqYvyJK)`B-Up-X z_mbbscxPJA!yLNg*0SfK>^io2=FsP#t=s<%eJ=l>(&r3ow!$@U(XUgO_gl`I-R8{s z9Ofx|(SiTfT#*4s9&)nq|6;E1VQ>GdwRuVw{s(hC$2C{sr|h}HQ<rG#S%-@yifwx8Mr2${JHDC55WG51Dvpg!AiK~-`en7n^oJ{NNzf!g^{HH_c z)T9dOgo&qSNRwj2iyi3$j_BKo>>;Hd@}u=kqUav8Yy|nCx;Y8kWA|Y8AYeThKY3_D z_@{@C`(8K4(*C^>=!sCLHS(-GGM-RVrYO8SGaP&49;Md%!pV&4(HZ;Xp*bq+)A(c9 zS&UU3YqQ4C?!m;#L&HNGt*s;2KSIs2Uzy-$ZpJ5AR-&69F*kjRZZ>|g#e8CyZm#JY zFxFgxK9Tyq;Y}$VR5i{*YN#8yd~SEzl~SDC}Y zkT<hs=*AZFg}e8dTW9St zw>*X28gIr2X|@zJ*nz(ELYp4ZmAan!;Z#RQpl}`o{qDgUZE_vrZ%wWiG3w9klXie{U`deFix8&c=fQSz^gOrksQB1 zv8SBoSN@)Yi+Wm*oMC?xeu?~weR~3bJcpwK7wm3(zY{%lYQIC*$v!Op)fw@Vee0j> zdB+p4oB8-{cjG60^L#78uL#_fCN^$Xo+iP|NytXd{;uX-WFfcr{bq$a`YoGJpS0WZ z_rAAi_macUGwlKHz3(97ooVmm7-jzpzI~?nse8b~rd7ym6k)&Sd{x`Oi^A7M_)ZF>*AyP+p{)Z&QHJ4MGF}(UzSAI2|Z*js}%YO{K|k+9&SsEf4mi6 zzYPCi0C2Vr`K?*Vx)57oOX#qzx15h(4UKv#-!yZw;bC7B8Tdv& zl_qXM?5)XctZXtppGjuK_I1mGEeB_sH|yXZ=l#hTMgBTEjDP#D>;<U3tvTE=Ul0d7C5@ETjtKZQRCZl{_AM) z&-v}d>t4&PpDM;LS8acgedtE;5xhiBo(HE~Ux{_R!uUCy-T|k8!1E-HD*R~TmZsnt zcYJWxjadLbiWrsP1|EY%C&!_=*sknDrW(>@h)h<6R@*Vuk;r4Njleq0csbq*j4!+9 zl%w(gc23KfQ?Bos=HwplMH~Mt4Rd||C*w_V(Xc*mJpY{2G}M@%@KJP*m-(?LnVX$4 zIi}q%do^p>Di3c&rl*-4H4~#EzQMcLsJS}qQWHC8yR2b}Q%LM8$LDw9bI#wFxN)V! z=NyjW?@s_nWYmsl<>Pk)vX&SbGbAqK8<8+1eubTxNn+!Q+)3R1c<6QWcORTQD)B0b z!+dYLI+E2N(R6_J9N`vsj_9-&-`|a$JvGA~Q+yY?TJ}KVqv;3XyNVk2`^@>x>4yHz z)*_za(Pk^k)4x#CZzA;bq2`n5#-e(;UHb#dK*OU?JDa@p{~G;8k9Lk|W{u+?Fc*oH2u!j2e*qm+$ZZPR=Jx3un1i1_ zJD~v&I_+}%U_nARUvqF1nXl&p^$ea9$l`RK4dh3%K%=DM zGcF^&j8tS(V(=oLH+ix=l(T~y$a|0hkqsS5p_I&a0wk#Ho`Q%S7r%QP<$q zagE0AC;YCbj%yS9yPP_%#@Kbjzjo@*r*4N+2YkCuc(9c^u7T_~oVxEib%oTuLmgLc z_WM0qT)yMf6;XGBI<8&puX5_X?bHRR3k_+uxT>*#l2a$+^`Ts7Rt+sUN7)~z&d2<2 zq|Ut_eju`}^h|??b-U8F~U1<1YcyBsoH#=oNq3nQD##IWt-Sd?Fhf_Ao zDHB@f=AJ^6GQxKo{?_20F{StxkOEFml#J zzn?g;prwt&PbrHzuw>jffECp`ty=m=DHs1I2Xh)_wwwbq0?bbVQ|9}1U_9->-E#8e zGnxzDzXLDcpV%vXRUSOQ1Mhe#Hm|qaDgxeGk$K`Zs{jzM-sf%H8=iEFlIV*LFd`@zLm1OoHF#GT^6P6Hm8j1M|Rm1%3k4IC#QE3j6a<5 z_i|RXjdC{~c2FjC*vxs)W>2^EoHM=#jAj=MWa%{n7|UqikOyOt1EUrgwZPcqz!(aQ zhzo}3TR;4)rhPaM#%Kpd2pAz?bT}{u0^@{BhvEypRs{@2`(u>5=W^W9kq6Mp2UNG! zw4hCfFW%?v~};}e*}+-^mWJW^Y#2>`W~d8==go;aMAIfXK>N;^*lkoo2}t} zE9DbN-y@w$Dn5|-^rGv>wr{j*(A~Zs-IP6B^fXt*if4YKIW2yxM;E_HH-G;=dK|j+ zQIj)uO1)MYleV{uon1UJobAUIy5f2#kDe}Wck-Vlztzd3ql@2h^7viFC!9R`vN+_7 z&y~dDYA4V2vf?>TzBs0r^@-`eC6n>JLM?_j{OgQ&2>6AYji8>i2KFSas`ezdrR+sU zrZC!Kc(lfJ!Q^H`_WI$f1$wqvGB2??zRH}$7RdSK5}TZl0qGm0 zg`|5)i%8|{B|s{+#2%L%shF`FSg&nG4z{{vC?|)Tk)O@T)6bWHN6g5G@7wI^PaI>v z%r(M1rG7W{wXXWV1G^sB(0o zaV>`U_LZ^bEdNKeSpWGq7ypyoL0}%op~Z3L>Gx#)qr;SmEq73LTMsa=-!QM?YOQs$ zGRu3=N3X82WE~35ve*45bRDj(wS;dH19a=5O_T|3(HmKwtl+(I6>B5B-0((-gX1&!dRT*T-K-2f zX7lH3w3+$M7S3Xcqv*->tITsz|20-I>)E5Gm{sA&I6szq9~07I8+ufYDF%@gxiJaZP#=6(Z2RK8YL%s;S%88--Mzk1JoaZhY z(qh~CzCU-bOL)|g!{a<^_;`kK!h9Sn)ob_*|6^bhT>fTZyI^2lk@q>PF}{j zmAuSB#yJG^&8ZOqwKJ64TAG&iG%6{pnCkG+{~`dw%gd(sC9cu@~D1jUHwW(@f-M zP(@-Rdjabdn3n=)pxS5&92w&}-i5zIZ5d|GiEl+5y(qriC=BUl(c~7xuj%G+=p}P} z?)>ap`ZG3O&*;;8vF1_x1&-#d1<^s1Xdl#8+kRzn`E-nj1ZjX@s4>lXG{9h-Jj&#xHY;c!7LCR!qrMyeq z+Ty56P!V`n_c3;q6+_j9Arr~*7SPg=&2@!oUcCHNe7^^^Bw zynCXzrJEzViBC94VCCjvQJ0&8;9&Azz`S#1zvW%dE0(D>f3Rf}nX~1wUH9Z<&ZAE# zE!LYgH5k5-W-1{b1 zy?qwrdKcU_xZb6{%k?hxoxJB@$$0I&_lfA4^UFWUrxi{+TNY@y#MMvmYvSD#nU)s1 z$ovInuI<;Hwz3wa?Ss;mdU=<*czD;MddA&np3_Hgls;ePUD^tMA`2oDsOhvnk}!`m zp1{k|@!kJq4z$g|`+@^2htD0ni=5=>I+b_1v(yuL>4{?2X1U8=$M$|LfSnznEN9y` zAq%p%eZZdc0ej4Mn$=OUuMdP>YnuH{_O%bW59zjbB>wRV`;*w>Ie1%vA@F1$-2}`v zz>~g5=qvkZD{W;DZFXW@=UtW^e-Uk0IBkDV+nf#VwsFMn5!jPxI|&#M(>_DnoDDAi z@9Q7`qYeIu69dZKor%+LH~qxcnSSr-eRL~(sMtQgff)lSAItM0`9-AJv$bg2zVpP| z%Guoo#Id3djU~QuJ+#W%rZcZ?PRsrwXA&p=dh+OS{GiG2$$r#nRg(WbWq$G%RsioEa-`7=HJml*Br+drgSd`+G5A4s0@#E+8k z*xR>PQ1@??he(?#e};Uq`a-5M(wxQ)8Wd!YvFY*o=MO*f*X)_7*t0o#5nIRyPp8Av z>GbadZ*ue#{%nHwJ9ysYImq(?PkBA}_jn>a(|O{O!n=0TXLyWGlg3lnUr94*_;#-ET^UXq$?$l?H=@-Tb2+|D$V-~E&`J9h zdulZ?h9cT1^o4Ikqp>Zto3W>ve121`LhaZdI@`ds4D~+Y7oTSp`?+t+F>~T8)BKtFeCMPGi@#x;bv-EF-{uP#drQkGk+`wDBtByh-pv zccCYynfR3ZdxiiXzCJq+n8s?W>_NlaBr*$p<-qTB!7mi}jm*3M|8!b(ch4>e?7B1#F|jPw0Fp=-V&ktkS5?vHQ(vC+V1mC zzb3i2dYBmb+le$K}YV+tu=2ZyolTSdyRjYbeoTA}!n@1%s z8v4T*Lw08HyzFgtQcUv~H1)Xz;SzESdfYKVZq)Hk^+BmJYtYv_lZ_O!N zVw|>^9}ZoolQo>caPD?|5|sp8YO0<;ccfpbe)liCX8XDb#tY;(kPhB{NvCa z980w84S#H-)q>q#5UIBDo-q|!G`o6>?qA#6XVu!?tR){{yk?=+>F(jVg*SK?+LWZa-N0v%< zuIqgey;2@})LLeMAGGpa42@j!@fGY_Ei7@vjn=vFL1PVbZuN;X+W_^qP$#i6?C3!y z$ai08ptzS?9!gp{|5)+e&EOs*LtO4iPS>=h0nAH5|yb)xe6 zv*&fXxfq=)wq$v@(^^y3WDFgK9T94?no93BJkYXf!~`Qb6nPwWH+gjWDE4P?L3bVr zg16w8v!RGprR9uw_MgS}k7h)#`mxip_bR4jFn@~W9CZ}u{}S(%RAPO?qeVe9Z-uC1{OL(mf0@X2oD>Kfv52 z-A_7={aMZtByL3;>=$fNu`#&stbir)H`$Zi@xUs|#I}(*o!k+b(I`I7>3+e7viqpm zuL<%+TxuImdVu{^;-*V~e@l81w%a0aw}r!Zv;mzYaeY3oF1EUtrsquI9!nFl3$K^T zd}Gn{FzWow%UdD$KHAb4TSto>It$MWm@D7A*!MRcr%&f7&e@rh*nGmPlm6Wq?`O)= zUBi}g2DsGPKuVl;kS7#Lm#ZlmK51X^f#?C+N}YF?o{_em{@93=m8X(cwU)FdBKu2! z4}FNemWA8WeZWa#>_fq6HRF20g&6vvvhZ*5J*H+lXDuBdG4#+Cy4jCCMSLWQ-QCRG z_HnS0;s-tDInJ|q2y{U25mU@atXOC&xaP*IMmA@7IKyP_La)9;lQ8Q7{6wzUf789x z`N6>tJ&1o5jJo!xg(pYv_H~Y0S}@(A%XaVy%3SHQp=>GZNY7lm2fKs0%AC(MSMi61 zHllAnrmfJZi@wszPTBq!l%i)->8pr_GGe zX*zBQ;m=_^h%X{*T4bx0vfQ^odlKrXoEhit9*C@RZv9#VZEV@3Z%!A~QYQVQ$YxY= z{zqSFBWnQ~SQ~g&0_znlur6d$bk=6ltvq5U+3|n!i4U*zCC=G8+$gxTZ>sNIXCI`@ zz_AcHK875BK-!1j!w`E)?jBTQ2UKI@B%-=mky>X}M3BEI_h)w|4ga{Lv8DHbsZuS* zmK*k&p;_J5N`r6bq8;YI46y+8YH;UDV|9VoSXJOL)~1_`wb(e@5(n_PerXQ9LDuth z`|jKj{1iFA5`D70=YV-`yJ5j+VJ1_ReeHPzlxZ??N>Fk zHkGaGp&PcX_*G(CUv96ZwQ1zwlwXD3!Di%6VhS7+>nzT3izht^Emi}=5MK(r3|mR? z=%c=5t}kFQm|I9VSr>+1wvzLbm!iT9rv*KNIL^8IRxxhi}|zMCq*UU>qT zCD_rO!(1?jku%$m(ZrAGvi&64%g{sSJA?5V==09ttRHg< z5B4#IEw8a2#g5+=OW`M_%v^m?_zRBy6#E_Xb;A!rJAq%~!0)4Zj2wI&8^Ir1XPw0! zj&4i)My&3IZ<*3?nE~^P1KK+4S<(HJ`H6FAdRzJf?nng^Z4!$+;#2Dna|?_;0Nujc z;rtAHQa7Lelk7j)JvLz9W#aA;`^WTDcD)rke%S_>Z!11=)_3mu2j4j-dv4yyIuu__ z>;_q%;)~fn8JoX;{ss28O~;Mwx!0!Mk6XcU>~pDR?tfvEV}EX*McJ-;=ze>qb#&6W zSmZXMXZFOqtv!iutMG2tWE2}L#rNCHRjDs!#5Pp4lhng^xj{qr#Pc%bOZk?VpSL?N z6Oc0JUHXXrt!iIq6{-RdIzx)RQkF2Ww}!alz25c2u$c}B74PSazoEG;3XpurEH zx}9$rz-fF3e&3xL?jqm^ekt>NQn5=4BgDNO{8TD|9%*EMY_eoe7J6v67udS0KzSmf zf2z?x$M4{rQ*+N?gSmK&QFa=xr}L6d2j_LqrMt)6RC z&_`+Ot%e)2-w$~3brzk|Jz#lft5KeMY(TC4nB~!0wa(aHV>EhQ#nxLbLv=HUPr3G3 z!O1t4q=Pa1zg=}k^4v!6K&{amcRr~-?|9WHh(7TOB zErK1MNPDsQJ0rV|;J7utsh{H~Ab&w%dm~GX;7I&g+ImAbqCe`5!bn%|HJ3G|lVRvQ zj(Y$*yLt;_YkDhb9|Vu&F61QEW>uy59(W{Y!LjA(d%?ZxC49b@UbOw?7lB`Kd$-j% zrMWjaS?s(Pqa1#DD6iBwZ%SQn6vVoE{p_{gvzyZzaIe8;2Pe^YF?=%*DhVOX)q?_VjcU-vJ*zn>mV|RL<9fy*bn(ga@uW#rqqon^z z!#iY_;X@Xhpi3YGtkQY-e>WIGFEp!J-7EfMdFV0gn)4dd%2Q7qf8AL9OQEUX5IZ($iChT&V!L?r@sEIiEQ|j(&h=gr{NY8%1>nEu zllbp}&*Ss)XWf1h|Lbl13nrf#fAP&`9CYxHRU6``CDSbq{+u~F_%Hb<_y^#D>}A|b z85DwMn!X~<_fTe+=oe$X<&R7KmonGeI_8edD!#oJIX{4{B72r6l}LA7wA*+*w9|Ne z_=`p$w$T##7TK0~p%+~yyz)j?8hcp>BFDb*vyAIl2mW#Ji}{LNH^Z}nacg@$-WsDM zy4IHO5~HhEd000!UAt_uNEbF$;AEKM9O7SPpw(omdo(pRXuje-W z?Z#64+yVF$gWek|zQt|kmXjyfEA&J#(PmZZ=mYeC_?Gf*)%oM9*;`lluEZA8C@Uuh z<3(>j#?PtCSbk(Z#Q4u*=X4rP*W-K9B*>hQags>N!QqPsC=@!K;jl9W{s`u(g+4G5SL|ZNH>8$sIw`OGLvs&9_DD1jIY_K444_l`Vtucbsd-1tX z-cp_R^hZY#vlN|nEob$O&{1L}yNE$n>N4Nc@>P<+-$tg!GB5EpCFVYy`Zw1H`<{6^rM#rG-0@@Ql5gnmoYpo(;!=md% z=hcHxc_eA?Aw}U9<3vQ(TXrAu$FW~UFQEUL#<%p!TDNrsau$puYev#iA$+S)l6yqp zALd>JaTcG77~ya>hN7q&Od5pDx2bf=0wbZ2wG2jF+=s@bnDOGi~p3{>xoz>?_-**;cmJ@|;g>B;1&m z*z&efORUYb5j`gDpC_Lii#s!ex)@jdISW~`?Maz`NbZ~P+Xd0Kbg-TAA~~CM4K``> zDVwywmUsLPV7hHm>6;tlkmx(%2mZRyX-JGah1i#o@!V=B<}(JnTWlzWymgc&jp5_4 zqiVXXr$(@rIMefClNT9$YZDr8_&lqSzb0eH?zPr=LsR%7Gct0P<{Q%38$$jA63dAb z$05$*fo4x(>zsv78!WM8&P}vYrA9e(eiORB*~6prKSH8wcheF{`4)x2Y?~>sUDcuoIa25IzIbwbNVZvYbGw8{Z?jR zs4YEFYfDR?JDGcwaTG8_KKJ_Me!n^*_dVJ7Oq_<|E#Y67^34Hv5!P$yCLeo(#6OEz z8!Dw|wrIP|Eygd+3gG*(0|pvp1^6Kzz8jG5aRxpi<;m)NOAW}cp)*b0`$aj;W^)A5%YN_S4T|ywTZHy04v9X zvAhefg2ct-uE5ps;68YTuE|^t3{Qf#)QjG-@4<%ccwHuxU>&sMb1;^QF1NNH&FT;Q z;_ZE)o7|tQga_Cs>9cKIq8VbPr*N4DE^_a~jmr++1()16dFO!3P2eK<%Q_a?$ap^n z9=h&`eADOd-|Y0yeK%7?e~Ed;S-Z0T*lQQP7(h-%|H{3A+94{t)z}_%p~yf zI$6U%oL8M*w3OKGI`m2GdVE=RM11Be;FsKaAYN1KkBgk#VSm@Y!`WA!!GCEKIukSS z5wm%PcOUeq6#8oLNUgKRk}sm{UfNZl>qM@Qo9*?|27OZzZni?`SQQtYIF-0I-&yhC zKNov|A@TAzWDGr;{xxy*f)GBgh8+kWF9U|8zoTBh0}`ACUubI<)2~3r=*i}zPTOD7 zwlBE)4AdA>^@)aB&)cP9odcJf@&929f% zSCFrE@|TdWa`GYaK_@?e{PAnDV-=G>=;YbH2OV(o?~&i<PIIVgP&Rym*+DWXdb@f_nIrnINa{kJ*Pc1}NRPjFa!!NI0k?y*x zigP>8TGfv%nRBO&_Z=p8q6h5*Kar1BeLRfOm~Nx4y*)R^on|XzaI{|BI&v*IEzEHL zv)K0pa+FwZ1QYxVBJmq-xSs*;!!Ed*wu0Y&)6It{>kQ@KO)=YncP;Q_O@#d2)*$NT z%&C`k(7Ir))s>LBKbVnNY$tOiUS}08SYZTX{7!>@6TY81qQ!4W7vTd|(k3i1y6pZ? zyf4X`ZPzpJ_+B=@0NikDo%KN@zvTcO(1#i1pED}HkBo6|g?%)jHd@E2uS~UBA>dc_ zv{|Vm*?v;q>cEpei=Fn;PTEUbfi1ZFfIg9)rIz4?9vu|Go(;x`wJ`q&z@xQvEx((G z?S#GPDb>xGLwrpb8h;rH78jEqkG99O?dPQN*nZ*rAi@04$%T)yYajT3Ro zyC@et7h?m*u3TazNIT!m`UY=;wc>*{oKr7(do1d!ss9=E(<%Emr(W{*Sk%X;Pf_1M+0#zFLqWFMg3OlyQzPLvNoq)^7dHNze#;3^#>_yaq1;+ zk4614>X%b5-_hpI;;!dTrQH8rQ#v}7saACNWkgfnIX?S_$;H7)B|C5Rcbw)?O?#=u{Zi}!&k`{B2NB|ezQ=X>w^)o}Lh zvE1)l=FP@|C-PpR*okZXseYujyvKPK^W<#u?>J|$lduVT3j1BduA}}H+PzDgMZ?xu4Wrgr^|XoSwGrMO zq)jbtbn(;~eU?!SkGLCVWk>YqZ0sS9lKd zgcid$o_d}xo;^H!d4gg5$5Ao{{vN-}x(qnti(M-^k?-NfzL9$t*W*LVca90p&OF?w zNF6PsPxcIB$0d@t@3R(f`&1eBzw}`rFg6~P-*(M^FAhiey_xJe_WA5L%?mwh6VG&> z#ZF${<;j1~m7ghdRn2_8+?l~75(x6^^iMPQ^VwhFz-M(;>;kl@YGq0&uAT?Ef zug@yIe7^Z4@e98;)$(3dlz#RB;L=ZiueIzO^UV#!_PsxdPXyGYKk6U4fjiL2q?`(#f>YBJt|y3aFEFOyhDHTadz zDJ1UQZWV>jPGeh$4EL8gS80g?j{#27$8uA^AeVH?d>(b>3Y$4TrNss1TE%8i#lLCD2 zApVj_|D8UHJKfwf_lVsX;P=M*@|}-Hn&21zRsY9c?$I85OYVA$Ez`j`2glbv6LablbiMN*W{V2lLwi#YSUEjvHK1^n^zZMpHN@xaW^ZjFw<3o-^IIV?{ARu#Qz4mOX?A|>w<1HsW#8nxf(LrVUdZz4 zX`vbWj?lWKimb=HHWg^r-N{&pk=%SLEo~2tA*Xw%{)`dCh?(_{jIg0;?dR zn|qu#GRJ36!K01QXv2GhdRZe+NA;buMvCbpdHJrzmZ3;%TIcN1pVB=c`inmxy5Vz& zbbj{vu1wH)^*^Y4>s;<@NdMM^{#MXj{<4&9GnT(ay0erq%IUWZ{U6~s^x!*jsOcHc zl`g$92E8&yFO%~ddw(OX3O5a?2sahHf^N>y?|h-3v%U+E1Hs{Z?iUqlzv*?aX)ki1 zv#t*@kL;bL)mAaT$(mqK@~7lCd)ryV=#WiQKucNbHHjlqa?vDzSg-hWmx`8&nO74#Z<BVaY@muavqWU_8i_E@u@SPW4v)l?2+8v8t6+u`AsMLH-5yv zMh^(BWsTeRw)2k8u-AB;@#bL9w;~UVnO{I#YsInavvv$~14p^*BY2+jW&Q;|&$#ee z3_k+!MSjo1pW-_Q#d$1GkVoSw=NZUT!4u+vuhwzJZ#e!7_^b2bgYO<}r#?S}X2vRP z5nzXs*0U+0qx^zEU~srh4^Qz+5hh9*H0x9&F5v$my|cc zKm4v$ie4DWIg|6d+;YyOreF^koDs=6Q;Kg$JW1}etg*7^OdITTCRwX;&g2`?+B=-M zr|95X>~7Bb*%#RJ&^2;zoAq#Um69`rRo0FCPL+HUw*gz<4?G#8!wYP+#y->X?Mxc2 z^z-e^o@JdkbT9B5dnGLJ|*3CC!RquIY%Z!qk-e1LZU#<8F=(^E@19!iJ zoKyMOn{6CuUn%3Vo&=VRTNKUCQT9+7yW+C!*rk^>83T6hHP0K`YL8#V_=7{)vCm7( zeKXFt%*NiF{O?09kV4{8~m&fpB_i}H0-MET1l32wlCuV+c#{y(KPaI!^fCSV!MII zzZ&Nq*Eqrx;l&RaD|dF5{N1M}*m3q4w$}p2-;(|JB;@{{r=79{@ot^i3%~CubE;%5 zCe*o^$9#>(6a6_?^)wm@_>km2;2@u2)}cc^-1k#qAHH4_vLm`+oh>^eLle#*KYk_m z3s+e`=69X)+jExlkMagg(ItZ8V`cc1=sn$|o9C{AUORbDOix#gm|&=#t9#3!*`VEf z&HkfWO$9zx^c}3SyzbAwWAnN{_m0qGA}1s9%X0T0<#%!999YhPb9|Tc;eW+<`6emL zk2CAp1{XhsF7g{BUC7t}>v8VN8wb68#AB}Rl|6@;u~9K9VG4hQpSg40ik%KUb~g34 z(_Zw8$VpB{{_?w9(th-U*fYbwD|cqvEcq5IqL2&C_J4{~qvX!dRQ5kryl0r?r(|MY zzKJC--#&bBH9kQxI+e86A2W7GioEd})55&4EeV9I$)2;W5Gw@S*fKjj-ljWsQJus;}Wo<=-wbwqy4Il%Y9oN)2Il5P$L*0godhch}~dmp1n z-D&;}d=B2p9u&XOP!ak4G3FRvV_hM0WL_a+I1`yGzXwn}knhflzzMs!Sj8kBbi4fK zHuG6y^`YDo=ALv|_sP6ClQezI%^&)d#kuq#fk%2Ieidhz_8g{W!r(d#oP;m28~N5d z!P@kLXnUv48@`<_mTyi9 zYHym%JARe;}@;rqvo+#TwPQio3ZiOdn+pTJ%WQeOe=MOE@0 z^f()D?8yBxW`z>Fo!?Ul=^5_V45%K{UEqyCL#<;#;Ow%3c(^USEjq#UM!C~d__`@J z+7Gn}W_{UuzSnycd6`TMWTRC-Y{h5%`0_!u-1@yoJrm%!b(Y4K8X_A$Xe{>8m?&|c z8h8}t8=#&xi|;k@38ES9$!s^SukTjW_&k6Q6jE39J&Wxu)Igl?wLyP0;-y~kq6MfZ` z@8d)GIu-59(;eV2-C19g{69HI6S;Bj8o)O>=bviF zGi9Co)Yrom{S7V!ez`U`gI|&2w>L7J^EvS3_cR)aTZvqp7>RA?jKAprFur_4 zmOsA1Sh?|sIpcpfZ+ww4kuQ<0!{>Joz}_AZxWYT&FTcZ17Q34}T;<58w7D8t721ic z2>rH%Up0k>_+x{_Zn#VMft}YEdjFBOtqyNnq5T8Y`?W`HJ;v|7n-5VRX5JpH)EEoR zM3>DW?q%!0mo$s@F=z}jSONcsw~O9mjYg0s^x7Ol?nLQkbEw%EnCj2HINkiq8*S-O zJdyDd+wjKt-jbL^0sPt$U1{qqS=U?QFPU3k2wFXl2dxlt&7C3GJvB4Do%?!>^BLM7 zjl2Yqa$iB$hO6D|CwWa%pbn_tJ2Y=Cde*!xGggNaarWK60S#MI;fiJk1^Gd~9 z6`NLKg(>RgcURGanQg%S#X@zIz5PgKg!Rt5+*@_V8zl3Td#Q>wEIMyasx2*ZJjYX( zonr}ifnwZ6=DQEC(TcsnZ=~6CM_<|XX>9WVzvL`;HX6E%|g3y&(J8a?8v6me8x_mQe0~(Ui=KEaj0RVceWj3vL{{eiJ9*FY zBrETJzJ2|K%wLw3dEtp$=83@+f1UZe^{|{hir?NBT?}1Kxvwg^SaiPVP? z11)6Dh+XseN5rnsSz{DuMeK(IIVb)$JP;kpSKLQ`1rDN9-Syp0{a2~~H>pRT%n{!{ zmc0)e(2yPWU|DOjCRL1o&w={U%w@Ev+xqJQbyWUswA>lT3b73o|5hCEs-&Fu;#-91 zBQQ>Iej%}HIfFY$--p5dAij}&(_m01>s@}6(!Ji_q&~Oa4`5HfO}`p&b?d?}@*dD8 zq;HZrpkp=xOXOeT{9?Dum=Y@y9OQ1F*yVBB#2ITB@Z8_4Zm{RD)_RdS$Q{SrUBEf? zk^W=oC-CHq?FaM~ds@z3#HN<>m=natnoszZ+=Wt0JAC$8>G=g}IA=58edd{Gs|GIS`Kp|| zbYLU#J0@3n?eA9Z;ajTru>XXX!*=lv2YQn`zuerin4uku!(i~t5WB%&p7x8V*c=o?3CWh8) z=Y}6mbGCES2z1)-Shq27D5sCua5275l{kRNucmF}H-sjO4_hYpB9*4^R;>3c(1W!D zyRDyb2VMHC>buhz6Oix2r)0*BuQe)C=<5E>M$*H-OT%4u|G4$NjoQt|k-?mQB(S~4 zb@sk}9&_L~E^OOjqsKZi$zuiEmm7@z7UzQdA@TcgsP1vViY=W@JmMY_nMq=Q^zL}4F z6HukK74QwShwsBA?Jxh<%TCrp2%1)fg_h_GXcU4DGp_6&z&-Z?qm4G};3d8RLWj!s zHfxv21mmb-9ab%UWFJd#p4bQvg*TPd`JHpWGF_1-U z0J#?(P$A<(@RWb|;l$n&OMSLP?DzfcoRL;QvtXfS@qHdJqI{>?X2I7%i*~QGV%Rpp zRHLzI_fqRZe)CRb0bj`e9fSb;s@$cOJ4y%aeFOcUkb6z?TW3u_tXA9R{7pawaHnBhd0$cwG(cwt!35Na94;`duTJT7BW`aA2zlbnbb?*evJ0 zMufSjBd7dV?tGTkICHubPQt^G3QEP$TtuX)A?pX;K9FbWx)ExHxo;(FVIH%O8YqZ6nEV{+`Bo* zJv)tz$|S!8w+>p*_rQQ`{`=r~bpOB3r#-|OHc@^>r!@vaO zTyXH0ah~DAVR&@4C37f`WaAK3yca|#u?}v_g!HDTD^pERGq3GocweFo0Y7A3OAZL2 z1F%+171ixK_8}$b6|$#CxvwSnGfKD$%o#52B@R>>TWt;e|EPQaIIF69|9|iEgBivV z56qA>&6NX;ibi($Wn@$b7!{NB@I$3U8A+r2n>ac2yc6z!}idKL}tY;ZhW7wefFN&Omy>B-|yq`{bN7Y-fOS-`tg3h z*L%I!d%f3M$yH9Dx+&N&Znw$T!RE%9cC3w%mt>>zOQagHzcsQav{5!gTXvz!By&^N zNwC>=H82Mi&SZoz>J(`SMxf=dIfu1(& zN^rrQaE5Tf_+T(k7Cpwrk1ac%pf zYOfiWtU_+4Ru9DXWJf8($bbiM70rBve>3e+GP4q#Eh^&db~^;Uz)6)~x7uW@lVmU5 zc=pn1yi;8dtKA(NoJsK8c#E;}1^mC_#W6#2zTE0(2@m5f_KR|ErDT0My67zaNv^j5 z=j)!*LwEe=$g$Ehbym(s93!;Hy#;+#cl8dbV-eSAiPgLJTQIhZ-`NMlxK{Qq-Z?)g z7tJz$Fkdv|zj7L58T%>YQRGPsUBamP1o(Q7F|DGWJG8YQLo&=XkR|xp`FDfv1@H5I zR+MqTu=ic|PWE50&%A?w5#HzMKmPPuW7Ky)uiwemW3orEwT*t4Znnzmv0K9%;JWJ? z?4a-o2D(gtd`R$DnyK^8%5>Im1boTwSw3>tczL}^-tt!wZ<(2;p^{X_H0v{A!Eyc> z_5Qg2?V^5%{Q9Xc9O55k*s8Y!AI?WFU%<1FM|X`3rwywUJFU9xN=N%MCyrvjD(g7m zA?*c|F{25&lnymox)(_M=tLXo@!AtNXF7X#^615}<<2Jj0S)nQc6Kf_0hzG_J4;;q zwh4!D1{!;SBT3G7A3ED=oxwiz6z7T2zTxE2ShK>4efabC=BKrOhweR!^E-a{d3y`` zzUDlv$-+bP&|~h!H-+&r$pe05pYChXAKK_csSVBq@G3f%rzRyz>-vukXEP%;4n|Xp z6QwD0Y`@1>7y6BYA2Rr3rsxCou?Xh|l-I%cz?b7&BDoz-Ft0$b38yk6wdYCehveB- zo|>2@&y}HUra`zZ1y(fEIXuJD>ljb)tw=VnV!T=(UCCL?(>6L+HE?I+%oc0-V#cvM z=2}smbC7NsP?~I}|9(6#K8!F2P=1E!FFTF#4&^g|efDf`z>g+%J@49=+Y=vSZ1>~U z_9(hB^&uBm6J1=c=)W=nv@tirB{4+uxuAYX+TpGloL8?fDP<&CMG;WR+EnGj7 z^ae+?D4E87Z|I?NqTD6v(gk_z)20viN4jMq+eIVQAzHT>n@y2UrIasP73(nFtphY0 z5~WW>)6g!x-1%qfBY#QxbQx{zIR+2R#a1%+9gUtntS>kiJTy5fG&D03o8EQOQJFZD ztpVnAe6@2Doj_+np5^^?wh0s9G`n=jYUixcG*)u64%u}Eoq_XfoYDzRV>ju%K=^di zX!gINr)>_i-hZ%YCsp|>k8(%X#y5_|PIYxoJf#PKSJ@i3b)K+a_Gc)YyB78>P@Grx3=dZJloYVD zfhAr3+rUnC)16+b_UjeK4poN@s;pKjy$C%T>R;AF21(oM8!hHg)SSf6f>@h;kJBK%jL z>;?MjNRMV8f@YgMn)U9h;HlVG-&c{VCH*=2D%o}SRTCZ3@2>;tua)#y*$xI-%bl;j z`nTz?PtxwcRewDKF4bQjCeJ_BU$-X3HiS9|#i|(KC%Ie);_e0+{=>7u;;?w@HMAFuP^H}h`|@DYzL>c8`q-jgAc z**m*sL9tD~3{X1BfM3rm@&Ic+t_*mV@a^zI0XU5lPm(?;1CBxK47~75XzlZY6h-mE z2}1G0DZ;x~(A|&&k2>bH6*qp&Y$9owa0p7LajH3`}cooyu99{C-a)Fc>y}#OuXpNyrXM| z_$45(;fZ~86WD+B=&bva+7n7w9DjZQT!#xs*#W*!d+_V{w-EUI zfmgew1A0WtOE1|nh4i2B>iVywpT)PY2WhX2Kb}ZOkX)JJ<;{A{dAy`?zXM(> zrL&8d#6uVHE*|PnC|;{1?8hS+bSdFMXw{pi@Tqs@OA?;iCOnI$vORj>ygOw7Z_-%`f>{{FvC z#=H)^`ukrZV~zoJm4d z{uokg7RRq7aY@FnuFv$0U-3Ym8oz2>xbw%ahzDP;f50CFUNS^{<&ISWJw@Z!3FzdP zt^GIByEM-2hOR#VRx(6yrmo*WpzT0Hm+@Xf$~2Y8>aG}n2GeBRDD_6@Jj z^3DBo8N zZu?2Ey}$#!dj1>fgZv}@=!7T4J7?e{jdM@)Exr;DeVg}Zd1{b5S`SFd9jQFSUgkLGv0BG5BCtUKQI!@P4{>Po4Jj-ZK>7~1~Voi*OrE`S^u4Z zy$kyP%TMsZx3s=kyr1e#;}zMfUHeIO61_Xc{ENAFEUUTra_2eHYn@3pvn2eYbq3jQ z{C)r0r`gKe51rUkRM#oOq^=|Gjy}*R$vHPvhSruifIAnO=I; ziL>6j^=$E(^z1Krb$XPvLH-gym47~LXj1L#Q{uUke2c$+PWT$nS>As^I0HV?`4DCu z_hMDFyYn~sfqcf}wV9rLN(>F~TYZndeWmz~eIcRC2e|8d=U5LKAK*3g^`+u5t&xb| z{&1v+*Gj0lfd9SuQuYL;olM%v!2ZlD$DRLq>3zObx+%m} zleU_)he@k8_14S8d*a79yg7&onRxPDgyPo$gpz;kr!xlfh&L}IoC0rlkDHzhl)X^; zK6a+76Z{V$+YI?5p!08yOXr`Kcjtg{>i2`=(0LYc>Ia%T25sEz8*}@Ccrj>`P+Kl!laRbrTV#(|NEtVHd?Q`% zLbB55Ny*76q`%H~yAw1-d%U|SOJhq5XJl9D0Pdwta7DA0rF~(-?4ffZ>hI{gl1>CD^cYq(? zrs~-{m>*P`iHSM0lskTsx>L6}AKeRYL|8L6@D)XGtI%HC7-M84Aa}r}yVm(uuQr}A zihWi6|2X)#(`(}!;A3~9M|Q*|&y3<)=N9D=n2$G% zCeOcyCNBXmet5ey+2f_Z5KZc#$qxcF+3C?_58+;(Htaa^=LycS{|TD>6S}O~#+gNo z`SL4MpI~1{m667eEyNwQ;D6R^^a#&)FjPJxkFHP_nTF1qSZqC0zm`68hxWBrnT#wd()btB@A$?iN4`ZD-XnjN>erFV$Bd?5b(Z`_lRs(m+klsz(JhC7|99XwE6$Tc zs+(kx>`Ky;Yk-w*EWJXs*{gPw=9$D|`v&4S5?}AagBRqO@{tTuK1uROl1Bx3sQzn6 ztGQWQG+OkB_zGu#l^8~pN4_sJ_@2jO*uRcyKS~YnCp;cc!|&yMJA6wo$r0A_{X0V4 zb1l7CXTAC30=(wRA0x@RB*>PxQnXQHLwpgo@P}PnIJTdvH;tD)elnh0N!#4Hut8f! zabE*tf^^kvLij1#_6SI+%V3a^Up(U z0hd?Cit=1?{A%9c!&9$$Z;X7ILnzPZ;6vHQ>eJudE2iu7O&>O8+C^k#C98i zv7b|&kTqrUVbvX&W|<7XO9wXpBtHai8}XM4eg5;tP&zRh06 z9x*ev*it=0#!KMoB}aGAmUmFMF-_dno!7t*Mn;g!U1Dudf>vFmReig_MlgE zWX$>0&x|qFaSq?V9xlp~2zZhliB)WL3dBiR<0_E;bfd|TZ-j-g|$_44=? ze)`JeAbP|rULHex<)QtK!YB5+Cxw$}=9Pllwv>3kE$SP>f!gikKsZ$UeH?z1^Mx7> z?t-z1XRq53_M02oR;x6 z2#q<&D`0N|HfSTfEN$(o1z#G!rTgqAt#9Mg-1yCV8GC{I0B}KjjBJaNyDHNiBRu_S z4RO9LCJ(IIF#=fMJ{U|pkF*-2QC}!(N$1Z)Ho{ndz?^nt_(6*viBd5vy#1EBK$Is@lW`T68e7oot#h7Il6de!)f}gxn)4k zs6&>Czfu|9Cs`Gu9@^*mTl~b^#%*-IJYq8PV458^u?I$P%l9W-Nc`myWOV(cTuG8S zGyC4rleM>^i~YI0Pq!Jz%eKey&4#bAX;tZrvY|DU#W|kxrN*9IHEuh2ZDUWiu`Kt2 zL%JW9{V(i=i*p`J31dP>;;>y#-;+E&L;8o34_U%fgnb6aW^5XXCAMJCzKonmEVUNj zy~tL--xgnD*EDf&(DWwO7q~y^U{S8F39Idz8yHo?*cW{X@8LwIX(wk4ZqxovPlx+> zo3)FxclK@KypSNTt>ateuelW8vyzR@C!rB(-Tf6$FdoUTU*nl@j9e+3|1IFD`#kP# z(wSr-&Ml0@8yp%`7U5i-@&BqZceOKYJZI&we=##=Dc__e?rYZ-<2D82bl#TIZT8}{ zXF>af6}Kgj?rR?`#&!7VzU)w zE6MjtFW*4gQpz0{-^n=%oV`k!sz<+JJM(>p71Qc}3ID_1f8+$?&-DB=;Is5ue2t$F zKELYH(Z^}l!+~h)<8*frS04WM2Ge=?+wZ4y%MIn41Nb`#zH}C7Dfl}K{*LH7zB&rd z!sf8XFnbNV@uYb06AoQoj90tV&bZ4DO@edV85-J%O}5x>wUfP?F7AEV6OI?xg*47T z$GObcAREr=tPo%Kgl{Lpw}x~lPxlnRzC{|Vk|)BwJ+qN>@a#BXbq0yg^EU%K8rc2H z4;aDwYcQR}Wq{8BzZrPa=7$5H4#0mD_+h}W3BX6p;#{+txH{nLfL{kZY4d}CclV7# z7x^4c0lotGSpj(2FjfC4#KnQvoewR*lQv%py!!rszrC{5fZg zZ{>9az2XS^#@~|{S)Qu}ND{E6E|pWO33()5m} zU+($03&hhm_pG@oVu%Q^U7-__DZ_A3z(cX`5t9doms$s0oWt1{?VgLo#tC@mp&vL_BVRL!r#t~ zzG_> zTC5f1hv!#1PaBQ)?7@w>YtWIT7w!9SYqxF`=G?V1^89nUk@E7_90rM}%P-4+GpGFe zvHyCN^KSB($DT3iGtw8j$ty_BiF z%j04@^64QBZn^s_Rn}_fApcaK2I}LFok#em`lv3dqv~QLS70Ar(Os9l3Gr`n?1>}q zWIKBtc@VV6Xf4*){V!yXX@hTNkIB~+ZEvf9ckOikc#M5zIeEBo-grC{9#tW%ah*?e)s~2hyuA1n*9e?%xR?=izC8Eym*gHJ*7p0=(_{28f5m z+vrhCL&=Oig*53n{Cx_39g?xPT93ltcjwt3S!I^aaBXecbE&h0XoFo7UgZq0fM)Sb zel6!#N6<@ro(cz&Kzc(aEBi&iy(kLz(t%gRc-Fm<0Z$P8rZ-++0Q=kvHG;(oQ zl=;3r)2C6zUrD3z|B^<({Y0_9UWi5qp-}}EJiq^x@h%!&Oc>+&bNwjn_aluH^doni zxIjM&Vp7V{FF6s0WbA5>m^>-|}kaYgZczv8|3A1MCHV*EbEd+&EDew^Z`=P%*B ziJ5$>J&(P3?)A;V`BuHPOLLnNBV(szXW@K|wcxOk^z0W|fAhsN^8bhTwS*TZGhuu? z%PwQyzL;|-zwD*i%R9E zdn&QPevx`m@7(qL$8Kxu{WpPk);aV4?0xqkujeKnuy5sC`-YWXaclW5%`D}NBCTsM zmS4Zr(b<`*gHPKM^1+VUwSU4!XCfhMDtXNh=~wv=5hDNc;|b9x^4AgeA(XA*BEoA4 z*8w+%Fv2(Ufv$dp2||;$-}=oPboN#k=X~VfO{n>hXyVV|=kP7OXg}dN6aQaucPY60 z1Zm5FXRW%6zU}72{H!bNy~__N;=TW0-lN|8*Ld&ay~9IYCEoi6-b;BulVRT?@0>T1 zUq^TmA@jDbazd?DbKZVlI^Vj0UNHr_V?*xVyDENa_UsrPjDfz*_;%_}TDR@49oQc) zfv4^JS_0#x+Psi&f4%%hV0ACGY{F#pW2b3^vHdP!jwJn~6l2{M z;_vX}=5b_b8{h3bA0{qD2MUqiG*Pi9U&*7i;Q@sJa<^|FnFQog8 z@X||fm)+j>(#uanbXWuKg~Q<5`bqHq&)`w|h4hM5yg$q%f7ae>;#YfkeIIz0FKZf| z&&-~`9xlC4=K(-IcO4u(B7Z6J;8OM;Tne69u|(!sl#mJg>)=7%{6ao#Ikkco%&( z6MmgX`&oO_XNX6iYruWNqfhX>js7m*zhwI~IlaF~k4ueLqxhE4EmH0t70L2)(zYF7 zEa7Z5oiltJG`rofx@i_%%Npv$k!`$$i_Tpu^TkBA1sd1-yvc;2IdaKDrHJv>Liz4Wi?jaRC3wHz#XY@9a?XD*r%k@Dknrfqnpl_o$o+WPA5OS5JYP;7@%rXr{vD=o z?wVDUQ^$etEhB$M9&VgxfAIN0a#J#L8?e&r<<}nM1IY#Kx%<-8XD;PwZe3c39hygS zL2H_lk(+>*T-XErc;b4?R9{9W`6n5<&Z{fBQ5S;INT2>)D98t*dnJ4ziRI71Ugcf$ z=hq1HJk8*)w?EfNj~_k+Kg``xQlBj?VP(rG9rI8;3>w7U9v? z2cW}G$=8kZXz0(Mqwmh-W#gFS(NOzhdfPY_T!1Dsj2;_Q3i#0;O?Ci3`2y*E8^;*_ z#YumqmmXcddz|xa9C2vZx3Y(JqGy=zK0Jec#1o_E+wAJiG%HKXWXC7C!Jd=ByODO}d@ekG4|&du0ABd!g2 zD(CBRrwsmi%}LJYvsOFlEIx%%?wE<|+xoWtg1fhpv`3@Bwmdx|Z(NWuAz=THoX;`6v6k zbf4#$tjYgCa^d7E)>9te=zQ$5hjNqf|Kg4@d<*dP8~c#6hUZ=MwKbD;C(jIItxS!(%%^4&9{)gJ#~A&PbBr)Tc$jcAcT8;I z>EJ29wy(2^Fw3)>XD`owo|B1X&S{=AJZE_#BbPa)Jm2};^|{n2=9$YD<=%=dbBrO& zoO0sJ>!VJTdTUImW4<;Bp49!Klj|2Bhv%x|z~DENfBcfB+_^#cErF9zc9Ns>Ww+rE zEguQy)i(0I>Wsz#^6B@Urd)Nb>A246J4^g=^al zfGxYYF?Vu&U*{~(K^}`|8_#Z@bv&DS7V@<6)bZ5w)bh;W8O}3~r<|vn=d^H0sJr~i zd2~l$C1qkmaLd$s)#U4b_Qs@}H@;DO=f*c=i>!$?Jynzp_8|r8B46%KDs= z56EkuIdkf3U%K+t6+AC|>075t?r%O7{l{xhz3`9UJrygv^i;%n+(A#NYS*1CDdygF zRz3>c{yY0RdwDW-%ba$exjbul+IU)dT6pI1%;#Ca6X99NljbSqY35lO!GDDQ+(G}2 zK_BsbyRpp4M2+X7%y&yo{C|8|QkStOg^j9Dzn43|fjJ3G*#E~EkujD6*A>UkcD`@IDK#2Jm`0@MuG-jsUv&yGN zjjC_+y&%0jcQ`iKl}GYl=!4G8VzBe!kd+tjpEh>cW`e!P@NF{rRrfCSqnwXl$+~qr-=S-pSTAEdPA+#+ zBL_QS`r=!_NnSlcS-u@50&ld#GlEmvlIx0aO5XyUSyhBt=fh+(_5xr=M2)f-dx#;qDgdYf^S- zH}?!vZ+sk_(ow~FtInIV%bb7k>rj`m=ktGIpx)s?y_3LIdu{zp0Hzd}r2&|R_xH4Q zJ}`qkn7aZn&A==Rz%&J5HUl%WJh+JgxP`so7EzXPB7BG* zgGLW_j(Bim0&w$s!3mc>%oJe8c`#Q7U}EMnXL+EGD$9pS1C#V%ssb?el=Bl{20^R&65T@t7BfE97G~{DI*`fTRt=#P{M|I5guX$1f358Z2gs_ zN8sq;NYrYbxYQZ@p+z~(N5b^4>M?_zpMSrH-&<+J*)Ve>;M_SPA@Ov8WtvZ@A=egW@W(Jvw~eEGnK zNhxobI-M99L6HXof;dQ z!@LVuQ$w6#Q%4<>;Bzc=p>C4#k_p1gSmZ!k>Z{IL>QaKPs(sFyHSfge%CEn6uoJqX!ZPcMcK8;`YKOOLp=oQD zdjS)T?D=eFqmM@!?>J(0=J zO6pvVRnCzs26Gl4&E042mnLLa%-BD0+vDE_jLfgUgFd_3xgR_ooBRWN zJ7vYMR9QW?;}LvooY?k`!On=1!Om}H8n6E+=?(_!bQB#w)eCNx2X_*b%|w9{D%AKTz*ch z&gssOFVT`VrCW*I&fd}|!Uk33(K#V!r*7819P zr_FHbYnKi}MnT`^1a;$CkVQsNek*b6Ph9ZhU_Y!nM!kLd+!c~f1oueNhTeM4tjY9? z6u70#(~}R_)k*pb-}G7hHW?Qwua&X|f9A#mb{pln<*H10w4J(#ckBXwiQ6vhjqX_s z;vbE1&nq4L)j~fjjW5pi!MCPQf-}UEI`esv6+uVD?`EWFHQ8o7GYtM8U4kzQA=Uxz zljMAjQrffw9GYEe<{1-mI+q}n#D3b&b2;Vw>Y;1IN|EQX!kh!mSpP~{H)szy-NmQui&3#bvycm z367F{CzZCm0{@NW&ex%z;(x%o@o)7V?7YReB0Xz?;Mk*elzV5)OJzsO*l)f-9CV)+ z;;udNC{0%6GL)frllN_UkD)sy`{%H)RVB*?J69?nV0QCQ>qTYIs)Ky$?vTF28Rpt=nhw2}) z=(9h3{x!+Q7-^?q+fD)3dHjI=z1;`wXyF;q)biZfVF&E-$e^Okx$wQX-}LhO4YVqyj`T}gGUv4RocLpCU2&|K zMgAq!)#QGXavulaM>gN>6^uRS-Dk4Y#jRwf(vK&7jQ&wh8JTxc&n#ym+w=?a3+WCM ztI4^wPT$;PVyVA0IgHite3PSp{#_=*m*$)P+$55?A%~o>UB83)L@P{x8e5iT?Qe@? zTId>8oGZ^b7O}qOOvk2q1{t>iTvt%;M6d1SX+q@>ef8IM^0@xi+xky$)dfj6Ijyb+p7mV?Z7X*!*J!-i}(&I?;~E` z$M~oEi>H-0HiPH{^0oqbFD7r}gFShdzNeV?8S*{@{3pG$ujO475Osi+d1}tJ?Ddi2l7Pk23NCrF5&K-`v^b7^EsXe zd7i!FfPIP4dcyBV>KnnnG=(;b_TSrcz@9?-eg9FsE2kP-%z~bay*7_#Kk|OH4Ib8< z`)1mD+h&6B+v??LNuBlNY1s)8-^JN4LtqAD!+J!uzn@MLsmxd2=u} zc4LRFIoZFEM!Lyv%JXH~zCWB>zirY+X9#7ubmy*}&EbvCFQ{W1^QQ0+?oZ=>oF;R+ z{XF-;wB3sj*L3zE)^BjuUb)LM=({EHChG;=S26lts|g!am^;4=bYbD3i7=eF(UNZ0 z6l%1ZhOR19au1n%7kd_Y%X=wdx#9>*37Ij|$GE$SdY)GY?!aJApp89tX*={CgwMx& zFY9UFJ+x0UP~|Rw=RZpu^nQj=JS7^i&Rx|^z9)XqdO2(T@4O#guV;OdG}2`jvv+CR zfQ_y^Kl7;r_C2Ik9vRZ+y?h24jOEDA-~RWxC)1-hc8||L`#tM4qxgo#ny<{q1}46r zTY+C8IE~b0?C;)gydI1>^~Tld3+Vi&Juo* zxcSLnV40YqyIsrra1L4|v5S5>o%N9!6^A58sf+gMW9M+^;C}}`&h+Q3UfHCmNANt| z6U3=*zAwci)Jr2mQDC-x~OjZEar$`%`IsvCaNkL>u|n zQil zYpu^;S5v!c_?EwUJNeX+&(qZVFy;B}nh#9Gh3U~p&%}#3*$&)x;HG&v*#xY=Hr~!Z zosXt64r7zLi8Skw8`2pcAzgi-j5{f#xX#m4#%8aK8RVljz90Chv}a9%x_LNk_0l9r zGlen|q>=vvGTCnb;S0Dk8OG`#7QzY{EsRQRKFhPEt>Xx1U^6<7-RUYEdd{8WP6ED< zG%%xz8%7=R{~)^*cA=$&lhcNAoORY+7^=r(*M5RDXG!x7{$)sWjQrjrZaZ=9#LXhj zX1-65->bk3YQzpk{1HNjFhSUda5CXVgmr{5!Uco_3EK#(2saZBCOn=F8!xJC%GygA zHPqSQAD7J;X9!P`rjqzD@maoW_^wl0>h+)0V>16r`BzVzZ~Hn;e9-n4$1dg5{I|bj zFO9yFMP5A|AMEylaWU)=#$e}_+lqZ)ICPvDfcrUcXGyC*2`#Nw_0&w$ylMT(6Kq zz|HgEE(Wd+I5(ZgLqmYuOxhryZ}VW+0NV-dxf#am(}3#;lve@V;a+f-2e%)%{lNVa zxLV*&1mL2;MX*iz^(?O`;>D;O>{LbuJI8@}A27172`AE}&iof=$?^X}y3}~!$MbJI zus;G;;~e*Ec3sRETgrIL+^<4o&rI@T-nZ0<6>Z$tL+f(>_htOm+E3`6#rO{s@2%NA zDZQg4k!HOp^OzB@&uP!+u8}VlO4x&97_+C97g&VCp=B`7B;md3` zTA}{vz(ctUng1X75H?M7Wj7xGZT_4e3XkIgcoa?CybSW%MP5c*F|QruWwsT{gL(B& z|50ALPgZm=EbIXAXc9V@J6o-O`={hevr{;Fr}Koty{8fO+myyvFqd87^n-Uq3-wzg zkw#}tv!0peU%LBWdT7*8zUBD&i0>~3=C`|;JALWZH%eG*EZo2Sl)-!9AGe>1UbOgB z$wi4%X?eouX3%&kikm5%(4!l^|TYxPyy zgLAs!yC<~nsJp|Yw;7AjgQ&auk$cBsBl*;|SnsQ>Ch zEXH06Y-;#Kvwq#q9iGj(81Ju`$J{!XGoLCo*xN?s%UVDAAOX3=5GWh{xcG;KKHo zjUBS5agK!cQ>*TRS6u~A8lWz`e%5_rXb$HCwZy%QFc(yYVJIikJ8uB8)V-Jk6c-3 zWV}xsX=^k*DLuoNrOm2y0EgHh_o==9T-(RNT;ha-MIH`CpCAr|L(TaoyRfo(_sCD* z|4L(^a4_G)V4nFU0`>@sYi)nfJAFA!Q(01(!{s3Wyf9@Pz z{V==Q(V3RoFS{nz%Gk(#mkHe&If=a-)APSScW(V)%g9MaWI`GHidZ^V@ge%YL7I`R z1%*nlaobNX`i8-w*3Q->THSO$F5^S7ML~o2%U=jxD@{74ues33_Cnag&dgoHmnC-D z{S)*v`do-IeAu90qV`w{m)po|n^8PR)<54^K8ZCR4dl1jN*bS!qrk~;QT7GZ^NewT zdMC;iPodXRj$bXNI->i&?u7-3Eh4)0HnP3j-1rR>;Vp z2jh?E=>%!DxfdVTD~`S_9LS$mbk;rtwg1r6qAU;}*HRZBhdv+Iy0GW-vG0>Pf`4lN zPV$gE@cB5{kB`yzQ?%3P;a~FZ%l7ieCEJTWEBE-aJ(;mTOS?_U_Eg6H2=D1O$=`=8 z>nU`akw*(=I+Gt9H<+_bwRJQ6M`lwy1 zgK%ne6zh1=Q|KK^6Z@(CpzE)%G2jPodZm4tw88#^x74m4AM74^ycr%ilPt<(`J-l_ z;Z$fy`urjC=*>ef(huCYUOXhe5)V!Ecxd+pc<6axr;twlP&}ypZN41z$AVEF|7-)^ z?>`z3)PLIOCnw;c2#Sq(NPek*fQQt7OyYc&{&XOVr`2bVXipMzLj(6!igUjqt97kgT=DAENzb&|Y-k8vC&=oiIG1wb0k~>xV{lFBJ73^kVU%`ge$OnXiMB%<8R) zy(=Ts?=5gC-8>|I9P&Z|J_tvcvsR4Bn8OpT(Vu`H@#@yt5&H)18wIDp>VEXDtZ*FY z_uBWYG0^Y#qPh4=zW19b=SQhxzt{ek=7iD}bpR8dfd}@v<6(eizTEI>z6W^Hx_c>V z1Mnrl@9IVK8QvZ=$qk?8&j3$a_inP@G#8EEg66|Knv2#~@V=SwDni-Fdt|2Wv7Vm4 z#-q8$zH}nZSPu>2FEMsa%cJL(MG4DYyt}^0Wx+iVcT#6$$5CH~YR&(^6?TYiU-L%ht?^Js57aTXX@Lx3W^|1NifU@2DC>APx zfPNakTHHK}a=YGxT|nGC==VvFe)7AQT_H$6{JHndaAD6cUwW9zAJ4zxu~`kyva!P=D^VVqa{wwzeHXUVaQ*8CBc`Zs|O}=!9nLSdmDd&W`~b)SK03e%wv3qMXzDQ9)fpI^&sJi+x7^_CcMo68IN@ zPkA)c-S&c4-|a0c3*UD?hmVnElJ{6N^4TL8&7w< z$fFmsZ>jWR!xRn=6(aG8^7YQc^XV32#hbp4Hi!0n zhx%%dk7QI(P6%h(*HG)VIoMBv`b9PQJ?Y_1K2zdT%@2LuY9{T~xbP6|Q+rzpwcgd; zPHZ8P4=lB~V*>oyBOhWfBOj)^>yuH!zVM&s7W-AF3-t9&ps%a%YwUbBaj2U=ef+c; zJ#xV9!vP*c&+_(ioZqkKk(Y4yc@JOW&neU;*snE~`(tSkUw*$HLmukacYFBKST20| zeL9G@x!~<_aOLY|Pw?*d=ebkWpC5MdHqP(Qbs4)B+@&7CUbNy;$K<|y#@Er<46dv6 zzTeG%=aw6D24m!i9h_~Dtj+bK??#MmyMPpj2r-ceobHQ z#~AyS9H+@6F+k0g6t5I z1%3D@d2l8E-hJamIiR>_ll$zU#GM2`{gauz=H%z;JZWo}_9AMm^T$ugP4TnzojC^b zNpwnEdGX|Vd<`t)bT?lMzSbN6M4uYq#oxca1z7+*x|K^K$=u9hvzxD<_h_>aI*G4$ z@~v~(d-FBXE?+193}2ssmrhXrh4^}NFTR#8A-)ceY_w+ftLPrnHj&3BFOS%m^T)xC zKpxFX6X1E-vNYfFap}v&xx`5>ZUq;T5z=*oa#1n@{p4-Ur5_VM$)kHOlQ)j}a-t19 zzUkpq`202RrzaK9Wj7kd??oHahkSld7Uv!(7{5$!{4(n@_HC>$93d_YKhG4-Q}7kK z+g@nnj%RHxRyc8_5UI!33SSR|Upq*Do-WzYQq(20zApJlA*f4Mck7Zz?4mBYq_D4B zm)z^>C8wLPrIEjMnlODZ!dypl^P)caeOI59E*XaZ!$bDEx@1w0ToT2e>FJR_vFq?H zFY1xsx7kD3RZ2U8w4-nAI}@PYX``q^oFwifw43D7PP(aNXHZ@p2G*6O^c~S&y1(|s zs4r~?ehcuUJ-U4#_|^bC{?teNKu>hZuHarc)}Oq&NHI@ex71!Z$x!VJy^K5stNDbl z2dR8Nt>k4bX-AN@!b|&aq?N3E(=?3T3|@2(|m2UfV!T1%%lK5H#PI#mmKqHj1Y z7EhLO)NDd#vG2lea;kSUu|KK_zpX}R3r`2nCZ03IMM&3aHaJ-xe_dt@}JJ z%b_twPg}H3*yiCwwh-}xctCQQy*b7^=w$OI^z4l^9z+-R+9&*WdNhZ1%EX7N^X9PX ztGYH(*Cy)PL|xfWXEr)opFCgI8AnA6#g8FBA3x$z(ahHaYsmXPubzH8{krcQjZU%XP+IIr}B$ z=UE=_&pvbZE5yT2g!gjfV5#1%u%or9gVfj6gRBk5SHAkkI(9rT&)Q5oeSRP2@w<4s zH@}M?#B&-~n#unP+E03y-{%DK(47L}cg4*BrjGRfIonf!8w8y06A-@_dAq2C`M4cN zdX3NhJp3ZRTt2XvT*gLSQ&gve})6glz zIz4Mc`B!<@{)?OYP0#P;eKT-6H~$&lOOg5fTlBR=rh)Pn#d>`UPWo^dJC$V57Ok~$ z?*H5fcXQD0J`ZpfSR9{d@+@gOi>8vJ4KJP|3{eA>I$)k0^ zyT&)?va*v7ZMDJ!cviK#`%;9HNTR`s)Ao`?W1$uQ!Ejq+p$>e>H(oyXq4c4G>JVZ) z$DrE99R>Lz^a<@*iL@i@!Fzj!P9L~CI( z>0JJ~m2ijq02_h^p-^i<`w}9l2G-b`3bCQ|Wn)FIANUESnz&M% zbM~PR^?I1IEFOj)i=f9M=KL!|4Xc+tonEza9ce7&kuNI}^z#(`{GfCdPq)x~dAC`V z6ItT3{TZL87_XBye?9Q>-SpvWfKLKH2>i5rc?8$F7kc?L^KSw9l#vfG`O)MfdxoFS zC&}j;@{up6Uk~Xx<2`tto1^ohes{C+I%)I6fFBfqp9B15z{}p@!)vZ^7TG0vr}K5s zAdfgq>~+%SD}X3Vc7{Pb0SkA8f;RFOMVq+eRKgArI2$L*(K5U{#rIi;U7^QKOv4m$BQBsbi3_&mm7WmSy<<7VirQpCYUy+(Ovd zf}bX#^ol14C4(O)lnmD0VyWH8bNG86Jga+|C2#9_*Zm}M-skf^w{C-jZ@%*j`it%x zeGQpVX|*A%<)3|b-qbxVS=LvONxX-7M^%}gAEfV8rlLD8#XrW;@x-q|u7*1B-^el# z<~xF~w^uaIR(*B{ghs;DAIT$bIe^+ZmPXA^hM^*O{`dBvIg8Y1_e>H82 z8Tg>DYA%#EHe0}(=71&0k``x7{RU^mYV_f+xo|e};xWc7>U&3C=Ps0`8w!1mhC(ek z8WGdpz)b$GYUG)80?Fn9&DQuNZOJS_9&&abXYZD$8=Oyphp=%w^KjZq8Q~7gF6p=^ zw`=8(3m^L`aBc6*u_qjPH7j4O3E?uR#7?cC2ilfM*NFXb|q!RC@W4| zvC&nHL6(h3Y;d$!!0c#p_nD95`@{s{&Ygc%B;p$eGVd}IiRA;8_OQLtxvRR##n-l>#w@24XQtDoalHx^m&Bu>b4C|yk33cV>Z0k zWVU5ig9E*fmQ9wh0=|P68wU36$k^-2`|ZM1doceBjA$H%zoXE#ZQ`dcPr17lyucUwUAAdhxwp6KET9VR$Wlw6X&FI`af8tqe%kByng z*<1H*B~JU2#>AHA66jA)GB?-Wip~kL zVa~|YQI7Vjo|sF(Q)8?R&N%3%{e}JJO@wdZ3s-NE$5qOXL2o2&+4k&9u51ApWzX>* zMqiVyt&{hDymKZ^)%SQW;T;=om4VDK9z+KtFO}o&tAOt+&6Q5LKFxV8ci3Oxo~3bh z)FDgXY*^`3+uTLb!9K~%EmoOvr#+$$nM<18_W<`mgHzgeLoPy|^~Sl^svm1`20eu? z5PQh_LgL(OW+s^{%QRWfC3e{AE8j96vbpUlw>7iF-j?2BKWpusy&s_O`Y|_Ot0j*k72Vh`v0v;4caO#)H4{ndMHhjkxr3XFa$aPyX~xrwl&^ z?Wybsess^8fj^_xo3Yg#Ev+N}`o*r#&i2F$_U6%xIKyQTXSgil{FX&dg#I~2eG-04 z#=wEG!RZ^DfZUsEzYhLti5o|p8Jq0Jv8GUk4?|ZiaY}EbCtH2nCRj={hVSzDa%U4f zrv2Y)N40^SBvzc4s6E;T6{r4#Xoq-LW9&lsaUuM9{3hddt@+j_i{}K2ue;~F$>KVm z8%I7``xm_C#N&Y<5By=^F9TM#mx+YPx2{QqI!{YHuDP$~z&bA^U0FP@Za-u1FFpRA z39oC+{d$1M_fGHO@o$0OIm~sCS@t&>SLc9Z>BT>E-#HJfj(tMBZyNTn6B?p^P_)%= z?9~rWun%}XI{W+z9`+ZlE(u#JBOz;LAJb~v)nv8pY_!_U1}gzh-E)GV?cr@v*{l; zXUNw}hrh$Vmp)T{5A(zZ{0K8QSfw3}-Tet$Xc+2vc6)Sd+l4+ zL-wGjTAgj_eYTmsB{w3y!TAF5<=GXM+18wUmiW&2KKpyIefHMuKKnU~@0Iw$X5n|{ zFyBhI+uJe+?PuE#+WsCq^&|Bsw=cni$qao7K70Xs_1*l#wdO{rzt@+<|3|z&^@`UoWCw@^+I9{6ks{rT#GmQa=jco4*-KT?Qts#ZNrg$AB%aYZS|A_R`8$GLCYjx4-D6T}xWYfodEqZ^tmMGl2e-OqhE`am<_R z$%Jyg$1UMJbHYJ{{Rz(^J1!w?0hhhc(7T2HG~Y&cjO1)E=>I zXE9D>Omqg<)}{G*SpJ*?_#e|aIW-?8Z_bD4+TLI1rKpUl8do{1L^?Wq5Lp+Mts_id zE=^sZ3y+eY0r$2V58K;fFLQStYbWuS?am1+T-)WK{!O$8xjqD&gRPA#`klR<11(885x7jWT?@q-#s0JmDnb;(1#BA7}$|@u+W?)cUdXu-{Ra z;5d|m$Hbo+i==mr^6GNhZwLQWXY~WEnJKO6JP5ceNlQ6-zYOuGuY0vp=M7$+=h23Z zypLnt@Ygkc8|^o!Z_OllZHWA)r`hYoYa`eL18t2)=o)W?-)eK)p(FqEZSaI__9o-i z7RD?0EV&24$K|@yZCd_wJjvc+w-fh!S_D<2z~Jp zXBhlq*7wPUhqCu%>cfT5NcIvZZn3U*_pRKKpGsLxj9uA=HBN|iB?H?ac~n%^H#zSi z%>u>%vp$_$`$&_eyuy!@&&rjBGB=+at)@{AA^%!9(+8h&#-?k@Z*5(ZRSTS(zLE6o z*(fEw*^tRMC6bnrZgrYQHCUnk8OG{Xr%##?KT-Vb^AW!FKZ1{EoH(Pd)fqznFyhQ_ zS7I+C50zuI@h|SBCB#qBZHL;Rx@YP0*?Snf8SC77JXowpiR$ryR}ac^>%q4{*{Xx; z6CvzV&pbeJ`cCxJ#V~HMDib=FE}hdJgzVI2_bg}mn#=woT&-HtXblCYp;hctX;@k4 zJ7l)i7{AeKe2{fGc#gH0-0&Uj--SMp|H3^Z!-=A|l+XslIOZg{vrhM|F*yyJ<=G0u zXmE75cql%JJtXXTK_@WL3E*GSb3Qx1Stj_AEN{EKc)sA@Q_f!ckT0{<-x*i-Nxmyx za6NxBd@i1sUbqRq|ICfW{;sozn*({MZy^KrDQ-S63rOp)?`XYs7I5n0&jAy}u1vp<1lwZDd!RN<6J5*=3#aQ3*Wkoyv?i&GF@g(mp zOTtD6VR|feV*YbhYdx%yXivHNjC9-w`=B3QPd^%{emFJ%PR?6eKzeMQ&hRXH8aN0I zVI4*LDpJM$Ftxy$;?r2#fk)8&3FF$+)8N#xw_?yhd{X1sdML-Foa{(!2iS5dW9`hv zmpf<2Y#hjWHD)-`5R?76GO;>pWLewKtaLb6EHo(56r!6s=f>>H8&<|nlFtZq#;=TN z%9mcgap2_9>={DlUdvvm8NAE(e(uzYTsgYo@tTd%goTcjPcx3KcFKWI#WMML7TsxB z+L=<3X3n(S8OI~Llx(r$o%^_VT6Xz~R$kWFppW^yA&Hh@{II277!UK>S*+y%7 zT;tfZ{07dp8$Sm=NiNFu!S?@J*w|N3T~?3HTAYhy?YSgl?+Vpf#?U%<-O)%)v_h%t zku{5~D$e;i8a6&sOTOB>vufB2)~@&BD>;<@@!)K$>U#Gj{e0`L&G!43Snc=ju-YGLwXS@S{K#{{?E9>e0iUw^G~Q>8*a=MkPg$i6 z_gM|Go2~M#^Q@M{B&$!u`ogmiacka5im)w@0)W>)-MqYcs)9Qh{z;TncOZXZvo3ql8Er|)185e$w?GMrZYx@`< zv4(Qz0Q|FSu=IyiepPs<)fz(ou{Jmphu&j_vcS4|%(fc9v(_WZ`>!rE()My}_Kl&2 zLIjyo&Kjp=Zl%#0idfuz#Z!qr-bgeUHQ3>6$qzebRAosw);g%}?vgw*chHa2XR<4t zi$BJH{@fFTlZ;mS-4aHU<a;brB) zegx$B7hK!y7dhMT408|ppbU>!I3ai(zOVQK{Xz6Fvx{<Cb_@)l4<$1^d04qB#&zF z7|z{m^|Q&3eTgd)*IPPws%c`n(3H#AiKO~#+TsoYe5rTYS5cSK$kWvmcUhrileLq4 zc9T!V_4itl3F;rU7Cv=7`~eQvPHeJ9kImQ>w^7D~^@XTAhLeVIy`sq1;rPqo@lYW&X0>${#}G({`L=h-aOruGMBG@w zd|q<=4y0XYxnbaY85v|65y^O8w%1@^--2vkH@v6^0+IavIrMm*$wo9X*$73>eb_J_ zYH4ZFxF9=d1$=M|d{7Dg;3s%(y3M&T&ehbfH;u=N#@K1XBh%LKLKtbXu8LkvEkpGQ+Gt@pzRoppoZ;pz6;fiGHn=%2R?xTgqX-eXl; z@T2``AsxHknos^GDYI=-)(RslcHIh}O`OA8+#HwBB1!nnm}E73ecwPfteup$;vxF{ zG-R-7Yt~t5WS3~07H>h@wU?ywlflh+cxTE;>XJmZL_GO=iR5eD`MhlO$XE0T>l)gS z<{W`tpJ08UZG&6CgZB(}zE3{MX`i$r56-coji0m{s7GnTCy`GRbCRFYhV=y_wZbZS zV2-sA`5r;8G|XO6Xd2bx+N8_l*tv$RFJ!3O653?ezcYvb61K)g&Un(tpuw3TgB|Tj zSykcbR@0D${b}bA=~k1hM&?vHo8Hw8jTQ8PRfQIdwG)eTN%4a*;{(_ynRdQ&$%@=~ zTdeDl`5f zehbrV&L_4)@rD>@Ne&EWo47}=AvU>fxszSrIB+xP5vVS!s=fLuZ{)W@okK(FsPh!7 zaVYEbw2wTj6yXv2PYwI@M}L&_WB9HGe|x=f9pQKG=DfITGWmMm$AiNegr}z*uq&Ge zJ5kE-+eRJYoF5uvJhYl}<#kQDbrY9a>!vKSHf6b+g}TiFrZvVnI}`A=vj|^ow$^=r zg|%*NtF>-5IHc_@b@&O)hL_BLDGa5K9R@TrnsVC+O9@$PcPrb6cpZt^+s?XSS;*51W=UI#66Rp;||A)4-fwQVA_y0aG!wkb|IKvEyIKe?c zNy!chN{V$rG;UJ&pktzPZBSCmsm8*xBs=rUFbp&>pok#5q<`Gv)`Vo(#qQxnMMNXT zBBR>p9JYgi6BQK|#sB-;FR&T$?dF{io4wE4>sc?)+j`csp0ze*CKlSwiL0#YYG{=~ z*KNMTTH1vj7oKSq({2N~Ty_(*p}dl5E4uq(ccg0;+QV)!bJOFi>{&dwQchE9q805j zbM2|Ctg0-(c~_HSPwvDAy65nFQGB8$oR^e8&>ce-mXcPSd!W06wAjoQ-81-|i8B^= za9*K-jnUDlb@qHaeHG0j9cFF;a@?9EKlYUD3)veRyB6BhN$a3}t;tpP^0ef<)rx26 zXLbQLLu+?C>CyomckHYhms%yfS96_@Uv{9aDq>cdS|QB7-i zWldv#ZF@9c75H4t=c+xsSf*scdvUmj{lp;1GAL3i=lw_Az4WchY$hPBY% zU{OEw$Oa3&44u1bR@t?rt?9rI+o65%?VK?QE-vOgmo97`=996mc6(8nb)aNRcVDZ; zk&9>z?XJOYnF(D}&~WpL?q~u#4mua#sWjGjRbHw--x`N^yX2Q=e#|^^HtFHS0_Kj* zdGYv>#B;e;=+d|0Q;g{gtX5#xlD}l)r>wrTu_<-6HH+Vpopr$Wn{5ej`0<;WM_HEaAE`P0R{&V%cy}Y&g-m>3Zp> z7OUdJw?Y&0PrTKd1wQ6o)6zYohWFIDCe6L>->g3Q+noNFMU=jn52vcI_yM4d~oHHJv&fo{=&HA7-^f!VycJDo%?WZ`jmql0&~ zdZt|~dCtI3th>7W0Pe74tTZ^!(w+$&;H(Cos+$i_FSNIj7Ea8kKl80wDu?$iw-95V z;(IMM^R3#Nh4vKk3-0}|Hs&j9XfN{}>9xmnE=F>`Rc2Av14HdOlsA|1T9!zboAV{e zLM{3FGd}h2D$bwVK)c|RsQ4a#ME4ol2=pjpMxbRbzXEH|C%vDo%|3pcCZW3BBCQm+V zar5%{s%693cOM=`Oc6F>^sENE%z@(@e~E~jx4b>0{KGFsw|X$j>%b%%<5Pf%jA@TA zXMMf>{KkRRl&`iv&bJQ6tF91w2|YbNiH)LnLsA-hsjty`X8rMlQ!QwohNn66<}hb; z%I|Z8^ExxcXUOj}%3$m_u0`kizMtnk-w*zW6TY8zyDN_GCm!(qz<<8)r%h+~gLfk- z-%k_YmT0n{;oP(7I``A_*FPLGUizY875q8YoWMKQl6GXyS6-Fj#!R>O!`@YSq<3&G z=gX6fgMyb2`M&m0X*v8%z-+}D}&UU-WYVGkVsFXkv$VmnbT zIMAM$IA>fg8NSnL!&J2)&xP!j`F7#iW-cRNW1`*C{8cpB1b%cr?I`@o#IhCUhl~{w zY{a`c>+RKtlfXJaYot zBwMyD$Mdiy-HYN=E%~Qb5xZGwt+e9{;iZ?EhjAWn6q!H1@43Rg`xX^Or5K;LV4Hu2 zvn%U3S0&Y8NnYz48oDF+3|R9k^f9K@$KssJVKHvJXK3@Va&vL4rm6Y9{x=n(#UNgFmxQEF2vB>`;6oJ|3nOjts6JFe>v9-!kAir!l5}!1%8XE#ew@ymB)%pU!o2{k@g-#Oz6z(|^i~LGS6m z8`^w9F2Do4FQfk;zqD>Bc13UcV{7xR6sv3tcW_(g@b}67YfiN0r<~ol1s(ub9}}+V zzwB=DKy348t@bST_X!@Tx0}8GN9q5gUjI+m_urwvE3L)$sCmRK_vZgfcpwfBs9#@V z-KYj0P@IK$z~O!Iz_i!$K)l7a-o4tDg8&amf32lm+b^apa9so*I-l8U^+S(6L%+6O zSZhVL-0I*U62QSq@{13Iht3P(fe)FvsqztJX$SXMZbf%wOf7yH*Y6Us7Fm_hVKV28 ziU*3)&G}Zz0d%fPAq$Czm`5z_mQE;3n4!wVLjx-lONzqhGz<{GN6u>)(D>ela5T{{ zU{InV9DkX!bZ%QcaL{e5i$<{~b9}@W`Qvhtc$0PShz9uJdgiV(>Pr}JBQ;Ik$)xze zTr7PipLWcuw?9L_ci|T|z+LA^d|in~$4}*)Wp?(hj(;~o`@@N#|FO|BM{>s2@T{YY zQ_{ugz;FnfpU}nlJ*jsF=eW%!Z*a`1D_ZT0IklwM;#2>(iSC#)4Zl;3@=7;?gNdvq zOeOv^O&&wzUSgqLs`x1negb(%^X>z{leaJtc^SVVKcV}p$kVgv^mFWAKV%ki z-W+=y{LQ#uVquS>LsfSS`27`Jz>~Ldm~%8M z(Wl!QSKEzGx9108Zy(>blQE~gFq5+NZf);(+u9wS`~dG$@bOU24Y#4Ybk|(vJ+nTK zzRF8KNiRuu`(s}$9Uj0(YT+x>BQ8ENq*v3Xt+YwFeug$p!9VWPKxRe;tCrbKueBh?n zmbJic3c%h&TOOt@^2H0@Ut5+RUhw+Xax3s1z>~MI9C+t^W6xf@gSy*!FaGvpW75Ei zMyw^}I)GO!e8#K475E8(`gM*>9eGZ)9X!T?SKTv6j{$opugWo$2JzYArMzc8CJ6sK$O+|IN1ANqK#p{xw=+K0LA3C4`?B~5LbOIdZ4 zKb7*Tflr~=h9;~+huVe?>X$Zi|NhrgW8pudHUbw)Hs{q&=>X}1QIw-H6-%#JyGtlj zw(Ex|D;NuZsn@O}tUn4*!FKIqjZ$SOUdLjs@*J;>3n*hZK6k%wA@IDhnx%}zAzkRXiZ`b;21|R$ae(zUc=Y>A>>r@t8_mmB}9NBF~4e4?1f>FZ`iGt_ETv7@{O|_tcc)< zBlsKhKkaeD2rS*m*=$;y25xW{&z!HN7{47lvrW&$&;D3_Qp`~Y{q&!sob6{&j`rHs zWnG>Qwu`eXXx9eXl_I9rZPy<3Z5o{UIF=6WrmkQdkH4=%aWP}T?X4bOwRc)EKE#4K zYxd4sJv^gzo-*j}<9R3e{e_3?{-kTqrB8d7fl8fy9F!v&77t*ncx#7^c6L~X^hV~$ z;5qL4u0;P}n@`6VYO5oU9$$>&_bA$~_FnWle4%wJzs;|D?cGb;#hZS6!Dp#r7!JXw z|0;i$zfL>+HXQTXaOic~aQGc)!{HCUv5XXRc!gxd_`>P&aO53m!%yF&4FkM340@e5 z9DN7c@Vz%_!+X3oBwwcu$KHW9EPRtTjPlwr`E}Z0a2~?H%9FKk(uRw?Hq3aPHbmcn zHeCHCZTO(qhB>d(hLU%n4d=Z{8~)8}L(}WDA@&Zmq3@ftq1tQ1a@w#4`^`U>%-2;P zL09PvTq9-XK28kbP{k8sN8P~l-Q>S8J}Ivli&^}x#n#KzWUQ83>MirG`xr;AFMJMv zS97KrKU~UcZK$`jFU%ac+?BQN=6MH?AKb-r5zpuHe1viK2)5dq>ssy3ZOogWVBcwWvHi_q zX6|A6{mQkc*DUDFib$L@BL}wHI#*TaqSdorUXO2TH|2#xE4znG&gR0Lg{Jeihm>z& z?%&>BOg$&g38pUJe!CKzVoaT-b7T+PjlFha9IUgpayG?|*-DdL=ZzyX_&tMm&Iqlx zzi~Ob6IjN}0}}#eavs=AA<}cC%YN|d(m4{reU~G!S7oydqRbM?)Hhn&DO0f8W3iuc z#)thJ?cuzG9fujiWN&B>#{k*8w9R5{Q#spsw~g`b9?Bqpfpb+4tO=CCS$QX7{{&a* z)xQ>a{|tm$V1*CG=sK~&b@ZLQC27~b%8+*k^~|82nO@#+led<1f3D$|JA*WxaiD!o zANTsTlDvxV>oJR+?w>2mT=Ew(>GFl{N91xgK6TN$95RIYFkmKtys>f zIp*JKv#=z^x?AcYd)Hl^_Bwni*w=;F%yz5Az?Pc1v^&Gvv&{-F~e)u^zhO-))DsFRA1#y;!*2|_54^IpLJoy0MSCf8g z};1y#I5~ew|Rl#JIVpFpKwh zYyR4?IInpuJ|1-2G-8Z(zo9UZ_cfFoi#O(PyZ|4s(O^}7j~K}=e2lcKZ=IRDYMp!L z(})h@7Pu5E6{?xXcimn<|G4Kj7xBH$HMbE(!WI2g*w}kJXM=Lh<*MY`Kzbj_qW*%B zF_{yv9+;pn+8>!TheM}}v}#nh=x z`ceo{ruK%ZPb&Lw=x*(o{jFhqN9_&sEvY`~7rICA+z;MujGMU|oo_Q;CtT!SM6LvD z;;VGpmTG1WQ=Q`*Nh@lTRzdYXhj{ws@A1liDp-EUspW^_^@WK}9qj$g5D(+jF}=`4 z9qN<5&Dj^aYX98NsOK|YJsYS;ZP1yk>cjWgucSUk=!4eJzaQu)?@IlAYsja*Dlf4z zmhy+=ieY=+7F=j-qor6`?Gx3vj_azB4?{kj753tacB>@5!Y)oBZ|H$ouH71fuRNAp z(p^CtD+|x%;ukH-#l|#%!w2o5*+^dFWjw~7X6AR1P(%0V#Dk21i|twP-5Ac>h$cBt zk}?!~`}gC=&l_bd;QLdH?O*=n_`%V91FVF4wU13_BCkiE`R5{Q>{foA(W|<)pT(Rs zOB*Bg);I8XhjR6-U9BXJ@Cs+_lwKKfQ8uUZl~u0FQ@M?&DRWR9zcVQbzvxc1Ao-TTbH1|$PVuvcbM@V$4f>Pqq(AAxD)lEpEHvdJX)cbi&-LBuHKp{2GCQ_pER!}E z6KMl$_LUdV2536q0%A_K?94g!4Xf`K%xUX$@TdBw6>j(HGo1Qdn&?}a!iRTG2j8kN zbBXiNoA6vC=aQgz3JwlOHaa{P1&5#Y_*(KDkvs?FSNx5QazaMMr+v^X;?qCj^YM9` zZ-F_y?eH|b9fqev9nag5rSq*})Zyo2Pw{K>rO8*_Y#pb(%m?r4B`?~fg<2mY?7o+-h4!2fCS7D-td#aV_jRt6rN%=m#1<8|@& zOrV}pW5p|1Q%~72*3DBLs* z&RG6NeQyu+U3ypFp1j7Bld;q}&3hih^G?>?-WJa?ub2!^zft|Q!TQ15kEmzX|3N+1 z^r~ku_2@jHI@aNIR*>X9oSeiybmHLaK1@8Z&H_h|#Q)-q(|7Xi5XsiGj8##DtsviF zNd8Imw8lD(<<2+2<1cjIM&AASlSd^MV8`50&|c&ls7H2>bPoFp^0K2sMk=py*I;gy z%&>=~gP7AgVi9Reh;qXnI&TP@3%yyAHVdlbNxsX`l;VB*y1bEU$}#5|tg%V!ajzLe zfjQk6I`D0dq3z<~USnuq+M=`)@jU!2-qCogahJU>ugM=xu%=8qn^^Y>Wli#}AhyM` z+j9+8xV)o#$OLyBt(d?#TCq7-by0mTJSM}rOpFl;cbu=n5ATfgn={>^R5$Ty*XOXA z2P;-uwoEcZyjC6b>;S*X`OY`Vbq0Y^0}jEX@U8vf!sQphWlIgXOjYMOfaU})E!LiP z8#{8v7W0y=UK=+zSnZ7S;msZ0?l`~GXZCG5vJ^}0lXFO$mGd5?esxenEu3{4*^Fy}o%#~ln_>E2E;L31uB|MeKv2FQo zRno!1R(leB8D(v5N)2NV<4@09Z~ThL2a^{Qt%_3UIm`%c$0x>r4)r=H-v`J>F4Z}4>R^U!W; za88}HwlW`hVkhftvW3PnpJv{2$~xN!(lqx>Fh@^>m~Vf8xvN*+PblwLVE)OuWjhS7 z4EbY@I`dH1FQGL$%V2LI@GsHEZ2`ED*N*Ra?bzneU*oP{N4}5^q^TXtDQ7w5oJTpp zIrEv7v|~=7ydhqB_i6o*bj`140mJ&E^X<}V$`}kh`5btyhZd)ZCCiw(2N}PrI#$`T zv9v$ZU!T?k7@AHD4Zoo;zRO#(a6-y%FOwervuwj=Sd17jifF z=I95xucYjiS@4};uK;T*{o~xVzQtOK;(trH2C<$pg3G*UrCrQ>&9C|~udLu30O<3I zft)YZx5liftT7{{DYIfg%8Y~icV4eZK{)J?LnC5J@?_c$$Kv5`S8TY_h)E}^6A}Iy?27wyDtaY zsq;Xzue8eBS1P~34$W0l9rlbyd}6o4L*QF;n#yxZY$MHntYP=r^ELP6JHx3?-qZdt z{RlHI)FmEZ|ERHJ#CS9JHO}@|-=lm3s)W5)`aVzMx49@_)Zz~a<)o+C(isZYLx4|>(VIYhGW+jMtkXD z(s7{;j@R)md30_G{*6$a?^bB8s`)i@L_LSuj~vyUjNgKfCYvdL^FG<~s&h6vPP}%M zb3VEWa%LzSPoCLoKGdF1MNj+>BH?oiA0eocaW%v5Ve&Zc}8;b&MWSx?|Sn?~} zSl}#$!EtkmJQ$xQhyZT!T0_bp)5mSxGt{q{M{(O!%)0{_8p^6O7dr6^8il`hxf$$IMF{ z_J2^%8prp0&yo+D=Th!^m*;ugoh{f;%WUCcuG#@ll{k3DpBrj9@jb9#kXP`6+s(7i zEs!s`jHIy}vA=Sj@zHgexo)0out%DRpSsY{{?K&p_oTI? z;9+9u>Z(`U^#htM^IQ0B;KyUoD+KSv&%)0$pgtEL#MP%h_bbMLC^{*{`_?3SDBfsk zAEg63P_WYq7t$`y9W8Kf$l&?p=}R8je|{cfb)BsiDqH?1_VZZZn2GAjn19eLjHPdAN7{uV{1xYZ4E5X z=45-9vEJ0Dd?kLqRrbJZH|GXi)a=NWbW9(7Z#RL{AkJFjt=59<0&Bi8-)i5|Y-Pf< zh5D=LhiR|0%_ll+vxwLZ@WI-OJ&ARj7Yz%>Qn{vFzdNY9X7 z8%TG~Nv9mOQ*G0ko}!`7NSaQ0(MuVa?sUfHkjdbk@TfE^N1U^ILm~qxi^o<1=L}+^qS)cG9h8#f_P{`#$gaz3;5I z=BeB}y>dVQRBq7O^PF;bl7Aoh-%8$H13T?QYWGZUj$Mx*VCJ&!R`zmA_J`zHzbjwF z+H`aGcJiw1UE?}!z4O=c>Iby5meX;I`ny zIJ}duo0p&Z*lN2i!~CSC)oNjlAk3H< zvl{Z-it6n>MXdeSv|D?4Ud!`dXjNvlTWk5fcU--*Ry*3_n+@MOelW>*cqb(8!}lM- z9@gAwfO9Tw6kn2j7Wgj0_~Hi0cTp05kTcBgV-A>RtzxnLC2vhfdq{Lng4Sq8s631E zSPzn|5H*H4<$3EuPFYcl^DbE*QdufT(D+eYhTu{hri(^#IuCQJX3XV&%6L7drc--j$~%}=t)`cC1D z|7zsSPufgdhQ=d~{jYT_`aOVthtI^{ufD7P7=F>1vDnEM>KiZUo$?Ytg3Ug#;N$9B zX%}XE;y&tqkp9UZ*J)pkeu>gH1G$2y9egFpehOX@IVBIufM%JVna%zXlR6F{erVY-S z<>;K_oEtC*KGm8Mc1x*vKz`tT_<_e~h{I&=g89+B6Z0eJ zkSk7@xm{~c&X0s6#aK3_@Bz|x=64lyQonn77iqKq59MXiXP}wdP|AL&V)jOz$v6>0#O80EPPcCmq7ye3tj8&4hXI-_%TYn%8dMhpnL%;j6PAuGM1HdHxnpzbhr z4^Z8LRsHgZNluS5KN=KYXn$ApQE(&~-3Y!~*_Yw3yE#1d2J3EPfqx^Ox-3A~-HB&% zFH*jEN`3QrO6Po4s!q>8`LFWvkU*W{|5Rii1~hsdXuieryxng6pXxqz@dt zh8=wz1-Fh)0H4vUr<2hM=!I|?`#V_P1*a*`(J#xz&i5KRMNVDk8*BJ4VqsO2_{Qsb?2LpAfo(0si7Q698pWY$- z)d%YVcf#RC;4c-hQJIUsr0=oFr!XpzF54A8+@V-J+3-PoOmTUd14MZjEnjUve35I9 z-9s7s0&@V_Vqc^TrR_GDdtg`j^QoQj)%G&r6j${b;MN7;@K>LR%adPQ^M|Ftt4_@+ zI)H5fR_(qS*x&fr@b)MyqUhdg@OSsDq{UG-#;JEjn;fhC{wt+?K{H~z3w zZ135?sb0m>%>s51u%fxn?d@%Q>)hVc+1}c_qB0aqm!OPd$`IWi570z&!0piD7_|5+ zc-H)HAHV;}vuN^1?y|lA%zZC7^S?Xi$46f2(Lg?G_Aa)`uKomRnL5S=d_3zW(uNH2 zUwkXTWNssR$j`JByIJ!h`NW`2WEe2|t@NUrdDw61T+1y@7W1KzJF%}L$%#(fR@g9y zMGSMy;eq$rKc+v2M=Hj6dZ8oS*Mi=q+eqIz4!e*sGQ#|+Z^F#o^G((fXp8(hYKP`m zeX%umGXL92otpc}=74U)NgL_JJMxXXBT4at+IVfj=aGHjQamErQrztx568Pn-wlqx zccIH4uY%(Z${Uzp>AQ?h8nBYjl^(2QQ+|Qfz>3ED#?#$_x+R;x1lE^Lzi!3OioS}O zoeuohffs$nBjW?`7XmLHnL?WOt&AqG?;jlxY&~$~Dfr*g_2*>bi^@3>;|v5%d?9`) z<5~Pr&b_3K_uR9T+gmoVgB{r%B%TOmEK}`4E)3Rjp<%9lP{eK>6|tMRe3|Sm6Ou{! zGSpw;%a@5+q)8^O_3)*$B!V)ri2f3rxMQ*dd#VohWkP;o$;2eeApeQ)zx#bxUq{gQ zIoKvl{5jjgEL%$pMR5Uif8{6HdZ&vgO$b;xBAB9if zwT8>jl7}_W=2v4}c@TY@#6O-amNWJaf@a9m0qy_u)8#jG`Q=oa`{UPi%GLPw1my}I zoqHhV)PHLJgx3!7NEP`X^YZ)O=akMAe@q5vogU7bz8Wze;Q2l-`2|nn4f_+k_4V)u zJ{);iPM(k_58{!z|AHJW4&dp3D+d|Mcs)6&rk~&T`YAd14$nt}ee}K`cz!_U#s8UH z#*$vF%5ttwai_g#ScB#_1;au%vhC%uY&KN=6h63jhi&8Kuzk!9+tufG+UYYo?djxa zKA78CA^W{SV?;r}XPnE~q23s-{eC{}r6a{p(uKXpa9>Y~{?e0I(pTxpL(o5{CuMhO z3|CrjJvj>4OFTSFKl?U~ctQGb7C8E)ha>UE&v~99UnuLm!TkhRcsSB{iT}Gzd#%GY zC%>D=+}7Dw<9|EvHpy8^V=3opepB)2ZS1QNPr3VQqG9&k)2?u`C4UTgEn@wqX;PcD z=DH?(?Xo7jBNDNU4E_z)9mA#AF~e3jhq}zpCBtpA4>6i)a4u*u%t++-j9WRt!9i6(phz$V68)`Yl@acwJVvJa1J zT2@4xiww^4!^WL+ob^kdoiUZ~j_7-7tT%N=lV;;#V2*&xH0?g27ucWj2WXH|ny2?Q z#wq4wEai<2t+roGq8I91`L7JvaUo!xcvJ_L_IqQr;AL|kf%ZoXn;tz&jMa&T1$WV@UuLh{8G~906z!#Zvju< z!bae$1MuvZ+4>0ZJ`V@mKLhx&z>fuf8SvU$v)1E7->0wdl6`1Wf5*n&{czav?~50I z;@Nd~!=vKW&HNsV96ur3j{6So+7I(Acgb~}_>Q<@6Sj)aW@ulQGnStrUYwY*_E_jH zL;JDzQbbKNGzt4Vnw(plR0ql z(z{dc7_u~=gG}VNm9jqbe(kwgnD2x9J8P_UoGn9N&f*&uwY;xIk3IZ;b{{7HkU7Q^?JFRO@2Bz98-;e2- zGNQ;BjSUti=;gYQ`wi^ks2kgHH#$!9myO7>^x@}l$ROydWcr5z`ty2lRI)^%zy?bY-*1N_z@f$j1>*aT^fY&{fDy9z|vgg zW}RWN!Z}CLBHpRsuFc)m)0i)cLx=dz+b8Cqz1J#s2EI<_JmF5lf7CUh}RfZPE9R_j!CnyusjT>MBRCN2h7up~k2uobjLz z8^ofledtGxuTk10pX(#u@4u`%e(-njY>?JNJekuxwYSX45Ak|3H{7GO1>Eb&ob)BJ zI*altyplEfVWlg5ncMHt`llYP zpXdG}S9?(JdEa@&{BdONQs}I)M>b)IeIBHcGtR`yrhw5AbFTRD6Z8E`8HvUcK9}76g|dxzvCGFQlGVd-SJg> zW6^%E@B8TcKJx5%pJL~%0(#6D*G|kcDCcF$(OBn?ncDO0k7H|r{cm6;i+h39Su=hc zurs&*0@w}*_Eg`&Y_AO8Z_8ZSu}hy3odRXNk20Xg3BT=8<5_G#cYO4HR1+v)^uw=! z3F>|e$ETlY*MTj66xtn!R=$7kuRP1fKgNBx#V8bX_aJ`*qLD&D>8<78|c_DY?0N7ujj}UVaNX0TwZhgqvOJM?BXHV!NmPR zH+(_N?YD+v%nN+osQFV6_oCq@2Y;?_Z-a-oF4DT_^9&F7k|}+^D~Nl^)ah_v=anJc z*HXsS9`0q=PYsk&2JZK~4(>Ha5Dy6VqbTE2${>Hi_x;oyaBYjApD=nCK2q>38VL7; zc|Hv8hv?4yKa(N`d>VboFo#EPwl*}gMzP$MUl~)y`R<#($r-kY?Su#RkK|pA>|Vt+ z@ZF!gma~`2%zf0c;hTud9A;ThEN35VGrrVmh2pNO;ztIy4vb|#W*xOV?6dyobLB^V zxV-4Q>&z$HkxrsPOBWdBYV6BT7$Nj{UIzESg=ljFZ zpYu9%*rJ2?Qr;TM{Q!Q6J=9l1Tct-V&H>psveiB^t`*o;TehhB!Mx2<*(z_}$Yz^3 zrvv)Eci=M0S!SQBa)@Dd%1Nl4X6Q#=^0$&#e4=lK&ndw^Mb46pok4$)Z$}#Nh+|8# zj#UD$C~nlGT;acr{)ty4UyNsiw^8pU;7EPNp3L>34Z7?1pucMlh+n73?SGQ-%#kzC z?>Z9Rm+Y;BzkR(Yd%Yy(+Sn^eUkQ!ojy}1LF;{s#c-fKrfp^jvo20X50WX=UC0`A& zp8-~SQ+~2k@>JQyKHQ=8!Qgo1>pOqEBF^i?I!16l?yN^3YZC+W@$o!M<}Tyj0e(-| z>7JfrY<9*ge3NZ+crX6>0MCjy)qHCL&$Ae_W})*kW57M*Q{x!EJBm#bO)Yi&8rGE& zdoLF>f(FwHhXzKVam3z7TJ)lai2c#`8&B%J=+;HfciiO9h@($~xD)Q(y+hIVd zLESkDdcHrPJ1^w9Y5}$h_Zata+$Te;-s9I<9$lFOv}yfabiJ5owLvthKzCI_SJAW> znK25YYdiCI>`lIxunQU*!#STGAAqwS?7f%laPGA8erM4Yr{vMRH%LqDKySU>#Y2~e z1IgApaPVDlAUWV{jZ?mtDf5|MAhdA4 zxtaFM2++c}|Hkuf1ZB+kXn}9?1TBIz7!M6T8lVBbGza(Ba&M#|nVB`#t_Hq=$6TvV zs@0jx99mgvAC5(lg&T6m=0@%PRZ;usgs6Rl3m+uoH+BuUKg`-TbBg?j9&~+!pGQ7I zXE=KT@{g?`ts|ZP3TZ!GG$kL)Ovw*2TJn98t$b&0AwJ7iOXt4Y%12v~x5%Lh(IVDO zN~h39pFib?*V;x&8rfi+Fgo1vWi+s(2XL`&fn)g~e)vcmKEP%*EiS9+-SACCY`^THuhouxGz>|Pf{A~ITVW)9pi%6OEp*Z+ru}D zcWBSTiS}UkNLQ>wKd&t33az&PhxYuC_GEhL>>mKzsxM|_-FvWFYrr%cYJ-+r~L$iS2@Sv zJGDW36ZXPy9h5Gyr!u^-9it7}jidIP=>& z7iNP8USdVN=#ygOmmHghpZ~5`&@_Vn|k@j2eDen8YPvG5u za-YHdzqrpO{VDE8wjgu(?u{B^$0IB4@yMQd<_`F(FY8iYLaxFYVrSveQez_VeCeFx zLtCcZW@SI`eh0EBHP2GK$>G{4>j_4wWbRmd6diIyA(Sx-k~K%}Nb_ZGykrhPvt;fT z$&H!LBi|=vZtnx6A#-yibN7BYALCqxK}NIAtI8{G#W3bslW9|lCv%6|qea+YrP>ef z(@c99Mkx<`?TpFLTd~0Uj^ZHXZBUr_AMmd|&5|+67j{7D52)Lhug`h%Rjqo`={)h1 zgYlC&^7U!IZco0j14=gpcy$}~OWvINlgNA8rT<#^b2&V|9G>UAwSzyV{<#4-@i@Nr z9ZlrZSQy+hGY1&)P#y28DdQKwi^p{q*!Vyh8auv48BoaZ-p<#RIf)mye6177keU$ZY;CCqo`0lOdmc4P~^AbjuTw=Y}Y1@2y8 zg0l4$U}UEmrb{QmXwK`))_yqQ`Y<#_Q)`sdI z-@iQY{x8sBrGBT}@|Sw$XDB~I`R}KE*(ZYkDP_+Hz-rDkTfggESlQ5O+hkxT16xeH zh64Xv;2k?p?GUZ0OZjBW$*veg-ht#(?6q$P9fdZDF|Ll>2;D@pe*E4|`e5!GxmR+Z zy%ieakC6TgbzleAY5(oY{j<=6l@?=r=GuJAG^H)tk1i_hV>VmkuRs@mgE{bJD;%9M z*-KYi9cg?!m9tw!t7i1n^@YfoCd;U^UYK*fV(P9Ju1jb0Jm;Q9;IU`;t-asueJNZ8 zJ^Q4a`7SQsilbh|F3i4XseSilO?EqJDzA1ylRcN;O9fZwjvKS2Pw|&%ZE+>KEdk$L zO07Q%=aDBU`_3+u=_!qKk&X^ocS{FCB$N%1uVRWA90m^ z8_&w~3VC7)?L|oEzDoaQ)7QEO+Z-IowlIuNrw;?kk8Q!edF&^2>{iv?mCn~uui6*& z+Be*5-wV7?z|X2%^&C@MxQn-ye-FA#{W!zRuX4ZVm3b3oMiORWBYApA)4V~jA0TfD z_==#@uc58-gM`R8>y9?N?y@#}4p-CpZFUFk6rE;GWWEHQX5S+@y`H^ae3$g1rB2@> zz|TO3`tzI=GBHZ^C+~M`uq(+Mq0VQNM%xVIe!IZ4#`8XP%~rXd?`*O%{qCo{wCMfg za@wahWiFd%JA6XhRDbRHjrMFV@j%f;@tE6&Ch)6%E4K1;s)w-%9&_-y0T~c}BwxCJ zO?MBUMYLh9^4GZMHvG}c^F{7`pkqJbhPuP>ebf0(wrrTYDNk#V`u6Ix|2%$hcE2WD zeerqhE5upMB;R!8&$s_#d@D{esk!bH;Kz(|?MA`R(#+{#X2jvGp; zpUe}o=!z`5f~yE$kncAS>b5U4)@jbN*6D{k)@fZ~rQzzK<)kmCFaJE(I7r@t;#coZ zs?ToxtHxOAFs01~#?ejGCp^psRz8B?0jqIVexC^e*f6m2`vli1qN#}1@d1bDC;K~<=E(k1dmqml?@G|g@zmq{%kO7Cr)PX$V^t7<(U=uYck*4+ z#yrsh1>|6Gw1Il-S}nC}-kpqj9WB;)%7`ZC+mBMt?D)KVQ9|d_FjmG}tXmU?qleHT zrL&C(9NlK{{33ay-u%01W{WjD$+^^AMZgIUviqfT4C*PN%<;y&{O=QruW8{MZ)VN{ zK0%tEmG%O^@%0z_^1C?I(yjKa0bl>`AJmgJ8EfaCQnuqm@_n;u*RM3U7vAN=s{`*^ zAL%2$OIwAXgZnA`zK8l8d&86S@s#mXWL3INZFc4o&~O639U6M{J93V3kh}%#e#Z`p zk1R53Mid!i`{(sGxO}a~t-*kEoJltXCzDS?6Cs1>o%Trc_!J2Rdoga=j5ILZ)jI%^2n8vR(u7ENYzp4;ublYV@E z%FSgYBif?@a_2Mr%K{rS4yz>iWJ0^gazHI@XyoINLm#m3yzAvrG zqgTlnkvHfykKb##w{bt+8e-4~E1JpwYJ@Y^AZQ%Unz;;X?urxBIoV|zdySR(5NjCn z&oV}$cJLpO?)zXv;CME6m0|+Qz_G?r|K0lytDsoXEPXkFYsMA%U^Rd8^~_rOD4eY! zZ4G_?h;R+8bWKw)T(>%KnlHI@QW+ZCe4Nh${!-x^c-h;*_1g*5&HmXPQ~fgHC(G!) zp7CDcoHBy4;^TY}xPHXLyKw%fhjU`ijVj4Xul1tgo~$qxYcsYW56O1xC~auOzKvSK z_05Vq0@u?D<>Z|WZzbx`do{%Dp#w`&;Fo;*Mhs_3=ZqTG<rJQ{pHAHe5}JZsM18;{tNj?Q_n$Fo+Qe2|O@ zHPSElIr;_spgSSR|f;Q>d`YkCF$o8fpPX0 z4_Ah1<~}QYt%vVY3#{mp)pnDEv-u}*cAbZ_2skQAE8QIn%TI$l^m}P(hHs05zt;Ns z)}E!(+pKk?w}t!LzV`L}t{TqVNXxchES>3}33mFus1*(k+a)GsJ z_!8t~zNL9+6>@-(FfLRVG(P-}x_vumGQT6VX^F~BBnkY{?OlgXP=8bw@2v6wc|8Q{4xN!AUXO0sbM`WkVX48Bo4Ud&@IF)o; zKko9M^ZcKV@lKFcF$qp!|Z8-&Xa4tnUHA_4b?zJV^=|t5(Li6*JkNq=zFp41UOHWLhS$d;Bme5Rgl_Qq?C;}h8oax;AQJe)R{n8sRIuBHQ z?5|pOjhHRCs{6QT9h96jO9_A%U$s z3Y&E_bMq0Yh4uk>^!VhRg>j6BqYv?ITXb=h`DlF3F7jVr2yw3Wbk1b#hs~(CcFm`% z_^!sepXa+C*_-o`n&LcqjQGEgv$sz+{)|GD_fu$JpP7x8zOgh1_(6$x+fi%=orx%Y z7cOsPj{D1Q&LhR|{&ewF#>()5#}8?|oZ;2STJojm-a8z_a_C)T9 z_7q>;+%x&=i+i$H|F9?ix8L*pgO7EbSKPBt9tS2<-Q2amE^H6+t{;H?Yk^Q-iDqJ-$8wM zxbZ!8(jz>T zbeSR9tR?Iz3ei@h%WTwszy%xd-_FDjEqZbG;2geFIjF9}o|0IYFZ~8Qms{CgYBX3G z&YOzGD>$zwZWj|zvnjuNAoIS#@kW+;) zog+A_egXIoJ=Cr5Xg*9V>W$G%LEr9*8<|3Ve6m$?PYdrCb?fXjeYdOF!taD%e#o#b zIr;wj)MOl99CBwvE}FoOzq7qtd>9?}bhksJdp7mdex>ErARii5BfQ#aXE?i-Ib3P* zH#YrX`TuXF&9knCUiXaec?y1-0{yOl&Qa0%o?|^7ZD;g+l6<0@)>fcNVFkaZUAFqw z8*g0wYQ*CY)&~#3(}im(E8bSp13h!BtsnSgTUSrKZD0@dbk-r~VAIM5boLBk!z5+H zkhY?%h0!sScl%;m@4I67)-7gs*ZY>4!M?N5A*ueHL9AMt6v(t|X6q2(fhm-kNN7HFO<^N- zHqnk~qSXoy`+0W}Y2T8}80Z(qhp0C`BxsZRwvKnw?Ugq!d-d-Ru733>`c(S<%9}R! zh^Nk7LZ3SN=KG*S``pvq(^sHOuPdP}y;6!HsxZDN_d9o;C{zQnx?W_DZStIO+I zrvetaWj$MGA*2gVIp~W|f2Hx&V%iW2X|CAd@Nrnr$p$++rk=c<1VvQCBHCGPIC9_?hJZ+Jl#urpDfm7Xz zVk)-H&ZDAq$ zQ|pvBfG>^tALXw3J_A~*&i3E~A7+uacUpNc5AvM`5veJ9#u-X^Z=K*<~QbHwZQv;Aa0<p}RN^FUQMsMMaK*kPmE;~J%?A1Q}QobDBiX43yoiSUwnf$)reMZQYDfuw; z{lRx5GvqBypkC!$pL{ykrRRk9v;7j@G;@R5qvXH)&tC6t*B)07j@YyV&Ysc0Jeu*r zI|s@2CG7R|u!SBzh93Si>mZs(R{?7=$MM^LGwnYE*iEGQvadBle;g3st)YyKlwnf_ z`3wChLu*og85)ZiA5QUsYb==VwLyL|t=GN?yvCxxJ31f!aQ>_hXRqahJZIPTByTrRN7F zhn{G24Q>AAKZ(6cDD9-))0xH6*Aqxr-&66S_P1#_`3tNwJKvA*?FV7q4OvL*d~9b= zRe$8-eCN47e~7+6j4cr28+GwvOR&Q;dCBe|WLMuqkqr305&4k(@flh-^5tGi?nli4kfS5N3)F<(b0A&{zqudUdKcS`-}!K-!KM$ zf-(Mm#C!Iagpe zzoXzeTqPSIou62?Fpr;~@eW^&@R&hG0-i=@p6e%jLOc@Fc8 z9a(w}&mo?J?du<3m@i|_WW*QR!!BUnA2)NVrw`@#r~Fc2eg!Ruk#_(0iGSg}kx1wD zo3*UL_o!Zevu4QmH-Bvp8v-q%ui6D)lzu_)>C+CC;l29=X=RyT+fRV^?JMx_g7*~H zVQe?qolV}Ff%|>cYYT_Om+TE4;6Advmg4-6rQF)Y+BXom0CmC4Hm!yqxE*F>bn^HwK=&E)1jxp1Upzqz9h6#s<;@ z&t2o(^slIV@A-SG_hL6)&l>~JU6;7&Oe0D+`p?A2b3QU>RdBvtgfre29Y44eTg@Ny z&cNr#`zM}8W-1N76@fg-_fZCJbgptEbMocDiT9UxuC^ada_S0YM2#Y7l`gdMj&too z#6sB_p5;r(R8W50#ATDp-~9+<=2q7IV`jyiM$$88C>%2*Bgl`<5D9DV()ngZtjjE) z{xI{b0=m*PL)Ek~Z1D|;E;BNTK1^i2w(qlMjR#(PU!WfCj}xq4mtX`d8%XiB`<0*HzKy(>-+HgTZ`yI!~ z+zQIo*wZnh$hezJHX;mdgfJ{8ai19F&U094JWtfKE~RtHCY_4zEE((Clye!kWPe8Z zJ%jWz?iudo+}Cg)&V2*-YVL_Kf%rggpQGmK{m}>50&Utul3@? z|G=3e(isM^l;$@fU)uHrW5-XwKnPFDFF}ZygcSiuQ}6F>({asd|LI6 zCp_>TcRbhnbhfg zf4{cqBU~HC@#Fq_Q7gEf1s-L~>x_}xdHyulI`Ee46>ow4?cjAhc-8*W%x3&2oF5WP zFS0gS4}sg83yObsVw+QD?t|pVPj6LTNGwLOg7bfj(mxn4=EC5cNKo)^Mj8#PLUv~o zyD0^)`@G-m+3HE9Aur~5p3@L|#%8z;G~+qaXa1nAx5(cAIIdbCM;^p?&X4ZR1`SMoXZmi_P38<*n= zdLIEVz3J_wd1d-@_j-Wvn-61}&ntX}l?WqKX&mIME%Dpw{&@1RWk z^wu0QNN-=J=KwF6=Hsv@=-og&e)JaU{tK_(@AjhmA?R*G_x}f7CDWq&3Z6yxRoq4Q zhq;?vv%q2Rxgs$@j*KgQZOUe3Ts|wl=kZ8#rK3BwKSeQY$nuKO0UGp!1}(JTpF>vi z?hgiMKjEtyao!U9R*w&uQ#ofmXrHn6c)L8Y(AGX{$+qaSkMw=UYWpGj$ejC>?@s#q zcOU7ZL6Fw$7da*V&G(y|q)6%XgK`Q3Tj zsruL7_dS99lFiS0`6bK#_$b+wU#8^i5#vQ@AR4WMUZT@a_$@kZ=Dv+<0yxxMBDgP> zy+EZQ(~a#kkgv95lCO9kdO33{Y>qs(Y#|&s3(tdd?J1g9XH}q-2Uljak7T;esVN>IeUSo=VY@-Yc@a=_9;~(XGHL{$6Qg$v=YpXL@tuD&XS4k*5GZIC%HRx`Q6Rw}Efj6+Qgc zd{cXmCow11zHZ?(R72b>c0%O$tM9KaG7ii=8a8UVs<>iYoBqOYt}3pbf9C#I(zy=t zZYS3oo@=@Ga^0=>|G@C!+WGgevGQ+Wqw84MFuAf^n|QyScN4hwaMf~El4msU_L6@x zztiN2aqaq3*jUOnoy*`ld<4%Q*EX&VT+6xUaLwYX=Bnh{{zvjq#wPCTxR!G@aZTk) zawWKq{UL1Z=i0-yoogf4TCR4kxm-0|Q@J+$9(b-MuGw7GT;sV$aYebT!{p&IxjMKq zTy!Khu>TsTq&+WTqRt`UJV<&xwdh|54n9YxF5mm zxsPi%SC*@btDUQrcePwoxJGkr0B$AsS-g{{^zZ@t$F-7cHdicxzaidXN6~35MT}AS zfPNFz{hGqWv{j+BB6xTbz7WNhXuo)j{CyHy`{=NTm7BT09YN00oCO5G9eO`H6`oRj zoysWhqu9!83&)F??-SRwi}xX9GeV52{48@h`}<|!b{pB;Ctq>P$@5$7QaRxm@p+70 z8~Av3c(l%V%Ge{$MqeU#ZT%PKN2Ty{AbTj?=LiFu+zNe=?X+5`uwp4w{JtL$fnQ4wB z+uPl;`JvbASIQzt!ijdB4BK@6Z3reJ5YM z-i2Ope?P=;Bf%MFlo_Z0D(A@__uc)x^Xt<2mfD~27q3jsJEOF{=7VnAqh8xXwCmgK zcTu}E@9bUnc<)_?cMct>?^3UC`aXr~*EbinhPXTNqSu{ zv|~39l3m9G#=#qvejrMXdQD>4pV6WpG!3T`HF6M;L!j@^3(`)E0z z0Q>q3U@rkSl!@j0W|w<#&UZ%JOb6~3;3l04M=bQ|;FycD?#UTO)H%z6y%kt|=)pR- zo*wpcV6|W5GWp1?`|KFsjnTfLzc@U{9sv(86Zg4z9m8+Y@Oh6;@6&tH@AB1lf4<{0 z>})gFKM~HS_*Sy;GTvxpeW1}EPQF7ER@t zn7Q{OEB|DTLiJX8?LYFJ*^;2yK-|qXb@LM{h zkFoTXIX`yO?jlWfANfEp-lPwrr*x{}<^8mmce|H2$dij6bIY4a9<_UomnW!qtGqnZ z$)mDoZggR)`Q4kZ;*YxTKFT|_p`X$5%FSJF+LY7ezyEvgyNQAP5Bz1r@J@Lrc)ySHo%!B*&2?_xqr6kzGriwOyx+^d>%M1vboxKS`+dlJ z|IP2X@AvcD=U2UUdO$QYYo>?en+P@*qgmNWUCDQnOn~$FU=rru$T5DFYTUP zZk|W@z2Q0cxl8ZR75mR|ZHx(QpK3h&Rx5Trzp;f%h`DGS#{Ob>Bgz@2kMNyJeS^_( z&ck%SS?K(xt*cZPc-hW3`lS2Mr>t!sC!QTxl_U81Zvem0Yv-XqxNV#7{ho2i{oTxO z;eD)Eeo)>bFS~i>lBYMGw|isLU0!+T{L#&OC%=2!iD9qqPkVW9^YY%tZ|RfP-@CB4 zc<+7vxbca%rysBR$Eo`9rw80}r>b1&q4V=P_`avskuu!n6Fm=Gck(}u4<0@4uQ7gT2**9S!U_V72z;k4J3!C>;T;0qg|<*e`pqpYmYO2lgCbH}ry4 zT-6b5fT6%H54`&ka69?ECaSqVJS_k9X2s63ewJ;tv%@l^H`~yg2==j8p0wd(pG7~xW080ti)l+~8aT<0VtW!QAAI&n zzL5LVvE!xhO_kv^>Q6(`&}MI`m&~tPAMB-%_shUth1hU-c?) z<=ZUp8LzyMr!SxCRo>LMS>BJl^2(la`};_*@{VnJd;NXbEARFv-SSp@<(=dGey~^h zE8k}M3%v3ldcrM#o>$&Y-tWd<|8tbL2S1K47e6JoFs9fp_-8!4 zcRTOpfA!!0ocD+Lt@mSj{|Vk}j7fVkd{eLXt;9$7cKGRPuYF@Ye)=%w{eSk}1x~K2 z%J)CjkEE$IP(XkfqB)R68|cuLPJnE&*c|R_b$?zduwbTn_<(6}1GtkT%F75*8Vr!76xeAj7ze&c8b z-}tH7^U*ZQ`i;3mZPqiioz{lpBVUNb%RVVRN&R)V==5Lx%Gw9Vg7*CnzUAA~o~nrn zR#raXdAb+(vkyP~aObV1-UIIp*0&C_&UF@xvz?1Q?|xwWdP~i3lW2dI(rbT@yNh3E zXLN2xXL)pv=a*dU_yYLP;kTNB-|z)O?Z?_T%kysIS$`A$L*h5j=C{X*)7c4~;QMsKX@pl0_7gf^+e*I8ni4Q-Gya+#Lq7|@UXRZ-`+$wi;|~;n_sXw{p530{ zp15CW|MpDdk9F&s3BKhY+z?}raAaoY?6bXJfII$I(36en^lSf|FnJPW}D~xtqg3l-_z`OFW)av zUL)VklQc(KPCt?#=wkK~?`BP`RDSi?cV8;wtV4wO593egx5CnoCcZbine;PiXJ+m$ zWo!!8D5vk$8AD|7dg2xm=k&!R@U4Mw^E)KyizedLSFa)51pENy2=jSSh|eqF^MmxO zz2^xhXoo(^dAI1F&NIgIRh|;s0-94tcW0(OxjQo>BD-;S=AkCpj=QiOcVRp3!ggdl zyk>XiiED;4hk4?ZL2XlM$A)UY*Fxt4!gaJ=6`{sf`LnM2)x>o9vQ}Bx-{G&8zd&}; zzdRe%eFkxo5$TQ%gMY-vt*|qOYvjQ0zqU`OZGczAP3;!|soDxbmg>xtV*+#AGw zow$9WbRQw^0N-WUZeJnpp-`OCJ<9hhq_bTZg zCN54~cI}4t{PchEJ6D3iWA%CU^=WfvW>!t5oyuos&arKIA89)a(%wVbods!Ek#;X> z6Qr%QY4?%#p@OuxlJ>EJv_qslLfSo~{q>oF484!E@;Mk?W94xWn7-%P?|#0eOQi4a zwDwgGusUS0i||Uq8wv3%k9QC*IFtI~M^yj+3F~K))f;I`l|9Ux8z|!j!9u*5@lIr% z^!_B;-IlQ#ntLx6Ua+S_{bVg^4*)wITX_YzQM*o(IBRC+>o(mo(j5+!GP!c&cX}#L-iN^VNT>B~^8Wb~;HYh913wI% zPeTXX@i~;C;gbBa`=oCM_6liU0oRp%6KVg-mi=tf?JP)lI_dTSQ(67V$ejPJQRP|n zu9=zJY`T+3_bBm>|Lkvi<}hiV0JbWWrkON5ZJIL1h*I_GP`Zzj?sd|=25e_2-5%0) zhte@WczHH3$Da?wpJV^Y-6&`0^XE};RMrOIPeAX_p#kprkN<1Ang}Pd#oUB zGihHV?RwJAvuQs^+NsmX!^-z^_~b97F9X{No-6Af($2PN#NW@tr&Ykh<^4E$ZV)U~ z-pBZEht?AnGc!|d`n$<gn)_w4btRXOVUl zurQzALD~(1Sw6Lqu8r?D(tXUPJCk%;D_`=X)ni&O-~G3o1;(FKF><@v>#RKs-ohxp zBkfNb8DH|FJHTT-k9Fx)_=dehjN#aRzCSdk^|pm^_Q;c;Y=RhTGAJ*_l}mt>&E)x|MO>}^L6GmQRtscKH5L3d;YG$->tkN z{Ei;J(@_ul{roOFv_>QT$oI&bX%;MPh&-n4E?$tZMxLrzEzG>vN_V|6}1g@1h0`;&r!2TsCz z;%Vl&yCQfRc(3A_%JUkqV?0NA4)Gl1+0XO*$)(<2!lwz9?oq-Ac@FUG;c4R$JVE$c zCG-fNAl%Q>&a;YVAx{O*Yg0wWu3p|hT=)5;mx5p>Zc4~Xs z%Yw0v@woUe7-PrFk<{KXeNUz>1iKfQ_$Hop#_v0`{HD8Jim?7ve$(!kgs1jn>@a(3 zB~Ksbo&CV0vLCKOFFD$-eQz;&tjNn_xM&{BO&%HICZ+ftMO(&gd{1zmZB4{`X34wn z7+c7^_#}L=OWs{?XnJ+KGm-tbjk$XM1^5-INhZCkQ_{d#(+Smc6R;TlV1t!^$=w~u zgkbLiHZ2v%sc5Lq&4$K1^JuIEmdC4e?|P|xc=t;sr1^r4E5BxB)bWy*8ZS@m=6<<= zwtder9>8zbjI1X+K@g zzOZ)}X2YdJrks+;1Nd1h=)3Y6l_Nv+)zJm1yE1>Nd}9gr zh~JUnOiMJW_Ml9W*ay=(1LOJM&qOH4F6#b;IOky4yT>jG&cQTt4yN&pMd*(RYj^Bx zm|m~*6yTMm*uy;MflTBaY`P)#FLQQi2Xqy$_xJEFo@?LH?J3U1SXudj)?q5H8=o}v z%%$zwpVv&hP?u&@7&1Q-sAcXea0K2@6f&~`$3!E z)6i6ZyMZ*F=;p$7<>%h{lKPu`)k@2rDO1;vkWOXl+;m??@1|S@{Z;mT`Mg_RdWF1% zt1}-bEdS7*8P1&1mv71AZ7*q^zWE=Fp(?wnLy9(_-)W8Vz@*7}{WJ+IXu}^~`W3yC zW)iqccLU#fZS~~tmyQ6FuH-Cs^b7X=k>5;AKg2saF~eB#5@SWC&wewuyu{d&*=le( z=fjx?bYuBDNUy#!gS3TXx7H&y4#DH{cK^OJjb9~x=SyDv_Dn5w_SE8Mq`#<7yLl0G zpSlZs)QjE6S$uQ=<=4J?=WALIOm%8L9lvM^XH8G%u58LH9jtri3+Zj=%%f<{C_<~! z(yD+~1++S#B^@hzr%}Ea=N(T^<-=BZ@+8qkcAf_(yBB|dFgHGOF2BEg8va-GP%|`T zTZrZx%ugf}?Z{6L{dP|g`kb?PvRn0s_Fxg(I(NI?(teL<)9-Z_VqpGx*}%Q_8uI_IFWo-caHjLKRIP4$tFk(Rd0Xb;Je1nqG+Unfs3qLcfjlMnBUOvZ03 zo&4A)_WhyTq?h0L>Mfc5yH2cg`>oEMjBZof659HW^KQXc{Gm*OHj$oQ6_;ED^Jvxa zL#gyr-=sa7fiH@&AJpT1eLI(4;Xjc1x6?R>mtsCly`F@|YxrSx518}qK7`*^zR`Zd z`#BsZ-{>)L_VaxQ-|`>pjNa?O#`u;kTXK{=iS^`zJzM6DjNUgK{MNDFcTqou`mN&@ z-j5-dvQ_0T{5bZ?3&`7Z$Xg3(p5IJefImHDQs!24#T@a5K2aJQ89$5n$397X-N@+E zQ_jk~gYS|H_l#rTj-Sf=YVJ5&fsgFj3(v|#rr~QN&l{jAdR@@c_o)4jdu8R>V|V7!^O|Bf;JPJqVTNY%)=?yMG_&rafASWQ=t-3xzx#Q%cas7wL;CZB1{2^pj@sFB%T|Yh(9scw=XW@Ss8UI&coZAF1 zF(#aIJ?~-B`wVd1N2mTIT-}jU2LFC$a9QKFG#>zuvyLVot+|NCy}&r<`0@-tJ?_f( zF<^@O_0YsGWM57>#J!6{@XJ5?qV6G4+&*wBxVPp%kzwqamtRLNZv|F1v~+Ctp%0Fg z`Hz|3(Gu=3@G0!i74A2|of_AfqCmcV+NR_vzE?XRn(7m0_vz9N=eIsSkePwOX zT}9kUwr@2MKcj$tio3$qmyXbP)Ngc`_|)&0dB@sHJ$5pC?K|m5#CiLfD-fQ-rgil< zZxA`SJ^1b8H1HX~-5Hm|b(|kdpo@{iC*ir|PVW!FH}@{yO771>KDGCMA7#4@-2IeW z@Mou#B1`O_*LhC67hdOD`W0_^Eq=E1-A+Bl1GjHkd(Am?`V0A{!)K`3=bm?uEoT*f zUTNDvyqpaUo%{J7Y5zq$gg2j$unt7s#T)Udg7=e(@IX2yf{tlMza$d$$<+Q#o9Z5y zoYB|O0oDGj%w+gr_sN;PsLR0Fw1xXi?|C5eo)6zL7FmSd3_f>gjS2T!_!NU5FJep1 z@Xv%d%yFd`DhMOq1;Q6kg?kma!eI;_eJA#2RUG`f5$sRS-qwBXr54iZ_f)?EE#2Y5 zJsG3xnO|_ubM#8ek;eALW;M2m&wX8+i2M8@_nY$9oBOTze1f+0uVOD6`vf#si0w@) z{paIPj!yIM$o%>P*u}{F6Q$gB%Kp*MAKE**4;xo)`urD$GwD8lvyt%FtYIUwpP)=B z+1#|LZ0@p=tI{64(%n!|_Pj+$_NHH$&%Ji!_w4vR?7L&U^X+fHpS*Vr8F~5l{q1+o zNsf9W+-q@|-+s6CE7Sd6ht4RUGIBd}lY|+|5`S|GbBB8}iNC*xbEo|F``_G?nL?=i zqJ%zk(hZm1lKbs<%Bb;HeNt^c1HHf;lsiGoO}kegF3&sze_qXx{cZT+wBh$~y70Sj99`F6&SxL0>m!%IORazFTm1hH`-a4O?UR1x zJml2sYMoEL9)0lwFpdA^=+L9QA1&eDs#Eb}#?#|Xq3~(#Pj-ETF{s&%L5%TEXD(%Y(r-2uE3@>*FWH;? zre#LHO_^h^%=&%vGs^j$y9jH~LBIJ%@iMEtD(g6W8)9sCWx|j3!#$A`m+9$0qD^KF#+m0BBXpkXDrDdh>hS{K!WZ5xq>)~H7QBam zKLK7Hc;5hTKd`K9)uo^MH|*cwoK$X&?f^I`(&-#ztz@h&J^pj-U+LGsdH=*O!glo8 z&=`Y8r|6QWn{RF6TV<$%&MN5m&;fV+pP?iBdByB#XxI???KU+?)FTie_rO* zG0r{AI2RjaZ0G%c-Y==~yehss8TVK49;Xd8=Ibsnw@%7=)@THMHi!MGkp$yI9ex_d zGRfpr;%-6zy+OHTGii=-klznJP%ghrQ~F;o-o50;%XTkGt4{2rjxO50BobS^ME<9z zW^!-xtf%m`jEvXMZ%KRcJ={SSoOQhknxgj*bVc*28ty491y_D6gTFZse@|RI3+%Nh zXC+^upX&^v_!vF|+y*`IQas%RKfiT~_Y3gH)3j%u@SU!J#*d(3V90^mLidX(Z5%w= z6<;Q;&dYsAvOqh?p3v|2%BI)^f9v4u*%t@4mCmnC<^7Q5Z}`saOD%tA!(Z)%XvBWn z2cGtEUI}bh?dG)jUwZDY^t8ksW4jomb;q^tOPfl$${71kHahD5%rtl=Sd21zv0(jO z_DUJ!>vhPR#wGcy#6#URE&I#GN5B_+mBrKC58S-p85D1^!Jc_dyn+{D-l`9YKWghV z{MlxCiyk}fF8-fFV+w_B^ zZzFCU>A@ZU8tKFK;uO*!CVew_ru^{G^|RTQhC83Q3>x5%KMD=C@0ILDa&5bcw!JLW zwwLg}mo~qWu%B>|+BzQeCG&fzp|ke~6O@s5@MsgWH@EJi*b>3sUj5cRW6S8T7+bVw zmtLFJxhl1j>|wRfM{9@E=e})ZRKL4kI=_|s#ks$7){^wr3FbTOtB{RcD9eli&q!{? zudpo71}0gaV)-pS?&jpXe{xQ}>MPk@PknE&^=+eGPB&nynfsfKt;d|M@Sb8+(ht=~ z1b258hs&WhcV!U&e|~b%r&MR1fpukZ<&Zv;UW<2^dTZf@=vGo5w-<=-(>}N_sKcYc z3(xOjXHOrY&TX+ee7V<*qMtuD&D-^{)8Rie;OrewVVRqW-Jr9WPM7w6=t)_1JeZ$fxhth|>@xrL?+hu%4r?YNF z+zjGoa9+WW4KY{9@t`6!p2z%v2d~4^ztw$>^c$^FbE1AsW2ox#BK6oo9l$j_cp6-% zlV_4%JU9Zb`kmw9V>YeER^7+ZAs&)m^ZmWR+&brJ$kFM*HILVL>fqR5&+O#elnL2( zYoHoKRW_AN^W`S!D*e|2TF9!c#kFuDHVDei2;BpUxw@c6c7XUuN4uZ4&2w zkoHjDzl8VsJdeafZDRZVB-%uGZrqK$%`PHuL!`;_%F0`ca)o)-X3ME@OY`A(h*wtL z!u@@^l{di(amUj+P(b z5%5(1uvjR@!7W<1yKLQ#QnwY<{7OmHVwqCDLul3aHA-<CRB2+E@vnIl z8+th6bFaBSvU{?3rfe?uwXYc~hZgU5Mf{Ro(o5Se+Q(iGFZ#FiIq*k^BE#vUbzfy} z(m48h-BW4ovFVZcx4E13hSAb%Wg# z-n{|u%Ai|k?Jwz~8GQRXLmczQ%sFCjcV;1H1!OlJkd}=fO9f zQ{^|)CTb$ua~+AX2g28S@BfWXO2E^Rd9t0@<1t-yR)p$Q%-*Y3{9)`)#$4^Ql#X(B z>!NP551pQK^^?u1z5LqK{~$cj{X0e;p4!h{f4Zk*gPD7(eXv>PX@0~S{#B6#`^EkG zF)ucp=DZW@v;UrnY`Q5^l3q0yVLwk~(^$r7?4-K%4+%$(Y*`)Z~nfsCaX zN8{Rqb;sBf(C{{mj1K&z?kY)`-|uvB4-zN&>b7ww(MB##aM?&(ZJg%f^2zItnOBj; zqaOBI-Tj$+B_Ak;Wf+E4C41a{O!A-{;bA!V1@m-wJQPX5tI!L@gcswqGc* zbVNhzH8bvEpAb)+$AeFjix}gKeCP{Iz44<>(Qhf;!CC&`cO+6FuW-p85wIHbfu~a6mmZ(? zAh3lzJ1+-^=aI{h9iF}KW)BwMF0WVl?!Sb+S_|m&H95G8|NmR~$b9ym@f^OGeng!& z)RuZL!uLU*Nj`l@zrE?*`J&`wHuGP}#>w=l8L>N9M;JnX2eR{a8+QtET2Bqfon_-r zC2n>>oLQ@aCO(xht>1*xRoHZ_k&IOr#6^hvzW)RI*geQ@Bl3xyBBK{Dc9rq_$kMOx z!q4;L_>a-IP2;l}-?aX(G45R8Ke6z40Qd2K$uESv?(v^m_%z_NeZd>Y&jkMbynqh# zSk{OHZyIMjd;I8=f^X2-^6Axw@eQR%#w*k6V^zo{1gioBpxjIdlhm zK1<#W9`YZlOOJ=)>Yp?A-!XP_g5SxuKBo!FKwh^;{AXU&836hubL*jWNhRmP;6IHM zEvK#2MkmFL-pl+0cZmGh|1mm{{Z^!B&Ky;ll_&Zn`Xh8oxP6V@CH-ef=N-N$qd5IW zs>-4ARFdB$ZMG-hXP}KgGL#|HQO6 z?V|S0wvqPflJ}eOT;(x5r%a#VoFKRT7=DWn;@<}9P@L!3PVoKx~;UQDL0dlQ*jTSTU27pdP; z$*7grGK~qyrg$tqmHD^7*kI)Do{V@TSy8#gEA}4!;>WQc=eM^PM#cZ&JFSO9UamoV3{b=E!4sx#$BN7(*dP(IcFyU>%~a(zrX&-JZu+PGpm_K50KMCbjI zJ6@d5d-*r?k2w8^vb+S`>Ax4*yQZ~O!AHR~ZpOy#6}*I{AHyd?l08XNP|?al4b7aG(1=@IpQ3 zQ)bDsO1r(gU#L4RT2WVW1tO*xB|?ag%X?jmJdU!-hCrqKm| zsl9}ZQ@zyfeY~@W&&VEU{7m20cvyE9`)mej1IDa=%4GJ%z`L8+k7(Ks{>x5|u%BR3 z{Oj5uG&;-R-(%J()TWZHa`d*waq(?FWy+I1es^Y4eAkOuLg{Mpr-*!A8l(ARzAz2SBY)!8M$YUK^pP=>!hpHI7FXz z=}L=~^Lg${bo|vgg$f zF`HI$$UQC(|D;IThfk39+rOT82HkaBIX@1*^n~tyl&!Y!4DRd**#u|9x9?k>^wm)Q z$n~eb%(wU^*3F;w=u#xco&?4??GQP{6_5ASYU<{Fh5xe=eyT_@Cu zKT&>^QD+a-X39_ct{lB5y*R%}8~^-Q1#KJ}qsKyVW*$WQPqsSrN5r{49&Uf`cFB&> z5z6h_|2J>sbm*_%n0Wl3Z9h2!UH2cH_bXw3@T$&k`toa~$4l!*MtywyzW}Da<{5aj z`^v!9_!+Q8_y^<{(0)YS0pUd=-U?vNJncLicp}_~%zAcoA-)ZbA0^gqyAv5K2fq8< zkG@3N&0bBded_n6# zI&Dx=H#8P~`_R~)_@1E>OFDnw$Tr# zBSU+~Du-?{X;~pT=E`5{efZe7S!byvO=X?>$_<+T{;+=+Yh0hi??-;L#klU9xYfTk zy=xx7>-FTm^fzm`hXNa$Gks@h?N-0dUFIL;?i2WCc+)t#DR#%{^zk$BNKI$Glk*&( z!|qFrUI>o*Gg4<{NW1|CkFFiR0^aHz+9~jsdFbQv8EN0u`+geCiz}f$d+7emJlbj& zZJzgnK(btQHqOT zyH7*ctnly1+^@Ew56ryijp3b@;XCZC57f^1}3D2BmQXM7x+Cvhfyp^&0Gm-&aJZ?b^e6ggz@-olBYi0k~*w+HdZW$kHs0^PA^&=wJV@GZmci zQCYM;s$KJ-}i z8drHPy3`(ot_|k9X>Fg+Lc(!=mC&3cDDuj@kn#_?p%>uw^wXq!Co z<=#0{d0u1kRR3VSF>5m>{@h*T;rQ8al2&~)%$E(w(jvyU2A*O(iOhrF@I(8ZD&n*) zbt>h4wg+bJr~R3mQm2FebBMCtK>Ocd_Ghcy5v`xIc4fwFa6Hy>@aG#jk>7sz8=SqjVG@^^d*>S_64b(m=XwshjB z$&b7ko0w0CxA}C$YxQa5|6#?)h*ui3{zyGd8tD@7V%V33ymn>PzE$yR8aiYK_0*Vl zTKsCmGcVqX?=PS!ev}(M7wFJwd`~04)BNj^v!_fx`c|3#oiqBudwv@^*_&qb)R>@r z-$s6AoO4qi>I1sx?XGior$2?yP<>W*vBuKfdiNKnd%4R%_0k%vbYC2v_W8ibUu98y zr;)WgXFuI$4`$B{FbsfTf)h!1M~Ka2_UT!#T9!42=<1H_f3v#n0K~<&zg{5hgFmST*IU0A2~4HI);#?IH3x zL_Ye>yiKvI$2Ry^vko;fIv-v91h95sZ6Vl0qdv&l$LWWFsc(hTs~jITzDD(VH#X^>arj##zZm%i?Y;{?ey%?X zru<@|{MzA*^73h`a{53$Jd%uyw)#wAT^>Czuni;^VcKeY(ViNj-Bv&wyE#i+IKKA_Y@;t>{=X)8^#-GAk zR-jK*f59#zjbILkZS01|)B@V)0@s{EI!N<0myhP{($6vW%r3;osPV4EXOEN3+vp*5 znbH+2Gk%eKg=5S2f3)tV%(h~L>&gBFQ%~u>6V+3{bEG*w>=xbA#SkV5GRq1Zld5oL}^+Zl-FO3l= zs)v4yU2+nxhveeTWK8vNvV%Ms+0j{AmFsnU>cz@cQKVdNCP&BM@9!%|Dpy#JjGTM6 zf7mt`pX85}T_*cMcG<5q_QAU_&)%%<6Mv$%clu)k?Jb?5c8}r9sbIdRxq@qV>Gq&( zL0x88xsBEF?VXePqSiQKBcmD%WTTbQ4o}>qbKHTAFPy`|0bcPOb`3romyhOeE+6r0 za=dg*XAVl|-T9J}W0%h-L<7E=xjgwPpAPbwN6&%w#^Lh_1(yC60t zvyeJ1BtQ8})bDrF=kzT(()XbKc0L*xCt1}PE88LN(vwEx{Q|yc@UHP${L&nTxlDFm zrEyho-_p=Hs5Fv^*@B@zH{h>rMz@I{f8;r4NgqE0gR@*(n64-c-o&R-bofTE~zclcJd#_ft7JqK@Yag=mPJYjO<+T zN&FF?wYP}=icgsG*wFQdgZS;-*y-l?Q<2GlpU2Oyr8j>c{8h;0?}M*B%?@Av#m&*g zPwkI(<8l37%XT-VxuVE8FmAXA!e zNM@%oXTN>s)!0nAIYiK&LHonkXchAZ>EoZ!AN9WIbnzWt%Z{a7CG>OkGx=o{uRW-W z{|>rv0r@FTwuErY3UC)#TI_8wv?^>oa${&!avxBIrS}XtqAxuw`f0vh{fw-fXJv)E z-@^4-Xv=_|XUb5R$3oJnAH_?~$^1-X3$&g5tXi5IKjR_&COvBSN1fEC!+qS0k?{|W zNw36WR}-r3SQCTi+H)n|Yuu1MtZ_r@d3V6eaK1-Fe(>KXU+$GVE??dKsC<>b@-_LB z?`--~PeHzw9(%a$8i4EtH*c1US8Qm{_sqLmx7Nh^^8)`?DL3NMWy1RC)79Hx$J#x9SFfNAM@b@W) z^4A!od{mBUCn(2MTaIwMR@0{cRUpHT7scdAybuq>i=cf!WNgk#)!Ek9wRK(_&<9m# zewXZobuN~lOab-Z!>8zB?w_Dg9p`!9B~l;!_i&CH^PV!>88y5&ItDefSg$ zKLxmbkMKTxvW1@v+`dP6AFj0UO5pZA!u#+P3!ehqzDIZ;j#_vWxP6cCK75jep9I{# zM|dBuu<#1t_C3P;@MH_04BWm)cpsi*;gf*d_XzL9f0GVGUK{g$BLG6&SZ$bHmUAQ$L{n>)1}}Qo+aQ4B;2fuUcjiiUKtVceA z_XzL9r&#zE!0mg4_u-Q*{5`xk50_c^ z0^s&N!uxQkh0h0W-y^&amsof$aQhzNeK=y_^MKp;2=BwRi{Wz|xP8YT>Fey1=WSXN zwCy5r-22Gb)!`JdRpTAT2<8Dyae-jbyQ}XK6RwMHxiILIS@C>_d z9=y3+d^-J~dLn21Q_pGs;eD|G+VQC~dm?^pxa7>4J*RQLE$xr28aw0Q$f$p)bL{kc zZyT+>@3zs?_p!H~yBsUL=7{KuUbz_;@LSY1r(@Hx@y;0@jZvI_vppd{2uD%yP4bWPnS~H(}7Rrd+M{yV~8)0 zeIi}SW{wi-UuxvzhVfVHzI~d`rM$Wv{!pG3n>eSRU@ym}kB|q?re*2K5OdM}BcuP= zIq{2SEr&*5z4c2K@N!)DuyUvHiziVB?faYT1#1Fj{N5(_I!p)e*t0iAydyknU#-U) zTRQ%cwB~^Oy7=9Y?ub{RcBj1~UWwPcWlQVD@k<9cc64_z#^%0zlby+yz9gU=tbZ`~ z-kbMMOb9R8-LknenZs@C=re#YPHRv1mX56(`*w8qx088Z-hIjbfz-xSNB71p1Kq9t z9X;KBDo0IwPgk-g)lrk`?fHvjYkyx&YfEp(=AMDhj{am#U(c5Q?Jd2@n$C{RdGOxW z8duBg%SElw>*!7m^!sheZGK0ezvkLitAI5wTUHN0=$4+h5|(tdbavd_(Y@8T5rckP zZ^yP|ui~4M{r!p>NQp>CYtmnySh=>(YfQE#!D;jRQXPJKOLtpm(x21jdCOWlI)S$K zbag?Pgxgg7&SalY{d;^{$n{=BKrGb*IN57T=Fjz8Te`b@`u$M5SUf@1dZwt*KMvL6 z*_6sZ)tT&1Qle0s9oM!Ji+LM+2Tjw`mch4e+U&P$+9J*Ab@sexPMhDoF$g<*+B&ug zuw`4TiP*CFMhoc{xPPEKxp7Nx@}`aLH}g%|5Cg~Gt*MS43NDcu=xs3);a4q~yS<|~ zdA`@UbaBIy#r0SFv*xs2?$3!YSRc){qnfri38iX3McC&j4Nt}HK)^4$=pymKwY%^G zHj{=J3t#UyCbxF<(Hwo3lizY><-g|&n;GdldNvO9cXak`B-sE)N%(uCO24tIr;Xm^ zw{yOfr-~=evyi8bX9Z7!C!212FG6in{ts6wRZMMyXC=J+S)d@ws+8c`S9LkSI@Sji^`Ad?``Ssqdym>N%hiuoiun} zjJsE=cp7-t^Yrul;rh&#I@_+Q=0(zJD59)3%eHA#VkJ?YSfTiq&fa88+m5i(@VW;2 zlY<75ZD!gf=x-7M(;`N;`#iDE=)LZ&lqB1%3RFJ*9bJ6)pj-QUcL=ewr}ajKCKJ!* z<$zAAvU1hevZD{7QZ-uqC5s#U6^qw2tXhhkpaIo4X(p6ha-Mn%IDDQ|-oQrBL8d(tU(mBn%`*_GRpQxfXP*gfxdm{MKZ5vbUo($Ez%g8BR1pbV*gJEWRI>B)>@-4kHe@@2_6j zwAN2_bkn2T)R_%zZPUs^SQF|wgl6#840LU#lz2D~5dTrkOyr;eY^7cnwi`-P=mmVt}D|>G8k&{MF-FrstUH%%`j%8lCSHbi< z=1uk9=J{R~=@O(IA?5yvUz+M~U)j{y+%6HMNS<_v>Mbj+kv^x=zvvbTS! z)zh`D>omGvGY}B!sBLYeh)Z_#Cq3RxocSP+P+UVxe@kAx0pxJj_4Kyo;bZ{;PNKJ` z6$_-kr4#3sqbn^Kq7&pD0)n?hpW(zd?aJDE6P1@|9x%w)&cy**UFJK{vNhS&k}}LxxrOuImXw)u=zaC(oB-5G|tcN1sgxs~~2L0-r{v{3b1 zlOfv2eJ5Kw8?!h;8kyY#$?n!2p>#Qz^4xRxgDz_mhD)`_+hgPIe$W=ldG|Fz7MuAzg3FcAG3P zb{w7h-ee2iI!ibBP8fyca2l8igmIb&oBCUN`?G2IPIjZg9DaR!U?aQl=D{TceLHgT z&4Y_&4rcL1uZKS5@YR1hT6=pWU?JFwj;-y*;Hz5(v*0kkVPg>*#o*A$g2OcGH$$MH z423Y#8j!bnGup-UWG{HPz1hHxmH^(@YWn=<&9p-nm-j3#?*=2tH)ufhl9k;|D6)LZ z!96dz4ON7o23kG;dnt|w6TiBLp4uZ33cj0rJGO2O#yi!I|`a8Dl5Y9R*$)=8*k*BU5R+2hWrqkx|mM~H54y8-944{Z|@c|?B?TV;(%D1ki zzqP%sXRE=@)jx;7rl+GXxvZm;S*XETj^CrT-2$vEK?m__YLNlgXDe&z=fkO-g*Ria z_d32XX;~f=CkHOXb9^zq#E!iw&L|G@?BOx^NW2^QZF)N{E46KV+AG=AigFJ6TH`>s z{R-l*O|{W?v!H;kK_}<_0i&N?UJgs&L4S4-CujXl9b3CwI`eswgIRt^8a6KJ=TZab1TIpv3e(YEh4g|nir|lPlpg1Xu&(%x zZXV9UdH6I<8fQx(A;usQeFt;n3uKJ4Qycf#&G2T+R?H4#fw(-^pqkp+ z&|EcrJ8)@sw)FMY2-W;Ir>%x5;ee^%pTJ)bxNh*FDg!wT6l&J0SzmH6MM2FJz>|-) zwWc*S(9yj`<9DyM*H2>NH)n8;Tn!c{m_5(!?@i)^-GPg&3lD7fz+kmY!d&*oZmc7w zqJho-o;ibISTHrOnls2chx0AvroVkjd`7L<%)W}c5+yG`XJ3*Zsz-Ku)m@qD^co*e zZW7#OXochZlKp-CEv+~9p}v0$URx5xcCh>NF-3x&)|2Y5I^U3>VAd5PIF2tnFAqLk z@meWl0VU6??_t(wT-&fk&TLc7fSP#Q(%PC#_2(C~P(E;&nidm&4b4!qtrbt6eGi&= zZrA3#?*NZDyfYq>)mzg$(Cx$_h36qU>ks*hx^}ZZLHTnTNs_%N+`jkB!5`aY3?9L0 z%AQu)&-!TVYEhG7U+9aT)|iCvz5V($zQMj=B=hGC$j@WPHT$LMoL-ZJ%X(Y3;x!sj zqSnotAi8 zF>W>YG|+KOeseAx@X7WM zOZN8Kpj&Qr@aCX^#1mx7pK~)zXz9C=S2<)&ygc3;cxo=VlK-*U@DK&L2kxV$)l05z zGENATtkDd81F1kY_?vg+7C@8^zd_dLWWKL!>2v^n_a?WxPm|B~f_VJK-Hw9ru`uP| zGp>3$h+X-%bgF%;;)8S68<^n*lhe7>sJq7`3#vffYx*{Kbl2eJK!`TSzGR%;EQIy+unv~I2!5~_){6I>RLN{l zHxBT=fwms;?vH6R>R)ffJkY4Jhq`dEm=QdGNDJVM0tMgz-r9L%QMkmQAi~f`&ak0Ad#Es^*ZDp`miv(T!msScNvR_=)>4=zW(uKTgKg z38Jz-GD|MVrwd1!%_vOCfC~$TOvtz9o5-8-wmC|kcfkc0_$${Wu5Fe<;McER)7-dr zmA_=w+WM=Td?FDquLC2}$4X#5UjKC_Swpsf?!kWEK`Jo7j0?D+`h_@Kn2Y40BvxwW za${m`W3z|$XDOw}*HFkvnuli%z;Lw-X%|EB=FE!^u!_8JefU3Ms$*)78Q{HbHf$xl zUPjHFHYwn6sP{it8tH6f)7!z*+V|DIA{gJ2Rww7dQ@QaX58jDq#g68A@T|KsAf~xP zi_eTRlye@waX|*SdGJ)$5ok-rm}+P-tZcKZz_uPLes^}g$RrGwWo(_RG@PFA+X%aL z!p7y&;ZJD6VzDXnX;!tjbZ$A{XX=`gRbz3oV>;8qUJL8lvW2MtlF)-)*t^xS)LYuv zxVDjHMAK^ZOJsn`GF!41=?z|+v#%7*wAFT_%w=S-L7}z3e#v`+3ae}daiU{mi>4Lv zD*-3&}`Fx7#Yh0v#^T>_Ab~BKbFVn2W%Q!$$JE zG2fvt*0eDZ_p_K`J{n3kfkQB>sy3U>9-6>Kz^5P&hJVBH9>%hOM+Oh87AfszZJ99v zD}d>9zbhzd-Q3fa6MaZ0uByHG;-Cft-EGM&vg!hE8^Yp6Q^Vq{Oq%cdRoQRFsT&Gz zY%O-AI3cj|Z5FTXg7N00hF_e3vdyiniX~?-v-z>6nSD2FlR*_tyO>4F(07v-JMA~= z@`_@?o`DxHMX&{fWE96U`!d|pcCMr%FWEK!OSxn}Xmx8p=G7r*3z|_QFHU^wFy3AC zv@}X+Yly3dHGs|RJZmD~ob1(nR_Tq8*COZXkb(xti&J+G^<~N!%n_g&*eB}7IZG<& z-oZSnk4npUGsD&#H#kuM#?&pX-Tj?f7B;1YOLr)8?#Au{d>`Dk)Ca-+jD4}f< zw$W5ix{tPI7>K@G@DX6{WxtiA*Uxq8;M<8nS4Stl5l1fc zGzi821zXYbW8K(V=zD=>*>TUr74f@-aq>z=M4^wvc{mE;;v>GXHa376FN$b(bVrX% zZ}F0Ctci)AIH7%gI36JrSjCuu%&G86;)?Dwc)>f24z*2g9Ldgb`fPNNhMD_7SFWv0 zTZ=GWB0LM(He@6*Yv2~1U1*~zn9g4Aisr4+R4au$a62o@GCa^BHqAVTq&j8u(GVOe zuRaTgYE#Z^{z5oG?g&zHYfERf8P;ihWv!}onQ=h%3HoJ<{4HOy^%KnOl0=$&ligd{ zeUlewix}o}HFG%FeU+pqG4rjZZ_jxFyW0E)rgZa>oAU_bl9%fmt>?Te|j1&jWC!QISl)qS< zw595-vKv!;OE1zGaOh9x?`<_6`HNbUgL2Ya*+y|?N97d0`J{MUEUt&8@M3L(;X0tQ zZ@-Yb6;qVN1qSt2Jg52kLng26Rb<}lJwCnBvvlokE|vI5fj6^91Jx{3I`DN-qrfaM zhL{ZFn`Iei5yV&NL2c!+Y_+^*cN??0uq*~X$^aC&*%-E*zu9O>>xFK%emOaz`7?4C z(!Bac@a&$0fSzF3AlmAamnYivY=!iV`yueQ zhI&OWyKdx7+=5rsyr}#={q1Ut3I24)=x+$Cxi|3Fgo_B@AY4VrZ~sheAmo0#iB3Y! zT1*TQvL}Ax03mzOC!QeWyzj)bgxohZ@d6=x=qFw!nv4W7_pE2Lu=QYvBH@~Scv5)W3L(FfHsSqs zsmEPX6EVUJ;S554Z)joxA@_$)BnZa{+X=ZBb7C)Hn(z?ePYI6_{)F&V!XFb(ySLQi zH~c0R5%OCO6B`J*t9W9Nkoy}a9wa7o>>V1H#>i5Ccu5WY(I6k*x@rQTNws|cSYTts-7a6REy2>S`YOt_!$ zON0**K0$bd@QZ|L!p8~AK3?km1K|w9#|Re?{ykxW@P81t6aF1xKjEWtD&gM}Rz6Vb{dYp2@Dai|;b#f!2)UnrqM7hBgl&Wm5e^al z4dH%5&WlexLilOI86V(xmk1B@{VBp12tP@vBWa&dJmCo8Lc#|K*Asr6aFCEYOD7Hz z9wa<)N2zxo;Ss*?B}^0kHKF&3Qttra48p%6Tu68i;ReEw5e^aFP52PuU4+jPa<}Ki zi-aE~e4TJVVZ|p)y^j!95q_Akj*v6M6U~JC2s;TsL^wovJK+Js+X$Z^+)MZz;jM(P z5Z*!<`&6m-LBcrU9>Nuby9rZ-y9oCZ4ig?A93nhK_yNKrgzqPOp74EyFB0w~e2wrg z3CkWV^=>AdLAZl(0pTEF1L1bU4TReWcM=W|?kDUge2B1*@G-((!lQ&Y5xzl~BCPy0 zzwu01Mc7T)KeMDoyreB#?FP+e=i>+DcC21&*Eh9o zli8(qj7pud#uv;stu4i1Vogkou|(s9TVIfU;?f!4DN7(dotXY)#K;%T{ujZ zTLwD&edf>S+xbBd&%HoiSKySN7oU4coIew9#@$>IykJc0^B4JXyC!IhbeZ|{WXm?O zqf^ryZPl>jzoDh6Qa~!8->@{%xU_z8^U?-<1jfV;jk=*cgE%|73qG&fudVhMRQnfK z`wOd8^?9l^b5P&TpbQr5m}e@I$B%#$La4oX?gAIDRXc3|AlFOgYp*)4dSeu&TKd~% z-+I`QfeGoiWVbOonR63UH?CjdFYx63bap{Nc-{hv;#o(caYF_Ltz9s8eozue&)8j7 zAeBb6j<+XrRI?r-Ewy8}ZcbuxCC$`A3$($SJ9G;1TDIW#IUdcE?+OZCf$3rMA-%Cv zvNBJPP=m9f!fc+7$|Bh^ zWlsN!~S&Hm4A*vdZbj?3|dg>I{ZuhVimkpXe3-{5XB6o6{r(zNKIe$=MIw zbB5FS5Ou;(u47sC>|WTaZUo_f$2-t|RncLx(M2DWuBst!?JCwttgVHcrm%!Z>_Da_wq#v#uT%s{?1R z>%LhYI{=Mf+AO3)wJji6iYC7h+=-w$!K1Q-;!E=;jr|H>y4i&#E$&PxxWl*|sK5Wy%u_3kwU7(wnks z-vse0Utt=-!fCSK2(#&|x#1^k^SBP7CDcK-$<>HJO+IDi?bca>v#GX^;|o#$47#`x z0X$15jH5U#?gT3}fej-GrfgZilo_yX`ocIvIj5ttY4Utoo|gwNG-l?l&g!@n`ShD@Y)_iV8742COwJM}aP#Uz>|NZgEK{wR0C*9f7wC_e2+i4$HV^DBIkz z)yPVqN`h&8V6V!`3+*+L(3rDZ@)Xl~L;F$aX(xzvRuyNMSF?BBt(TZqEWX!==E;ti zB6^~6GAF~qxFSAEZUi?nX~7QW}G41+xesr5C|Kgrgt`j~A)qFuOdBv@9T z1_=x-f%txmI7Ccp`;OF=JKV`DfdvdETYpcNRq zCSry*V}#oBI3IPu0*qDuG5$-O0$$?7&Y#3!>ub$SCfo6AVd14alO8{$jJB}qG4Px( zH^}FBKd$v*8nf1oXaTPAZ3d$0^1zGAt|MD!6E6V>e;f5;X^IO0U8))&=J`v5kwwng z9DO-Vp@(b=wGZbs`{AhAM^iy_9EVdYZq%>$H@C7Bxp|vm2>`LNMy1acx_;R_SFNCE z!S?*z9)Nk#`en6mjzVtr%U9;tj2+Cm+ZcR2{DItR4i~NGRPDAfdOk91f+6JS((6|H z?c%pt!O8G(PgWoNJ1IvgoD*H+uJ+PGkMx3x@=93v|Y5_siV>iW9(Kxj`~_d zin`&l(VyudEdh{jDR^{QBNYnQI1o22fv{|+MN2JYxvDi8WCOQVV`CTW3n8&{sgJpQ zPj__LIebY-{kkR&xzI0Wl+CpHn@;Q=G}$ z%v^%I2h0>h#1oQa?0PNxa)jNOXo=wTu&?dxm+SHaa>7<*f3>-mB%q)ahQQT^fYWA% zfH}eHpMXrTQ^H7=*#+vzidf?kL(H-zD2RQd7$RV5dVC?(d$NC_La2_R>X`zo@ANw4 z5U$R9hxuXJ@3^ei1?)7;a*Z4Gz7RiyiI>5l3x{jEPVCI~T=6JKta_<*>jHM>HGP1Q z&rgF}L`-Z^LTn4lV)#~AmTM@>=6p^B>CMsl%k9h*&2DlNkBedlOb+rP3~dbx?$xDotGBZQ*mV#x|O z80O5G(Qm;_!YtwTMOSmR&I$3fXUF12*GM`-L!sZK<1h>&96_}gLPXaTAL#ON*1Be~ zVWUoX8*f`a9(QcyVVdKr`T0c?HBy?~SLHCXJQCcTPm3lNH!Nqwl)?)B#HizE5W;IR za7RqEisCgkE%mvSrr*-z;7A8T$Tccvn@%)g26LAiVYX%RG(31}T$dYod4N-xe*}Im=g$-Brk8XLJ&%km4s;h0G{ z8lP=0S{c~+M=&EXqepfM*JrY8G%0M?=QM4YT2q*s&ZCDTuMH;@e{5EH^b6{oUu7;8 zz+KOXL_yw+-xlZE2HHgHQT8v}Cb)$KNvbp_cM!I(P&dm z)6&N4*5+C`OR3Q4h=Q2kae`6XPR8xP4HCOMLtVmLFkw2hMKp@A7sG#t3?;pY^4g%O zv(t?1VDC}|<2)9nK{11DFy50m-_FYokAanUTmj91>^PSjO;3QGZ|MapR7%#^*2mE{ zqmvnTltB(#buB=~a9d@(omvh7dFf!X6~8}T{9poYEx2gYs>WRNh-y&5g4GwpRx?-{ z!;nPzMrY7irs-vDW@l%PzZ(8XBuOwF1_4)-Gr4|ix|O7}{uoNQGT5zZX?ON)<));n zc^0ACkE0)ZyIMNWk22NDmnqG?TFtc72^3bb^DO6Pp%M?F8jpueIqeH7CLD>C5{{hq zQXg4s|_bvu1=Ti<>f9(lofmjyBgcujKxw+@Jb>>p(A? z`|#{@)-u}MxKbL?uCaFdzMGrnHvHN+H@}Lmt6A5$vUw?~K-XU&Y(WAtH)61^VQoOy z>}v%{-8)#LH6tcV6v3ql*?HT#>lQC(_ABo}FrQqf9rjwTa#vmjp64itQdD7K(cXVE z_S)4f@n@%>B@h<1b=NIv`ZH5(`(L0ZI<^FhCYbj-$f174;xJA#+D~`I(M1B^Ay;2% z(W6hO^pen@$&?FWT6z`NxHJ^y*CPP*Go=g!fZE}2%+{-6=_;KLz{zR>Lab6V~jb{-3sk3vg7ucpX7gmbZO13`S40H+G`ij|dDjjl1LW#kmyp>q**)nqSHLcZH z{rDieC3{-?b!yjv&aaNLBQV*!U5*Q0a4urFun&1a_xjN_A=k#$cWA(iqS2*;!4d6f z6l19m0bAhbykxT&G_1Y6z?akoNbEIn6(F1yXf&gvFAfl#(HCINGVY};tEF&EUM!^b zkXd}NHjYjXumYW>Qre@dCKj!u>5pdJ^P*99>2N}n!fGVhB8x*u{<*!A>flesWy(|Q z8F#0?NxIgC?^W1Hd?WEqgiQ+T*8?VGG_aw;=UWkY;CO01TxgujSn1*K;%WAlfxK)v z4;RlaTf;-5WsN*dJnLBhYN+?{r+6!%AukDmw}Lb)cr%^~zA2irRH!T!CInD;br3e{ ztT5k=Y?CqJN*lU(vNLe9RJhLKue12;EdDx+zwR1~XVa~->BJgOYtBKqDhR2cCRhX} z6mSh0tf7!=DDfKVqN#(@Xlf9InEv{PIau$WvCQ?|$TxPg!IAxJU~B8e7{Otk2}MNT z*P4(-CWOwl4IXm?Pd24sG6-$husHZOJd{Od;f8m7tBS%mydk_!h)uG96s~I26VSp0 zF}SN5#Wv51gu(#MfNtRd%|Jvv4X}c1AXTV=&x9Hy_06zmz8STGknzXB8DoNwQV5U1 zA_y0YFYB&jG%(?ElfP7cfQMc-aFnnKn@stoI{74Bladpn{DRQPxD=2Oj3Odj+|b~0 zJ*QW{*s#K@U)^BpM`-F-zdGSH&@wzKrq{6YI?4Vz(Oki0t%9vw)2PS9Uj^~2NORSi z_j*@Rf~%0KRkYSBT8KxY>8&OiGKKskJXIz^$Yatcu5D6xp{<(?UmBM-dyO!lan)i^ zt=dR7P0i*pw3@D6;x+wQlh+J;*DYRY%CjCy>mjVYg9|Rc=bPy2w~({H z;m2>sNMEoE)cmCumwNiWpd()nLj88nAHIkG<}F;DytO6>bXALYuFf0vY^geL3HBdx z!D*`V*wGRE`2-j7a7BwZm#2>Boa^K7o_}dq5Z2mofeq)|aGnh>?(#afb#l*bOD{>i zPkg1+n;XB-du79~KR~tL`g@B5Z*kx)4!p&Iw>a-*n-Gtu*CB*HH<@C#{`5pNRVP+{= zIrUY2)jjRSB&U)o$(@r)Uf=rre*p)iC)7TF>OXYGaew6D>tcB2CoOWSeI7fHm*RhQ z(v9Bhvm;*eTW_!Xv%bmKprnRA|Fct$BmPGKM!tBmcdVN;@}J>c`zzdma9Np`zN^e@ z|4o@!_x^HkX!<1YxgSsRetC4Vclcc=c|&hRJ*631d%9P*U0T}yeRt)J?lmnZt^Iop zWv}8LL=B#WBJErp=={4M=R7<(;)NdR{{sau`Tq|BOA+c%cv^&c5ZG=3_!I1Uo=1Q^ zNvQEafAU*D3M@$|{VhC=4UZMTP674=uy9$_Hirvfau^>0raUx0=uc^$1r{!!-k%3{ zixBMJO@uGlw61(wJa`eamIERbb&V_W^qi*v*8( z(bLcKIumz2vbeMh zd2hEchgT0QW$8KCVqk*>c<%-_1T4&}D}n8`crK4CfbF;Rcs0DgjPL-kFz*|HjQ~@b z-QN;k9x8xo{pAp_Fi#f&d#nIf2kbDgFrPO7dm5PXb#;@vcn(;Y&o#h~7QiCF(iZ0G zCfJJwFhJg;U*dibz3?X-{k;NS7^ZRgHDKy9`V*eU=+`Yh2a|311~AE*%U9#M_e6e~ zw*o4&Fo(AVSS7G9uj0U_0#l!Lf3ins6r`OD%(rPBz3ISa15;U?oSwydbwS#90*eDv z9!jgfckwK=cm}|KW*yDKl%M{vaJ&`3zGA+D|Fj5~C`da1Y<&UVG+>)7o-4E3xgA(o z?iJSw?EQrL6YtfJH_&cjUI~`6X?ZnxrG%>r@(`@Q0Ja*~P7CAJq-`YJQ-H_vskaZ< z9zw^f1n+kPQ(2sxuHpS)LE3A8JqYYcLie|pmq%<`!BjVm8O=ptj~2kX!FvMO5TPr} zg}fiJc&;qfz@7#c?lUq$p92=|Z#MutYSZ#+%CdqmU66J;uwyo@Yd0K zr{9%{13MCe`MfU#rt-P^{yFb;z`jQ4{$$~-uy}&0E$8th3es}@zPBEj+QZSS<$aTd z@oLiQw{F@)X$7CjlPZ9n3vAHBgrj^f<{2u0%?7p?SUBJFfbB1U%>s6y0H(T+SbDC^ z^MO57fY%1>5U_CnT>$K{0z98ico>-M68)*n=K?!Y06P!Z)4*g?=}&mGfIU}$HyhZ| z0@xg2=>ph0fxQS!c9#A`?_I!-0aG3ICs-A*R}0c!1?;r~*!jTTC`fw&url^Ng!8x% zSOu_f9@W5Nz`}K#3v6lu>>^;(3Sc$BW)#5U!2AMOEwI_Z{?gPesM~yCRlvf$UjVGS z0Cq931qHB6fGsS5T?%XwuyEZL0;?;)y9`(ZurOaP2ezUh?Yn^`3h)*ITVH_p9$=da zU{?TZ0~W6DmB8A8h3i`ftg`@*Eh}EC0B;GfeqiA;*8>|Yz-s_DQ~+a3jJF4vY-{~V zuI2&T3oP8`%Yf}GNV^_9=JH_*K^@&qkcG|gI$3OFPeW5*H>NGo9dW%e(>cjmi^U|Q;?w;T9=UwJsIQTCw*UwPN5_&6VmFx4YcW=RD`k z^F2fN^}2uT^CHZ==kq(0BDHeI z*oM4yb&hHn@5_9#V(ww`TBLRs-91c~IqO6{DC=q_={S0yaFN+1!k#6sMGj|om=pZi zFlUO$FFbq2Ymvhlmv^~4sFE+&CF1^8g|||2{5R#p(Td~uEmuH`9Otk z@`F1W)J^=jv&wmrxfmY(KP=pTLhwQz-ki{BZ_10Sb~5*d-RmOfVf~FC#{Vu|p2mJe z*uQuddsE&fy(JMo(^K`Vo4-sCxp%;Xzr=avEdI?4_f^PB!zO(-<-PI?ReF{QJ{R_e zjeUpERsQSpXMC6+-roC#&Sh|K*tj1N?r(s;9rXnt_^Vav;hwsC{gv}h2!bO!L%u<_s2ZwK|e0NpTm(ivZd=U%nW@AWr+nDQDI{?mSUofjU%KPs5|?3K2D zMlkh>t8IOkU=<&1qq&!x|5JjgcU)uZNx{@tUTf<;f~hw&*m|pA>iM_X`VPU=Td%kE zxM1pCjkcZ?Onr=ntS&cwX>?ATPo1%+Gg#kAXQ-kUPQi;5yB5aLwQ%bx*?N ze?8c}mN*6I<}&$vup<1;O?Lby1T%iEH`#h#uuA{iZQXf?$JEEzDXGh?&wB(@pJ=u9 zU4p3}Tx;v|f~m(lJe}N|^wNJH(oenwzcYV622O)Ha+5y;9tU$|CVv^c6MUKGZ-e&< z{b}%Fp+5(n19Rjz`F{rNUQ3)8p_|L(|BQ(5AEA@EHZcA1kz2gY594=Z zzq`}6-zWG2_)Fe~F5K8Jz16l43+BE)c~ba)Q0R=$A;DL}o}7o5#{XsA75)omI>X>2 zNQbd+@3HNB1hYI3yxZ2RZ}WIP{3Dm}Y5d!Kho`IdDtI&O#=xAC8vBtuJ$n{i&25;s z{-7G=S*ym+388O+PF`8PaIWTSsmEDi9FtvNy9}xT^?8%2j{CWzu z{e)na-!8%QZ%Xj1@Q=Jt__w%d``0U&{`pF_-Xr*RsORL(I=!@?yvMfRE4T*s8UjlY*H)J3eLWQ-Y}<5lr{T1XJ()jO{)xn7WUX5_MhZ zbTfV{5dS(a{&Atxes0RMXLz*_c}#uwVULymIghEIoc5S{{pUTV9{!@o)JFtU?|Q`6 zCj?WU`m(Jb7fjvximkT_rrx^O)=Pqw|6jND&FCBU;15JuMry@sqZ;v>&FFC?|RLY^beq1p1dBN0A z3#LACzU}``!PJkw&erDyQ=hrO)(;7$o?mS1MQGPeMB(zu`6wTS}^sFt8Bd_nEENfjDOA5o;~$0 zPOjAD_P67LsqcELtyf*=G4*(($Mk=PVCo%yTOSdu!f&$mX~EP(&9>evnEJe6mAvJG4(0I)VF=q)@KA$ALpi!y4?O_ zTCnne($*&hQ=h)y)(;7$KJkF9?-5LW&&O^3h+yiWPkMR^^PQugTj+3zH1nNpLZ|(< zfAH*?AG-xp5C5aBPYR}fdY7#)`;5ob$3N>a{ht<0Jw9dYlY*(&KWyv0f~ij-eeUI! z2h(eq`q9sO%uYJU0>hUjmO#gQXrry9!LUpb`xp-Y2+-`a#|;_A`!r%eJqc@tFQC{I*{6gpA--*-v6AbT!&}pB4+_R^?OEC2l|I^lM4tPvG^n}NB z-z%7U-*;_&n_%jD58C<(!PNIWW$Q--Q{VYLTi-93dc)JU-Y!^$FPQN^ESP%hA=~|C z!PJikru&nEsrP-~c0VSV`n+JeU;G2lUWNaR$J7r9rXGII)^`i0zWArM9u`dfj9~g- zcg(Y=9{QQb)O!U}-}Ah!&j_Zz_ZPO_FzYe(u3vgg|HlQZ@PBRVX~EQ&|Hjtif~g;Q z!PcvO>oN6?Igc5BQt-#P-UT1VgK%blZ@bWy{Y##E`nTsV9#cR3?;cY>ejYjtb-Df7 zM777%57c-}_s+#0??!yc+&4Gz318yrwC@#6|CV9B?p|(qT)!Kp9>#vPVY=u3wPEVV zF7=rD=~|DeS1>f8C?ozQ0lQ}s^AWS6yrC z4T7o93RdZF@a(D22&R5qF!j@XXir^k{A>8Yo@VOH1k-(;VCwCHsdosbeoQd+lY*)5 zfF7*B26M}yTJ}K;<2cHI;@^}$ko%iB<8oU&2 z!aolYuLGO(z5(0-Hs$pe@Jg_`za0X1fldCkf&0Lwyf=aKf}`MZu<z{Y+Q+%D`Vz?+5r zKY&YMlV4u|Zv&hB`UZF>*!Xu4ya#N`<0s%5u;~wf1wIJIhic#d7hjHmku8ep@R)GF z1bjlce-n6K=+}eKfKB>Vf|r)O_}&7p2b=u66C4tH3fwOA5pa*-4}s%g)80M_9s!&5 ze;&MD@ILSq*wnu#!82eJ{ z9NZxEo4{ePiT}I6onZ4k%^l!4m`}E{zfFS2g#A|VB-r@>LGU!#r2iA(8L&yuH25gk z)R%t(p8%WizY9Js?0*cd9`@@0EVveI?Ee5>F8DvetzhH-g~*sLp)Ui+!KOaG4Lkxi z@xKwg9c<#i4m>6FUhqD!d46sXd<4w1Da@Z?@JX=AufGFVZS|f<+5rxOP57S!cY;m+ zd>y@23-B1&w3pw5C%~u{O8*b=4#A62(WZp`72rLBuLIA3 z;Y_(-0X``7HQ*yc?*Shd90SjRO?xeX=fUXam4EkvPYa#|J0o8CJ_xP>oA&V)a0A%1 z-^akMV3Xd%;7+hf@6W-#f?op1!6v_70gr(3q2g1Gj&(xlmx6bJP5EB~-Yd8Xd{Ef8 zgO3UB2A>3*^6Uqn0h{{RfpAa#1d~Q}UFzHooy=eOeC0jh(}F)h_kuqRc8+@Xli(`B zJHge0KM!6EUatLr6dV@%x4|m~KLu_T{4BU#@GQ7P@I1IvFcaD(xCY!K_%d*>;48tK z1vh~E1m6IT3vLD{1-}EF7Q62JaI55O@|`ugmKZa1|CMrha@4TrK!<@M6J-!8L+e-zLTL-_JuQ zPhbmy&ma97T>Bg2f35RJ@G`-#g6qIAR`%zkV%NRk*}nl?FSrieAh-d%T(A%96MPdm zBzP4#40ijUT4xQoUFaLY9fEtooq`9!U0{5u_zvSsA9x%~K&JOT@a&6T{I`Qo2>v8^ zPVhtElY$=w&kNoUJ_Tk0(f>o_-&Hv~(czJgfVcmt%Bj`)_dIy|&tCX1fp-gj8N5gE zLJV|!1-}lwPw*As8NqJ>?-zVM_<-Oh@Ik?=z=yz&&Yw>5D~PYozs=xT!5Q#rFkGng z6~Sk~u9`zm-s@OQx51wRd*1e^H%1iTwO zuJiZj;D+-&|6T+y7yNHvpWt&aFoXmz1&0M+4PGfY0B#lB3T_v?0o)<@E^w#dB)CiP zFt|tXHgK=t2f&*Je+Jwq_z`d%Z1Uq9;4#5ZfOmk$b$NXsytLZOpJU)!!E@kcg8vO% z2X@EvT4x~!#s)AOD9i6W^3rqExdHMLa2{;zYr!MnDfA=MuLRfDd;0a@I>GM*HweB3 z>;s$r;CApzu&IA>aI4@PxLxr3z#U+dKYtJI6Z{GAh~S67lVFoy>^~QedizITf^IJJ zJop~5-?R@pc^*b)KXsA^QPt({hwTzf|EC4t0^Ti{`uO#>`>Go}rarUMW4b>sn0h@A za;nP>-zS)Q`zlW-Z@=F#&YK`TW=eN|CVtjEg_dHfj9VP+ls&$@(J-H6d^8OLn z2R7wJ_sc%%`TtYs=3;(Q--Yv*?zP03g-%AZRpGw?-V1j9TjKlyyif2eV7@bbJM5YN z=V4+$BlH?@H4cupYyFMj{X%~$xDz_xjY0R!Prhs2z1;o8dBH8PKM413{dDsuB=Upl z;d|QM>r$s3_QzmfjUSkw^#7FbuM0YP7k)SOrrWa?$Z8W0QTXKv8k`G z2k!@uYyC>_%6H-ZjOOLwX~9k4#kb)3NkqY+AOH*F*0^{i=gIu3zYWrO@wyPB!t6f~Uc0*qQJb_jvx%eU0EPuqTH^ zd|Pj`?b`*jzT^edzmj0;Q-Y~a3#NWVF!iH?sn^|ZhhHyv81W_diToPxwe7bFrhlge zGrTi`RebKS-5(W9egB=den2qwy?5FAKEV@+?-c4QE!ZFJ0GrE3%PMwC{>}%<}FPyy7hGHw&Ha^MWhSKil!S*lC4-CVuW4 zHsOK3m_Hk!oAbszKOABB`uzI>bXG~QIZsUeZ>a0@@C&d@$oQM{`aBi`oh%G`5Kt%XMcGK#@i>re15u9^S@Ns&sOjs!KVB#S$Ltd2pdQ24}9AFWniA~ zh@=0Y{|($&(ig*T0rUBG9=W6bF7RX!=lP(K@2s%Tf%$w4&reZ*Ke!$3DX;DC2lKpi zgVrCeaQ_W3|E-qAu)i4nc_!+{&>0e?*YD$M_<%muCPCs2R-#g z{n`p{0rUB?KJDLnFrV-5f-Tc?7nsin9MhZt^ZD#K%|l?GkC@T!-v?&@8`t{BzgQvln&%-*dM^d@yvnqW@0-CqPu8RD{a}uF#(oXhT=f61D>w({ z^Izrs0rUJ>7`6=m!_@Ko)k)1)FGK&O=f^DnUC{Y_)TGwG3HIv8rOsnup07&k_WKOj zT#V1}z z_=ujbUySiF0p|LR?U(jxFwfKNK$lLw7tHq|a6U?&1oQa|Q=Sil&BgGa2J?B+dL7;| zaMhdK^R;yU0+`Q7nfm)jFrU9R?W-F3$>%qDq=xq2L4Ro8&vD7Zi=0~MeBQrP=f_nQ z`QHfU^J6CeZwB*uw+Y0J{@qD?oEPUKv*bLO&%;z}eGF_arsq>&u6L^No&DQa!5xBU zz*E@YY}NWxV4iPFYyY1In~VOP1oL@D(;xf?n9pmS*6BSL^}iGIgF4umI=`@no&+0=&zzYUXoa=A!+tz&sCc#*=>s^E_jX&W{W5k}{r`z>!_$-+q<;^}(R z^V_C=JwW%Rh2`<#(_lVtU#s)`5wN*fpAOf-zt}?OsP_M-U~^G_sSY}xuQc`J6u1`W zdHH-h<6Cv5u_imtH5D8N^LhId&}hE~Y~~|1$gg)^Z@b@A;XV%L^LNJmFqqHV?O5RY z_qP@HQx&|gg1-mmdFFi!T=&m{d0t{#x3}lPJU`c^^*OM)n7;F_LjO{a{Uxnm4mMXE zzPuI8=iyksOz+`Zqmmux?a=u=vFU$r1@rm#G3{SJnDa%I->4sH@Bux4yLjQHPS>@z zf1}XNMgJeD;7?W9Peb2(4qm7ZTgLC}74}b6@N*UX0+`S9HE8#L0rUC7(M_yzR)RY`^&+6Urk=?elVX$HRXRJ*j$Y7`U;MNxxTJr`cWS;;0e(m-wWpR z@g{#h2IhLS4}LK{4}$speTRPxE;GKI0L%291oQd* z{5fv;e*(+#Z4vq(p64>-Urj}SbQPHAA0`nO#{Wj}Y4lH~eRovY_knr7Z=1F+g1z-b zt@Hj0`}-^S5irlk?APvRz=J~5?ZT}pY??-As&yDZ@0rUL< z)7t(N*j&utbJ0=qevL^_4Vdp!=zzxbUIpfP+FhCtzYYBdo(Jg#b3WmR&hyeowEt~j zo-duoJd*Bj1@nDYQ`&tF%=4{ge7hIS`%R%5*ZxCbb1{COqI;ZwDlKyLFM+u~S%OCY z9|v(lPff2#?N{$c+DyqNtNb@=x-FrV)}Kt_1Y zV7{*r(RHrGtZM_9=aG+SeKVNnr%ivA2lG5OmXON*IM`ea?|};bJa}IC{{-FReJEzV z@eDYR`qv7#jL-i9^L$PCT$ld<=6NDhpBJG&;(1q7A72mV^YS4bpL#H#-`}bIYp&?O zI>9yJh3feQhIbd3@6$8=IT-2MueBYj_ubmb8 zd%!%uZ`P|xuxY=X-;^rsKLR%U%d9V-2J`)FroKH2=KD2zb^5*u=KJYP`8);Y`z3cm zXL~wA_oFCJ-CsQq&Wrt?IqInI?MMs5`!m>Fb@)=V+;MoGa1XvS{S9EAe+ujH8^L^^ z$CT!qzQ%-0*h z`;oaP;5Y39V7{-!jNk95u-{n0{b0V&pi8HBxI+I#1%IJ}zX|61S2pYNd>qX8Z*11_ z`9X#K{(7wM^?vmw3omfSEBfo_VbAx~jA{RVS>gU=Fwg7G>HhL{*#G1C)nhvTmsjiu zHiG#+2U8zbgLz(ckB(n2nD0Z_ro(%0h5LyLeyD<-8-|L78`7!%8wO*s8={5G_32c0 zXyp3A>=55FoE*3zd_!ZS{_kvZtWfed=A8PtQy=~B@GJ$-V$pOuvNaLi5*f(;cleow zpQS{x6e$c0#F~7~BaJZ!@h+Bz1_tmoo+u;+Q^iuE5GiFMv2-q*C_0fyJQo>E=lY}R zNW7FQ6eH205hs?*7C364_E=)EOv5Gl@uiD3ck5 z6G0JJmMl}qL)lm)(%9k;`Dt9H6_Qr8NNQ$G%9hQ|lEO_ES$?)i%YZ-Nm<);_M~V^r zJM+<@bjeBOB15HAx`@0g2NU-BMTEjWMAn!^2J`ru$;A_qVyVEa)KP=g3eT#TPgx%N zR|JHqzu6}#EMgk=w}gcj@L3cHc|pXIseGg~nn!u&Q;Zb?%#7ZQFWre!*Vc>^&qO!m zwyr9zYg^6l>rfhP{V*;ids3N1p(hpFLRi4+BMTcd@og#q~97|UggrFncFpqWafOK7oKtSw7&xPGbE335RpPgGx$2)#>XOS%M*t!q)eRk~cS zGNbLewp=EIl!$CXg|<9%qu(5G@>?^T64CUgXm&6`Xd8{CRom4S&L3=U33*k;A7UMk zrMI*V3|hbXL|yX-!hX?6{K2qav><;V6cSC(A7~1S+UNI&TSO%$T55|=#>U@b1q75n z`&$B{f%*L{LECM}3aF(?#@XMp!Zwy&0=%*^CE)Xk#^n$A{5GONm0K{>po~?(7ZP0$ z)FxYPw%i6}m*EehIHb>kfaO&nAaetvRSJO$w@r3HVVN6&fb2c|@Yo6{=(CK2R-puf zR-6N2E8Jj3)`ii(iM$Hi`5bPx0t&CNQ4J^MitD%XIp}ZlIc?py_q26127*2re@L>9 zA-=Y{!d5Xn>vWf*mO*UG^6%5(=8bWX6l{`GQ z;v5QFkqp_{9kR1KWG66W=YEsbR|T7_9wpec!isZ~oF@2#O)av<1y|Uav%+d6!4-Bi znthhf%>m1+X1jcwtv)i?Z0BV23M)67t-dPQY-e4w)&7Fb7&1jZhpnpO5BY4>&RDx| z4u<9I#~*C5TUCpkRbU*k^9qwQ84bJV4z^fbX0XN1oEE#9wFIr^)Y4=np{2=I?ZzFp zy3AmUT?t$4ylSy?qs8h4gDqBWgnU+~2$azX`K%!kXscGNP$sgKg?vF-bAYm50d23W zem&%~s&~jI=ZGl$X4@+(`60hmkwShuR(`8|L)P3Ifcot9zOreD{8sG^Ve%jyX_!hXO%a<$zWK16H3I z3RtxlXq6YHLss&eWD-IF)LzcAZ%Y;23FM~4pi>+zVzxTyPQTUvR}XQz9?2&P_+N6= zox5_%h9BctNk#fygG?e58AwM5Is8O&#TXV=iMti#)9JWN$*mF03nQ86NSSGkX|T;k zNAxzT=A(6(fOWOp>+^#D)s|zf%~>(vyTU98EX+{UOW=v7^AnR4STI6%+2V39E_j zD3{M}up4rv)WE2dRUcRv6y3#z`c_n{0rfSLgEzT?`ko!iurM|xN~_}Wf>RXVRcgC8 zxyu|b-O8(yO+(o%msMt!VwMu(cP>--v%9zwg8KtjEO}*;8!D+!2DUz0+=4}fWGw%SUQmdXNnyYr^uC9}PBBq^w}#D)-7?-GRi1N~1VvtvVBI1f(McwdT~n zsE!BU*|n(ex?#5EhO%hFXtHav@vc&VHDEpVMH(@?M@i{41;glZ&G!~WUVjf^kI5Op z)_{r#ww~N&u``$`Z5YZpiGrJQtf$*D@oxC;ep?qGaqbz2q;rGV?TAH^i4kWIUFJZf zcn`jm&^RKoe#ia9Mq7Urea>JcpNjKm_2K>M_6iitH>05~V1EKzX9Y*^k`?obn3En( zM`Fon0i!_IrZqi1cSP2{dqZ2#x{VvKrPa*I4WbZ>#*ztDH$5s1i|-jcqo!<-RP(in zuUs8vv6ZHBMSaQU3rIE6mbwQ!0EmgVm&j zAt;`W{)W95IL#^p_j}n^eTkJ&Yv5}M;}1WJg_tvtgGaGk8oPz+w@lI1)K3m_jD{Gs zoQPXKk*x((&PXbgcaQ)bCrm7d;;G?cDu`XnM*THX+=87f{OpTxBhx7+Qv)T3KWIDm zTcue<{Zny~G*t8i@K66RBz}nxXX24`c7$p^myfZ^7E=Kt1BbhKDg;WT2d+<{F*WA8 z9rX1h?zc!}?WR@h*F@HAXpclt=(H&BXU3gK`yCrrtzXw>D@AT~Igy(?H?CgQ8QHjY zZTFg&>0(R<}h0jhuC(?ZzUp;*i>Abqdj~x1)Wb zD_)mX8^^hrG)K|lKlw|fA`f(1iJ*S5FeCXwVjwl*U>B>aki*Vdu?VUC>h z5ppphQ?x!6E98nuaeuLhUI?`bm2V)IPh>0O!$}7>V^w6frsAa}`jdh5P%$Yj?MfF% z3oGQT93Oa%6IGI!pkpN6`LAkRW=NuPb|vFGaSs0+t;r`$D7S!{$MAkvIWr|vEevmS`Vg@BJP+x zBDh}660xK0R@4pXbZ^64E-qV(?$CzI;T6$G$e6L}+BKJ9V}7M;zYeo)^(xHfD4`X1 ztGSfg7UnOvs~(c`oro_I^heszC@{Dr;%Iwpqe@qkS+>S}s@>Y`IL`u`!|s#h5!h>*QkYdUGO+s)LEM zz8}TgE%?*-7f_h%Fjf@?FmY4&B24bH{L3nKERanl!4sEBnk2Syii5 zdE9b9OINw-b_{H#x+(EjHyK`IQw>KqvP3qHCZ^naB@zront~C8s{~f*NV%l!s*8ab z(}Gl98QzvC@U}_0uy8*l{+hC?=;H=*g-o>MASd5l#9GE(E1-Kq^FrsQ>j7?5cvS&I zM=EO-oi0{=*I|v@+T4byyH56;81S&XL+=*JMzcB9msjSr?&Q`-M?~&f#vxYFtB6%xEnQ}twuCmyN$8gUl zmdVQqmwSG8A!@e7S~Qert}*eq=L&Jvc2wb_Vw|mhqKF1kgOTEBwv^;V3bkSc718Sc zb@fKq!rm{ROIyQ9G!{$P!v)H+D_SU;p)fXpXywpe5^))Ql}Bno#SAGLE1}{@*==U> z7dl{e52#*MD&?F&59-~cL`992tf-odXhXZhqShVHrAJ;v?(SNL@dOcP>y#!ei`RC2 zXe#EO7vjKz%?-q(_DF+3tqef1ZN$LlwH6gCE38C8b%S1$V**ieuolT-?}Ht^?zIrp zi0-!2YN?4rP^*h_f5Dze<(#`tMU2^>ps|?g1*eWaFDUpplvlawZhW8*EBobYxWTDz z0JG?do``^om@H?^&BUHUY7ol6C))oSCVn+p~F3*w5km!8qO(-`gVHjC5+y7`W$LhM!mzR~%j6ZgU&!Y}q`?51 zk;RoYLq{H!*^WF)#oglR!tP6X7-`3S{TO1nZX;K?sJ%mG3(FlLyQ26(*UwT9H=A@5 zF~z{(Rn6+}M+f27NUwLqI)!zy6HlD5%TB*`(%Q`#t-f!LMZeXZGbtQudPcC0$g4g- zY1IxIAfe@{0Gcj;Lcwu9Q|<%Zwu!DB)oTbh@oDq!JnFIOkENyPEorA7ix_ZM-ZI}+ z7mjVhiY^@GuGXoDEOJvipl6sOqji<5X?b<0CN4B^CFDs7+o@ixxD&~KLbb&8?k-`u zmr#=>%9Wv^#MdV>tJp+Q1(Eh_jukLkIj*RHk-;Wjy8jdl#Bwi(jQ}fFg+vDPEO)wt z^39%QOjf=pO1HC*!WN*r8N)t}&0-`PacBQB?pRr4#nsXhDWdjgMQv|(`cqk}xu6#6 zPLNx4o+r5XP>wT7A7z^3;u2pyn~x83B!mXzH!F}Y$*%vqmUwK@Ya zuIXgLj7QvHk-wV?X}SfB6Dp_J|EMo+-=xy#JN{*cFgjYD3T6Ro z3WaWNAqHaITiJW#DI8QRy>-tNU|P5#u~nB&33J@^yYub2t!^x6(3WJJ%3*I+>jXwS z0uPgstx-Lw)7EYDoX)E$AZ{06NPA7!fNU;zujPf6>o51(v49p^ROKRgZ_e&y$}z%n zFPg=~SImV_{}DUx3JhSBv4%ubNz`@<7X?g;J24Mnr*#FEG=)esYfauvX}hab61!b2 z`^yh0@i!!kzo`x6%H|wGF{vF*S@tHhbS{_YcCDr9wa=|Xd{%8xJ+WnmN(N?DAZUHm z-Qc}NwjLdH6%{KNbd=^k3mpNw`1DwjE4QLET-5ulGAgvAM;MyW;JnVJ;Eq6Aa`*nM zRWwV1yC3v`^9fTzn5l9EMlT)Vkgs;Cy`~LA^@~|4x)p^RNOZ%dxpMK?e`BQvawNhKkC2eOi@#@fu?s!(dMzbL{YKF z_dMp_rn^<9Ht@>T7L^CHQ)CVAb-0~qSwjT4lFMPA0ry4|!;b2i-A+zsF}xOgyO`Qw zZ||%-dkhEl6V+DVtJ71PVlshUP((m=A!?#o&V@wQ-2invd}|TzRSDb+9aX(cVlacd zS?C63{J1O5D!`({sJ#wCi{70>!Gun^os7w~>iq$tE4k~4OQt7VX5;1Fqg48q3nJojjyJ>lb2zi6W?dY4! zYmyB)>~iaQJGWvHV=EVQ?LhD4rA7Z{*BI55+2Ap1bgNxb$6aNi!m2MrcwkgU3RS;| zRG~RxKV1r?7!qH(x^^0iqnT2)AD`H_R-Y&`_nVs1=AFiD4$rx+TD|Ui-l1^`54AV; z52e!a>#>p9NJ>VFNvAPBnuUMvC&oafU@t~(Qc1wAp~gZYO?&rC9uG%1s#$0w_G|H- zPkuwnaXsiXuG+M|5#u=S$2BIB=qPySN}0QNNL+LColcbR1>E*Q2+<5S2pb1;@YVGV zd)*Emip{Y3xPCKRQvJB&T*PUB|Gx{zJ{%l1aBy71=~;ktI{@(t5v9>597}a@L`~V{ zVV8$p9oSqr9-=Nk{%`CiVK)go9FZtr#;zT|{Q1>aa}0MM?0CNHFwQ{W*n+xf$G;8u zy8wT*8$V|O{tzw#!0{;+H`?%Q*KPQNb7eQR80TdcJI+5*)EB&0*L(2)S9Q2O7bCrR zQQ!&uX2NAS{M&-R|I~KjOYnXY9CqQE8ivbojMw+z`}z2zn?tZW1UnvSGyc)88h?L_ zKiaLv-#qN*VaL~Y(GEvEm4CF`jz7kg;qY8Z2Sg;Le3@`RgkOvu->1|AJO0}t=As?{ z9>AZmW~3@qFMc?CS8{TqfLE{9wvlz31u~q#O?U8!y^1T`%I#_@~}? zbp&TZ`GdY_$G_j>&)D(31^a)z&^gEotS=KT&)?Bs#*gRO#(%ZYndd*wpf6K4uYl>F zPybH4tMzw&P~+c1{11NR`5Uj#!R`k4tNK4}cqv==tNQ;@*wyvoOeW|8M2_)ebQu%I f&0ME$T;zOHoFy{;@jaZQ+%wo)8t}$>sx5)$m?JyYigNyF8Llvh@(yF_&ti zEqQ!)nv^;CB@d6!dU}eLicTA#q+O})mf&VuxtSXB*gz#ei$k2WIBm-_iFA3gc~i}X zI$rcWVHGiX?U!lQgUE;1qb1$fS~(*_Yr|IXnl_&38XrEYbk-)6^eC;YM<|B4;XA{1UZ|7P1Sa~z3vc6=`3T|D#c z_XT$NRlbXVl_(qM5{O$L@n|SfbQ8QjSXLQ4$ z?*CXgDACY#){w|U1K(KJSw8bb{h)o<1it&{+D-A>e(^2upGUqGs`}m#@5PIMdDiL= z-hTOt-G6#`zzctUeE68Y8+U!2I9}E}VAZs?%HgBG^!YzOa`e`fe|azX&X&uz=HIff zpn2K{&;DrbO`Dcp^Vd^5?z*Kmxb+8tjxT(D-O{HA?|R92;^!~j^~Eoa2tIemuhhuD zZtmQ=xp4Rm$In{&*pfk;e%x6;`-NI9`O>8-tstFDEJ$n86rB@xD6nyC61HRw?rnCHOQ)V`tKVrzu;m{|8 zc7N`n@)hs>q5Rt~pZM`Rv#uKSE z5hY~*N%^uIc$en9r*rBz=DaV=c^{bbepya?l{xvda@sp9r~F+x<(&i-{FmmG|5;A? zYjg5zbKbAcDgTok`dyV%{*@ejznYVOG)r7U!7rQx|GPQ)@8;xB$!R~G^X|)O?-A;E z%=TG&x=59($8+GxT$ue6d@jzZe`QYo;++2dHs}4mobpfR!3a zXV=eFvu53O&!UC1mNe8ZZkRPIQ}m1lffsL>zj$tKod69B{QA4%3l?RXu(M{}b6>;U z2WKrmy;mgG&iPc?yBE}-Zo{hhDRNpV57g4y;<)VV;_|C_Z zKqnReHP&is28)zL=nk|lGIxOue;>eW1pvqH3bDKl%a6mM8m zukN|0Hm>H)T{O>vCY_iw556r}5}!M_PR#@M5=A9yN{xCjpj8T}yXqIsmL&dH4Rc5l zVNkOdEUZ)aFIi|4AifAf&x_wDl;YndRwF_vI0Q|pCB$5WRNT|w#+=$EbA?<>=FFc9 zM=2ta`|9T|rcZMk9*WPMHCxy({hqtjyB9*3c?;;pB6VLzxaU2vcmYy4Pez?U6zVLRS6BOx-9AaP=*%KYJv8f{+6U?D zX_iC$d3H}5=1|fiK^Dn|Mfb(ya~E5vf|d}GelG+`i^7XC6b3CB9sNSSWNtmIxO?7$ zd5Z*ndbUXVEz)Oekb7!jy-**VMY+s*uyz&$Ne0LDQ@%K1;;gZkR`timUU@oN-5)(| zxw~2Qdsy|$zs~>i`ro|$F<*Z|3^lO#Y`!Wnq3g z)d}S^_E~t6n<+2;4f!wQF9{KrELeaGz^hi;@qCRytYzj)qg1$sTpHig~;xH(eFvL1c!gG|WkPrt#rGz*fMoEZ+AuM4SADDzV zC#of6VLwj7;Yvj$^y7DukcIzb30e3}kq{?DR6?8?H4=V7;n^X?AuvO}0sRhf>=m9HrC_2`4Gl zDQ|i#1T|2;Yj!|Au=41a5nsxkdZN2LYz@kB)k*; zOPCM;CA<{=OIQg1CHx%xm#`lGOBjX!64t?g3CF{K32_WHNO%?emk>j1sf5?Te+hAL zB_&)8|0TQ${!2Iz{!3T{|0SFO|0S$~{}O%?{!3T^|0TQv{!2I-{!4f^{Fji$)iw!h z;lG5%&^dC+?>b%TxIgjoQLlc9>D9Z;oc9PvGl_zp*WnS2^ON9PtWA zyxb8lal`|TxYrT?_|FddJL2y<;_o@)haK?)j`%)De2*i(!x7)+h`->7Z+65tI^t^_ z@is?1>4-0N#2Xy(dPls@5ufRZ*Er%+9Pvqx_&7(r$`K#sh*vn`<;3IY%O0;f?h0z@ zGNq*}e3|%Q;=_r%Lt0w$e7vVAr|kF@r z*Fd=oNSin4y41tG&l`4KYTls6)B{Q#pGlsIXz5|(Hv~U8lBYD|e)P9Ds2Q$EGNnSA zLEFZbPfi^lrfSk3ojO%kPMu+tsUUn8cs~}rz%P!@U-PEN@5$c5xNIU6Z;^k5uTwP)M7T#m>esm3O909KrlvPp9 zD0%y)RB1esni1}>Xzh+^;!-~*^c9*iZ5CWs0ln4W^j}Y%DoBPk!xPfd-|Jm(Oj7Mf z6|e)L_S9kZsu76nH(&1EZ@B&H_>Ayc(?z+#Rl6--39K367V|hfDtWs-bpl*Y+zbwp zXlnD~ZnGRbRCvAFMSC7_cO^6f)8$axF6b6ZrotUd~(JUU$X1uV*ZFHg#>H>Dpz zl{7J74sESCK)Y+qZ6ybcyepd1O_jPKa`_CQ`>N)&JJ^^Oer&DSW4PlQv`SKTkKsvZ z=^uCqg(uM#cydeH9cxWld^s<}my__Nr}tkB!OI&5r$jsQC_LF?ltlNN-`~04*px3k zS!3};>JPpgzS!`jE#ZscBeGJ$d%5uF@t2R5j6t?nF$Scs9qq`tmR>~Py+x$Cy3O~a z-Dc-=>bUSoY3t2!Pm9GTUvHLAJB|ivXP}z-clh*ui%%YPM-H!k+0U!aQ>Wh8DC33p z1&<}PFL)#vBMHXJ653yZOfL;}oAW8>R~eoNZ<_3~HI%KP?0m{TNm-xB7<|E+Pw(b^ z5_LyWS9mG)>Z#|WjdJRVPPv16|0d%`D^z>2CnGmbQ6+DnJ9sw#)T2sys)$eF`H*=0J&z#Qcx0E%b2W5V^)`)q#YIRGzACD`$H-MJr%MI&BKff>piF$B9C6R)+~v% zn2&ifdQZ~)q~XUuE@N&7vbM#BC-Md#-`GfBgkIUc{De9}uQvK3dietS;%raoR_`eP zJ<3bpXHs5t@Mm($S5r2Nzl!L)e@2_*fKlmy@f{mRDKJF$6##>>={+_L4?AdA3B9)Q z-o_(z6P+ZoJWNeZpB3CMddYYtydU{d1)`^1jFZd8i0l`q85dWhpH z--CY5;Jr%l{x9@JP;^A&%SS8VeT9Sf{|0^B^tS}wm%#hSzNL=8Ve|c@gYVwlZ%B?`7T) zd9daU`0qM*XF4yA{D>TMAO|Cm11&RuY?b-LId8<5onhX1H#2W^Ah%k(nJWi_oHU^R z8Rw0V;5#jSG5Cti3Z8q2BSf$oXh_#m5~!bj#Iu`kXrk0o5~M{{v`AA9Z}$>jUY zVU|oTd--S-nT$GQax(8Clar9iNyy}pZ>r-y0d*%iGncGzLcNt=6Z`3>5< z8^Git{Hx&!kyb~zkM_Lza!lPPxFJC;rDc#FEh49=SSl0rb|WBadqm*_sDmJn^T&Sc`BM-68_)_ zHe<62X`NoiHes`@6U0{a0EfKvWaf^+F5*?#Hu-lM#WKf@(2ObAGrNZ|_WHP5S^eAkYyOi4C2{R6RG}=COWWHhz5X7E{ zVL#B;q*%LIO}PgWr;dyYZ8EoyVcrNOWu7v?&Dg@aV|k%w+{nDGP1B6Vue2CX@6wF5 z1O58i^PBZ`ZIyZu+uRdgu4}Jo#_G05^^Khu>e@WYzWA8o2iF1g>+O;IjjMqnG`lbQ z!IAr8r;a?LojRft^sPfPu7^%NBM%rqC_X@cHkm7))r@#(S2`ZtnRW%6v4NV6tJMMX zVfwHn&CJnl=)@Ld>zqBt zcAg(RyT>SvCt2qtO$7~lMq+0}n{CAzefiRKTQ{MThN)YbQ_*#zo6ZMM);<{sOd+pwff(M?&J%#?W(+u99(+~DGcAHt(7PlRVx zD#NdJjKN94P39K#S81@_oI+ef&jg82CN4H!fOv$s*dBi3qUTOD?KjGMSO>)0&084T zar9XneYRzD2G3EH)qouXu2WCe@GkWul#ft;BjrWrCsJ1AO=Q$n0dCR;ycN4<3TZQK zT~UhPKk-tVtHv>wmNS+jykCOO%#Nvz%ynW{i40`tJGLJB*GW!2nHQ%_6usQTT%u{| zGwP5mzdkjWoaR^VTylFZzjD??!Y`3uv6DZ>zmUaIfeSA2emwiJs7F}?^^dtT&`8#_SsOKoEibtAMr7@>##)=R1$JoO1ERavur`aP*}{5B)=;d2 zce4#~Na~8uqyu_&IOuu~TO_-EI4_^9lDdr9Y_{duPc6cW~4)2Lg?_D`x9n0vCXv$Cd$;`ED#;h@y-rJ20 zH9gI?X~7-LTcY0rDw+C-x+E)^Ff|b(WDvWY2fMN*}@9l%dr8SVtbaO#naPlJ0J4U2D^=BJ-$+ji=S_S9T)%KJmOOS&nGj!)HP$0 zjB#u~`XcLJfhjiK&!J;4a@z}SvpV=X`rw5}JavOQ~P;NQp zmQ(H(%E>qv{lHfDA(hb62JbVe;~by!spp)(XYwv%Gdq930Gtn~H<@%9$635&-D8bm z+LLkYOW6=^`ITpG~xnAhpfGh}{@$`KZJdkqu zgP#|<68WgWR=t|MD0yxbH6nJNteYp3cNuvNb{zE$*m=OW@`MN5$m58>A>GdVCp#~Xyo2O%9OIDJmBHoPc3wVtACrgQ>QJSf_ne*Q zCoeQSX|jEPXo{UD?F}GZXf_F2;DRWVfl2mX)zXeG6F8;2N`z z`ccxww#~wEIVqQ74Q#=SP&t*1^sA9TR`XW+$))|e&KRXOmyHoOyq zS;M=#&EEj;8DPCV_VjrBF7+G0=fDWYIO$G&_K+s{^iaQt`fu6z#DLM}fblFax`5F^ z`K3895;lx_VAKQSWgEt=z?kfSu^t#ZfH8&g)j2T6*)S@BQ3;F}Y#393aj{Jobe=`; zFOhb>orXTN(xRjdx6?RsVWmwYZ7=%(S-q2>{ZX{Pk3Em=q&w-bgEXPT7WN0WxbQdI z?FWI8bihEC-q3-uobnAhFdnpF)B&Ro7?0R6MgSw?fFb(U3qL1OKAZ!i%7zgFMhF;R zwPBP3<70;o#m;@B5*Ui|@00HA%L!XY9!5tVMn~Rm!zcvCb_a}`fiVRbJ1D;;2gVCF zj4ohw0b{Za!wZZC2Mko{A=!%vkiL{UG4isq9;2Sn)YA39xe^#s)QrsEA3~TgA>Um>ka3yM}nZ z9Y;?WblCCF6W?aX(a{A5?KsDe3O=^u=*xnT-9E>R3MSd{YU1AYx*?g}=hd{dLUtZhfB7Ul>=PvWysDA(PUJ~5LhO6HWe;_fJGJ6-8U2iX z^ODde1utANE&VTqZo&hE9ztaMn3wQvLLcEf`e`Bz^rc{gnLOy#I{Jc;ae@!X5tiCpeL zE|(*Ra+hR2;UglC6R|t6h3i+@egx!Ag`cq2bsXV4H0v8JmMs2=el(1cy|?LUWaQBD z@z`y#q@GvXqF030kv&mQ;j*=#}+_ zysYk!H9)p6)ppxrBc4mStnTQ655gni&v)q10eDpLwnyzIevmx5r}H7#zy#2-5tTl$(q5_!x)TfMn&jJi$CzYVAkU;DY3a3v#Ck+ z^TDFEW&z{brKTB`;U}?S<=nu;l-PzY6)pZO{!b2CV#}+7^581uVmx_MtvuFED>61e zd#lLf!jH3d|622IJ1%>y^NHt!R|)=6&zKgyl0CWyhqqX^zUPnK>k}?@tRmu4^LZrP zHr}O5QJSuxtXZD4VBZD|S;Hvc)ex6F+0&hB$EBSw5tlwlJ4fJML3nLnfc38U-8}TU zl=0%_JcY=rr&lW~=+#^ghJXnykEXf&9cxWF7tsKm$*lWC);S(o;Oe<94L=%RTvHBOkEhT5<7>@@LMQyo?#Kb?HZ|>zYc5YU@(5nvsP?4% zG5r0st0pB48DpME(%AAJr;d+x(B^D#m-V5fN#6$ZE@iXtg^qmbYk}ik%I7)WCEx9M zm;6uc_9QO!`*+^YjiQ&z!87PeNjuWshYq|1pA(LH^8P;WuIMePWJEJq6P5|AY(Gr$ zvV9O74Bmb8JDc`v-o+QaT&?|`C7Z~cC667ND=Tv@ZQ|epEg3}42Fv*rR}|TbY3Xkw zS2wZ_miFERj>M%6!TELGTcVkK>7S(SBFz=M)siE@)2r?MTK1$i_`({VJo;c$fS& zyk}uadmX$Fh-m5aN507dbOB>i&JShR4cOjq__4G7q-AZ}Mr1+ewv)^`Cz)dsPpRW# zUmp&it|#-G%xi!B^ypcIrJp+>ANtahV%z{J|e!2@B*9xnZ1)}O6+i6Eq|HldLO>5s7+__ad5=` zP}V-3bxkrQb4UPPfB%Q4j*nt3^d_O4RjVL=J8>`Za^klV_Y*H6KApJOrvc(oNuLVe zp5|G_)5vo#Pc1y^K@Wx2m;;dk(FZ=-a`ilx!dFr-fqDVpiELa$JV;z*X&mtq;-aUo zB3?>dRTKJoPT(`^iQ*@q-JS?| z1lO{ExB95q2#w)J-5Xzrz0s)q_0^`gccbYkYSdMjxo7xOeeTc{-HXkG4YR?creZfW z!(WL@rP!ClzbpPSLO=D=&U(z3E4-oX)!Nb9p zA>yys!71TNSZkqY+zH-WOo7`q+owLB?o-{|2N6GbHL^HG`k>lVqF;tVdp~P0FZ$R6 zp7^&4*q>y5)SmkA-;jrJdn!b~LzFElOs1}+yqEdekH1UK*j6*|2kmyYak+oX9G~rD z)QqLjOk}?#yvAHx+@y~fiOgZYH4UDvyM{OEO=BkN%_HXM?vb;JH|w6u*uO*Wq0g~^ z%n!7az)5H&wDTfYnZ2NCX&GPQ`@M`k3t6K{DC?77+%!8_DYTVyTM2DU zWkMS%^;tX?xFX|I)!aD_fJeYBC!*r=C&s#^^YXCbl4%Syxec@xWZf1+7< zn;t!8uPMIdz4Uhn{T4dQ8mHyAH>I}Yt1l(wgkCn4bJtLg3mm)EVX4i>$zt3 zZ0TbeZKzl@RYRVa@#8LMp9#9(N4)}cy7*rOSHX#MaMoVS80z$lS#QptDC22*8b4;) zDCjGC1ehDDh`RJDp$`q(vgW4%Z9PMt*KQ*a-sHRNnxEvI zs@m=88MDlHw%wNa72Uq#H0kMW!+Pat`ge55*ytGUEFS97hz9RXFKJ# z@l>m%IWCwqBluR&gzG)vb*A*0e1kOj+zo$1H@H+BXNx@LJkn;Cz8BG! z$brz}r_`6e3tc}cvHJ~us_C-_T1ek}cd~Y)|2tgW<_@7>QN|bd)+P9kdy}le+54be zlrlA8&JqJt<{+2S($@gfGaR@so1T;#N4W^)o~NAn<5aZM%wyl9o_Ia5;h7AdPZKHJGB?Eg0-f_0v0eG8v<0xe&k-tXD@a*AvfhWcz^G6+Wr$saRP_dTrMpiS2 zsukWab4GZg&RE8TKed5dujuB8Zq0w_)i^l&9j<(%UQJ>xIw z-T9Omz!wwfP3m5Kr5@PXrthgGZC5?G-& zH0yh8|2xxihFvjsBy@2fAfR`G>(Dgu!Q5fs^BF_aeo3?6rH<&W@{aZF3EnQ{RztT9 zh4>&YG&G~@pI7Ra9?^`#=N9S(uGIIdm0}$^X;AK z-xA8)kQad-Hh!u%fgCrYtDDRdoJSOWzV}};bEi+aB4W!;VlF#z8~!oq%dJQA=w03B z(I0l3YxRA4@2YiX?<~!jGHtFtBmSi6n=n@&u#>(gI9JutrhCWF)qT2VgoASar&TXB z*7qf&5#6n^u8%w^JT?ccdQ{(YFMi2j7c{9!cf8(h4p_ZFFQ<+QZZI3J&zvc8sYL3* zbGnB-x~5I9?tOB|Ozlb26>JNxiS5&?kZ%>+V771$EsIZe@JZjPw^~zy7~^r*T)p|+ zMt5nj(H-CnMquY$J-n=Y2#>EM)^46E*_rm$Zs>DISL$CcX-v2EMsz>6gq$UECsy{k z!z*?1d$jFph3~heqcPEY&1SX6o_24G8Hlx*)A9f0k9brspzk5@89*Psk#_7vb<7*V z|JuRa9^GWF3GdQ(T)~(GPUpG1^DY0uV_jygQi}cGmGFZav}IjU);I)N5DUp!GAmc zpw|R{c+q)2`0x2N{(H`ZKjZe(_+Mw?@0)sN{5=;nrDnco;~$%(doBE1Z2a+6+4#5q z9sK?9K-S6ZXOxAYSx{S%;*6xxCHh6*V0z<{e`oqSOUK-nUd0_Bk@LfsVQcHqxHpmN zyl}VvRA{IE)Tmc=e{7Q}@-4D0d~&19gjep!N_`*WK;+mnVUB(+m)q$ zjbGR2a@XpG(RG%57wTPo%Eh>;?do$I@ao>_+CK@4J$A z-;;Xd;8tCD=S5F@;g?_d2G2_1;VkrIIkJ$)+U-Z^T`yyx6ua`g;hM2(FgE?!);R&w zbwRD}cHP4Irg3mE+%BI_DEpATO*i+wwlc3N^h6-hZdPdM1N4B{_j2Cyyz!G*3#{&2 znQGF5q?PDx)VbAol218GTe8+Dq5T(Db8iV*&&o7@WMqu7gG|St=^kRq^u4PXhnq~1 z3m3XVWO!BYPJF3PO8*CozCaEJH(%J~9zPge5o#J-8ftPk?^>pd9J^Ar79A>{>Gt_U zZDyfSYw0?Wo6PEjq^&k*4i_ETsD~-z>W!rQanf0buYP}*KGR@r&K{R5Ha@lQX3dbf zsyOXz7!&Zs`c* zED%T5^k!3q@VSJV*?$6m>>{O_Ob@nDI4pa1q>)!eywr|Qdb{27IR~TAqW4L&9GGsS zrLO^-#r;}asxg)96CGWSopEZKe(0_rQGmT^zS#H(v0(-){JO`IYM!V4c>m}!>{%M_f^s9Cy1XokNa%k zaUMSIYvRSJ5alXDtdVyfCN?PcDcVy5!_B(uLLau) zbkpBE9sdRGg{PZA;0zwU$an@@LH79Cz7O55@}-JrEi%5z8FJBg;)fEyW{mv~mx`p= z{W07l6GoxgWXRkR*t61TDsD5}pJ_~A3@&14C7`M60?m_*ir&Z8Bh6i@d0drRu?t(Y zuIEX!=-UPOFUnG~Z{|WSl+x0n;9aT8&||*x&|^bXFE(9>aVGiNq*O^qyNTV04OxQ^ zLF;sJ9zP&)ow%+w7Y0`wWELzBcAAOk@YL|3&^J9)e+NC}X53%{H@kxF)iQ=SKL?(7 z7_Lwv^#OJGVg|ZIbBXUmX!#fXaYD<4(%w-;U9a~9yWR;Z8EaAeSDSoAlAqdDzH1}z$g zR+y?|h50b;pT~LVL6rR_=i}YdzbO5S`kMHnEb_a_e2@OT&zfHRB!2iS`Vm<+dN`Zz zSHKC&BliAvDGxMsvl_|Aufsm+2C*UC{vtV_MxSrR2XOshSFknc1?Fs_ZFGZK7^IGW zNIH))Ufx}LW6BlXN#E}@+>Gbl9qfa$2`12`u4;b`o=j0`nEc!Z?t3gtq@<_r~6i8_l+|2 z&9vlY2J|}Jx3N~=B>&TWlf5&q-Xwink;R?9t+4tQmcA8d`qt58hB)stN^}^0&Nu(S z-iXZ~?l~2>I3F*x@scL~r)Q8e$rpTHlzeM_GtKb!u8{l{SvodD#}}-!t1Vu0UciDS zxOkzHz(N-rui9k<-go-pQKq-ag7-7>{m7B!kE6W!<1Lwqwxu-eSzoW_s-#|^L&nAJ zX>Z4-Az%2NRwUympuhGm`R{~IowCMJTZi^ez-WD4(tf13zC*b4T;P{bcX_DOjBsBA zezP`XeRrki>DL+6=!|NuSoDnejw{2D4Ji*l=6hS6rrXzqZua;UnU(f0;Ot64@L*r= zn3eIWF>Ze^eIb8<&_F$D7L3x;3FZKAuiOpoU_O|j7to%y9_L;3ndmZEGYY*P0oP^3 zh1d5%`>zleKlF#3nH~8A->b34ybihKOu%LS;c+^bBTND7gNu*4gLFSjMJe|D|AS_?I%?#DBUW7+#A{f4b#c92MTcTx$->|uZG|xx=--hc=<9@a61S+rr7hi_&PYV&Rt^GOX#-;|G>Tjy!Yep6n&GA zPLXw_O0a%IA5WtEKyZ_@vp=S+&=C6ue`I^=THcvAnNKplYuO`TF7V)uA3u-mGj~B( zp|ALGj^e{PtaGm!KaS8@=v!aQ*^bNQ%*pgLpDikj-OD*I=pBRpu@}3|qLr;FK3{|k zp~u5I5B7rM&dnIkOrqOeL$vhcw222X4POl8vS9O&b*Ae)jr+FfRrKlR%UjLoDdPn{ zDgP7V**4Fm&23{SPy6VgG8J5J%J~)1?_TV?@@RXilsViwGahMA!31P-Ek2)Qzt6|^ z_Zpx4-r@6+^Uu&Y+m7hBEDuJJC;b(DT}68$2h4G03i&9Z4Z%}*QzW#sc*EWYyeXh> zf{!oAoJ|>%_N47eHvMJqs8Z@!^l!EJC-USEX~sb6+(=%p@C06DdE)&A`!8t!;W4Em z69)D3W(T|x9#5i<%*n__K^5z)QRtE?`X&DFN|9am5qG?uu@PP8U|Uk>do~@|k13Ed zrOrBkqMs)?pQ$39=JzIbaQ_^102oyI;GZO0bl<7MyZO7auPg4k|T@%>I| z;#}o3Jge~;hT2or!So)_&x-C5B5A172U!<#9HXjcSNB7b>xX0TyOQE zCXEa|@65+{T$W^<2(4$(_oc+w6rHB^>)^Z+`beFAy=23VWMHS4QwCp=bzWb_UVuJg zvy0C^9qdI<#4>zSkyg`h^PMsE(wSh60A`*ok0sDe_D1fs>u{FuQ@GCu_r2&I!TmyT z7eBU~InByR7xiS_?CdXRcrAK(pog5x79U+1b!805zPHrPgIME2-vl>W_iklgP#xHjG1fUzu|e6FB2RRQ z_;N=j+EWS910j5);JSDy=QiW}%}cnO8IE$+5MKWN{Zq%Y_b!iytvfC$sV8%T=(|6X ze?ZbL9n{&cgXF%LKgd}$__v2L*f56d+lCl7vVWORpDQdKlr$3&&V=-E&R3KD>zen_ zU(8cYsY>);WmtPw&T)Cd_`B(YCxU(seZ-zoMqkwd$H)B7omP8)kokyq%A@!dS#NoQ zO_qIH{000j!0`jy8$%}J=xepf9KCa=b?&za|6~ZB3BY?|vVfGPpXvN%=lD(Q|po{n{ zWZzeGcLn3#N54dlt@|+G(?=O#q_gz}=1^cBL-yA=U_G7#%ejACM;?7oH8|-1oRqie zFKtc%))eyPzTF$-(MErp*?s6~HXJ#Zn&snK2OP0go%2RmXa&s_mXOeJA9S;1G=cBx zUfP0wq6JgW#&L2^I5= zq+utP4Vc$z+)>w>_SYu*kdbr*{FXxFIP-PuW<}~t zq?KDVUSTdJ6d$*YnLtNI4ugEFLG(z7b~1bW-R9lYk+Z|13qmZ8#U{$iV8o_p1@sj8 zlYNj}n@99v*5(m@iOnNxLOIhcv=*CZ^AOHe_u6*PtIcLT-sqR+7c8CVkI0 zu=jR0=@HLedL#d}vF}%CH0o}~OCWTuo`@#&kyVm zi?B0Fnz{uU!Ecy<@4CJLtJd{3kG)Y>_^iw0?WT`<)zP(Pa(1h}TjT}bi94?)^|x!9 zIis=OERW;oz%SXji}?il1!NDhcbUGU0s4+l>TibG4_N$YUlaRIo>g6auJFKA3G483 z{J?+vpgDEQ+nX*NL*5-NDX*@jzwS+>y^$v9-NYV6lj&tGB0k1bHLs?>&Nyvc+|+j? zwDRKP4d9b5jUpT2HnSwwW=_Kfs;b~@1^Wrrq17h-WbE*!l=zUvkM4=F2ZCJtL#^EV zM=tfYzS4DkbBlcrFZjB8lBv$~n)UT>_Uiu4oaerhIR$z*@52AzkxT`k)tln0CX9IM z_2#kHa=yJemFP|A&+5XDgua1&&-LGaU4LO@xB1To^JsjT{?qSjhVbY5C12H*Mmcq( zzVgMDx@%`w-(x*1;Ts`)&Hn~Xg@((5%is%i)bSe^5odi?$eiZqUHa9?IVM-|i+Vov zEV!4)c&ab475cN6@Cp9j#=(#3vX|iP;0!YDdwa3#J6idML902icctZ1FQ3zG3QZET zSN6%CuNN8yI@*}~p=Gd*d+w@0Y;IS4QtF#A_PEd4rFWL>*5zJTJ~H|GAkCQIiRoVE z)IFow@4IZao(LuMRcE*Az1X_$;R#)Aji%vEx?5eZi|_kewVZnnHtPe1ukUjeHS4WA zTlFM1aa(VzE`9PqLsxhbKJX_WJvOmrNW)-`yN0~RfnE1!zu8CR-;tEqN{HTBL{>7fh9g`@rf5A6O%JEMiY9h#$MC+uTpREDm1E=}{YxNT*p5<$EXO`?-4{xa8t7#m3|9em&lj zdb}Tx7VCbO7d+&==?>lTlxDo%4oGZ!p_fARw2mKvuw=>^vr%rX7_w~2aYPznL z^*=g{w#1$hJ1|On>nPI=uU!$=UGQUAk1qRy)*LHyOM9=sZCK0ksj_Dv`v|w6^TT)k zt?Z4vWnV$&UzulQ&Y8m8lVo;6mA`|xqoVLD zh7Ey#jJvo6a-K8JyzuZE&e#SycMzv+{QA^6-&kZk$G#4}Gh+_rCUcJNUdqUM8j%?p zUsIV^#TO|3%nNZg99g^&-d8dwJ@+@xc-m#1IFvAtJ;<3I>x>>{#eeH%p7S!F%eOKM zkX7-qsi2NsCFy6*FQBgE2memK+#B9lf)44W4bElAe!Kp&1nYn^!;9GP{vJLf9r=@i ztLU%zOXTc^%*Biw{4DG6v$XX4S!C_#3$d2_ozu_4xk~EQ*<vZvrK&%{g6@8#(BhFx0@%x#N)Kuk7cXiN9Gs z{fc`dYbgIAdhf$~(b0@o(IKj%)4U2?#qTM4U&e4&_vHnVGs>JrzQ|m!t%HjSm!+bl z$+wlnzbQUaSzB)g_GZd#F6uTHlm8#+zKx_^s4;eX_+BP_Kk>|dBTicUX<66E9ddB! z=`>3%95z@uqLMPEp<|n7hCPS}1=vX;pX5pFWH2(lDSz6`dx!cCG ztACDImdfkLbD3%32X5k@Yy+-*6J|NO`vS^v=J41I(p0?D{4QrV6-m_zZ)3*2{sDL!n0Df3vZ1E#DCMQ$Xo2-peWvd?ScN3?v?n*}y- zUIT7+4S5M^vWA?|FITOpxWKe+Ao1;1*k$DItk_919`ACLk-M4u_wCy!@*(zs$b!uG z;;-m#b*Tn;E;c}g>`7rGXTRShJXh1OkKwV!^Im+UF4BT)&4lpWwbA0ad_QUEh+gg? zwDk!+~o#_>FxPz;{u0Snp|G%e@cJm{om4#}(^^F|A0&G*2CCdf8{Ivd+HJ zFJwk&j~#GPCFLt<*UKC(u%zAmXr_;O&`#PezckbK;7gnIA-nb&Lr1h(?N`$Nuu!J$ zp(*Y+iL4ooee#VTIj4UB9{3ZSqmA?JJGqO%KE3EA>n=iZt=>4C^}}WMeFWBB&Gc2| zBr{)iG1o8apXQ0k&u41ifkOY~4ef zO|0?dj>A7{=W$0n!V}@e_Z+fgoz)eaFoq4cnD)2gJA(Ih_?TQBq$L=S8u~t*uw=g? zyv|IhbJI_H8uh1(SW~zf^#puqW-U?X(G6^i0vEn1753;Z{8FPRFMCfSJ0e39&mccC zUPONWg}sH`@{HI2EN{RRyF+k%vKYSs<3n?4#<{ED%TC@CGg9T;4^cZ;_Z364vfcZP zqRZM01wNJ39i}q8<}5~r*F{Zzdl};*Cu7+QDHp!8u95FV1f%UKf22K?OQe^*{jjZFH0E0AK_9Mn^ zuY>ZUTSUk7%Se>_Toc%9vDXc!_f|yzX4Z>Q#)+H@FCC05K~GE0(SPM~2MIn&+7|@3 zcr-PJ{S+0#F9jdmVdlMfi@AO5exoAZZvOI!ob#tVdHK}o;k_c(o(ck6_$|D8k2J9( z>qI|ktIeto=Axcx>Lb2=m4z#Hcjds^B=xv6rh2(U8rD3_jO<16&E|3E;w#aL6=@}m zm4XoR#5zOHK6=2z-y`1xRRyKtwdUuzBg9;DOhVZQvE~fXW693U8Ecs#0INU!+EKBu~mf3$;#yK|bvx7$vJpJ@T z?zRU=6I)5@_L9Y~tPfrGe|A_nN7K`p(`Elx z(k`>pW|CGpLcXQdlJbR{Xs<~Z8kLe3v&$eyo;_D3eeC%bjN%*5RXnneS^yqru}_hP z_Od?aTu4C?b@qXy?4Z???}2wewBg(=_6jnwZ@?OJzn!+{p`?#K_5IGcYwbzJTO zzmLo?ehsN#Lmk1FJzvJy>3YnUWiQzE-lg7V;@chduCwbsno|#-N}0&KwD(i$35~=a zPdMscN!_*7n_`#yiCr$j`%FiC&jXV>5t2E@FIno^wx>&wA_C;4&vj05Kmy-k<8nR0IfWmD4jL1NHK(@44;oD&%LlG+4XrY^-T4dazM`B1 zS?g7LYlk{6vZiQbZJyGbc;6m7hz`6pE%yE>VBw=rztnTkXuxJa=V9Hb=wzHXBSTU2 z3_8IRMSt}+>I2yucmbUJ+*^HOWV0^zU$cSzGJI{{O7LqAPqA#6>T6bG`+M~2YuMA^ z%$N8v_cD&GKCqUMJyFjX#u#(K_Rwx{sWIdnGi#ZYD}=7bvqc^%d|I0MgnjZ`j3I<_ zHo_It(n<731N!#tuX3k!F?x(^j1Nr4i1@A-lVg3m0~g zdpPId!B4)$S%`gqaFBe9GeOuSzqN8Gi=XhRAcRc~o&oG-*;CrCb{j86cN^IR4ZfRa99+ct%2=L$a8ag>x8vRB+cD7rN!=GoLdU%p9VgSb zJm}xN3OY8f>U*@Gj?4cO9c3L>hm2O@_mL-ylcXo2$SnF?*5-eBPjuc|Q|969d^ZYR zF8RC3!?%^H>7VcTy%RG#2e+8mg{HMHugUph?q>m8_65ViZu5M~or_+O@jv4ppLNeq z{IkQpq|`8^)j9WVw&%Vu7-apkCzoXAJs0Ci&Q*PS+-LTf-$f1%FeZc8kvETSP6gR- zcHt{uu5;F|_Z{nds&bcjYw+hrTW>S>byn)z79KXX?Cv%vu#XeyZA-25di8ZapY9&s zhL0T`vL~r`_*(R!-fnK!e{Q@O?ldZ{7dz-q>)WH}-Nrot+GTBk9{9O&ZkKK}Xq*RP zT-DH4A!AF%K6aBanfGVldxys!|E$40t_^){{d`MUd>dfPKkNEKLFCFm65Ek70mi>` z?8}*n(X@?y`Khrl<50%`(4&l#-u0%O-`e*KexB9HkdpD9KyDJc;4%O$yP?>|=Uy3) z8~c{^!!l!8ccJ^8 zV;R4R8$4tzyBKHK8pgq4a*nx?Ff-1wcnHnc47X`+&l}sLdySW3dyQd^fUA6aUSygdcvc?sJ9sZccTv_=Y$m3cqMubdYr}QEN|y zn2*E@I5PsG7n|@-NBgdh%vu`R{>*OYLh6yTZ!{zqBmr|Ol175)wEGf*=qDgDLO~a7K`4HyTP)rBl<)1hNVBy9ol*4 ze@cIRZ0nC1w*L6&H#eocVlyx%9^8ShgPvj+RI7IIvF<_?v|TRW+mbaocJq0eZ*Tq3 z&38tK%l8i^jYI!@mh`BWPJ}wm>M78(L(a&pHhnSXig@Nb_M9&@O3?A74}q`62Sz_O zgzwv*UGw?JhUDR|_p?3|c(Q*R;vPaUmPAgI=qmP(cR@8vJYBphz%fnqsjZ8G!I{s>6a&-uV2g< zt`4$So!~qT^Dg5eC2J+G*2uY3b>Cc|L9YQ@jRJ+9;aL>=Nj_Chcoj9DkgrHTjlp3=!>k)irGWX ztTUxgUiv2MOK+&mlrvHSkMP(*3;*e9*NguH}&Yt-N2Xcw8>q{8KvFk)%N=%DfBFT+!}t9@y}S} zn?KTS2-w2k$G~Oz7UO=wOwR5@ljX>c*ynSC`#MUY#EentFR@QSRIvTu7uPnCofVAJm zo%jD~`z2>+f25=R#diCDFh1SB$f3|)T3nkh5Ns6mksDgcp-3KZ*Xx*}eK;#y=$a?2QzBmc06Kduq@5nfawR z55I$y2M0g!wgF4*QsHH1HP#^IHj}oc5m{y2ZakZFHI$cg#@=8?fAYI0#$v{q>>0X( zgY}TmpZMW!xtlyK{R{F+dzeRh@#<)4gWCTc(rigH)D`N z3x^JH_=7TgRw{6qYTq+m~?;O2%S-t+khLTm_GqHw;Doi@+D1a)Yf?Hgkqd zMhLB z@9W~HoN4g8IGib4&fF(`TW-;gb+^?w6+<7yerojmA>Z6~X+FN*1UmT3Mu71ybC}4H z@Ka>zTKFaWT@Fv511~>k*(_O)cA6_0M_Dr!ncBZ zOdGhzw1Im}+?(XyQWtUf#k|&RY`lDhDgGs)zwjg6fxHPn6!M(-?~SR9yk*9em-Awu z8dsNQ#+Arhc3fQu?I+Wwe4pH+JwA)kLM!+rIwrgJ&C=Fu(>69DL))3GPp)^+mNRfE zIiJ{!?Y})VgY$;V*+ayhim+}BPq%#7;|6mdnR{)Ov{??ETIyc_|D5yIbZ|N-_CGQ% zW5LOT>F_|lG44$J3TfN$IsD)7rHi)2ZoK|)d~*<8B6s;j-&d<-Di3?3ly4%5{K))r zzs`4u$d~!ZnZM4?Ur7F)8$s1QhzT(^yFo#oj0XWDv z5v9(zsUxy3dl;pZlf9+o{Lb!4)&umPTGZe1P>r=ao&moS%LM z-79;N*?M`@*^ytfIQNT<1?zwPzb_mHG*KWDq;{J6jnoAe|4@s!{joSb@> zx>39CBhuHH^mV{?h(>ue<6Dg`otoidT=rR{yLvh%Q`(861Vr8 zKGo5b*}KmALjURc=bx`Wz}>AQ9+jX>56{Ouhk3*|^0mV^rINC?VeE{91|#ru@4LF& z-1l(C-y`Rz#r8aW%`$Tscy1@p`u5Q-@kR55@GBs*O`$f{=7hufoGOfJ+SSOpY4(^Hr?U6?Y?wK)s8Q!Epy_%Mp9eHt z{br+%pWHfABxU4$HfIBvi`&f#;91{nLhs3)>?^S)j_(?T?cFM4A60P1wsV@UwHvx!|vw5)IK+ z<})F!5j~{$T)5u4$FI-4Mz98NZ>gF?geXY#4V3V$CVn_%(f3e3m}o zqmpk|s!cBQ<0&pPfbC7&?_dKQ68o2LL80^SG~NnoV+w-Wa97cau+p`Wu2A9F!jLvx zzLD{_(cI7J#U8?ETG&Gx=VN3X{RF*Rjjb)`+$)e5zba1C506`E9_f#K1BAP#zCcKG z@l6H^ivq~WPR5I@)5T99X9YzTWX+?hyN58B4=L@y{<^?}`NO$?z1_6dD%icj3yRGo zb;M4)5BsSR9*X~DFL?p%VSy7Sy&PDH5I&VqlfIEVe1dD3z6w88$gL-$;HgGjO zK_14oKg`-)@akb~v)8z>g}Z$Tzs}iE>mFa@i+s=X^Y}k>WD#3S_8<@dop z-dAX<&-1(8@H+^-4i3UkiG3*cjNG;RKh(Vqn3Yx4|9_vCVTNJE12ZU&lN?@DBCLZB zMnrYcu}G;7GAbJO90-e)YUn{D!#eZI00Ym!prV2t6^o2&#L>v8j);W{NrFa(d0x03 z9z-G^D(az!-{*V3%(*$t82$gx?|)tYb6xj!?w7sx%i3$Nwf5R;uU(DIKZ@-pflMz; z)u)f97MnX2TNXNC4odmRDD=hva|f*-v%eI%HZ2!Ps7`!?CbVCB-^a)oolos07NYs8 z^2IKs`RLIIYo_F*GM*%F;Z=1$4E@QkLh!+l2v^r;#5)d$7LQ+mo*V=})vUV=;JxZI z65LLT1gtr(=CMumZxr18Ky{!j>0}|w3ecBf@{GL+PwZTlHlnPJFfMxR1ke}#U8;WA z_tL%QIjiAKhLP@Xge*HlMe;#2kwP~!*0R2-_;!B#5@a0q&q0gfQ^eE37sDEN=xt@3Uoe6+mov5- zu~&*lQa6`lGXg%;06wuDb6*s_G982-4DRuD%yu~ICp*V|K3xPqNkx3%KbFB?J&moS zQaFgPcjWR(PQDfA89yI% z%UDjfp)|Uqn^%%OrrO^Oe+2Ku2cZvi--WE&f`06eqEo;}{gWC=(_n^)*Y`T|LiQ5* z7s2N*iN`jJoK z=kk$1CVIw4-sh14tRXgwk6ZjQ)SNg5Z9E!F))#d)roRNPN*uZ^5#3U^DbT!h=qUA` z1U_p*%d?XhH*=_)`av{z4R8tirfxbu`Lh}=`t~IXXq+*7foPn5(wHB5K(rHJU8&rr zad?TwV-WpZ^M`?a)1KjyRA@*j)r|d+715MKK-lmQ=Q4Lw1kiQDpUE$9?PEYL!)^vicN*yugis#4UdjWH9QJF zo{r$7JTNqzx8*Nj94-wJ6qV0A8!YJ9>b>uIxz;OWs@i(z3ghE;;UF^ z!`2j^YT0_o*Ti;7EMt6z$xA#>`9)&TXnO?TF zFU`Z(KF8V;V^DHH{JShYFs=4S(#y>&iC4aecjDpV*{gs#j2=3b@6vJq`a1jCp=CD) z1U$5~7FyQ#oqQ9o(VkV!9k&|zazo!!$xlAeo#YcV@WbKzM)cZCMf;3z@t3vCADY~9 z5*_C2?^4cYrySSUvzBuHtn^Mfl4tTWm_#{KC}$|;q-lriH}K3K#*LRwti2x6mDitb zuLDSzj$Sj>z@xzO19tW28g%Ee=+3qLYtKg=doCF-nZHq{;`l0d!2#|ye=ABcZkhZ0 z!vAG9fZxZB2a&HK^d6(~-=Kv{jBCs55!g=`&jaN8Ej)=#gycKctg5u z8+#_BoL4krcDk*W7@0Bl1JS*urmQ^@zI);PInSN}BpwjG@GQspL5M8yCe|A|)~3 z_<;|1bPv1~dPvrjp79O;2olq@EY6q)zARo3uVSx!gn1KsSRj@hXHd3$fXTDHEOteL zJXiWt$$H_o1X%Ui+y>_Ui8UrM@N(!rtI*Z%FItIT&$a9$sK?J_Mr(R>3p(BnbJInN z!J23tToP@9H{B$fstm^PH6}kp{V&^{agOpCObpN==z7@luHs%X1M}}g=qB!awY>;E zo){}52e1zSwbc6O-EjOk#_XQP`~^P!Ir3Lqo+Jhm3ikbe3s+ZIxS~ztG$(LzRs8U( z?&1**4z8G|5pT)H74tV2S7G!H=9r3M=y!1S1T<##L6|;>*?r&-H%CgszVYe{m0={< zt4CiHUrQ`X`a|UuarU*I?!js z@kYoLCFr}va`Qi^k8BB6jHGt?KM>2xh^<7Ix(r@7vOhQ&HX?ede?)TJ`Gzr3di8Md zv>KQl;SO^Swo z=0nfi?qiS7ZreU?P`=8e+{&G)69wQySEd5E^P@fiUmmn`$zb2+^gDKz3k@$sS zJGN{LimNvdBG(u!GJMhW`wim_uA^`J4cGU5!ffJbV>@8H<5Qu%iLM_NadKFXp^c?O zzoz{Oif=OATAT8h)#mUy7!#DP!PpBJ4e2K$tH6_WZYgUQ@K54$T_^g4PMOQFcOjX3 z$Jm{@J@m&njR*#i7jZud}qHxqQks;zt)zSUqK75 zP0rmnFpO^%$gBi5G080L+nLQf(T-%+(daH~el&+X=a6R3AkMzv`wQSm?XBjLjd&>g zBs7PrCLQXRshdli}IfV-$h#OyAb@Fz;EJNdo5MAV6OvKW5!$eTY>!{unS4cy#@PQ zVC#5p^1yx^*bNSBPd_{D_t96=fL+V?2yiO@mzGa5?dMrBq4eFY$7ST@-Fvy?sl3f9 zj-1uLiy6p_1zcOXv25T9v5a|&AG%}Em12uwJx(--&kr&ofb5{|x$h``4(A6{7_UG2N$9Rd zG^e=W^zj_Z!!DJT57ZBSZ~HU!Msp2(6V2uKYPDpaOrN2||41!^%h~D-AOIpch`aKVSEAYQ|Xh!{Y6Y$c}y!7%s zu%ydvFMw6-5!qx!GlIPa*ah5s>E&r)6+Z<2Z1G|N$cuyUYeSM*E_E&A+Nr8)gp)PjBcCms`x*J zSN(6&o`+w(SNtjrzbYPV$w`;?DpJd{;#s$g_EOLuwD=8T8M!>`MtD|VaxwvZ^+kKv z^+J1Vc=v9!_cY&6qdkXbNluDqeMESNXN~2#8a^lapz;2FVDmgHFK1&8&$4(Pd`JG` zqC4@t;|{-&oO=Lx(&pro@0E4pTR->ky!QYvo|p2#wgD@?)l~p1e)%I0&l?D=cwVaq z_AX$>FSR%56n)4eC&kCs@!gV>;NS#)t=8BhFUd(42e*=!(yk(HZ#=Kfp}lH{_F{#! zr!zj`d#&hSil1ofX!yOxrE|G@8umWqKst||3E#y zkdmM6%>REUT3lnwSIE`b+*p#E`Gze%D>-iKY^~Wp^G>$al5wqO9qWCXuWcYtcdzxi z;PO{ipWKViMw_LxC2XB-0qMB6bhi7PzL?9qx$Jpo)0>-A=n(S z=xnFJqQ6&eB%SIcnfwK{g|V@gcd{M0->AU=>q%Moa~q!(JomS^jJ{mOIKlUB4W8kUaM!%HJJFAbm* zUMLzy2ki2_{?NPe)3LO-FMjIdTj9K;hIjAAPrtzT=dFIr^Fw%~r31SB^gr=+?Tw$_ z0PLCY)87fc7k>H);Ln7gJ`a2$Kb-*Vnefx6fi2{x?*;Z><)<4R9Juoi(Vt|>Ipp$N^RM*Pl8 zi{huE#jUe;W$IbOJ`M&pndFl#a{~1pmq_*_JQ@;)DzsghpN4}@M@YH$a<>jfzl&%k+ zI*YV@@zj(<&uzW%)J~VDIy%DtO`6tOb!SS`L5HSyvL+`UyA>Xb9r5X@edq~$e%m)q zZ{%AcO~1vvccbaEz~^Z+?eJLX3DQ~H)gMvLhv0dEBhSTO{)fEtJT@=WEj__V=dkab zGFGIEvIb@836j@$$~TxiHQ#q-=6{l>cqcmRDX`K-f8yu~f|Z|f;XcpTfEDjVXFUbh z)e{7Fv)TeZCz0!29=ZM^u;TYg2evmoLA+G{U(yql*VW1kdamVpo+qy@PF~AN>*~B8 zQ(AB+9xXk=<1yA zn|0ZBISjL7BJk-V*(W`Euly=xC-Mc$bF(czeSHoS=~9gkO50Y+pH;;%5E79Co}H}EzfqpYRBJnX}t?t*yz!Thk~2FbmF&xRUMi< zI&p;bF0CJn?_yoi-W%h!Wlkdvb{yyFqc?gz;Lz)iZd2UTW$DvhX8u}BWRpQ`A<@>LA z&G@4-Pa6fzR6QcSLO#gTbNBGm{uUuN9AjV}`N$7;lxGe%7CKj&$$VoEPIe|^%$~z7%{K9Flr@K2if_gm z*=JweZx5pB?3D@3k-dinUf69K7Cq zoqSmn%9ez$NXzF)e!T(Ky;y&JTI=6cQPzmC?Ka}OF(9%u{ej`nT7Ek6VJIWNql_K- zGHqo%$ahxj{*C0RIee1m$ha4nrxF9(qNDqm2hvxXU&hkq*gh*IH@LUvWIl)fWiMVx zK9p`#ZwG$DBM54 znqMj(#@0{O2Jk(Dyy~2KXl%WgyylSBk{igF$CWOIuM_E}l5U#d9UNXj+8asR+c@08 zdV*qiA9u#%;qLr+bZx~IcuZOIOpVC|^1~gIj8AJ!#(N)==|5)hU9se3@k1$?j+vMIJ|Ky|R37&CUM;`YTz-p{fPkb%&W9=RMzx8Z*U}pil zv;cNEeJfFY0zV~W^FGmKgtY%`+?Ks!uL-*2)*Z7k#<9k%#;$y5BujT( zLH^i>jJU=vdSAjBw=q|i+PdHSz*BOSWa)jDEY*6mWGVXGm^S2+B}+ZJ--lh@FHmm9 zZ#{{B%njDKy~>iMjQ6Es=IyR7XvxorZ8z$N3?ueXc~xwedAHWM7@PAvI-#}3?dXKE zi)#E!C!D?4)(NNV#!iZl&2ebw@Jh!{n(Qz;_^$Xez1d0U@=bNw(W4VC$$p=AwobSN ze`DL%Me+?tvs3J)FOm*Bnk;-6U<6$gxzrb1R)R=H(n8t+_?}_p7e_gj^ zJe;X+`NKV@>XwX)9y#`swU%>cy5%e6)0d2TjBkZ9>TTZTWz_$kZuxQg=zm+cJWO5x zpXipiijEkIg)(X%`Pnp|mrZA?TVChTW}$BREP0-ZZg~~(g}P-IuxFxMUJh)bZuuy% z|Eg{|N^R&xMy(<*S4PQxQK@u{dyUOP-EuK$|Cx-cE|5_ggRY!%$E6jECZ5bB8k|@( zj8Dbj@<%13+%-8n{+iCQn(2{G-*Ds;wzM%*T=`_==X&^~RBB%5E19l!j4{O_)|l{_ zk}UZq^$+5UW*`F%_RL`CsC46T7|f@RAycfFWzO8~A1~W-#f`_&pRyH?O=(`{o8p%3 z^vIPV6wN%*_wZ^0yUw`6i;pi_RLpU7&?ruo_c_+w(wdUHN` z`Pm<%__d|@4_bO3`-=X|d~IoRX}UDIB+Z;K_W{kjC{z3Pbmo?5U;18@a^zbRC9m_y zOEz8k(L}~Q@0q9N)6!Rt@nmBcgxu_T8az+g>Jm;oj2oiyhp{FTwT267FvYUiLCCd<=N)a<_LUe<@ z3tH-FPsp})v9?-z3|-zmS8FNH-)63SujaPs*+xF);eH}uVH_HJme z2KF8K``r#q@LJ}+k(Tt6b@#ygI8PW{_^|hM68lbYG2lwW$;|4(w*6`vZIg}4kIm~K z-;87|doH?q327FPM!NF%^d4JolXUnn^K<9@BfM8xnw$G6V{`J^OfW$#e$J z>}N63PgEJ}9#w39(S*x~!+!iwfUn~J=gOZw=oObj&vp2l<9p1xJl5GH6-j&(E#LEd z!Eio?6E=a2+VMrfcE+spnGA62wnclzy7Z0qJlWau_$Q<3C(y$md!2slgvMJZBLgTy zHfia>i%6?8$qHqFzE9(uWWb`H@za!D%{yBLG+93M!2~=xY46jXLH;_QWCmkMbr7HO z&XuP|Z62(##=WJ7UoQG%3`+NK*F-elHvlgltiH?amadoAYc8U{&jr44F0MI;=Hfe` zWp~bT0r{tR-U)4su8YWfIeFh#P`9gr)m*%dwA@?c^K8|P_9b}N?t#C{X`5)|F!z#E zPexiY9|x|He5a6J^^=_VAADe=JlA^QzYNSbNav2L_HPy$@^du)GhhU8;4b1C#r-5M zBlG7X#k|u#m3hvXTMI=TaQO6g{>w<``pd>%qWEp4)!0d3ecN=B$?OUwm*i@MD2hEHRh=1%Q>jW!~4*S5`k6 zCr`S4vcS~`nU}e9G|`*8e@JJl$&Us9rkri#XU_pPe(mw_(f&0Cdje>`Yz6(`Ggb^2 zcuG@f{SdCAe$+wjxR!tU^l2ZF+FTcN@XZ)r_AdC2JNRaAKo7njDZsbJoB01|(u@wR zH=pDCZ=E*JgSOUit>yB@UQD=pgJ{oLUp_eBrafQW(GyPL_qLvJ9_`*p@*KX2E+~GS zIM0Fq1MnIX3qyIj{uHpHYxIRvbd(!`WgWR^OuPiF#)NCHkQ@`9NoV;!y8KIHq8Og# zj)~O;V`2+5BAqfRT9Ms7iB5UPdh@?ht$ z45l#eH+`tX;#+s|PPSox+4S5Oea4tB;xq*c)^KN8@sMsr4&PM1g!HYKvi=kAU>^Z7 z3gTLGnvVR@|Mj^$Nvk;e{h(LHpy=1hJRriDfVQf*#>_3_pNy}cz-0xJlZ#r=B9UG1{GfNMMHl$leC|*@<=KH{ZxfghUP9QZTfb;BBR>n(QF@*W^H&_*_7=E#&+RR5 z?0hLF?>KqSa`Gn6M`l#4fAk=_l=5}+TS0y`?02t5moyT@%_Y5JnpKB2Pqxp<9YB8W zIc_I@%{gu%Yu`yG#NKxCnlj4ysNjI92DXHKUOIoy0I%#}G8GR58p(yYFAJk@(U)QW z^8b_#S#fN4vi`KYe7$)CdE7*OL<I3bWMmKxG?E|;ZWQTOW&)4^m z-LC=Y$w!~MG<7TIhAcCdr7t38nL)e|gP0Wl@Y3{L+I4hz8FGaBvNv0CLPn+6neU}9 zec^4nuE@?zXSzP)hqgC|ccQN+e*-S}Ttw`U0b%9~#ya!1|DoSHIomLv%%nbJq%WsV z`lh_~{qua+d)7Bkd4IF>{@;1OEW9jTMY(?VeP2XOv#}}Baw0vDdRldS#xPa4(d4JP zaXxBV_4FAD@q|69Cu8oF&D0gVXEqx zS?nX+59L0^d+lcm8uogi*0Og)ccRN5ut$2ezN0tXpmJl&P1$Ucq>*frF7O;_FQzT> zeUc3O6zSkk)N8r5o-Ny>E61KD?Pa7LE4fLTk#XBh=?-6Uc*Y*e^Ui~ZM$+ZrVaP7d zl`12jp<#FvaKgi7lq0^bm`*B7b8S}_OHxj%ATQ1bvhsSGyrgqo;K?gYUYcv~4(0Rs z5Ayg?K^}v^?~P7fHx_(54*!+xywQ_yDfz~E=lYij$KN2|`+%KPkoF|LsMWl4b$_M( z3Tao6)+;-uV@bb~p5@9=?Tt$!M`P@Km91tU-=uTR;NR6VOZYaH%hLU!mHo`4?Y(k# zTu58rWbFcdQ~Fbid9!|^LXveMH3nfF%u*$XRu z|KOYD=4PXwm@@U*_Xm+*hLN33Y#_=wq&Vh^;Z{nXTf*#_MNa-rvMbzSju=JSus^Fk zuE#8Smdv^H24C3)xgTcrePC8+kz56QoV7f~QwXx}rLL%|$PeELhS^&k?kFlAwT%Wh z_=4faBEN9~?>ma-k!IjU+X9I(_WL03<7SnWelFjNF9znl_P04jRg4j0@{(s_c!OfD zDxEo#_X9_6%dNPiAw%9)ni=F#OuE|Vs-QtTt?-aY*PBhLdhl$|eY8$CcUFGxvvHSn z%zJv(G4JA=bj+F_9kV5y;+?HywlLQ0xsTTtmF4?N#*=U|g?fV1qSz4H1ulHxg0uK6 zT+~oseVfWR;iDdW=$qO%7o56nR{PX1QSx%zY@O%FyGi7sHcxoYZnKq^HXF3L#M9ud0 zpLW{zmqZV}EXh`r{-4?4Ybob68+_cg!M|$R;I$8{oxJ>!mb?u<-jWTRi4C6ql@0zF z{d9~uqimlO$V)c(eLVj&8@xNW`7wUDq7^-NdHVZ8@)E5S+Tf={EAsc7M|#Pn?+QMO z4;s(z{H6$e4*{OEJvMmv3>ncHYr8$Pb}#UyT{eTs%Y0ULTYp&hA%b~eKyZQg8 zbQf{xE-bn$hwjQPJ@I2_KzHAQw)&#G>Ale1J-qwhqPus<>wk;x?kBH*mF~{#gYFiS z*O|~=|32vM>%gB0-Te(;(n7kM3+$QD-PU)ZJ6|2^B=9@y+#7hGb?#Hr@r#MNelhuV z$59@hcZb9C&=Z!1M)c0}KIQN{?EzFg2aP?>=gb^DCxqM(4Tj7lHUsfI*Y|7(yl5*t zPxfioXY`F1ZQAS2XS9oNTG!XP3bq|)N%pV2v)A=|Uw0fy-Noy?7G*F9y#2VfbIz@fj8WEASb${6#z6wa6DwU5o665B`O^e(wzU;8XA+mk-_! zJ@m#0bL1s@gy$hM^J|gfgWvJ+!CM613m@DAyy(&PXUW5h58mV9gV*&!kK2J2J+}Q5 zKDeVVdc;r5ND%YycJQn2-1{;nBVD;r2Da`*mgSo_Ive>L|R?qB4d{Z#kwWj;<6r_3*S&J3u{?WkE_gx?mn zl$&xT*m}0kfwp2T#0dO&_U|v8d~0hM8w~o@%cS2r{8j7z58QW+$lq`E+;@%iy!YI9 zjq<$r+;@%F`{_C5xpm|#nQ1?4mOh+&U1f}V#VSuWhpo>2@AUpc`~5z>ckX|y_ZQjk z8H1%;FW3F_+}Z8Pzzm+%o_lDIcfNIQd%Ao*-(>hB4ZeKb?I6SL1Cw=E0 z{?Cdg15XlPigo-$EsQ7dZKc`4z4*gGr9Zni_eG`Wzl8MAdg)-&-vj>7=9xXwN_S`` zb_w-CDeu@{Liwa2pCX=#A5vPx^EEuvU!`B+pZ+Yp)cN<;|1;E|JqMK`^5foGQ~4e~ zz@m49cLsJ3bghi!{<+YsZ1n>i+BxXw%y{03cF-M5z4?Bce6cfCZeb42y|rH2p?nj| z&5gY49GurbedHk-Gq4?7P3)@dlKIS8m=6ozKaMnKJ_OEXi%9_U3iri{X5t9e$(E2= zM!OV;W( zeGFJ=(ZchG$g_s$xRaOU#YXbF&W5pU%RX=|SlR2a5nK0Kiw-#Via`@}?(gHi$hn8F z9qQ-YcW__q+_!UI!u`?YdQ*Fp`g6aG{{j4KowAJo#r&Vee>4AHTA2#1ydPR=Ls#V9 zYD0-=CAr*O#5=7~2`6gD9kfGj_rhNQ`~|>IB`x<^KWThiZ9Jdg(tOEXGj#0* zGiJH=g45Ru&w*|yK^yM6{3M>w#$GT6*{}I&^JLl^ZcKM^&Xr<1je@^=*9yNwTY{tu z4(EzCS~i5L3xhrL=A*QIe>6XDe(ibb1gm?UH~)xlnm4;K2X%htJG`^!%}qV?X04HI zVE(Zo#JUjW!#C)M{F)!K)LNTaKswDe@1+kUcQt=l0F2v*MZik#?kRwm-265DD4UMp z7XvRn&fO=ZKKu*ylHByJ87`o&gnz@cZn)2pn}nPkd*AU>C{4^)-`MfC z(97tX+T8o-n|a{7iFvAbKih@$jrJO|z+kPN`NG7Zh9_0vr+kF^`rwbXv<>@4z>OQC zKCYq+Ywax2VSbHteeHAq1mDy@$HBd=vn|dp<(=I>i+kd0?FU!;NwXhZZ{j<+?6rR^ zy$Ig(0rd}jUj4cW7?3KOlX3g=$sKlI61S?T1X#(vEBTi!ijZ~&b=R2= zI?v%c+Iqc_4%F>%)O{`WB zHy6u>6u#fGkhOXF<#3AslWPj zkk$bct*k}P$S4iESLvuu#vU;Oj1aLh!PkHYYbodrpQE1ya~R!6YciTc$=0rOa{i0< zm!O}yJ8gwt zZQ59pUCcY#^=-dV&LAw<^M}4!I+LI~&E6v$*w)d^_p1T=X9np{R+tHDE>=XbMN{TSNn5{<%BN=2jOrRS~W;mVAKY9n;D ziTu2CHSwhH0xy~tjsG40QkTXP4!mf5F7V<>(rdi%qV0P5qEmY#}@5qheod zs282vejL)@r5{v7=k4@;bAb$czeDTNBgC_UVa^tVW?FwGo>hk~t@#VS%T^4{UwzQ^ z_k161b9t8dmH3wXO?yki)Iqwm;t4%ZUVZJIevohS1y$eMblIBC@Xq!HZ8h5sbX;@+ z&6B)x%1`;vS$%S<9`P!DV#z6JqFH?ktXED+&iohoizZ$yfEP`$F5Y9uyxpM*ubdK1 zuqn%;3H+8%k&~i{r+|0m)Gfdl%Bj7;iY7#lz0pFMLj%>wr&DOaiMtq^*0-Fhps%W- z5m!!4wEEsUlXGd9zAw~2MmTcHn#(YTr&Bj;euTbJVXPbC%Amv0_5OHX23<$GzGToC zzDWk<{m5FfU*=t*3`&xx>qqtV)TGY;*O73a3B3`rsP!IT$AXKk-|2ZbKD#4$(hNQEYta z4l|J=HhHkZ;z0wLPw&B|fG@}W;`yP(&q|EQgZh>WaPFVt!!sSYU$N}{j5E@g`o}%k z?SA{?$&*X{{@H28Nj7}5(`!cs&4kgICZB`&vgQ=4S+c=;CQr!^(UtVtUohd9-Mini zOWxkzrE`ZKFt5V5N45#{o6>5YwSVe`BK&YJ1W(Lw0^szMtf{Q2_M5A@7J(zd_2@(n z%rAjiu$uXJz2qsekf9}?`Y0jZWS`sTEn_3;r_{h7@vTrfHQ0KZ#xF4g@U^x4CoiR4 z{8vPRW-I?8{@3zuJ9`?|@V|rqom~4qwuE)`CFb_XGIIylZmzvt`?=CwZNRp3t>#+8 zwUaZh_G6FOk1s~xn@ya_vJ9WEWoB6{XxSSjo8#CPZ~djtNvh3EiM1T~D|FK-8%8Rb zd-&|e?8%|{tAJa7>Pq6lH=14eF57FXv5eMJTa}i4242#btqL_Bko-Nt`vsI~;dEvL za=HOufClzTG%%M^Utedz>YOgYmY&s+-Tt8^W*1kQYd6;kuEShICoVBdxT?8EamBcz zT=TeQajkhoQR#Wyn53GOt zyUW(U9eafNAT|`vNXud$fewsADeNsi$#L+)9HlgP=kMR%966Tx;+@Bjv`_4J#O>!d zZ#{nGu{$q5auL_B?)>qQ;@g%SDY@)Lat5Z1# z9wAzj@5K(vksc%c=cDw4)-&dy7wNm!LJ}eSyg;VarGxpN0Cvp?TV9ls<~rWZarKzE zwLd%Kdu;jJ^7kkWCo?DDZFi97D00bd54;~f{eanyZAfELv9gJ&o!c>{-rUJ`7#cas zHIM5U*Kw{BT*kO%W}N>zt|0ew_+P}ekjvnj%jKnej1Q$-XoJSwE&UiX)MbHhy*ZBh zh#wp^mYKtf(H%vHyni3>Egl?Yy^Qv{IQHgQ#8?te%Bi>H*}CWKyii)LnDjp&kKW+U z1@45g-ux-)zx07*PV0k*c^>E~^RTh3C{8|tclpD4)Z2)s;bF~3{^V^sJ7CK!zM{;0;mh`!T%o1JuK#M0@hWEt z|D9_F^3)#+8FLSFhQQka;~5aT@HYX&7dDJtJ9qBXc?2a#1IF;U0nfP|6t<_t5LS+qeX|&%gLLx_fS`@EVC_s~H=Q^fM|q@tjC5&u$M5 zv*e5DKL`%>-^I65bT{bPvU$BOSZaPay3E8E;OUu>Vdn0Mwy!4hP;fmXcRn~z@cv`| zwAGi#jVm)v;bEpPlFaR%*kD#i8MD_^WWOKiofdxveNTW^j*`xQIp=>;PwCO|al_02 z^l?lyVI*_y)J1eX2YP>#z88$r6;HHbly)^RzDhfNlaoH^V{AcxLrH%&bc8-yxz!m@ z#mrX*;*&L$F<0e$=UWl;oIq7%St(vIH7 z%nDEYe6-K!)K_({xzujsMyHK8ICZWg@1J_w81S@lAuv@=`fHr@2I*h$q_6)_9w!?~ zKh#P8F(>_8((m-7Z}gKoBd zf-*wlM+G{}C+Vj$;{kIoaAoxQ{ws%>9ic?JV=%f>=mGpPlbMn7MdsX~fR8vdMq;qx zgC@8Vd^`RX-5Ue9b;;b_!6NofrgP(0u+9Wtw6`u;XWL|EP`2>&%k2*T zk0ZBe>j%M)V3b~I1tS|ytCRj|H$AxAO4`xjX+eA$xSF2h{DgE-ERmkU^UT;X&Lmo9 z!ZEEh!rxfd+{#G5ko))gjQfM3rYydV@bGlbH;B5$h(APG)_zQy=NKI)FVT1r z^@$b@Gw)!j`ztF3yc z=LFAg-pW@vs=0iasr*mG9x!Y9E}u`)tlwzvE?3@sTgrRZ=5wPccWfOO__zFW>4UOy z@X*-m?l9jh-sH3inNfOzbVF0?%nPr#_iFpkV_38 zJf1X@fIU$M{YUW0izK@H5%0H}w8DXSw|v=$2sg&!?j~fobiO%J{Hp02*~bl@;};{l zz=6&Zn;Vu-8henTi-2)ImXD@`(j$D(oqQOA;bca27H$0nolU+826ga-ug#7ER{QGy z0gb6ozfXBh)J1xQ=8WG5PI{ztNH>nL8aafxArE z&|&7AeABuGb+zcW_CEqwF`xKp3<*3YJ+I)*sR@LZySsOiN?Y-|ny$311u_mm}@oN!t5A-g>l=fPw7K7?{ahpvwK27MbPjppj- z;xAvveI03CU8Y5OQopYN*Tbix@TtKbK7~w90w-D&eq^^g2A{f#v8QperT;KO+7YIuIiyYj!)T@SwopB z`xTW7{VEpQQOUMvp3R|qGQOLri^l2lgFf;SUN4~R6Df4J3^)ic&K8H-(#4S#X`M^% z53fk~gHPj!InEbr%=m~ANB><`Yz)cq&pbf>!>i#vP3A4&vLrM)>$^3VjWofD>TNn_eE!}m4qL{mmRqf$L}>3KxPE2ajozETU)aJF%9gKfp^}- zUYDzzvPT_$u{*LjdshEM_N@0dFm{Q^8eY+D$(BT`C0n%bVlQ%r_-Ye{o11B)+y8eX zBi(zizW6x4FgmBY0owLQ5^0_Pqf7i$HrI+a`d}vgyht>y{VoQ3I1*{?jds%p!PPa` ze%$=wZ#{El>^PONNsM^|8!F>{A${bIcbB*5oA}G8_$FSqXspd&G~U{k-r;2>^r^-? zF_1FBL94op!u*3{OaG>ye?(fhEw5;NMLv;*j+jSWkq)poBamK^9$%Wk-(eH;0(7EE z&ZA;%1tUK+v169vkALWdTUW-f>f_ec%Xd}Z5l&r4Ut-sF^jX8qTRe50^bzotu=hyR zkf!E6!_38e4hW?8Sd_=fbGI-jxhsA21c>YG23PP&rj?LO#sBF`3oDJ#!$-lN&d zc+&en;a9bWa<4|`D+MpN3l90R%+L38vBmK2@H6(iAMkDzd`f5DiZAHi$Ngg6!w3A) zL0N1gl?TXgxSJ2(6P% z&NV@M<>0}RpS%+uG!_#v&JCl^QRc}Sb61@>d1z9YHUcBw_jzFELvzB}Ch8@)&a;P^ z_dD$z5-=*eRA1^{@j*Xpc{Y7~oxB9I&w<$w%yI|j9AFMQFsz3C#(u8r@?Dul)$50F6@7s=-O6<~=g@wI|F3b~!F4Cs z&u`e3IooJEsBvJ)2iharap^2Zonwp@(b7}D+?Dx9O8P!_I&d~8gk9fh19g5(e9M*J zcl`Is%Kg>rEnEG?_-c!{?B;oQ1@CB!c+%a#&iikCg|Iu|!+-zT{MRyuJ4yH93s_?{ zo?%{bW#$j0DMR1NGaUEx$x@FzZzM?vn1+OWitROUXT(lO3r$zAnDaX#N@06?l z{yOxc_4kv9j`3b)zfBsQ zWgGIOnMs=Wk!H7(<^XAqW8XY%+ZnC;Oef6;NaMEW3$!Qcq~Aw+wda_pJzIfYsP9gD zt_1!@+M{=+@5$q>o%+vEI>yy6$!9C&HaX=A|Kou>>M3_5eTGmzG|DOaC&0uhyNuU zR{Zewzn?69jrwfB9z7iTvc??yjrL;){Q-2>$y$A2IA_6g7I33)dZtn5-_9bg&oug_ zZk>70#m}Y}7!R1m%-MgX^DHmp49gJn`{C`JXW5vR&ey2%{$k7S@3?GBpoPvnCU#t%tEEtDU#ePOZt849d6h zHDD*uAM&$Sn%SgLzpio8g^4Mmy?`!Geogv0q}O-#-}R)I%_|*RuXX-|alNPi)sN4T zb~c0(s9WQkU^ndizMMj$IsADsofDLr_ z%h*n_l{R5_-NJv0|0-;u%Tpz7QU0eM2^iH}bN`5)l^C%#q^adPdD1ZAz$Zx`BR|<~ z_jAb(yN~B73B#C&U3WAyE!kQZ02BN#((-(abaTmbHF>u3zme-8*AA`{-i_v(Dj4j+ z6~I;Sek<=5@NNqKX|99RZ4OsEmu$OLl&N*W(cEw6o$R$;#xV1|+mID?oVof7TMuiw zB$?Y8LMFgtkIvndc^rI+7Sp7?jC<)C^eJ(UmYHvyIF*l%`n%Sjk?nD0KYg?p|I{X) zhYlLn(??g5$N7vKFaNy=y+S@(%RiS3gF;?oN#XDBvX z&4C}Ip3&g)gIjps;?%Vm`WQ-mf~1Qo9qmbyPIJPYJnwhXiAN749qYA+<|-X?8>PLQ zv?qoSGZ*R|VO|QtpHBtxNsi@Za2@>9=IO> z*IWRX0PchZXVYT@xUtw+=X&7Q1NZd;xUT_MO*$iP!;JuL4$o^na4UiPQUTl?;N}Sq z+zbIG!TnATOcO9O3t(;lM)qR2tYWoI&lOw_PVNBi(*)mQ;fi58Pbf zq6Kgt1};Q8w>%D7dn?NGTo2q$z+GAZcL{J)JaDfAw~*(x9=NH%jVgc}23*1e_Y!cO zJn#0veH6HJ3g89-x5WeZ3~&c|4i3rVB?4SY0bBsMqZXV!4xa$7dOUdXz>NUz@5h-d z8p(|MQS&Zq&6IaudOdplWaT7aU0fx}tCr_Qp1jTmzPkV}3)}(^+$!KU@Z9Nv^8xp} z0=T`vZSug$cYiz22Rv~9^>fbi1kTmJeg#b01M_uYLYEISL+9l2^d>MrFDUCLzzrpx z+qN$O7vZ_a1Gf*j&H}ja0~ZsV#y>DKxL@Fbc@daL3Sb@trb#f)xc@Y8?L2Sv!2Jxk zy9?mjf!peVivqWU=lveI9|5p~RP#0Q<^7~7<9mPPkNg(= z=h^RH<-Ox8^O$65aU{WdO7bBiT*sa~zXx&YE?7W$b_8 zQoyBvivSl1$d&@k=Pek`=QKwx2X-gx1a-!b-rU3fd&6jC3FBGcPTw=#%13(zq;Zh{ z{gR7%7YXCH7$Tk=I_avEect*uowYtlx+!O^>)wc_KRl4~eXFc|ZkvooJaO|EwYELU z$&vzQoQey)8XDwcb=-Gtz6Z(<#3PyUV=!4Ql8P9$k09o8SMR>uBIlD&2Ec zpdmej^@0&2vA6je(*8j!yNwaE*>iJc`okY(J=3?c2an%dFy|%Vae@br>Juw3gS?(4 zFQeVg>lyO$wRe|!^BR;mEw8`f=cRry(%5yN(J1}k+t!x;;ji1WWvRC8*~Zp`hOq*l zuoc)vS8#U43UeT|qrQMPv0o&Zb0n~1Cis@<`KEP#CpXIz})pSY(jUw{&w+a z*T3C;+m<5+_ub#za-?WL%aP&%kt1chnfEJS(n{x+e?)4)lSe{-|I-m@<~ONt{^Q6w zx2=C$`Bso`_h*&IuD7oOw%>s8k<@^n9r2YeM?)q?gk@XBrmDF}go`@($d{O|*|WmQ z%w^O?W9%5?ucDybTXyZ5+zMW`KO#gqIuCtOl<~mYVIV@BnXqi4jp^;PIJ+Gh)!JTT zO;egVXX%Ut^Y1$1yw7e*YrU?7SO{g+E#t=@I4v!>GH)k>b-E=)6X}H64D4ITiJ7nMLd<`O%`| zGJZ>kDi8MI1*b9Q=0h*-(p{3dxugkF2bCWj*U@bl>8lfwev#z>KsHIQ_?Fx|M2?sW@B5XD8_RbyZtQX@k=wqyrFFz`ya4BSbJ}fiyd2$ zi+#itnael%!Ok4M-dbNAG_WQ6ecri#uu10UqM0apMoFW1$-DTznQ}BIFY2_*AMiMK z4AN~5{XX-3?ACMG-=KQ+$0o8l{9;CaQb*x)y`_JMy>Nx;?Y$nS?m6y+emluSvVH=7 z)tk8Q3h^u&qwMceFZs!`w;A0o59`Or_90*`A9`TlE1&S#sc|0!e=qQ)&D~P~9{_$O z@YPP)=K(Lg$WM2Yr~JjhlQ!1^yzBcVpRGAx&hPKp&YWDac@og5;*6}}zf<(;_)XVL zv+1?B^Xd`krK9Or*Khh_i&kqbpZN;yM1^$aO%TCSoI<6+P0rGI@=atRqklus~vdJ-$lTy552z9>I2tL zdbflB-5&f~yds{=EWF)`^#VWD{!4#MYvb-7D?4W2Q{cSzD)oW*Km3@r0N3n=ts>iQ4?;5LEX{@bdJN6Y_m%EVvFz+u6BDWG(W=o>jyxxPq68aqa zl6)KfSsbMOUEnOq+AZ;IZ=`<&?@KPthS{S|`K6tay_qujtLmsYR7;~v)4m9{boO0t zSirc6v}Ocb32ZR3INkEO=8T{5+7)ii9KI)D9=twa#V~gF@(3To1v*7fY(@0Y%9+*F zBhg{j(jT?l*H+klY56ITcc)Kyisc$<)7kt7BFRQ<8%w?WTW?CH%X8E*1U&baO(jZx zWjvQT`DuLqi2QyBO+C698AdyOkpbDSk#9V7uQ}LYT?4&9ai6y1BkT`16-*`#Ic~b6?4Qocjvy&(%FK9JSXY6k5j` zI5tsm(G*!_&UmiWtQ}v9-T7YPK$S8M@NEqfqtzIcBgRtBH%j$j-TmTZZgjYDNL5(z zmd?+)>HMT4-crtgk)6&zI-f4+Nf%Up7n9$`PJW(rZcM77wAqbGrPxgUM%rohU2&O+ zGh}_Y^*qbpEhBrM_N;7U4)i5(+lH)LfSp@0{MD~PaNb*dXMO+O(^}(Oo}D+syW920H@&yh}FT?+J0?$(h<@w#;g~I~aPXRj&ScA0OTjOC7utvKb)2_?| ze+c-B0{ClyKO6WIypekgexBgt_IU%lJ^c1H;DZJ5Q-MFpT2BIa?k)J4f~P+h@@};U z{srKVVPh9BDdygbgLBE}ZSv9lhI=cYtI0=lwAPcyPk_sjhbuFq`@dGa@tfGM*V+EX z;@z^%%eQ$y{JZQJc68!dOOB=;J|5#;HP>9OCN6g`bj7vkEa=d-{&)JG*=qR5F^^2W zn&iSG))wY^595*4^%m(`NEc$X-ls+7{s@oS% z<_1@nr~O6})h-!ub+ZGs!K<4+LjUyDcMF}q>+hCJ7hW(~M}o$vCW z@#0T?C*4f=R^P?JpVrgnk>1tKUIOmjbTjG0>bq$U?nm(~`;y>=`(FTGsGGTX5B}C3 z&!_2SQDS?na^`U8V~XRS*U9WNAU+J9TN|X4G3Q_$$`4g|=ZwXrKIU?_@!ynWjB#$~ zFpZyat!ZDJwXcbL%yVYT<~ALlf&0u2UsGHad^>_guag_nB(1_Q@Zo|NDX+!9M7|V4tvPGk;z~(BTc%+>f^Y1i#&* z(OnrYZ&Cd(rp}~wctajuv@PCX@fK+Nd%$ZBve2PTwPh%Z#(cICR`$60H z1FJD5T6gDuqU{pkMcbM?x_m|RKJVNk+GNAgDS0{a=BW*?dz~dBXZ^C?s#=-q8=(w*KB9}WfKD39%7iYi0JDbKAoA?SH zR6m`d-`xHC5Aa>S*fvcQBZ2RWv^UhE=NBxSh1ZTCI{Fo~V9_CTbS|_YS=Z&EqZaZM z9sSIr;k*sP2kaJL7m!{&vc#byFCD6WcK}b?+z$%ii-F(hp~K_&eyUA{bod3}Nt;^- zyi12O3h1!Pp~KLh^EQYG_pXd9o9)WD)8qP#razBP6Ajw>(2WiaenB)CX~K@moH^WV z*|QYy9(}2_i2t)JIb>Sj(KqWLN>^J{ZrjtaY0UMh-nM-s4$KtlY3V<89p=kF7VY$YrpR~sCL36!hjyB? zhj?exPBZe*wr|MBQbC%ELF5ab)yLv-RvF?;f{~q?avY!fO2LXZ?IYbjWiByc6;0O~!ijc~2b^ETN)6kmEebhR72pBJ`mDgVZEa~yqw^OLqt zc6lAX%J8~xBu>7$x$`x2;m@)bXH*u&TGFzC6iseQuO^SD!h17aiR~HrX>|>B=nGlu z<%@p;FI}P6GWQKI((7g!Zw&}xYe44VHzQe`xr1|5io>#@%U2qEXWa|r`9h|S_gU~1 zp`4z$N68ZQL*W$p57DRL2_{pM$tsXkc0&6hOldN3ifsX+%TCjEgeAyMi z<6CXb@l^K9z^mR7;G-V+DDb4sAxnE`>EgBQ>~!gAwtYrXokK@;9vW)%@TQtsee)*E zJ~}PWn~euORC%J127PJ9?e;KIB0&dyVggwrFW=OU`B)Oo+1Gei$UlBf>c!FSundFq&!4ldjam){1Lk`M0uM`QX3aO%fG zSoNdkUK+z1({<`cM^Ew2fBpcx`q672)tJ^EUso4Tc*e48qqby248QPq!T$*GJ&!Tz z+D0chWB4kK*+{c38|a6fWA>Vo4O(B5Y|vPhU1S2|peQCD|H>&meyWGZE4F_b>9lV5 zRAf)45gE`kmXQJ4H}3Y~F>v9H5jTyt_Z1`VBEG2)w>$h-G2*_&JG&2?z^N?*q;qa0 z&BhS%fB4>846r?(@idTrSOAQ7EZqg1`tW&cEav-PeMrC9e3||~DgRT-RiApt(wBi( zAO6HMmRz1(*q80S^`+ub#gU=tidLMSsCe?}wvoy7&*Axbo;=s;&+Dz2<@@T9H51p+ zkHjNLCNC?ECCA~%H*vf3?gRAscYob#?bGb^(Pyc)tmS(j+6v6HOfWn-?F-ky_OE4a zG&#=4yx4CjkLkHTgM-_XC*N#AR`d%mNiUd;J(qp1Wu2VY*SRdcIr4jW{PbKu@%U-2 z>3;Dg=|t(>W{WR052Cmg(tG-3HvAKx~PA}%L8G@ z|1|kx<{#|qBKE_fK}r1Dq51vXiyxse@wV{es*mKJAU<((ZX zXfb-sa#OZA*+n;y?*`~;LukGESJFIU(L~-ZBAR%Cx{HRy6YdmE09(ix4gxEh_(cJ{ zWW&?Ii-rV$EAZmY?%YK@<#)h}9+@Nc@Mf*|CtEmk2;SiGg$)iZZGsTkE7xAev{oH=S8wwU}J z^>kZ|ct(tQtZR#zZ}oL;?pyTrq)YKd34a``+O-+t58of*Ibd9$nZdWFI?Z1fJM#x@ zCai~REqtgoPrc79fmfA~{*<{Y&L@aCI(rH z_wlZM8gvtGUd3Fa9X`>qIrJ>^*Twey725~vS=f4qk zk9UcddOts|g>RxI>l_^T(V&5?+2?s@(^6~C{8j$$A?P?XXubIs-#1Xs;TU6EJk6Q& zYfNuOhkcQ=V%)jxZ!EgW+co+@H}HzeEzp_jHV3-Ve6o{gS8tSl`!Z#a);a4f4==(H&jAB{8dS{v^BYoXL6qB)@XaJ+AD9KZz!ZH&`iMrEs2V+1$y; zopX+-{(bR|QogCb^EUUU?5B8F$UD3?_pkEZw%g`)hMC|}I^!nwBmDiHU)bYca%EG< zq6=I92bQ!s(WRGvs2}lX?^%=20`IlCi3B^2X4@j($FubI!zr#1 z7jjkOJW>=exBHsS9b7xPQe4~Flee2|Ki62kS3J|i-i0R4W^6Ji>|UO&LzmQ8SVR6n zjo)~O`OFjQPkfS?l&6W*7c%934 z#cV#ox7`*#^K&Wn#T(#r0_lX$Z-Y;b39qa^0-X9nbl{GO)`yFXwL!x;C%`@v7_f`i z(GFgC!PjUwN&EWft(0cQ6zG>aRaJ@pf60HeW)!=Fr z_wvDn7g{v`2ymz2YPLPkoKS!pYrPOXCN;~EZ&B&Qr`x}Vfs^EX;mEeZidV?C5Fnn# z74QqiF^XAuyDoP&|IJ2odLiF~A@ojPQ`$&jYsE*QEL5MBUqD%S5bM>A*-$tDt_DG` z(=sKxPX$crtm45F;Ptb$>Qoe&@$*;%8kmxYar=5M-7>;hX`HXCyHeD{xUPgMG z&p5g$a}4RCUS3m6n!>o1qR-c6k;4d7d%*eeHl*7>4F0>579BpqGH7&`T=(Vg^n-J_z7`{_sgZg?Js zU-%IGerDqLbE#v)^6~78T$LS0nm3>sf8^s?{DyLqct4tQt{FHzH=6sgz_99_5qt^q zh;Pl0M3VK?r#V#cEI5@JV7&+tS-DlT!W^hSbaybg-aItZc&li>y{CHr0raT$#&mTE zzJ}g#*Gq})gPT~}VGsSt%V=Nt%5;zz2K}MMKscHCD|q*%nzQZw`lT`1q_;43?>ak? z{f?1f-KsHN_Do~?L1G59;;-X__k~B!PA>`v_mZiNreY}=I~&d5SjGpJFTEmr^Q0Bo6ys#zi28KE&$X(a z_%rZU@DRjLS#eE@BMsg1849#FbjQK#Sl*3-SNjuty46lU{fdp~kdL*8;q*;7jz4ib z@$qKYckjK@?)PB((r)dC_Tvv+ma3QEs;QWl*eNpp=nDMr+qw-}&TM4Q?%5fOpAQwS5%rRg4~cEeD*!Z+{kkc`4zvYHJYll8wYz-;{cqs!0Dk=O#%}zA4~b5x*WH{k zc{lyhOn)>tMv^Q2^&N|!NUU19hBR^XdZV+$d=~%Su_5XhSt%cia{7$(D@E5atsNoV zw8xKrgFZ?gUT^-H^lFpNIt`PD{ECOt=1>&>;y7ty#J)-FB33Cl=ezdyUI0Hlhcb6O z#M<<@7tB9$@AX-k1E2W-INJ%2m0Wp{GQ_90^SlE-tU3wbW#mKJ+^7P0@yKDo%LiBR zD}dMfMY{*~ACKE|X-EO=2w+3N#vIshtL*mW<`kZ5J@9V>Pug5j0lf4v19;65RQ6W| zp8yX$TW4ZAb$=ar>0^J!=f>Oi2=GVo*V!!|jb8q5f(Ms7c-|kf+b(&u3wYAz%mVmP zz^8$47d+*E26*u$**4^}FMlEze_P3e^trtSdE7!CFOkPoCy$S+4)kRW&vE7Bv|$tQ zq|I&j_zexjhN(D<#tZj&qM|!;rYS8+IrDJ?q$!Y z<@r< zSEMqkj@v^x6kFy*-4C%&!cb9hEUzT=FM%yR2kfo z-Vi7DR{N4c!1#)d&t(SIB_9&6OOZB3yc)#;E?L#mUDD8!?(Dz^i1)!H=b$H&xqgZI z?*4W4-B*D#jrTUb-CR|lO`#J}QDP<8`5Wym%(FQsi1Q=M67}X6!N(fPmCXR2`r0Vn zC)1hYWNG%<`LA~0a3}n??%XW42KXd?^mR#}Uu_59qwCfU;eL>Kqxk+%@>G4x>K0q= zmOqA()#L z%D76}8caX%qBqI&S@406L* zLC-gyec#r%cG*dEHbOEpk!S5;D4B*HsX1PIhdHIwp5slTPuw|P4SJHkzlU!%=mtl7 zbm``7oOhGx56$s5=s!*zDEcqSeZsf=pybh7?)w||8FFj5m#>z5TqUnHM`tc%ZiK&m zoxF}%{4hT!6i;6TjV$E5cUg$?@1mt0PoHz4*{=r zU+;RtjlgQ2v=CT#PN}^~J-ib>>dqR4*nr`ci{O!|>AlY@VGN}k8RPE0 zg+1bx_*4eQwWPOm#<^%*x^o0PSBJ5If$OR8{e|Vo=&Lj*s+AwXYxAB^{_D(0nsd(U z;6LGISp(V)SUMeh6w#GK=qQ?ZZArb%Seq%|_tK}iXID_>&){Jz_XD|qhx?y%U(7u^ z0PFPdO#HttBrlawF&vx1v(mpChdDmI9<{{HQnF1 zDHE=vKNHK%ACPYQW_TTWl_i#CzZO3EX4T4O^zGL4zei5KS%K}rXIw#D8`D3=*Z%3q z^O;fPDP7hVZpsdQsF`z;pU-rqpU*s%dOow2?>|pGpNU-Pv)YTEm;P~LRi>+bPv)uQ z9`>6t&Y>U4*C@Et+3*v<-|tYu*tzBg+ zYM`jK(u!?W)@66!GsD{;b``(N?gtg+`+U8BByXlk+g*46n8&=|?|aYvan8Bto_p@O z=W?cRF205fZ67y#EzNlm&0W$b&$9=x7o>RW@-M20-Jh3^uX^^yevmsfy_*>4-NZ%c zCcb$$`aC`}N1U~W#({Lm@oqg9c#ZQ-I$|hxqg}VjH|e~47pUsWNRkMRk^pd66;{H?odCNBYotQjXp< zZ;In@ouz!OXYKuY*7$bajHgzFawlch6VOuEW{3BOm#fL31_@1u+jlre`qz5LJR zpZLqeGC+1E#ST%-YK{MtyLLXx_?Q2+>`IX{?mm$A6j{sdMF)~BKy~MbKxbNpUh-Ssi{->m$SWBkSs^(whx0yh)_n0=eynr* z$A`>~r9pFJS-|XjDsA>1>@@oV9cB}}A)N$Sll#KdZ0?b2Y(3nC6XQGcMeZMY)|yK8 zmv8WVhOw`CI0g-3*Vl8#h%rWwu{r+2L=G9I&feUQRgLu|>(ekgP=tBDlJ@OuKSDjX zIHmk%=_|>M7V>%P zM#+p1QqJE3uW>HFN68N9QX2n`kJ!k*Gx}JC5=$8R*HU z7V1K8IS=+EaIzh$T($oPz!@p&MU|@y zD}j~l^YVU0dBMGG>5F`MUr*i-lh@OE>fg_b;I9lrG4_jPU!PC*wIK5({|>vdZ#Vy| z`L*%0_nR2cXXbnRO)vMWxE9m$Yx8wpz=HukSTmaaXBh0_-S4cj+hxdTdk~fwDm(;znw9!v)?bW`BpI>LA|9*qEOJ5exT_#?j z4DphuKa1zKQ3iSKaRI(^&R*BZc#s~wn{r|*hcPXGaoL~!`&??L^yuwAp7Qv})1P-A zb@nNZ-?P`nPx~@4_PJ&;XO_(tPdMjFb@r<%%DzUVpEHQi6g}-zv_bTq1-)a?+ob+5 zbD;V)nYzQ^Q+qDLX(KdMzXr)O2%ZKbyQ~kAhcXHtyvkI+G%h#$$|@}?%fHWcY_Djs zKEKk{Y2+u>p!_y{GM4I;?WUDEkI_Z8HP*u43kr7tUlCnPOyN#*<@DRl#pFqIpORwvG4E_wc{fl;`f=L+ zy*g`xeU4-?HiKJgYS|w`C)ge-$ZrB0{nE5qmfUICWiHt|BpYXF>Fwq?%1y`Sm>N&% z8J+0roFl`>R_$-6t%fn%tVNHP9YTJxO@CX4-X@Clf7p_!5GM3|KVp6 zvy}g++cvdJ?a45gHbpsSKA6Tfv!I~1lnpYDr_#qIJBEUGTjFMVX*c@5?rw6{68Q0! z2M$n&%4RJQ zH$tnyP@rNEds_#xkYi%DVV7xi_c3{QJ-!vY?ALNDH!@v~F#?|yuGD0#< z{^DxGKHi^Z?0Pzi+9}z1PZ7Li#|q$6F1-B3SM%KGgPjAc#{beH*eI~?2Uhd6>OQ2p z!GUZO-W;v98kDTFq!j-WxRpq1uijM>igiRX!U@v%7F3AUh?%f53;|OG7nA6 z=AH^BO=D`3SSZ5N95WbiL8fKQ$+7-?Fksx6YUKVW^qx(Z{J?zb%53h2sf_)5mzt%y zrDk0_ds0&#z`nWO+7O*<{ugVaR+azDr_6EKRKNdQ%Aaw!DLGu3LmtQ8ZC0A^r2K4d zGUL56)^GmGFm8N&;8^bIr>!mL+lMayckDXxoz{fMR+#C| z9`pXbzc!7>HV+wr?L(#aY#*vPuzje~NST$X`-du!ZHt&w)^6%GD+aL%&)6{3QN6|# z{yXpOHEZQJ01t>3nZXg(c;XK2%$sY}e@Ah32B zOU(}OTt}NKs``gI>3aqK*qyjkZOJ+u7 z=h$Rj@U9N@O*_U0a|LIq8FTY>*ADq+(SNl^>$j$opfi>zSL4ix^fLZ>t#Ra=K$6@>qh-!)qpE25Rg#EpjW=niDLO{)lTsEEgQK(W%QZ@1|c620v4;P7iW z-fzAGes#v=t+}-&#IR_7dX|^TrD12O#2qJZBhZ`OPy*!t;z^_}q1dv9_nD zM{|zmp&0G0fmi+-UQtYtaI6cOFU+;jpEb0GUgz| zbK8f)fuxy2_KEhZ7{4Lz-Pk%YQHX<^y7W!z%GUVHw zyyS1VWBZU1=kAYt?lxC4KZKDJ9SeGg(ldHY`3+Q{Usg`pKD14AqfdeM*X6ml#VX^6 zozddnxpKw!)w%smHJ#?vsmQ=N$kHnMIYoNsT(gt4wC+%{_qVW@RpvGg^$fC)JD3f< zWeR0RtBHA*vc5I37yEo~K0e4^WHK8t4s5unWpHUe7)_ab4a%Le!Hm}dqqgZKmbQXqO5yW@h~xM-&tjCMpSBJ2weqT^2Kh{XHWTZ7v>C+(doTHjjO zRPVM|Wh4I$+8mscbaiFo>C->TFz4~lgfe;BCB%Jx7yf>{w}8Jv_rH(-Z+#$J`0iVX zF~{>PaM;TKnVX)?)ukJ(66zn{M;oHVGK!@0?Ywa?33}U~gZHs}) zM7UdKcBh#z`F}2BZu{W|bKBNlb6Y<+RNs^9t;?6fOMwT6gzG&9G&9oqz5JK)Puwl* z(qJ~XjQ>XdSMV>sQ=YmwWe#M_MM>p_4+hftB;We(zu#P*g4Xcjy!cM*EqT)7si7p# zrHORD-K4Be?$AzAH~&fg>&QPVz9zq-o%tBJ-3iWo9|VsJmYVY@bKKH9%(B_c{fv!v z@=QVJX&YQ`wGE&PElDDGxld^RQh4D`GuTcXk0|vYTw!)2H#14fjIOu3qVF`@7D1~N z^XGxP%-#X?z~BEwiHih%Dst1QE7z@38E6qJP)Wf%mCEJG<@t%q> zm-k^4G0;)^((*gX_tICC9}7+7y5_|`-FQZdG3LgT#0tVq$% z!TZgUSkF*~?~(yM+*MWfYL@k^>+W|na-pte1QzOc;H z-ZDC-)zN-~xvU*tYR7I*T4Wq?VpAK?SvCwEvl^_`QTkrr#hns6t%nzMGFSCj+7Fm< zE8~~;g=6^O)82~q9(b$AdiYl{CMDxG?#8O>`f-)Sx~k$0y2 zqk64Q6P*(}?@4n17T)|sq|fnIAvkUi>H_t1E=+a4F; zB<)TTw{r<}jYGo&$e$?k9XglZqi>uEQhD)?d@918+W;~>veq;>@0jF!FnT{WfUdlF z{B-oWTn}^^-}zw^Uo>}V}&4TWsMaiAW3h_)hf)LZxp_U~AY~fbznbDgsYwyg<9?I?*x_S@3 zkUi#N%2`I)OJoblcBK(_HL<~}g`S&FK=(+tuqK}w(!GZo zgW*B$eU9938T7B0_Yk}#KTgR+-N|gU!|yzc2HJBI&xQ|d9GVwHE)2rs@J0`5%k)gU zHuIc9_AINwKmn)|?65}s;b3r}-DAK!w}we)ALxme|p-o2Fbaq$de zY^}L0$vTnzg1hqH)A`yY?PVP)x%Q8Waf{8N(&XIUgALXS%3Dc!-J3L)yYgj>g=OTM z$ow>MpfvxcPTB>ZgwfY4+IzuCuici#gMuMu67zFKdj>i&Cz}~-9(5+-JFUY%Y_NpO z%)zxoqIH}(slxsT7mW8>p4+0xB76=d_dDTFjn{2_laEhrw1c+ow5piXDtKkJ=aRv6QgDMl`&|+oZRyI zja_|_om+G_XK+d{a0?6J*LSjZ72LwY#AwLLTh*IV{!8A9d~{*#b&z0U>Bu}_LeqOA zefa(KUY?%RO8IK*x4Gv#rn=C@D%|TOllWM(w0R3Zl{TXFF!<^F@b9BhX{T7ff(b~XWG>j#|Mj3n1W*luPG0<0Z?^u0Kgr1nHXt1Up$maSEgM+Qe=h6=|-?Asux3)US`g8~BtQ~Xv&z{?EuN?<6 z`7Z{HN3)c*DGW{w2PcE-=fS1+dLW2hv|?}sCl!O6%&pi{jsSBQy?ayBbLLT=-yKZS z|4o*WPnueLNT03DL4zrqhBg?j=Gnsy7Il@+G_hB$C;s^_H{?Sn+4pALpF93s;lAS= z3eopBSgC`^+mF(>c4BQLWUKA6Qk|VcA^3G1V;*0yjtb6=$fmIPf`+bWAiJ?5+10gm z;`<6=@*3#uZ-&k*xu>jRu#>jjR_OcnpgDm#_(#9Z<_5J6p^dWR7*W}AZnEi}CBJB1 z$(ZU3K4%`JZ|aj_l8^sN<_sfnH@q~*Of7J95Xl-A@+|%F6$F=wfbrzsS$aDH{(0}Ni{B1+mTXH@gAia|Qe@Xr4F0xQD{n588j81&z`3JX^Hh+)w zpZU?;`(y5^I0z4bt9J`m&_TMlc%b6I-DdCL9J6drHN-_Otv z_5DAQ;WN!XtGS!==*9dWh6fCM|Hfg5`Q$E#2f&l~&*6RX0K8w!14g%Xs$`cl4tzYI zHMV%}=+%@3u1ml}|Bs$BCm<((M8BSzvBC@;bnP)d9PA~(_&|8*p8*eGyJ?jzX^?jC zUy<8B6q=455*w>@bKRL(3mrIb!d?eHmGMmeCU{_xh0i#$ur~UzRWX0dkYqyqd)VZ# zAJ2?FJSp~}U6W=;H(HDXN_Gv&KOFjOlswbCU14&TgMORXZ)NZ4Z^V8Xb!=kx-2_u_w{65B z*@&aqvD`T%2wn!T5pTNWfGz81yE0Jg^ol9&I-R|A=><1&kIqW+`fW(F*^juJd&X8$ zul6Id_?K%xVma^2Yxx#+{46WKx)n~(c1HAQdY*_Cie=w5Go#g*4d498D^!50^Je)1$-1Yb&=3#q1 ze*d$%9(1YKG3N*RvV~7j-)`){n#Weq#sQuOYMj1kPWtD+<@_*hNhuF~zFqxDps(@X z>*iBGenLLA?Txfa@;%6$&$#4%M#->FGm5>lmURriO4|bi_vh=1`gRd`t#|9VQFRQg zx4w=I^^|Q399z_8ix{uRUL(J>)F}Y0pgL?EcPOR{Dp%`8ss* zbB7Ky7xfn2N8go}ElxYsYs>0>JAJ3szlY_!&mlv$|hgoOTf=6f+tSKePqPQu2&^~erUOsjLO1nGCQOKDbMNIYpqYcvFKQMx{OR1u#t8T)&q|)R z71@VBMIG`n(p?A|3tv_}aouS=vRA3`a1r&$j=X|;W+JD>8_)5aB%j*%kl@Pd+27NN?p=#?R!NceYotD@HpjEgz0U3Hd;50Z$8chQ0g* zJ_2E*pLcXxBU|I0d(P5d|GDS%ZpSBH{w1Ejj_1eGMw)hf&hmZi$7}x>xXA-N z2Pp#|(Py+zuV?(zpY-T)+FcPucXVQQ7MTa*2xKzzW zwAoF0Ui_I`NmERrupvAo?AU$ngV3O;@0{zS?@!S8IJ%hA_wSBEQ^gg~e!j-eVSJ5e z^6Wo%@OGD`wyza^RBRKEw%>*CwRcnbrjhgP$BXDZku;C*&y2zM@_RGEm&f;)^8O-q ziT9B&g{DglD+ql9_@e~1SI_>J!8*{0uph?1hj-!0KPJ8dZpXrVzl-+~AE~bV&-msa z6N@Qtag<+Vm-Tznel`mJG$!O@Cj6}ie>&^##nTl}5-jNDW8w=VaTQJ%;mgC-kuh*J zPJEdd!POyS^CXnQat{Z^a^Z8-KNb!akX9@gw(!l51HW9@M;d1XUQsT*9UK(Pg-3xK zOD=@}$?+L0mJ6@7|4+Y4|KCB~WA(q9wBr8X%(t=nzlyY1>;E6|S1s=UM}Qlv|8;+1 z{|~=P|Nr!bvHSl#X~q4Y^a}l-`5OBFtx@`a*(>xv_80d5$gA}K+pp07O{4Vxr+gcW z{%1&gHTr*cl>VOtZY=uG`wRR3;;Z!kbJRT+|1Th|nEqS%Hdg=lk@jl+fBPu?e-yZ} z`oH)u?EleM>Hj;Zd#wIflUCgSoB1|Y|5uUrYW@Es{;@9`|G=pXo`xo}#e3kxx`xW}XX_Wr|ly76v{|srbM*q)_ z(*KjdjYa<*e_{WRze@iA!_s?M`{6BSPiLp8^Y^L7I_rzr z-ehlM{fPhZ!opng$*!V(zEtx#Yjw*wYcapJMdPeho5xx6R*th)2JvgY$@ul6@Hl&2 z{k!ArIqu-2&qdlR)Y$0{1%<4am{m)99Gn%rwt7c)dInZe?qi?Ejxw=&-OW|^}t8y z=OOg>7b6b5XePW7)BU`;@#NF&&7~UUH@QG} z7L?om>-hHT?8;r(4FY`g&KbP~eX!TrHlADPht5NI=ZSA%d0CR`TjT)lDlp%`Z%0+%4%ey9Zm%Ao+Xop_sIo{D-+~?LcN|G5u<5uE9>w zi5)v_c4D7S<4cx09<)-&1H0UdF9aXGvv z9+gd~jc4(teZL02{=LZi)(z~iPEcgc<@jGV{uOI& zzVCgT>|+ZHvqO9Xhl<(tfsnB-6vclwMjREvLc23pU^~`+3baf$R@?kK1)sWlcu+pL zvh^VEYvPnMz&E3PQ+^iz0pek39x&|xTMHKevzM|eBI$hf6~rKoU_Z)g9~2zY_R<03 zO+la1Bzq0*^n>3teu_U(-mdv<8~e)mIMB{5gkP2SX4 zBS?Eg>?w>(JUNkK@-T%QEZ~5;MYdSwJ`@)dF&OoH2&}Y~C-U9f@ok0GmZ`*)X zKSf*o=fL~I+>fdIqi)^Ua!#sUUjKfeBq|RV-npg+xEuGc% zIwwhsqz0^jnRTxoVGUS(>ym;zhF2J2LDp%#H+($+!Q~Lpm5s@rs&I8VfaDUBI`SEeC z2f9Tgj2C!%UTx62NqBPetIx{cL|Yo4Ax>UgI7^{BZL>P3_L|uMFvqJc1KDm2QZ9S@ zz2H!Ow8CNSQ)cd$nrlqXGJwmnIssVQZ*$C#2btKZUU8u)-!zXjjLgNJh$ zPo>T&9YfQov%zrcyV!K=%Tb>Xe_f;CPq-6K-1v7Ux@oSKy2YzHV;G1c2jEv5hm9fY z5A3I%oypm)xXyQin{2=J3C5aymEZ{85m9LC(i5G@` z&i4uz2kN8FfnM^qY;F3>qMed+3FrQ8_~!=rb@w$6uKzdd$rsgAM?JIt59)cksGi%Y=S9xk ziFfS&u-`u_-a>IRrE>y-l$l~2wjuXl32$HZ)uZvNvDZXh#`KLtA;zU~z!`TTbPma^ zT4JPY9G-hrb{67(z7cr@oP5$W?(H#eFsCxsQ;d0UyoV^W*5CeWU;C>$!&9@w>=4~A zL59g!ZAz!viTr4TcVDUf_xai{nY{=8THx~WO+3$cpXc$M!tX|n@T+#r?=x#k&>OV(#kr<@ zcz`uI<&{#0{0G937lyt`UW@XlMc1%5`QAbc?LpZupGDs^e{+T{ud^osBW}mZG&l=0 zt&f;L+4WZI0C?>~H_4)ZQ?~R219@4NKyFgUMU(qvTiI;Ayn8 z5C4k5Ani2ZP0pm)>x>+HS=Bdm@vOOKshKU*%u1UV*Br>zU)7NdPEX-y!#ogm=5oai zwCC~zsi8ppSIErUa*B~~boaCDRfAvXSe^v8vF^O|rdfOw{>JgGEbHL#`?T4{VfMY} z;qWvz5VcEqQ`@4@!*1UJr+u0$HEt$db--?y#@i)~w-#vHEIjbu!22}w0c#Is1(1by zKi`tJWBrE?Fpj454b>lbw^@IH^;FZrT-D)D{Or!}V^y`=$Ep-*-E^!c2L$?{G_HOcUmprPCg})Upil?I5GaVyn%AG z59`Iw5-xgye+hWcPNKD_-#(%^dB<3nCYaUJ|N8p5iaVNNO{z7j)}>{9*V?p+{~eUQ zW6*9}A%%WA5uVpxE%6#H?Lq5K?>hFQ8~N>@zQZadUF(YpthH+JK}N3COd@{h_++-G zHkl2T$Fnun@oXr7tPRmt7AU{C_TO}m195_Zy@qG?LC?)Rm$=XE@o%4q{oA>dSNhWR z?Q*_({oF=dLMVnID&;;68LmSI!V>NA5 z+c=K-i*Us8kFHjoJeSdyiSD!7Tj4%SZl9X{?Gt6RMfsFg;-;znN(=bfX~zbM6B}d^ zci7tJ{C#nF6qDlhHTee_BZroa*my728nS(}^+ZN|lx4ksYhfBOLXKS7ZS{~hXk1jl zRvh40BmcKlbc>bbtofT}I&0(4b0=ZAk8=v>`Ud_5A#^|KF%`Vq=lEu9wm4s$`#t?m zU$++j^o(gBPuX`r8Hl`aT<6RKyc-eD{8bZImO40g`e-B~oL1>xq;kde2v#gCG`Zh( zfBc(SZ^YV({g+ywFT-xYc~NAy;#e5OU)KH9>3oow7h$bSco)3lZEyhb_4|hj^a0rOXn~2oJ>4pnnSsH`sX27N(Vy!Fx_0mNO@` zVe3?z74uMKDK=;m_>?VA>m99+7^~$D9jSvnhSI@(6LVpdIu#FTF5jeo+4em6p{#SW z9dk7FX7~+WoXWp=QD;^fk?T?Ffse|k@y=QGbv>NZQ<~1HYt2(eoM7VEmLEpecYZ#T zS8VLi0Qyt=8hic^M1O7x59N%ze(LVL?uNY9NZg@kik}1IlilJp>m}Jr#7Ci6w;7xA zl+_5$UoZT*IIH9NG4LdO;RkMo`EicQ+CN46EDH){$cIVDhw+TD+CJzKhc0pGlz>ji z58c@}75adGdrk_gY@Wwce!zW}Joxj!INv2F{>ZapGRb!;*71FGC~Q!)vm&*AI1a0H zA=!S4@I20V-VPh^!-1WA3hbsZ%AeIW$pVARln-Yxphjm{wvR)qfU=^ z=bSs|@NT3kk8T>MhJW8dx_n^No&lbVZK{98*!1E}t;UyF_IuAJsygVDZBuOq)|1bQ zjS_wLh|iSfo&DHDH6INy_ncr((){Daw_fxQ#5zGfqTl73A`e-?q-=V`|4N`c%XS!h z$hL{DggIq%vJI}ShTjsxsq{NGZ*N8a8a3t|`2`Kz<|L(kwWv3R?JZ^#lBfZAUGmf+wq;-*2LYl^gm&ToRgn_W}anyt;%wybV#4%&8%W>)JB9IcOn!LM+#<~I6iDMsOP$^G_z>o~rzYI{Xu zy+!;r`@F7V8Ao`I5XZQMd@ZgIw#ITRy!RR4OQ5A_BU)+PJJr3Z7m%Rud_znS&J{LIi&Xd~I~;k}hTD9KLY)M(#mJp_)_*RH`X?1*WzJJQYm z)LK(*O&zackFLiQKc#7F>QKFvf}Y~d3d)e}Dr{o2N+4GT!6~>cORY!e=57(>?kQJC z7H1CUY_lJ$xqRqy}bM5N&XU8tquIJ^WlpCFp72P z|E_iKa^RIF-T!vVGia0cPM25N`hYECe?pn>ErM0dxF#R$BEiz9dcMVcum^#aebry@ zKLdLTS?1Myyk-b0m-_bFuXab&U93s0YQg z{(aPsAREY#V7NM6cqK3b)8zpcD0mWS>^X>AOt-UF-`pJ`M1nHswt z58sCxhG?;q1^C2Zn^jVAXhhaO)gBii^xBV{I4ai+R)shyc^7~<~U>4vB9jQ z%pS_N+k5Z_xmxV&m6WSJUzMF`uCaRA-&J}V+0c8Cct}&-{oF+r^eMNnhwU)P6T99;{o z(U%6-aMrLhzj#@NH7|_MLX!9$;RdYwC z279llQRSJGH%NKtRAJ*{yF7Of&n_!$ZnU(Qr?OOz%G*9lS>=6end>%MYr#z~r*G3)g>jF6Y>3Gi_;zgzUAd`cJ>B>38sT?2)MNsy`f?Y_S%$^99C73QAMnk7dU}i>HS1^w{cGZ6CCS;=a8x7*3aHi45SByMP-9fuU>GSsLrLL?1iIK?v8in)DEZ21*|Dw z-Uj=;F>>x4v4Z56puN7?lp`MaCgbB2`!LO{?`N@o?00RK&EPWq3i}H)DaTpMKfqeP zXLv1NiVj{D&F1ca_eS=W9YD0OXqq;QG5qzBiE*WEr8&DPz&qNsG zfxPlwKx{6XXOyRE)6iRKi(ypdQmh%!PvM!4+)V0l_JrpbCaDcZKl5^&wY#%#Znt4j zazkyXx7$G7LF%qn-GWv9+9%aGJ;&O!jxkECpE|*(&nkDWA9bY1pbwH z>L*)AcuKg?*kiu7`AYrs_)0!^^{V%OiI>0bt5J)vO!laBNvbj!C+PIkiR?}U|v?9En}dk_Tpu~lAO28i}l+w zriw8sInxBM1gG~7HPav6XW`k8PO)Zcjx+ufBm2s)omQjoE8pS6nff$LtN(+#Zt~To zdcGw3`|F81d!{ezQ|SCXU&T0e_;2(+P50LmLGHtY@4{v?fUY`>zyGDWF7(x9^E&l4 zP?ydH&%D>EPqw}=W3d)lt+wf&PWe_0+xnmn<3@5Y?GjVnXM!EkC1ma!G8B$(&WV65E~Dx?0m|{VI7;D>=rq{Fqj< z_oTH}J8Qjm)_iK`-?2BN_{Op6Kd^o4eglpD>prbBZbUDfMt-d~e}Mn2%GX(8|C;e? z%FBU-Of?qigDjAt`4vX+cergYWfb)eR<+S3r+7lGT& z^IjiZHE^o4zNk*=xEBGt*oEEf_C-3f-}g2MymZ_O;B9@Fde)LxwmfgmDE>IJ#i=Jq zK5yM9U08cZUhH_;@np}FEiZ;HT=om%8oN5Ne?Q4vGd{hk{Bqw|HQ{g_nJ3Bk<&X z*`2iFyYB$MLh#U4e(l<0^skXRffe69QB=41=WD=vYoxH-cG(g<8?V}aFYw|Y?jAUg zFFc;8g$KkBi{XP+{C2qfVEet!QiLkRa zE=eL&(6zg{pRO%jbLpby8Y@-Gb;hq_jTcfkv2KE8*b?y*BhRAd z3)wTHz4&)XXZ^d)l9AlI#W%GWUOdLRh4aSO4B+X{@EPRy0q^He)%^^xgK{+>00n>8UH2oyX?8EWO@d_N&Krmm$nvPk+zcj z?6WJ}o!uO9`29cVS1Yu$&#)x>tsCh_E%UN>e}>{EcxPA|NE1Iqp|j*wePEZhRQX+g zU>;`Q5qmB_;**|`%s2~2|FJ(9~GLygF zSEuUH7}!CXz2wDRj)-doQ53i310+j_@sz>&A$ zhZWzkPd9wO`Y~W7t7iJ(ZURnxr@Mo^Im?qvl1Z)5zV*)`W0vMA{+s#Pdrz)h(p~Ge zTyp6?nq2yC(H>ieXdgg-Nv=;@9{oKTFc$59)IT=uv!oT%oQTg`mL{0##ppZyLI|$|9xMbS@vTj6aBP5LAsxQ#k5~B2JL?V+^f<4{iD$SFmSJh z_KTr?#!vg%&YU#w9#=O>YRo^tT;R<|_+MkMlWsE2H|DQ#$NQy>^RDS1G9!#d#r)HG zi^rqS=X5>@e~J`yPr7Vrx{Esvw|lyYWUu0)c)H1ZXuEJfnys~wH0dV$eBtG3IB#A%1CH%;ren-& z^2s>r<8k%tb{p3>YcJQH*EsVAzk_RVo<_FOrb477n>&7G+B(6{{CwKVTrHjL)Ia+WJ)sjx74MZt)5Bv3&qm8Z9L-#B;Bl__Z?RgOZAAe7vagp9RF=bE0%2|D5*108K3!eDJJN+d46p3L_An!QgY9qe3El&-cjk3wty6pym;RnH zd%ht3eVv)g)WA1wh5OOpOC#Bw&KDtC8R0aM+49hPRZ^ZjHg?=-1QE z!5ci=^Eqe7A}TwU?GMMY=@>Alq4OX*U6wKB=~ypr9GjoMOqzJOZ_0>{^%CDay-xlQ z9#6@}(M~?uJ1(GqyKFi1Ao3oXAnz|uIp>h$ys20QNLL*(VzhHnR6ZQsW?|6NZ1 z3j9}!;Fkfv2lxzmdA8w`z-z8s#kbzbNc*1yUbcFF`=isUrm?f zYRB>y;SK*fVyQcJ@R6|P?S&dAetC;rJw6dx`!{Jza@Ui$|6N&Itb7v_g*M=Fue z@=dmr@IW>|jB@$^IvLL?=G7L3}WI|9Yf5N4ez& z`m6Rr!rZrg|6_NV<*cbEEa^5w$n3I+Bf~1Daz}aW`#ITfWdm3yJpy?=p1urLV|P`X z@Le_rxUYx3rf~d5&h0w7ZJ4qoFEt0Kj6UkTFm4uJvSkW+B98t~jK#^rekdu?4eA}L%WgnpCDa65h_D%Joh(F89MI~g>K6O+^b0* zXHC*&?f2z9?dDzN=AA{}YkYZ`XOP{#bw=E*K^{-8pnmT@-yD9fvTIe)7R_5Fv@by! zI(skPT~8hUGT56ezX%$BR_U)m>kRemWbsNjR`N|{ zEC091pW?lTyk5S`$oGEowesC7_c33&VeqT8HXqCzfJp%3%|Xj|mKa($WuaaWL(}7%RC5sDU*f-&f9ck`%gWaOldRA0ao4zs(bu?_ zg16PPN9Lne-sO{WEpt%!lsn92&>+Y;!C)k9K5qO3Ig=<{#kw|#ZNBn?bUwU*ag0m} z4>Fbp57>7+O76&)wJuUq!Z~Jovd}(fm%8+|tqHs}^Go2&^sSjYq4`*A=37Y<9rq8f z|V6!Ou%tH_KkSg8H?d`zvTE*k!!?_4B>JYTfME zOMUzdJo?q}y7?`@PXpG!Zr%y3<_+0PJs$9{o5TO?*wkOPZibHAmW;h_e&eY0yxFE_ z*OU*9(9?jPkt5J^zD-X9`iZ6$$k$5l(+D5zv`V1sFH#ju)+d(cRzeiKeHyzw}U)`->_hd={XZv(X)7uMr$s=9pp*ii|P4hU`0>=`rJ>?T9=+LVFMYqgSdL* ztWJ-f+Zg?x4a$j^F&lbD=ZuU`)>HO6i#7VXu6wkWy1@)Db?s320zV$r7+s+FvD@vl zJqBYln6_nAkmtvZ13Bpnl^1m973cMTGFH8{dn0Eo%Zx}KeYL!#?*?=H#*A4z<3US% zH?rU8yr1mim(f~KEJD_=F>VwuEy))PECBNjqR2{IJCe#{Fx3C&rQDG{4j#9_0Kw^mO)UZ!NI@u`YnVIYa-f@oy*XgZ4Mh z%iAmExHl_~u7fOB3~kx3qm*s$ zslbOb$>-2v#0NDD9nc?bUvYc>$HzEK8G=6!Jzk19d?5W~8t~*V$X~7a9LOSs5U{FSe6-33KOT6kUv#d?U-uQj6Dw($kM39d z-TpUH#!O!sXTTl#3t1mOd3@CD^3h6kj8msa*1KnKOj;mq(l1O2;uO1GJrv5d188?DYMU8Z>CR70nwHADy-WYryv z-3Hl)9bQSZ#vrCp)wtw=z)RP4T1P+DHSFgs-;PG=^=$9$^m8nm@b#qG`rt7Cbme>a z=IOaM?ZKbMz0L}l$PW27MrZ z7u~5>I*Fg|_X97wJNvF9I!Gn(-vPc^@aU}=b(-bp)sE)hBy4XLRUnm`TXQZjNY*NMGyUl6GBi8G` z`?0E~C+bT6{_$*8kUP?&z|Crm*fz0^o48Liv^bYLcW!%YCSvJs`e3xjoLd=3V>V8{ znY3VEkBQBD`}nBZpfjjO2?D3wFy2=PWI0dR7nwx+YA)Qqwkq6bOwzek={g&~u-5zy zu~xzn&L<`1%b4MuO_w>dIbh9d3?S2XTBjoLFt*J!zMY|z*1KtQakvh9VjXtGI`}(f zZtKN{zpKZkKx}TS_Xpl{BbZ49_-+3V24$4X9#;P@(bNl zI!KcCcsj_x-S6n0etV0jgFHc+Z2BWQNGAXP_~zM4qk;9zmyZ4+owgDFX{^G32fXV3 z0smT5^wb=3+NI}1_^hAe`AmfVL>*gLxl7Nn<`CK6#S6Xicc)&>NBe#7f1qun%^?wR zz8X4zyQodSr#;KuGC!p z_J@r)ws=2ZEpz7~BQP=#&EmO{pRI$$IqP|ktAn__HL{*^_-YD$+OtG_#hDCbi?gS{ zsL%rcY=#!%E7{=#$W8G}4RUq1eHk?uCq8GjguV zpGUl=^^)?`8Hdix*F=5ww8hg)ZUhfw#nfydP4ejMuzjODzm#u|JnA0ySM=*78Pc^L zE#@copoevmQfRUldiZscPXZ_T@Jtb`C0#;86mw+<7^Xnm2Tfe zeosaOT$)Nocrx3Q*F7#S+g)6Ga=O05nadsD-ECHo_2S5QVx5bROf7iRy(iv&7Itd~ zk1h^{I~$)xc$6F#E;oO|hfnN--dg`WT=o$~`W0~5HVQ5u8U>eH@A+}LpFGZ5ZDif< z$y*PX*NuY9uL7s>F&Zwjqu~-+r2XKLy+QmT;L#CW;uirfWy4+#&b@VZ6Yt}ZLCk&Y z#E&7~lgNy6cW-^JzQ@`BiQ2J1avFOYkKtH0_Z8i9!MitqN!COu+nc|xqz_}Qp~IwU z{u+@rJ^35>HoS&r4$;1@@TvX0WANrNcx^d(@MVEF&%akmyeSzY-uyNGsiLiH?H+Hw z7dQ`}e%LbPh1NjrF6=R2B`=oq?$>8-1fIO^8fc{M@xV(L(tM-34+F1ui?*IVBOF}| zJb7*3Q*UhCa&lz-v(KezpF2MG@&6(}#d6o!kUdsDHqav1gudzMGsLgxv-jnQee3vp zRztsbq~mAr`K)_( zG1=CR11r21!)uJmKCXE~bh!h%6w~ylz=|iFb?u0bDxMgoDRa=R;t6=(Pg9M9?*T8K z__|Nt`DuFCrRf=$meNs0SI?GFi(O1--2?58U2J5mIySNEq1|c5v?uqZufj`(d#Kll zC-S1L=<;Q~vuA>?IyrEWv!5Duzp?I_taFeWzgl;Q7vJNiX+F~XpL%5X!yXl<-kWOk z@W$j6yrMOI9erQL^QtOmEUDjXfXDBUF$he=X)E?wd!OH)mw=0r$65CfAF62GQ$kwt zx@Vs9+v}c;r8>gYSuuql_1;CAWRTkG&4bcGjxr~B^I$D`#c-krTNnFcfVuRE zLF<{w5KkvX&a-zkLOYF`}5;yIm zJ?Bo^&+NS%r9U1Ie2sD(9?&}d{Izd}G||NKyVzswvVNfaHV<%jFy(KftUlgicrO)2w)-jJVqO@HxrM~K`Lt|QdJCfbg$P=TUBc#h0PW`)v zXUW1p^Y5pJ_C-a9Q_w}U7!(b#QyEG0__@2RR001c$z{fMsj>OQYWZFy9=4hWHU4fc zgi`w6dm@O9s5$bmJumOE@!wYHo{xVT&*QRP<}Au8e;7#G8KBfm)bO}3jaoNZM6iv`4vBVO61HP+dcA>g_D*{)-g&e|8AqEF*E2bTrk zCDbV&xUx^wT4(St+s!wX7w*E>jQ2+b*Y3o_Tz$l`wMm|2@fYBnV*Y8$(!cDc9~91F ziM-CgKZM`WQ zj{cR=S7S@LjRRygeA;jKVH5eZ52t$Tg2+__L;0GmkU8MihLJGxkFX8ieAALB)Ske8O+%1sjXq@#8>XV&YF?78#uJVSIe$s~dd?hGaCTFALK4^{4^%PudK8HaRENgl(>@By5jik1s#dZWb1i&o~w`G-eeiY;lNF&HPsVgtHm^x9ORm zWUKBT^~UpyjN{e#NDO{9FsyfNMrOViy$grr@)h@t=v^~;zZ!XZJ$hD=v?7J?B)D^Lti|dYua@1MOmKC^?n=2qw8EVNR!TWW*En7@}J<_XgZf<^HK60 z1&3S7qdCfN*ZZ`qbL}CYbf(wg4=!C;_P{;BXxvCHt^t<3W9nSevjjgL_+>n|`(RfA zJDScFbak#K!7?}g0pC-}XU&!V`Efb$)DCn%g{Ij5iRs=A~8ao6h66XO~s=@R~e6 z=UUsI3?#bpZ`F9jk5Rgf;ugwAhuywhWsT_I4j;@VR;ss_`T)<$BYy)wuPozx7`;gH zTt0Ez|D-w1d6zuD0vt)6*T*vUUP&4Mic1=G>*lP7Ex%j16X0*ahx{C|pFLT^cxxuM z|1|jGw|v+CF8#wR>WBKEF{ypR?+b3gd9I>9<=xD;3CMAS^Yh_YxJ3QN_B;8IyEpP9 zViB6|^L(Dqy3cJq*In!EpL~$#X7~9Ho)hl#M|oc1KCk4t$9+b0PTuW4ck(>wKCe~& zTajtkIQuSrf@yZ1AK*FTK0nNJ(g62HyS=w}lzHtD`jPT|i}P&<-`aiO_VDcizJ&uL zuz|_M=H}ZnU%u1iThF)EzHg0u>*3of-?wReOY_a}eXHl&eSBN)`&P%dPb(kwipC-E zos4x`DSqwz!rVo)jQ`dAR`E0VE$4?9U3r*&gcoDkLVqx1UVU*oe<$Uf&3fg$H)jAV z8)CTc0c&k+tr?o`{9ZIZu5+N}FQ&4E7p_~E-}bg?CjN-%mQPsf^UajQET_94CcjAg zG>>i^AE1to{JTjz)92N38|OE>?YOpKd?mw_`w@6KgdcBtYOPt3>dA-We{Gk0HRWCh zY#ICKDmM~YmzQnkTE3l*dgU$1ouNGWpKGmM)_5~+H((Z@d3uH@!lL`b#i?QSxn!rp&eoT2u{NfVdC5bhf>yvy>5j!)^KjX3R^tD~qVdW!_cp=aCWu(t^(+`t=igGm$B-`q#OJ$y-yqDbX zg1b<-0PZpy4*z1(SNPy6UAPr|uW-kP$}4lfSHNQt-}j*VJ)%3bXy@tJ8f(wh*lzgW z>&|CUWQ&p7Ze2s2iq)aH%$vs~o0MKb8Zol++b(f*Kk>?Yl;54lRJM1PSn)M9FSTFA zJ}3FKZ@wJA?~jt-lfBtL%hz$lM$^l?+HeXV*O|c0BEKgKKL}g~|5UG?7pUGb+L_Nd z?L0Mgq@9-*wNv`3^wQ$?s;y7Y*k!4`hgfUO25vR=o*4gtr8Z~`==oE6roZOf)>xj5 z{nDTD7cYOny4lUAXZ2V4&XUiQzq`m6CG93RpPp}b^VMCu#`0wIHuB9PO>I!UdcMug z*GxW7Zf_)C8)>4Q^66Q0QN0QBc`|(+`Bsv4g_}>$*Sq;vkk6C%Y4Y`vc8Qx$&u@40 z^^nh-3&2bHUeaFY=F{_ZH{WjZdGo|4$oDj9@%g)~N;j|G-{|HYB(FDz+)ZASF%)+5 z>ABv`S9=Zq1MZx1C;5((_6KOKKI^&K&DYahVtI2dl-72 zaMSf{xao(W+2y3Kmi~(Fdn0`L1kX=I9KMv^{ExsLC3ei~?#~votYIX#X7Nqqr2C3& zp_jY?BU_Msvg@U-tH`&GJd&M~n??$M0A%Rb;QxQ2KJ{k@|DJt9>lf+6THk2Bqy4Oz zzY7_a2HMZE@Zd!L8^d8E#;^U~9UpJ$&C;2rFIVuabx;fcx=*|XSlO3!hBku_=x939 zN028g7HI7wdFI6FQ9eCO)_6Mgr+E%f*=n^gR>W@sbD}8E3i7<2JjckhjAxU4f_*FB&hpJ*?HsOhz8RF; zLY^y0D8nB(x#C%jWwxa8xa#Y7t$Fn^uUTJz7&)jC4B~z`!D*bzP-)u z=Nb5DKYf&K>uLCMf5Nq)!K>fn`&hne+ewq1Za?eBDEO+X?8^TO-yAz#7d)A@`d3qj)(N&9kn+Ex{I>jc&a_BJ_IzME-SQW^!VxAEJ@?-akrlCUw0-*SGN`R(ARb^c;^O(EW%MZW8pe`Bs+Q3X7{n00z8 znlk0f5#%nT)SHCY1-4$Fxk%6KxzPR|o-2;5&&RNTR-d~v=YKAb#q-the&~0*9+-zt zlbC>wkY9}739PAl_I}fR&)&DO{spU8K~2z_`Bt_g;+7Np4nJb_LHk^#*3OsX>#Vib z8Sr)noM~Q^t>;1ERc*#jtN0w+2h-h%Z=lb5mLFP^)+V(12{&D1n6)r-Z^q8A_0hlM z`_`=d)T_8sz0{+6Ea)*prHtImEwctbTd@ zk=&5)mBCv77GSmaqB>6FgQ~vCZr&If>DyBB{C|`qez5I6$iNlQ`V@3O%CBM^e8FDY zOlaKFAz9`48NVl+t$7puYraSLaMnC;EhkU;tO@Jv)?5=kF2vDpP4z}&F_oV#`|&P+;L>{`-GeL%g-QwfIMM%qQ^di zc(Z*5G2BT%+k5Po4Qn{NP}KfMUYWxOD(ObVoNRc8NuA~9jB4MyZx=9#7Rf*>fmW(vX(G!Kh27{c7$7jxs^0p%e$X=*+O-(+lj{eI_D8d zcUm-_(l|zT?b;h(Odhpm$MY(ki?!hTu4m*%0E0fpvA@CZz{mrJ*7ZjCVB8K2 z*%f+veD^nVts>l>J3G%6tTZxIfedMEQY%@~J`3~;jW)Ipb=o_{)}i@WTZh5$F^&v* zdtO)Yt@{IH(^tTK02Tb^J}TYV6qj9jT>xISu84=Yw^41GHQW-rqAuB^VnrPJV?QO9 z*g<^@iI-mdTzNcL08HI2z&TJykK{(0;v=laWW&%EaqRD6bb@&8X=Stf`yp{!?=2eWwcq8*e-P%b_byL=z#hBiQhC6a z4C!pj2hhF5@GPHmc9Ox_)<7x?|UZ z)8yyeK^GbF^v?rEcAAas?BsW!&s_MR z@!M=`eY%u-Xx!?>W!lkvk@)WHXoeBj)#hSl@;1UeJDM6}LlcLd-Z_GA5U+FcLoAQ) zoi_cf<#zDhY5&lE3wb@83#a{)Uu(WD-#hbqe3z^gh-dWEv&mZy!V5%v+?J!wiJ^P0x6S}v5&>4pcc<=QE7dm{MoEigP)qZtPSK}!38M;2C zIVJ7*TAXCXvcg|eZI!u`HTm2ZcTAH#HkIBl}=}TeS~@*t}uM6!7iEj z?(7ss5hp(F>EP4yWTVP*#$?N(m#fcPfvI;5WY_jB;?@2}F!u|okAY`z8lGV{g--1} zUnZXI^YQGAEIeBWJn;-$xGs4+couC*pDdn%i`t~eGu6F;yyBVm;xw)!+Q$Zt;(~b_f4h9{{G}3My8|?o#?#i@5raNpU-&T8|w>4Z>;}a;x*QPwL`9G|H|X7!N%OS zzXN{mAk7ZsDhw|lg^wD;Xza3(Z=L-Ve`)u~MrV7LTw#;bVLQ1N``@|1^7Os%JVJSr zE9{=SxW!Ln(GTdw1d>+ z&5BN)e5+{8_$`}F_7%jbt&867{A+n~6=7amZ>GPNKHdn-jiiw+n)nEvyR=Ku{%vR| zdW(kVLqq9g|6aS~O0;J$u}j{Q$%_qb#~B>i{by^}YspXAF6Yg?^%kwAd|>E4!nEtD z{CeZ7vCzHK7MXTg=O16WHiMsv_UKg6J^&9^u4qhq^!LU}9_^j6b8}1jByjF-?0n#B z?pVoB`*TR|jh&l)_f2}VA5Z)z(f-%i_GZ%l^W^(9v~MGCHrk(0{^QX;|1H{0$KE-#H#_d*1TJ!lD`YmTH9(L19#&n)- z4{{Z<*z-Zoo`Ht$`hvTbHvk=}wz`cpvgOk_@hE9j_ji!HX2MrSP5z3O^y9>7tx+(f zv$Pgi7?w_3o4lJi_E?g0JKDyw4?aaCWlX>)Vf&Mb;||WJl)7qQ+5@MU9~b zS2T32+iUKzk#x>lGUKQcaOrNX`p;i=b!)BRzt*aUIrY&V<+8NSrDELSv4{9ik`LL_ zXUfO3_;}G^RcAbX_?N1PEFi=%Z~Qu$Mf26zG+8$ zkGqz&e+L}@d-dk`^H*QgzI*k~_HapYdx*3-ym6#+{M#wJ`OZ-L?z?uhw_m#XeeF+F zUA?0{cvq-B@9JgkW3Rrjz3u9!+r$6-3;rKm+q@yKy$w5wfXX^oWh`xf7#NA0Hou=F zf9_ouwg3F4Bkk4wcC?SeAH3@F_1R z?S3mH%IfyY+)i7k6 zIkjxo3z1FPJ_nlCzOQx8@x%=VPxUpqRxI(|CG_9?az`@rps6X=q)N`<{)ZbYCM1J4 zZ{Km3gx)Qot+3wsg%ond+-hx7`708Hf_n;n znX7rI`reUy$+!Ba?`d7SO>-amzf-{R3EE&e zH?_8h?>xC3xk=r|w|{-3lKz@AmL<9;LHNFl{iE9FWa|7LeRd&uYcJHp`Fe0BPl~ey zUErwSXWgr0x6%UV_rPhxuQ9EccN}@8$F^tW#fH_n*Ie{Xc_m349D8^|q>P+{^Mqmdyjx z+x~|Ah8cJ09?6e#`9ISJ2DXV=uy8re)F>HzdO7Y_%26 zGnEuMwPKb}1Ktks@wS?BooC#F;9 z52*8E%2A!8)OmauTl zQ&N`QlGa^h0sHx2p!9j(Qfx45RJ{9n$&1cCEFNB7UETp_^&KbOxc>dWJ+%4#{n**5 z|F|4IhOSJVwUqgGU4F6$I$3>5Ptz{dhdhXGJNO^gyW>c&{NZzo+gs7I%A1eQP@eIe zGnsrJXHCd!wX$cV{L*LVoYFp)xQ(wP-|T73gT9L&)BfQ4bS*H}57Jqs7&e*r@;fmR zZ0;FdX2#=Sf)G4ztcpoq6vzUVz^E2zvr(ge_)E`fS>__DP+)PNCyqVD%vG zY4#9RhTw?S>p4>+{=&PGP*eT;dNWWk^|qY{Q{P3cl$Ry0zIl~Xp4D8Rrb9lY`^2?g z|0ed2-X5C5wi-RGHNval-%ao?|2X9h>aM(vo#l1PAUv{>!*hO%98OGqk#$0iQM6XA zdk_cmD;og%i-JMmQbql9>sH3~emL`()MG<6uE>k)P4Il=M{j`#2i|+YdEcy!u@G;6 zpE6}BI)?S?3H1l|ywp{e-hqc-r#^e`b!3#Y#O~YXPlkV=_&{e~;lq=WUnFF=v6Vd! z>Fi*HcPPRyB(z_+K1;rXoN4s(x$FO3=T&FYc19XKT@S6b_ZjWuwh{GlhwpUtYd_%o z+nx05XUJ=rybEQg8pRfi?;>CRIQgYtdu8PR68U?OU;13SeFpi}Ue!(o=T)DM|1~g3 zpZX_ub$%Qwfblo%?v@xB6Z|lm*E;7E)z(#yXMsWb)H@k4W&&eBFub+Ta$uALZ)ElzzbN=F&dFvMH13Wv6-RN`aeyz#X*IU+`==f=bsZXey=bjT)AFz_Jt+)?y z&e>!1uFf?bZy$_-L_zJX(z~ur%sGxMPSIT^O@YYRWN-B5SjW#Bd)V|n7D1O|*K=c8 zL#h{N6C`uRkp|wWS$e=*C-u(3ICJ^Bmh@TFv%9^kBVTdnCLeapAH8d z5BlvR-9BhoL7L2cC-v*hSvua9N@269bp?OFK9PLt*E7%1e3iWHiFB;9zAAf9Ccoy3-X4nb4p{V#`0T% zbJi8gIU_Q`TW2};-0unSt=PA|eTKuw$tlhQH)&qjOZ!KGmIHZ~#n`?sSqCo8o&#%v z`@ykeUAu;RiQ!3i>)OqRU)wwQwLJL>Vcxp7#zItIXkmi9fHkZV+FGU;cx>u#O z7pb`+ox`I@GMCLE9@y>)K^@+V6XInf-~=+JNqj zveEl?U~_u9(wn`ba^iHKwd&{Wk&sSjP3uUbeaM5*{LLC;(^A2`go5X+d3Kgn9(L~z z4-hAKJ33@%MRKgto3g@;-_`%dfGOG09`!8ZHHP-{e>ME)ZcDeV!vA-$MHT-gOI}+Q z|F0)6X`MSw{rQn8=iL*pt^S_;@{gcAPxi)iOAG^y{`2!BfD@{J8riSQYC8fSNqVc|tPDEO|a z(@TP3;=V!HiFI$Kb%$!zX(6YD6;Uh4F06+AzHe?$>%2X<(ndGH1qT*uJsX_)L@POL z-ecZ&F=IvIm`4-@B9|vm!~bjAP_XsnJa~JAD4ui`;O{zjt{4NG!;^Zn?~p3c{Qt+Ixp&egk&6F1=2P#QPF| z@*BkGTbrOQvdP-OiO4bG>4r-6`?1syBaMl@LEZ}>{~qQ6Av+g7PE74$Ub3r&Ihh^n z)7AjZ?6v8ggmGpzfbDM|ovYE@gE4=fZG`9Wjy&%jq_^PTl0wh%t#{99f5E`HvA*m3 zGRJsiFWY70TeK%%GB?6hz8TQ{Y9U&4A#&q=9! zf%z(9`W|*;yhnt4((9g2PKMqHl%-OHzqt<2Z5z>^G&(3$=OI=^^dLC=Z2#0 z`qvu5)|}L-t3FHmF{CXpylTNOUWsqIj{urG{sm^)0zdWxj=vGV)>jo? zkDqlJ$Nx3_@X_(#h2Jkbbw+k-Xut2#@6_4xlyLb z2j;8{n6k-R$vHUTaU*fPOuA|K3yuE<{3jUy7c%mnW^lS1oTgH?-n#H``XV@uBg{V^ z*#kc1f$$rNlE! z83Lx)w~hT~u2qW5>0wzpC}kRi6~I4A4u1|RIDi|Ce>7pE@sGl3UZOs+kiO7HKaap^ zpEb(w65Li?8_pTSqN}G?dSlpQ&tP-6P{GJi(%IS{oRreJ?cS^bF(+x#oMM^mw(N%Z z_DD-Y_CJGY=YO?+i%xcIxu1Dibs}9zW0dlSxaKB1Pw#xs?=UpzcsG%8Zh-XN*;>DT zyE`uGw5e-Mo=SRmT-3;#kUP%Z0?aLpRdgoiQsNnx(l$Eh1;vatG|sIho!Y~**wHGl z_~f^rDJ3syQ*!H$%u)=GG;ZngZh-8w zD!3D6DSM>qPixelaz9_W-;Tq*pHJ&&JJyLm?!EkXIQ;p7^S0j>bkcHcU7r}xkj$~_ zSv$BF9!*GH4Uc3qc^7H9zc1c4OtzGLQ&aes?)8}Y42o5 zr%TSH_YWgCg9!`8cxyfuJ0sYN-j80HWNe%c*snQv!0e^{=YeNVWLYwg_vRV*kY-sj z*t%Nh&7NtBHN^{Js~r2TAwyr{zLQPJA^j3Cv?m=#=QmT1-ozBIXzvx zmb|1(Jwo09=a6ma(ZKhn3|NxI2Y@A=C0u#|OY1Cq!=1411eRp+o{YRv@~$Fpo$@kf zKY|^jw{E`PT9W<_EY{|F|8AR7Hk1QD}7?l66V4zcGktY`!QX3gB zSj@Mi2BglT&`j*fZQ|Pd=FTAe#egqFW>_|j?5?di92(uuFj4PQs_?Y$EyzJ35~$)~H|I`cOo7t(Lu zdf)BjKLQ`~`v8x6i;rpYlFrd@UCyzP7h6>4oj}RM&E(CL$Jfa#d1SnXJav{Ud8Dm4 z=c<%$s@8iVziORI+c_CF3@st)IHhW8s9>`)vs0{ zj~04z8SBeIYiazc$U3KAy%AZ=VSn)y=0VQ7DC^O(E2HZ#SPssfyzPd^jy)dqJD>Xa z=l!3TJfo*X!!4xSf}CxErwd8vhoO6t8G|Q!R5rvV40iOYsuYM%JJ)fDa7rC&KkoN-rCtd0)%T_GTZr^*TJFdd5aav zQ%UcwRp>2E?%sCpE!_owcQFQd72R_QX|_<7cYpUT1IJr0+i1~;_~5v5*|~PE_Vg|4 zr}2U6_oP$5wdo4J7X#mG6E-l^o*tqs|Jt>5^!*Oq-29J{e-L@geR=zkS8ZaAPxdta z(>P(Ik-GhxjWb43zUE<$oHGaB5B;=0>YdwKM4s+!Pse5OTXzwzCd`!^?%?RL_Ml+< z*GIoc{0|*I`qoFOyX0JJTBp^-Q<8-t@KSOx+sDg?$?NLW&b%whE1fF6gFhv`Y)g*H zky*k@O@8U+d&sZ-@eUn?42a*8jU4QQ@7{Rc=>zLn!}RzL{T#lRAIo>nMis2_@m+kz z9wmidXj0qI*j#%L&r`nM|9f-b%ZW8b;dFB-%(>x7@%9TEM&ELA!)SMo#$MmZhS33g z^k~aok$zzaYc_pfhzrJ;;WHR-HDZIj*122%`@qxPBU(@EAKv7oZ4Qz)yds?~z0S_< z_jpU6d1O)dy!{Pvl2f&Hx6fRjETTM*x6XS&HH`J@-23=r!1m7Cl#z~c9Bs9ePX)A9 z^iqdi{g1E(R$l))rrOGxF2B8Xwvmjz9s6$ht~YXXD*U{5-*48QQSqZ{;~Svyq|{7@*Vm^; zseggz^Ep#}1l=3t9g^{cEgv>39$+6oSh+l2RL-3ktS$AAvPMkZi!0P;SS75XAJSbe z8nBj6 z^0)f(e}nv_O=XrZxp|8GULSKR`6YAy{$(Y3B{$#6z`YlF>EAkJu0Unixo3rRj$C?H zG+j)7(xx8t$(`Oq_GE6Zk-4$Rn`CZ{k-Hjxz5TA)mEEruoDYA-Pms*HHtt$GusE|- zr8!)59eYsN^6?H>F7q_(pY%4^h7jlLa;@^Xx9=5(KavmGg$+D4mZ(3yGM;nBjQG-l z_56;Ff9@!C1Lk3e2F&Th+%3X5Nc4Lj8oUb4yt^;j7eZ^~ReNo+cejyY(P2Aj)c0?P zHp2}~Sr0fQ{l6w^^4GMa-zI-|_M1-;mubKG-ztx`;n+KuyK52SfH{t`qLfukS)8*x zU}WOhj{v-;q887#HYoEN7Dc56Slyc2xkvuoB2SwXz#AmG*hm# zuMxpE!)i&(woG?VIQKk+S!XdcQ~Q&gh3I3|Cp|*>u6?|FcQngNCF+yBdW@qUeM#6*iL3!zml?(5_$!c(w+_6K6fFyz@vJ>`8D9^e?Q1>H)15D2?<^UqfexPTyO?~WGyB$^WBb13)xNdYU;l)>S_>RZ z{xV6$SWD3pY3QDg|Bwrd9lUtus^(0-#3z9@zQH2_Ho)tK0FEe+6n8IC7RC{ zXS9#~;N))~mxEJxb{!?esgLpIshYQZkuaA(o$bRvZ@HWJx3bVi?L%!sw8?=s(mj5= zj$6r>t$mc3_Tka>zpzJD`xr$2NVv0oRg#~yUD}7IbG940PBrwL>$MHqL-PdFHp<<3 zOK00~=c?E(x^HTjwsEmT*Ds{5K<2f6cc%FbMXreVy=+0^F>JG0hokG8lq#-RmfOD^ zM;@6-4_$1}u<{vZ0<$H#%kWThM}OJk;j_NB zu~cP)w|MB+afhj!c<65*9uIdL9ekLmebiqZyXghd|KSWr)9~O z4n8g8E&%t9iD|%`MjF}sJxzRf{fH;)vKN;Q@yq$Q$>+5bZ*1m2m;0unQ|QG1z3v$F z3A*D#aOqBW^dc@(cU()@@pMNG@t>qS{tG+YOx-bqd>&2wI{DNL-Lap%*>uN5@{=~J z?l^4dImpm+1izj>9&{XiEcwXy>0|oLlE9^xC%;lSDaE}KUB)c?;jv#Q#}{-@*Qbfg zr0a)-9gnUh)K7L#@{=|zO^Xdp zml&F^7fm$=tl%wg#$=r~zsKIe#$HpwT>3&!KWYr9^F&*7m%YZA`;E!Zp(_i&fbE6u zn_QDDim%an=??<^V~>S+CzrkV`T%FN==&N16{C_l@kaWSFKADyB@hWN&9hE(_owI= zRn{YItO>AovgWzQ_`Zr4xaVe4;=_vPHD5os8@t|2{e2N|#s6QD z*VEr4W_R9aG1%~MyWwF7oODKC^G~JM9LwgMVehb&?9DmDGOK~L+XniySSrHV5igzA z>H>AFHQY;o!`$2|r(X=SR>yoxXViif=By22nu}|kv*r=a-`({(t(^`QPXZqri&|h1bsA;M2q-*2l*(&KU{3L7LY`+&%io;YI$? z4a{{r`_R&``(DW1#FaAE2@mx6)RcUlutCoJy@@^g`^+A_BU8@!>J0Fa?i>tmJ#6;q z?bsRp;b)+Rxgqt{8^tRQ`3lD&YJ z^qx!qa`!#rhSr97FU}YZ8Tf*;Z?E+{-2*L~RPEbqzEFd_XbkimxIO_-C-WWAK0jr; z`}Un{bXp@~&9&ofc{%c-zTQ6udIC6F+wk9U)iDNQ-mHD;5k^MdL`JlJ;qaRJzG--! z18>Fapy9QjsD7+lVIZwTf{GWk1`zURfBRh?(r^9#q zU+F+aZ8|4B243JQ-ecVOLON()9?uPrid*(l=s6+5dg|kz97K_Wag=Al3(0}xLG~JF zQP1vV;mAB!7K(kca9I~wSbvNxFb*$x4_bDS1?CBXnz2dIA*ZgZENHANd#VS3D_ICR zvM|+^1?)FEWFcx~0XvnTkpPiJJx#8@K}q28`c$fj3vF&evL-EFJ(A83vxZb$zHxtq2w*E+QF z%eQg4aW7yZJWitxwt*mq{mg^rsBroM&JhH zigEe4539KE78l1&#*M?RnHjW7aYe@UzddM$arqp>M3GluI{W+etKvP8NtK6wI#j5$DD1hu8Dh;|`}=kebjc*f z2&`S&jVJ3HJG8zE)7(=25aF`l%#>w2nL}we548)BkYz#M(aft|opxVZ!IlFSoGQZpFv_S!?g> zdBg0LglLM5Zb2<+x~x-+p787qV4aQpOajh$181Ls^VjySaQ+iGr9L?9!?=6_j`m+3 zAWpEXnwIoz_%9raK1(0OT4Eh>;<@(PUxObPQQn&)yW+hrqrCGeZzpLyyjgqfDDOAY z+rIL~oAS2ycgqX=%KI_p^`pGGraZ5H!!pV{iSow#%446dqr9gnZwGN+{UWBkk*2&D zabEp4QQlF`5dPwQmxo92-)8*(z%Sl!ecO%WT%#jP(hFlkR@3fZetNxdQOGLUcxfkn zD5nEo$|=bQC+P;~K1|`u9pN96UU!7A?C0XkxFc~Z_`c%9ZGp{uIQ%--ie1 zCp+-?9eC6c=i!l*T`73f7(7BgJgx(e9|#YdGcgtQ$qP8gVAb544%OY8E-$?QAhO(0 z#G2oh5@^&mBWYWs(Vh3E-z?$GGwpOZ>wkSlHYA4fE_H5L=LE3%k2E85R!QxR&kH`*}70i-dH)O|m7;rCO%w7MlqfHK+k_XeaJu5l< zLh25FwtYo%Xa=6tukTIp!K?p5hd-{)UO;&KV#6QShwp?xnv?A%Z0@P9jA-nB@Rp>CdO6 z-v0RL8=>%6{Hv0GA^jUF1Nd!caejxs9JtFki&8JV0^t1IZ(UsW8eFB5PKTalKmYXf z{0#KWzo?VXzx%k8p09q4-92$0J^zUPs&qjWVWMaLDV=;C4?Vx{!{h(tSWVkr{PcJ{ zmBU-g7k1+Dv=5Ib!Q(yRJUo7C@OYCj;nDb+PCU*5k2S(Wd`137|J9|@3LkEdf?N3C z!0mo;+s|1zuV1^zhudm!i~4Z8-{4kmaC?t8w!F3w1h;B~M|-P_S0&$;eJ?hg&FL_5 zBi>&BH#hD^;v5+xZ2y0`VN(dpNA9+PkJi@nBX!Gywks3g{J>2!-js2x$umyhh8_XE zzZG5;@B0@w?I^_oZ<>J@GVlV>?p1@w2m^2WZ`?d(e5)-y`cwBi!gppJW|{u-Y?JmM z=KD;+0+;pN3)0&PBnN7JMr$)#m!VH6WsOE_GyP1y{)gQ%PT{-oMb}>>7|>*;i3{^R z7I!v$;#7$RDy1VDrCr1>y>5o<{ z=k9|}8gsUbKE-X@(>Ew@GQ(KlpUE_k^%^Jm)by9VB2&g==^LC)i;{Nur!`jQLp z%?x<$bB@0IJK$x~_*c7K-1ibEdVKR2?)M(PB_k^-+pDwWL~Vt2NA!s7`pYol_bHR+iv~{QQ8&*Ge5Y@GF%zBiWH0P2=uy1+D&2tvtnew~kdv2UfoF{kNTHLUHS<+YB>&6xN(oek44ePD+ zk$auGgd=rn(QL(fH!k0o{)u&NSkQzi-BAPgUmtb8i}T&bAAfvyU*1ZZk?YtI$p&Xj zn76=KGb+XHJ}G3q*@t(N2%Cz33;zE6uE&*;@95o@HJh+fTm`d5-9>WrsAU}?UVD&Q zn>t8d$2Rl2o89cz<2T@}I_&zo`~5QCnQ42PxED>@ zS@*bUpEutxtaZPix= zr`HS|;EbWhqc<8(pBbk{!~ae#K2Q!Q^Q^ z;C^4DZ|WOh?cqh%d9?=d9KTxoIhT3G`;t{O4|OU zJ;kIwRcR?l{ZBdby|FJ0_|)rgWy~XMh7aiIf9#Js`=8HJ#%$s|oj({mZ}mTm36s8W z?Wp3eBOWY6acS)BY zUY}lV<~#@Zw(JL?>3YU_jp^m@xwK{@$VvC}@4Me`neS7dbiaRZzJu?&->+rB`Sb7H z@Lv;UdaNSEf=j;pP)9p92j>)`FYoF>nW&7Z*d#)l*>z@7p zL;Ta(+s5P`rDxwHf2h0eS$5%obQ$qwpBa$;GjV!|trYE1$9$EA#d)bcc?G>|wr5nBsmj%Uvtav9-1| ziTQqG`W4+*+sfUBb&Q{DaL}9g0uL1q);)g{d0SfNws;GrxCHo|54;rHN^3(Rhc^%x zMgIIJ!PyhAHb}4h75D{|S&v~vTFTA@Tw|GQJdf17R zQrNOxGVJqXlIMVf_RY?t{-s}Ip9^>JtL(KHw~ybQxUIOAxFxu$xUskhZV;|+DSJTt z*7B=-75qkVV{s8&7^mnz&9;r8O%?j{bLVz@?J z4Xzv~{mn@0nV#@Yyw`rD!v4wGbkSd7n+XfltaRR$&x02V+d!D;CYsERtUtNOtt|(% zPu}C!h6Bo{eU*EakF=7fd+^JKLhW;PAM0HYw#VP)P8s96l%cysjxFO#r;IdlJ!{6r zJvg`XeUy7xCI_sSMqkQV0&H`8G1eJjwifcGu3Vgv(ohLgm&h7%^I>NftV_?gH9`y|ewC5~a zMo0cQ&*ae(uBUobG{n8{oPo@*?FRPPv2hQtbIP;A%dvS_%D&b5wDu{4Pq7cj*J%&i zXVLD%=+q_4lj=8uwB2xXBYj(ATK8Y-ZA9sf#dhD^oN#?0XkDMsd5b#MiPZL2p1daA zc)`YW-I*KlZ%lK>Jn;a2-U6i!Hze}Io6;LESd)H@a;1ZSp9sTiy^*w%H3YTG#gWhC z2JNMR9tQTH9-J>cvkth;z-7LEGHZzrT>3o&HwQd7UQp-YDS7;Wb((zIZdF8kM~qLJ zIk#WalAft@!_j0oyw-WA{u;i+;H0-3E&2s3@_IS|9u462q}|LNYA0zgkvr5TafjNZ zz7x+-ai4OM)5Z;@VrEGT_{ z(uo&3gW6ORf69}Iy{xwe@^47>tb6IAb28-s85mC3)UVK{j0~y0yg;5V@<@pkM=AyGR+hUJ_>kgK;*$e&y z2EKQXxombO0-rpI>Ci#%`(=e$=i+@SaJ7!4F_G~8wSil1;EwFmiT6l@H+#rVJEOmR z30|g$^8H~2>Ds#L?xHNZyH>ipZB0OL2TFIx>vT5)eUtiwpXaCNQ$}~Xxz_0BJanM) zvd@w#J}V!)rN;CX@Td`cDb?X&>M-W>8fP+I#wN-MH!{)-_>%W1c5Mz_kU8g$3wXG? zCf;`^d>jcJ{LUWlYG92O+~&0%_X|kY{!!)1S}U+BSpU%*Ufy}U{33T6Ek0gv}>R_UirZc*?_V4q;0FtC>gN)p)g6EAKMa zK`(pX{>3I=1!?lJ=lln<&iLq%?g_Ywuu$FQ$w95lk|BFXdb~+Hh45b38!4@9adobv zL%y-`83f;Q_N`4WF>|ag@z|RDoqVC8$Vs#%{i_A=zx>!Y*GE!@AI3J?knSYxCfu;`y_#S&)&>l*NECM*`^j3qLlw)-0NknQs{TYPip z-s6!U#XnBm_(<0`Ufw5c8a&n+VYN%O)v4e$HO%{e8Tdv*A!ki@5baa#aq(}Mzf8%s zDqdm)J0sT`k;^)8J98A%ersu~gYjEd$ok+7?C40NwP4x#k0V@XRrTIuMS%06!UJAR z#(y*AidWHC??o;QAb-v!eP9gpj z5>_}$V_j$keVc&O-Q8}}iPO0Xoins)>sqIHlrYy$yfM8EI*AX`k!_^W*&*4FzCap3 z9_tDBpc> z^#h$*4CGHt{e^cHlpfg5xa2L;Dh=bG#NSCjS-OvM7}KY6!n+P=TsRZ|dg5eDt8x2g z)(Agrl#LoXknd9&x4*}oroRL)D;i7fV-J+Mfit$Vu}iRtf3o$JM1P&}WK5y{aoMf3 zwaArmt<5{*ExRec6&O#3pJ(2Ky%Ml=Z|Jt3+(Blqj5o8NDw%w$wJ8yLa%nm|V`;jG z-{O;&rtbkq3*0mh%dNRG9*nTw5Bxmt@XxDhiWi=~QnYj0_LGsHC(hGaEon3+kc^4e z8ncPMO7uCGwVx}gx7H}6AIt8+UUW6KsODGxPja6ot(V68 z%`C$U_kR6lW((^sUTHrmy+5zt@6YG=D~$)&lR@V5*r&L1CpnKoJAJE<{oV)2p%qH& zP1I1hkv?HOI=i?Z;8>Dr~5SN2I_?^sexzwGE~bk8lo z*1c<3PRS9C*$>33j~iJm3xT;I+1-uP2>g38wTu zV}z1IVDtiBA?XT%wUIQZ(T9Esc#<{gsTS5D)gJSZ8?WuqF0ePEEc&AhB)1mx318pm z^(UVJp8AvR13ewNGI@jWaQc&#>6bjb4J>qcfmiNrrrdW(p9$ZotI6|M>N!%n9-4hP z5BdXpTp!n-a;(B_x6M=o=K$q-xc#}(#mzf2V9{?3^WpX*((Xr}YMnO;T-ld>!1o8} zR>9P`ElT?TLprZN-ly`RabN^@`I2rteX{hvhr?LXznD>Ht&bN{hs8=q9exfBofFZS zA}`;C3ieocing4-F2~s??&Pd^ScB0-ckJY%~rYI!MP@Bl|P@(iK4@6pbPxw zj@;xcFa0(0@^zBnQ29988 zjJe}f?w!T$!CBBPIUS_t+%WGIlZuZV=QOm2I1JhNtfcLR%}YY7ql+1>eP-H z`$L;xjB)b-#!b*DRK6UXR>s?~d4C0dYAsJ|RogeC49BiF(><16zLx)1# zVf*Lo8Qq(%wnrx`=vQ;-1Aa_j_uhT<>GyxKYkZiq2e?Aqo13r+z>ULY<}D*^??%?5 zW2D*4x;1V)dF)4+rxE6*U&(hN>GnU!Tw{G_xEKHbx8JeUbJqi`_dmqi{|3%@e1r9W z=pM##E-euXbJomSw_oxxNcRUJ8z<1Fv_}@S>R8KkW#_Xd?nL73j5yZxoP52B)0oPi zueXWg%vo}9MqIv$;|yFr&$zfK#|{EYo2$tf~=4s+8G=NdJRI*W1mTamZ0 zV|MRW?r+ilXk!Bjf7^uXt_d4iw^-*xFFf-{6JAWX&iV=V$%MZ;)P=)YL(V%X+<6b~ znSIYGe46uKS83a(bWxl=g}B;yQ#usp9xb~GId4jb+xSK&c>7*Cmul}}MLJL(Px-^u zK6&dgc|w%82WSWV!Qm)4a2H@xzgVD-A*C~Umc2Z!vjZVpJe`<&lD(hT z;k)Ye1mXE6oHH(OsJ;s4tXaw1#{Vt+e>DEb@Qa=fzu}Ac+rgt)6YIYBW24$V&7CuX zqB%G{k|P@qm)@d-=r@fzWT$xp`+7Ozp3;4X!tqw z-~>nKc(i^|YvrbmvUl{1WK#O9jq?|t-1ytvYlLU&IUAfM7mvel@kTWC^xWOxk=f>U zbyJ^O(XX5KveAdDlC50y zw)%0w9S%-iWG{m3MV9WT_m7u~K3U{zich{Kf`=zx*Abp?IOT)61@eA5mChbBeGtV=$JJUzI(=%w7XXqvxE*9-*m&MXw zW;}tOa$u`2?Zg){wr6nU%!iamH2<=~CFA7v@&&Wt`Rb9*`a194nle7-%!1Cd_}ie$ z+wDv5ALIN*=Te~ieaOx`oPBsdOJ3~6v(h$c@=6Xl&+<5DT#hOC@ow_|>f@s?p}USr z|1jyLC$y%gv6|jo_V?}2LZ8=+PI}%~K63rovwVwglI^LJm?s#T+(w>a`z>hN?93~2 z`m`KaPnhNvKlm6u5E&EK9O64!@VPSspCha%`0?4`!{^})d^Y&-*)wr-n!SW$>N`X5 z!MQ_!``XY=-O#Sllp~(ie|)sbYeQcnTy03$+poVBN~N z_OAy4GoNuGdwYo@i}S>S!5e)HH{$5G^uvM^c6C(1ZeUNpfqSi4%Vhj6xt0uQyfh42 zWVY*4a6ZT2JVZDforv!0Rwphsc4JE}ISw8GfkU1;E?m|Gzj};_1+jjvRgFccz{6Mc4fu+shp02b^a)q&qgP zNIVrRk0oquYjmDP>%3`b)WE)r==CSU_OU*obph>l$Y$OO1g!mp9ma*Q5dM(g0Pihu zA92A5);83Ctxb8sJdPAXEcgQ!tgHPtJTVUqnytVhQ z{*kqaD1A}|c0sM!%te`3)Ws5;;XzJ$U9#Y_b;-s^W8A8VB^tm#U~xVqTpv$OlPw?T zUg+mFpV>t{3qD8M^2YdJWT$ad1O3?biK3e2>Eh_}bRjNW&YFa^{GC@wzlMF!Ldwb~ zA9J)rHt&EfIfL^M*jWXKE{%sH?z?Ha&rWORj8zLN*k3KMIp2-G(jMR4k`v_r!e~6G z{!@F25$2OlpN!mUe{%qP%_G9@9_MCwEn21+?+GUMA_av8W}$)kWnjMJw7qDmm3ib? zBd^zh$B4SMNx}QD_y?_f4*rV$&_ePQmaBb#N%P+~wANek zD0?212QO0j=6-N>U=*!hGuotP4dG=aNS4nOhzl84_&>gGN(QpNF=x#XAw&G7o)5Ysgx!{pufG zdr<8G4XWFe9*QiU0iMcxnaO(rc^`n5#k9+?b$@!mY3`ap6S7l`J_!>p`l@|fVdOge z!hx~CbK-1QM=37A*u5BCGtOR{-Xz}81_qqJHrarjtQp!D9faK&;TmJIyqx|A@I^o9 zh(4N>3R6Gf#a?nrQ23L-f_|@I;8ONqct6`di94CF2Q_$?fj9Y-&avlG9VPqPmkEP! zVR%zoa^UDa;mMi6=K3JvYdI$oOy5Mg+E0~j^)A}1Wc5{W`Z3{xRl)p=yT3bN1|zHD z(i4t-d-E#zIFY?6Z0`*W*+~e-3&0RhgkMj1rS=x+{@8T`H^1mwI8m9`uB6zkwx))zX-{p>-lA`@X+P_!h=Is@JmU^u-+8JL9b%;>D%C? zdU^QF9TT!%Lox3JuYCO3=<^;hWFsURIrSVGq>r7H;=-$vy~J%E(n8<8Gt#{-|m`TYhYUh%g|3SwJ)Q!t|Dl#20Vjp&@ax}P-C;~{pMl2oQKVF1^ETr zDU-F_bpur91gA{35Bi%!vbAyI2QE$d;|ColuiB=cE>$yftq%i?x@c&EB-l^~o*en9udPj@3MABH{2F4+-oM_#D z8aRfLzo4}ad+mmUvMCa6a-d7_ICN1P@#qp9_Q$(^gk4&!xCwp4cQ`D3 zVu?GPby64CJaG5NP0dLA1JXLOV$(N+Tg&MU@iWfQ{Zjqle*=1f=6SoO%&2D zHvtn`Ic-aM;mq={Ib?)!x-UWLxZ} zb#b%yu1ZzP@L=n`Z{zlHot zW}W&(UP%v;4gjY2J&kXgC$yH1KeKo}u6Afd<1oiz%;VyZh11_Sr{Ma#mg&h}u_;r1 zg33LW@cx92^v%7}vcF$s z6Gr*MMf{fS%(^px;q=R{42XBwd^tK$e)XZJhHrAq241n%FTKOGy)&unsgaw~5tj!A zvZaykQM(e2{pDNsD~_zI>`Zvrlso;C`Wn?y{8t*~S9u%NevwsYfAv$qR~;okUcd74 zo;`aO`}z~n)@zeR&{Om~0s5W*pB$aQ7)4={AL*Zba9|AP;OK|z=T$a%4y~aLYkkiM zMtAV*4Q%zDP99`R7DU~kH&sl_{&#XR~}4Rz(kLx1hdHMm;OF53*oKTmeDVc zzR?&&YtvpE@p$Q#GZ-G)u~P8L zC%lkw_Efs%Z7b!pQjXq9t_WY9oMvCmK2$6*99_JVu-SxF`NFnRemUhwz$rr6qNBzF zqPxaK!bf#ecpY;!PapZ)hr$B(818NDl~xPyU`uCa!k!9jSAM(VtuVosoO^VtFt8)w9R%-y54Lo7CTzW(*B>~NXFuKw6YTyz z*wd5_nu{)ip9B1N{?&=Qodv$$%(Jt=SD4`2KKN6!!2gX8{-7-I#{z#)7WfJi{6RkW zle56ro~g&z!CBzHNgpvd3w(tM{$L;cXcqX7_~5IZX3~Ep@JqA6SD4_J`rwaOn5A(? zC;e9$_-Y^O-}PHapB@P#zsSN4;x%q_@s=vZ`+n_*UUK-hg2}V%{?16-9P9Ytnd74*_=IzqY;qcP*2-X^=4Fx}$&uGT+4LKp?6^DTxn?();EOMfG`;c~vMe(9e;bLx>$U!XBs2>F;fRd(0z7+-0)uZZ<3 z`kcLtYgn`K%F+DID@XLoiv*Kn(WSwm>kfEw?3MF~U_du#E>C$XCrmj-lv5OT$9CV! zC};MJ+zweDL0J*fmW6wzM^L8`lqcP%_OOIDr*FxTz89NsPd9pTl2!Gw8aqV1{Nz!8 zU&eQT{OX@YFU?_?%XG}E)UPVsHZar=DvxAhkiyWP(^#*ajBXPxB%h+G=%BH#WL{%k zXWU9!=CqFso`;jhnHs04|2rGM(?-y{XEUBWoB7Qd+!3ks@r)<9i$eVpIuSbL)At7K z-yV>jR=VDH5M4<;dR6mNwS^q}H%@%h^)3%IhErN+o(4Tyxo2yxuTQkBRb2ri1x~QDEOFPuRSbg-OlSfz4?6+GU?Lu*!i{e z=D(AEGBWw^q(AI~ulC~2(L~QuA6_6k zl^!_^xgKWPfkRJ)xiY2shGe#wIeXK9s~IzO&LLcWy8MT(1%sJCsGoS7_9*|zlSFrD zt#K@P<!%1B#gU}4+fz{)rA$c+Q5(0+(Hkqhr7 z(g?ovtl-D__Uh-z%IQW{IREai&j^D9<2(n4%rZuhPwgm@(=Yue^)0~m8RZsQ*l?RY0F4*Cd2>E+RWI?-y$9Q^i|Qtrl25M> zETTR)_~DMj?t1Uqq}B>muTX?_NK-~BWk}~2hoK=fRXI*yo>7kIt8%0xJIm>pzAU4h zkSWK5uYSUtlWSg3N*z2sEc&ag!A@B!`yog7i(cYg5x6+|pSB@B3J29aZ0PCnu~cxV zbALZB^oxET{yTW6eDy&pM|c!>gGZ6U!_U`Jc

O>y%-S7TM%Tv=9wM3zxsEoiS&j z>TK$(dP;7)@PIa`I{z`Fz4+^#tvrwBg6XvrXME%<&ub^bO+0tXr96#2uwCoYP6GA> z$yK1%vMvd*=Xnd`wj8TZVlHhx%v)-GhAc>^pB)fclx&DJge5z{zkHqLS>9#s9Zlre zkH+hJm)im358$sq(S)B!xbX+@*Pmd*Paxd*1NiF;O?V;U#vj06-^+yeBHZ```0EQy zcmd(YAHZMV(}edV-1r0d>+?-`KH{s8{^9wxj8;l>}pU!QBja|t*8 z0RH-r2@er&`~m#+K@%P%-1r0d>vK$a4&lZhz+WFQ;Q_*pKY+g;zBqKY2si!!{v3E9 zoe;2O_#f*lzxXx4o>@;PmUxK2|}Hb0&4PwI1a^J-E&a#)G^YqP*JY zs)z4^Hk*Ct%F&XI8osSaD|`19qp?3vEHLtME8%@9t55F*;8q?VU@uH!&nmg#?1LvC zyzg$s;`Nt}K|Wl60Dt|7Cj9e+8-DAKY+i!rwPB9aN`f)ug^E(BM3MC0RH+s6Mhll#vj06-@}AoNVxF_@Ym;> z@Cyhx{s8{^kO?m%-1r0d>w_kIIN`=0z+azZ!iN!V`~m#+0TVuyaN`f)uZJ%Vog;)B zKkJdUg+0GzRg89dJCZb>KQ??%?^qyU)dl@Bqx8d(o6dCH$rOmckQ0bKb+wV7e=x_C z{Aj)USozUj^u!=z6>KE1{q7Tc3cR?2*?I;!4CeHSvo9I%iw(#j?Za6W^p&JzKZ5v4 zDfSAUxx%vE4aKnyp&u%=etOWwy(U>7elG5%`CLXC%QErEU_;~uSC)-`ST|`MxF!wr zgDz!SemLNzIx(Jd>ZE#bj>xG8cz4u;b&4)91V0BlVMmtQKM9*5&Q3)lyh~(nPRAm& zIjcOL6S3kjIBv1W)1SWJjA7XLKp-nr7q{~3;&!3ihNtj1oWI`uaSsanztMo~l&o^` zDmFY2#a0cPF|Hd5Z7va=PWttNK;K_4=wr97f&5caMFSQD>~MX~$paSj3ABxm+p+P< zz8hl+yLE2zqz$VQ!ya0dIBAXU8lIBi&8dLk3SO?$FR*S=J~)%`3UjI_k_>$b)N2I=$2w!_ z$k*eV0}h|eoiHl{R=)TSzXMi|wQ$y~nHNMZT0DKu{5kZoo!<+q=T=uPswSv2tafpy ze_Di!TecsZl1pAEAwk-Q@F|=GJCLE3AhSV%v z@a5{6wTp(#tXw!}#)8}C&Z(^)vS`7q+B+&2Ru7pwXGWLsg)@hEwdnAiKNEO!=GWX- zYgbj@ZqHd{Upr;|c)})Lam5(wOS7}AtElpv%DHpyoHPGs+e9q3s}|0=y?UYICso(h zD(bcx0hu$i+P*S+)pd)kiPbZ!NmFGns+nWYuAE;rx7t3l%CfGgoHLiunG5F4127qH z7y5In7unQrfo+hSYK?V))hr;mdZB}veV#qDa{l}UwYHD$CY?gX9S2q5ACuIiGdWd$ z&D`qRYB2Kg$0$m_liDuW|cjEy8AnKLDihw6)@}e znNGy48Ml~_`3kPRZGQFiSqrOgoj&_czQN7oh1j#OdQo+4sa?bGBD>n5i%8}Q;rZvE zue$ityQCryF(!PfJ+b=cIg23aqD!oamtSe0L8+hnynSY*Y%1HF$isr^x7E&>yJ$Mu zZe!r!|DJwx4WIKCR3Y)xUJhCnxGLOSTrF-1t`WBe*OBjzg$SmT^M4_`9<(8z=X_Uo zeX?0IN7z|cT{nHoTj#6_N)a9ZGm>(tqXH=X&ey4JF;n=;uN zHGVSN0hWHt%x}c}Vk+f?JME76;rjL}Je_vr>7OoPGv_Xl2I>-4SyeTC=IlAJuWR_i z>Uj%pulAz4#?>yYoWF=RnVF|%AuZ682Fn`%TX>GE!>z});{NA4$-}yXud1fQTa-zM zM(W_{r07+miAbUN%DD@xE33ZZZ}`@{+iI&9J0TrxhNn`aw^5OsU5+@iy~q;j9Njg) zLrSWvj2crpwR7h2y#W1KyYMSYICsI!TlDJ`VwtkMKu@YVM9DFSGm}gG3s|+P4#?x)@}1=)=Dy6(Dog3YG)%2{HZl}+X{<^ITZK;_p!So7p>!DCb{AQu)OPgQw&$5k%$ z_s?Ed%Zt}Poe1TBo$|7`q3vOOmId7gj_BbXzd1uV2 zol!aeW{2O>zQRua7knsL{Unalc% zRW+|tL#Ht_fGO=VMrwj^`J>lgR&1%I z@_E0XbI!fby%W~0?H}Lo>$UeqIQRWL&pGEg&-wl9j`u<@;bpuxdMCY~czb7Dp7Ht{ zVlywy{QJ7o&pmO|fm?RpKIi4JbsWRic{h5qunaKAn+rcNuL1u0;qw4|?wt{v>4!U* zEpNjD0iPM(t@xW`-|>qq-t*<%@Ngm#&kS$2m&#|$)zzl&KpIvNx>PW|U45%Y8DuVz z-pJ9wYbH#Bfd^pMj;U(a=Ls;fj@Ol{rb2un1Tq_oK zCY-_SD4VbfWglUuj`q^`gLm4AG|>ZI_`V5^q*uw7B@VcOe70=TN~2RYTXuHldk}`9 zlSVVG+J-Tco1ZXGd+^7>wv0XS-iet|d2PNpoXVrbn{wVcmQ8Q%&z4a#qyeTsq4mP} zYcLP6auCUt#P}1(}am^Aw8vZ3TTXX*W(9u z^dYTwdAls{@q<<+!NxwO39xA$WQzcURkGW2nd+F)o9&+DIebx$cvU8|F1tO1*P8A* zL_>HeIq+9bZMWXcz|Mu!SWdhr97Z@Vb-H%&<1A<5b*tsneo5FLz5j7m8pO~ zG`WgHU04kr(t3biNlW`MJPf=0vUvAp@h%c3?Iy!mD|!l;Ectc|=$@C| zh9(LHQ#vWw43mb{nxy%wu@EA-uZY@SlywBbL*?A)sOj68UIZt7i3)RVlx*Z!ps1fo zOw=#qBTc&cDavfbNO~~$D9YIQlqqffxstSpfm{bB$_3@GKQ)2IF5nwu5j(Z{4xKlq z(1d4-qaqvFD3D)QM6-$Z53_KQS&Oxs^q3{s@`i9w1P4XN# z>69H}S5T0u?NxH4-Lfi#+$T@>ClW5oMRqWaj-e|2fr)}eM*g8v1_AjbV*?RK3ab-- zoUGMOis71e!%<9Fu=QYWw2;b&(;3jLjakBy9l2^H*_3R?Y@Oi+wB|%|S+mfj&j|T1LnILK;Ft_6>JNHF!!v%!z=Qjv9_~wFH0OEvJqZtY06nbbiXS)bMX8g)CBt_# z*N-6>X;jH$pT-8ZGM42q$s0lUY?}sow@rh*yFQUJOh3ehKA6pNh$|2KQue8o>BWK* ziHwH@(!CGnvspB`LPx%&&^*ig2D2a|@0}x~9TOuM&6w)yXf9a}W3*r=AT|v63uq_} zj2ko=jZ`=h2xvWANgGL-f@Vnc=Db= z+tO9UP;MOM+^eL^{g_#Z%YFuUa0)tLyu2niQgl@?I_C)0k)ZwRDReMUJPX&v77o#E zN^FY3<+^aXFT+Z#$)PIm>;FELK#lCQ{yP+Dw8XhH~X( zJM*rbMGj*_VO(+&okHsVg%!W7*(Kg!6$-hJh%gn5u~rAYP6IO5hMUP^g`FA&i%MqU zlnX;vCSA=lR=ajzDW{1Vd*uHS{@;iHm=G(k(}Z>@R>G7!j?se%e}_FXrZz7NBb>g<8S3f(k-$fUM!uWR?x1M#j%BxOQdzRvZlSumc@i{ z3d~^!ZuzV_?mcVf?JSv#W2ws4ERu%3kdXYn^2FAz?^(AtWrD zZ)92WY_u+}VJz+%zTHl-`V97UJTxflh-jgu#8f6qrpUyGr|dqY(x2fV^k7fMhJ&OQ zCCv>Br3rWO`Z}pFd|GUHLyFa0@g#gu{RnLMfgjTz(Tj_Nen9oTIC|8Nj_$fL+XI!H z48teckKj5w#fB$PZ>6^Qy4C0)%@OO@YZq2thg8_G7>8-8>~7cf;_&^e$ZBMLArr~< z`9UC721{U=$5TJNarDJk_VShcnr4)uUSlK9}C3UNSam3pcsNazqMhAi7xYX_B zJX3eae@o|jBwq3f!*k}D&8PoXn9dGU#R;UJ<#Y_Ikugjd#&a^)qZP^%1!E{wT<#%B zx?zVQm;^j*Q(_+#XDo_E9I?nQgRp&J*O7sl zzyBKQC(T@FJQW!M`T55&Esv6{#7Pnk$6UCX?C`{>^nNV);l4-0Oy`kKb0)}j&Cajz zS*)W1HZ6vaz2m3zU)nzY^!)VG^HUR(PwzjKsYC^|epqY09)CC(;*RIi(k>1U=eIIP zhq19B*%q<|_7r2=a^>m-`ue9!GvzQLzMc%{9-P{W{bY6#ku-DtN;W@&?nq?){B(Yc zay~)w&19QRvjk@}_x(sbqLV3i=y}5#ZvA3|A-h#(M$~S48BVybC*o{XLPLvjPJDSj z&ywitk;7s$w~cJjetm&z{e9eCg6Y{20{5UR43%R^!#MVt%ycZQowR4$(l~u&+cq;s zUot-I$1PrKj26}{CPI&D4SoO6$?S4HjgG9oINfCufpQ*ztedmLN9QZQ;%rYlqD91P-$p55^ zw}iqZM*NdOyAW9<;6TC79qY{pX(u8ZAI4|$BpXQ=c*7m3&(hFc!uWo*H?TcwqXmt-&) zl&6plz>LOaZGbYlE$gOq+TO?lV?PnFz9Vt^8@`e$fsI0O`$FL`xYm)1Vc|ySU?hCm z1b(`(MIaomOP9a#JB@#1FSmVb1ytOA+DrZ(uWvqQBcnft#@pY^{4X4v~+CQf;vijZI^*L z=eRh>oUV3u++S<=hz#{}Qoc^pgheH4&RF(h!wKf zmtp5u#LPEaiUmHbye^9pvi0Z-S~)o&YNO8=i#jAfA57dB+e_&!Vh$C~Pw|IULo~Z( z9Gk^h9HT^+Ie%~34eMko74F4&a8Iwa#Y`)b`Elc z(K3`gTIpo-QsfM9IX)Z*0s~lS?beT;Y=)Nq?E|(QLV>bd%#bG}MURj0x;Ty+p<- zVeL4*zr}7B$GoU*9H-N?{;=f)1378VCj9&g*5Ax%K!zR>9kjf&O*A&8l<;)rpMo?-6 z%?w%0{ttJR#+AHGYvDIEk+NZpqzl!23_wa3%a5Lj1#!A`$f&SaR-%9QSltOn%A5-; z*sp}LR-ceft$_2cWmweFjpB9*-%{9J-&QjhGkojYhR=Fnq{DQllOLwCU#JX99^0X_ z4bu!Qg2kecyb6bLoBc{PNU>oA`}{KkYwr6@yXT$B@d$yvZ;&h0 zUr&zu)bMZ#P`1UDeV(cQ+D^=AoXwN{`)FQ2)9`BT5bQylb$qOKVH3#ZBiGo>v2e_% zF&vfiei#dhUiJ6mq@T;6oaTD)1{fCc=10Y@=mN~9=;7sRf|Bo7r#_kh;WNrm%Oh0isDQ2zVC7698G z;OHApb%y8S=!dZLf~9z@D;YkvJVNqzhQ);jP9K@2vKbd{D_Q1^|5#2I+LV6esr3hc zqcu9tH2!UT)5M|q4Bpoh7P1p@-K6;G5Zy#3+&9p&)A*~YdM+L{!tvu~*sRlHKpY^! z?vY8BwITUfd6276JZHt-C3k-SIgcIhSNKhYi)S6TM@u-(vmF;~xP^w%m-QR>g>Cq0 zS(&iMp+?FnIS*wI8fhE3b|;9P8R;*YnVP9|_S3|rGnY|{PqOdZ2`IjwW(r;}#a12% zj>BrUuiXj4Sfa7Vz@jtug*q9#8HA+{Mb|*lG+?@m-01fiq#LKXzik z9SgL773@0N%~Z?JjhOu+4!?9-EFGcQGgVfe`*1aO;tBIPdf)yzWo>c zah)$z-u^3ou@?CA`sI3|_8YMo@8cu~v(;y2u&1;8GYv$A;jY!l8SI~J`%u0+zZjl8 z6Q6!vWBQ>gtI5yf57GI9p`X*gY)1ZEe*LSr&&0Q~^Ur4^>{$L6m; zOnlii6|n`s315xC&>4qLvbg^wElW5|>@A@o8PHUwZm|~2lp7< z^KehY#cxPny9oF1;nv+*=i#>zuPuQ46x?38-+^0&`?qlS!2LGdqj1l`Jq!0+aIe6{ z@6}$L`;s~jzu|XnCET-c$KZYg?rykWhkFR_U&B2E_YB+%aK8rkD%`Kao%7N<58u$f z)&%!7+?8MQ*al<{WG{-aF4^? z0{4?}cfkEqxCh{V0`4)m$KalZ`zLTO!u>ehy1VPVKZZL8?oqfgxPJt<3GN@l?S=cl z;ckX|1a1{Bei!H39=N{`_YmBV!95Q5Fx*pcKMMC8T>M7)wM%e+53YAlop%WCe7GNm zyBIFM8GfxB?(e|e3>UxmbZrOR--f#n?uXzWhxwHeH`v?xbKB~ z7%qO_@!AQv?}2+3?q0YT;JzE~te4e!zX~@77vGJ()&h4A++Mivgu4aqJK#>jeLLL! zaCgH!0{3ljPr-dF+)HpDgFENtb>1$x4RC)2Za>_&z#W78X1J4Zcf#EZ_f2pQ!u@5q zN8!E^?g_X%;GTi|2Ds{VH1AW>i&V%ovVgccgeSpu=b~>s6nzl zvo2_-+oZ=A#}bQUEsJBz7RTBaGwE?A8tVp_l*{B;q+pJ?BqU@HV+jf*mMv*U;Pw6quz78QiELyHGFEEM4WR^FCWfY28Fm!`Pa*=|cqQprB zRyonRfwz-z49TB@+0*9=}+~vW#*E#VBOs+PGn` zGgg@xcG*nZg4Q<;tzXlyrpnKen3IUuj^-+_DM&2u{MN-be&xOXYpuKl9z+#yVUxx@5xYlsamX`u zT$XO6lfc2UVwr1CxC6xRtl4_1)=B-ktJh#XU)gBFu}Jp-nkIfQ(AnPAMU34YjkcI5 z*zN)oqtqzmSr+z|l74lGQZR*WTPqlM7aMWbv=I@QR(yn)^Nj5%E0^r7okL2*q^XxMEZuL) zXe;WW!}bd~c+@FWFf2_MAo>?wdA%Nd>KFAxn)c&k6lSTcLHFa2d0d4+nq!kVHr2=g z8p9zoZg+^;e7{)g$Mp}=Lq8d_V6~a8xM>2Uh+xg*x;&_h)7a)5weimq4&D7tFX_;& z|0qvF4%#r^59q;0ndgP*(ZNof-!U|8pf4BMTtw2m!gL#n5f2>n{Ok=l$w!dD3_@PbNo1i&r(_X62WuVvVYlC`V`X+dl zbdWCP+LB$Jc4gOLzrx`JxfwiQP>V14kdHc!Xyy2>tbsG^=z(C;eA^fgB8yYVDhwB^ z4%alcW~7OB%ARnZ{s41c?)DlPbgpVb!s*dXMpHQJhdCb=G#fO zQI$i94@B_}pPccqp3` z#qKY^a)xxF-zsA=33{-@!Cp*l@=|XJAK8}*Hf1Gj`Q8+Cz#tLz$$Ywt`C|KV=_H8R zmS3;D>iR;t>(v)?K-Kiqwp2nE`j;p8(Hw02PgTc?1*8Q-EI0Gu=(eM?FVPy8d0DZL zpSm8izN0fP=lA@p)biyo8H-XW45GKj;*d)GOsPbD2Wl}!t(lYy4BHDhkl>eVutjFQ z$W+q!PzOL2Gnw!mV7KWgXroCINwFY^3&q(w%GKE?Gu3^I0(e)HHIx zb4}vuMG#x(+MaOAI_($CO|OI{byIFR53KLR3HxnYJSXQ&=(t{y>O$b{> zJ8`UE)60fLMaVD4*>-08SPtz>sI{qIvn~kQp0?e=&v~O8!yI1gE?;zO4^_Z%Q*}Qkzc-}`IHcr9V$2rSuE7A*$8P{*hPAy zoN1ALjEJZD`n6r1NSk`_VLmyK6pX#tXmhw&zqWs4t*jaq%I8|8Ef?|n?#Axbz5Oce zu3V*rZ6|+7f^Ywo>bt4Hh?1gVBcnBc^RT|VU!*f>=OH8=8cgCd_3EfsefP!5I(-N|xXF*7lJ6tBGo( zF{iAXxXd-RPubH<-b4mfSf_L&&FR-%+Q@ijx~SboW6n_48;P(zMRuBEVpUOQGrEY~ zqPn~Wx(tUcVf@SI)N#4dv?{r(#6}xWD{YKyg<)`!HdYSR0TZ?|v+*J_5!D`vMa^`b zF-2cv-}~qTg=8nX1>w}_pKE^`wjE3MNn)m)A)rO5?$Ogm-bB`2dnSH&%az( zj;q$@*jRo2I+hOA74nj+St|B_5ukqE^c@|Bh)QO?%NFYn@XOq?Vi%Uf??8kk<&(nb zv{YF2{f%ri@w-S)(zg-mm~2mE>-$%AtwoQ?2FpA&RP79ca)Uy5fibLUKc? z-ou~5RV2Cr@nNezzD}$|8`Pok3&fiFkoHLX*r-C`N75WlGCl^6C4cltP~_?CZDk(n zLY{C>%RYXdVCg82AMR?*;7hIb^#hFqtMMZ>uI|N5*CEwUHpuGh2O9@h4{TT;^r1T+o|dM>;&xGfgNv78zUDge|?3^gE0okY-vV z!Wg*q%8Dt^i$i$zWHybx15BsQ1lmq#>j!%Wg6v_aCV`P195JhOmNGDer|_WDWR_$- z2b=!v%-NUSA3U)nh=n15r6!jPKhs|i{Mq#wXxL+}v)Zup#Zmm4Pea@aEXHaL?xc*T z@{8&*)e4s>&b`tyD|Q76qhhx@9n3;mJSZwF9vsTy5H5F7Y6?ex4+}@odeIZhoH=r2 zvOI_>oY#~!J*1!Cf#@a#>klJD7dK_JK_kPhbp24{P!E1%D0o=+(-URf62R^N?jF?- z4fL=@wEMLASj^4M%sw`A%<|m)s(xeR#(|!p)$j#zKBll44~Sff!N#ujCS2Lsg%GQ^ z+2@rW6Wbi-!}k8XZR3VjYcc!f>VcV0Zp3bf{H&GxStheOT@OJvRJyS6YaP<}+8r_4 zXGhErKo_-*8#)Fb7Pakvrk%)*n2i?<@23z$XZI>CGlbTUzh{OjV%84v^Gw`0sZbQP zL_HEIe#j$LCU0Q1V#Um_1DJ@U#$ZDa>y3W8x>on{qZrPM?O7zE*mmhR#_*F7S%$ne zJWP;Sr5sSphG3I^k;v9+=*!~cuKcue1}jk!Z{58^P7}Hk1r3c5*R9^DS!Sq{!q+0U zt8FI8VO^tXaeQ&Y3QG{9CxaGJCAgGV712JC-99es0b$Vz`4+Ye zM;WMmFU8p{EE(hiMw0Sb8!n1Y@dFpAs#)BlW4vS8$yfuvBsh|rj5ST-IASqf3!8C8MAkH!XhNH8 zq)eMdE$!4>xM+1a{o$-TUSE%sKKSG$lx4d#!Vw3*XO3T(L~^h$j3rb2B|KT~B!<`Z z)_sKT0Qdvo55gU!+qns3xabY6EAqf(UnUD0!r&?i9IqRGy749}6~Iu9QPO3Ubo)u5`=D_LI;@MM zHlz>m2Mg&sKB5zhDCusr@*A!EMk~M3%5Qv)m9zdfT7NW+$9-$#_8J%I;{*$ixFoJa z1nZ#WI%vEOY2nm?emFHSE{6WZFb+oVo{Y?i2Y@kd78#D8g|@zvh9MoM6PJMyKO`hd_AB<5hyx?QUb7W;8DX6a%#U?z|9Vi&j@C^*Q`58d7Y{+X~a{1Dk*F|lWh z16@N$XDXacU4!Hho6u1I#-D) zyw1KZNgrHEUuR#x*M(fcAJz1_dN#1!Z)BL=_%Tt^de#l_Px!A!;ID?CSFd}-do?t8 zHA+-3a;q0Pgg+KbuMgf(o=|rBJtn3fF8;)S|3ibUD#+(SvCF{fA#VT%80cN)F;@o= z&ESyyNmzpqb$Ejh4|+o|@5WU|x8Y<*jY)so^wE&N1Ad&hWgsADQVL zz~6cNnRD0Vc;2iJ&-8|dZ}bjy)QP(Z??3--iC=w19+MRBCz?hcIo zz%yT>xSPjI)%wZO9$c-8{XTHwE7fyp~( zc>VbMSq~-LALreCPg(be0JHI4`h^+Z&2VRbaVCC8+w;z@yU}|w+&cWd2!H2*`MZq7 zKmO^p;?Ws1@XOntcXaj)?>TUH-8e($GRGnCJm5L_djbCV%;bOlH_GoOZULAJcq{(y zz~7wP`D@&scj2}f-feIj@OL}@X5sIr*RB;efsX57MTT(+Zs}HP|6hF8Q&aQoKsulO z`~Qy(T)xr$$)_?aAKH7vl20H0^x+1UlxwlK+;D@}{rHQXIP1NA*Gta6-FqT-ukWMh z%{Sa~iD>0XZw^ZU%N5I>E0=hE_WA#S1=v;uHS~X0A+#O-!yocxJZwR3v46+z#>@0i z4CIw;8@($FW_a1J+`01M%5z_XMr!9D{-5Xjfj)zm1E0LvyHJ?v?fku&-nNS~y-&8| z&iQ-mys@9wc`JYUMsMefp5vYV_H(>{I{RGj$(P^c?Yvs=(a+BHw|OhKvlX__S9`X) z*QdBpW1nMa%%6c8zQ}&G(Z7HOHNVQV{nZC>_ZDH%F8pIZFd3n7t#AiuCAfSj$Hm%R zp#2I7cu0FK{`P?O8My2h_;8KuanQ1G*^X0=eZ;;9?fIbX2Tkk3d^!-JadGJ&XpDpX z1Rwf244T%D??*v@pk1=zIlp<(E`#EX|p0UuBFcbO{Z-GXmdf+>EL)^p7rbO+zMI@G;P1-pfy;(PQTTlHAQG^Kx+f- z&*3^7+>iH_pivj+w+-*zmgeL-LF>2SIoc}FHbvxK3ECFWw5?tR+L)DdaXbK8$%cnl zv3)z-NzkU!@?5EG#@Ena} z#B-prthspEr#}^u8wKsWr8&6~&@O_eZPf(YWzbk>-NSL|)rjBclDRTmUS0%J9ca|W zmD69q`)ts3Id~apb3kJp)P>K>@i))P2?76c%{^vm5+5)|IbH*3pN8umF4#9k{7!(@ z7Ll6=+Da?uY`}c(22GcH@_Ir0Ww?B3d)DJNr?*=U&q1^*oH9}+Bci8Zp&ds3hipXU^+XI@ezb&9W9+8Wo67C0$;}Sm9`7Y27 zL}+(|b`UgDQK4?v_{abL}*Q*U5(HZ zpn2G@eFGDQhiPjDtqwG8`xeka`nzqX; zKwA*;`%2IjN90z3))JAsAG8$_+5@1i1Wl*+RiJf&rqjC;wC;%9D$sf(avh-cgQj)v z1Z^lH*9F?<2yHcJTR`L3nh$Ls2Q34d&hs^(jYa&f1?@?UZFh)IHGB@>X&DmCE8*xx5){PHtT8J?A;IX52KR{)XG?Zl5uC#tUZLF>~IG7tVOm z4fAK*%>+;L3}J1K&rGoh(&S^dEEtdZSoHR|md9tNS0^sl@(jLFT(0pMYu89sYfvzBKtdk?e5XE0}i&CW_?Psf{! zHA+4+Wft#wJ7HUc*{h+y_wlWQxt7UirmQfUwM>IK>O@=O^Er~lW7i93x|JfVUGkaf zadd}r^BRXaQbc?>r@?2Y#}QZXn3YtGUu1K{(D(&B_Wv$_*jusx-ZS5%pEGgtUHV{2 z!N((fXAiDwP~Pds6;_Uu+{5@7{z>KkeG1=T<2$eTly~{XSvxV;h|TkS??d*DjthU% z=BJaNqvXFojl9cmm)??!pXr%3VC>8E5I_E97ym8ZzfTk1MdiN^rgYe)Z??Z?ezT-! zp2E+8yu(huOYs^137h}ahxQ28;BWR`2>%W{|5fF`5&XwdUf|$wo}`Cs>*l%LdleWC z&%lZ$!{<7`d2aSb@anJ&-<59<<+}{N!(34pd)e}dlzBh2A<=R9wL^tZ`J=HQKE{7e zVe+rs73Rcu4XMov~QT}_tRbUt1kAQarbM8q#^*O1^;|w(M#9U{XVe895$eZUD?+()i z2z2?MUy4BGG5NECh16o&f0M#uKZVIZuQ2(S6(;|R!sM@P3WwjVF!=`+rvGCKlRq~e z_TQi|`9~F||C0)nUw107$K9|8@hza!o=w1Wf!VSXKLETKIA-w%;9g+%6wdxzfX#D@ zmjT~B&c0qE(3kP=YYs5=JE1W7JKDqi!wQo>cSV@LLSgcc-5=&(P?-D^4}|$=6(-+X z9p*PFO#Z<&0iXEsuLS9@ApOL*flm9p8Tb$|ds^am10M%wPfGj*@EPD2TKrMqON##q z;8}kW@V@}O09f0<4cI)lc;5owJEN%@ub3+AWuA|*&wEGz?}h8aKbsEZ4};$O&Y9j7n1FohH#ZaTKMOu_NynEB_>|wJ@Yfh0aGR3fKN6Nd zuJ9$u6SIgp{mzeuj%>cf>nXesmWDKIL~Sd@JOM zuUdPsJhn}Q<##EJ&p&&_mz6$4+r#pc3NM2^@dDI4m)^ZoVfp2o&6)nFj;M1NBj|F%V^296Qy6~Ic z9`GqYrtsS!M|=(g5GQ}-eS!RYz(4sr$Q%qXTz&0&f57KnA#uy|0{=}P4ET(1Qeo!r z!4HM`M-(RiDTV3(g2Lo){@t+u9SW0w;!wci|pq3X{L%>tX&ug~{K4Hq1Y*F!@Kn8Rnl+nEbQf z3G){`6=3q`d^f<U3{|6K%|FFX3UsjlW@2(&`^1Bo!zh7bU_bE*NL50abuQ2(S6eho$lOTCa`g#>6 zf49Q)zgJ=My?es`XDLknK85N3kiz7jXJ;&riT{ei{GsMBKcg`D)n#G+L50b`+8X9BZVNE^ z-LD8R_1~#5`H!y%^G_;F{(Meyqr___rub{@kuGzguDQ zPp%2`y|n=*e{Xky8UAsF$v@W<=Ffh0fXScrV1VhrL1FT*^hWr70VefAaQkeY7beMloVe*e>!u)dzlYeR~%%7VJF!`4Y0jB<& ziUB5n?_&Wb|ANBgpLuJTe_3JjkL(WfpHi6o3vUneXTKxBn@y~u|gs-sh_eA&# z3;$gazQV%))d*i<;d2o~9y9*BsIc(&M)(Q~|2+}D!ovTx2w!30zc+tqVR%m|zUXr% zkSBlcUk8}{`QHpM`FVxOkNJymwm(sr{7dJ;{^xx=z~pDX6JUn_xWeQg{kt&#DTT>D zjP#ku#Lx6PO#a#L1(@NVSD5^B{}ATSx)5OU7ylr@^q*0f{1q3&{Jg^C@BODR|A@j8 z{}03bs|u5Un2VC~*z_q({?301^A9Ub{^`qM{#Av^-}0|v{!WFzj`Bl%TCG>i`AJy5 zUtxxK`9H$^`Bwu>{)zt#FztC!;R}e5xP+}_w|?P07m>?j(!W_@hIfgJs*2C?zQg42 zSD5i#P?-E#vjchZ7br~rw?-25=V z?#=*{-|&(EQ@?J7$$QepDX@DPtY#{VoH;IUZZ z=RqBd8UHSY$=}4oIPw_(I~11qd&2xNg~>nqV3>biVe&Wkh50)bCcj}_nBS!^`R5d- z{#O(xfB*Wh|6>Z1f2lvrpZA&olYev|zzqK>g~^{Y6y~=mO#YdN!u+cWlYeDHm_L7G zfXVOO6kx_*QkeXM4~O|D6_)TH3G?T_Ho)W`+ZNv?I))|Hc55 zf8?Jo1TuEQplJO5Awo&)U8H@pQnrttfK zn-o3-yb_ov#~9uxfqQ|UXYpSEZ&Lif1I_@?vi!@yd4->geXA-kPo^>2oAaDz?D<4OJdx2f}UjW_=?9%r)z1}2&1?ceY+7ue-bJrddg?Cf(VaGT;c z0rvvC^Aw%HTY#NCHUL+FUH<2QcPYFB_;Fwt-@Abi0mBh}K7^N}z%D=j82ALROV3H* z(+YnJ_#CiH&kupm13P_YprBt-@;3w5eItl320RDY)rV%h%m;SitpQ#D?CRsgz-_?G zt-f1;OTaF@`~}UO3cnS2uk!x^;DZVu20o_nr-4rayYN2`Tz59m@0-ALfZh3^i@*)Q z&R*Am+kjpE-G+v$8`$O7Lg3B7&OU9xCFTD?;GN3+`9*VDk475}@yb>9s1`&Zz3!0x=xO(+OWz^*>e2kut#jli3gd%JfPrz4|e;Q)e-vr^`4m=mwrSE0Hi-DcK?Z7L5;YfVFcf=X&4*!xq4*>I|G~2(E zz{iyQw}4LpyY&7L_$gp#-x)V079p26$+<-yMbN#90wj!{GGsC6n-yo9@xeAyTFqQe*$1UB=JJG|Mz=N12U;0p@B2>7DHG2lxI-v@kI;pM+2{A1uv3jYW2W?)l)=XuwF$AC@yJI}iX6>F!$G2nf`J2Azk{`UdT8w>PV z4m@AsmB2BD9|T?iY}%7~-Xp**ia!F}rf?B>g~C(7D;3@i+yzX7Q=i9)^MO9U4cx8p z5#V0ndDi}a3Y;0g(c6tBe)|6`@I@>FxcvJf@Fn1#mVXAgR1Wyx2CgdnkHC|_>`)lq zkBQ$9$lr*8#twyF0K8M-yMT8oyaaeRu*;8j-~+%rt^TWkV{Z(?Uk|)M;nx8-D7+PT zvBHl6H!1vf;1-4V0kbIIqVQ_q3@{wg=QViQ0lW_#KJ|MTcrMQOI(`-}ixqx7a2K%4U$(bL(chcr7VlAd zw2!+_(WTY{chNuaCCIq-%7$Na{>^%Ri^2?lg~AU4uT+@)dG8JT-~V`k$?yMAfa$-g zF!{$7CjX?uSFi|)F z|E9be`&?A^V0!osbMxHc&4v6?$j<_S_GI{bRCqD)iT8u<%FhwSm;6(h;msZ){WI_x;4v$I6?nyw0N;p-?LpwHP{E~t_t8Kfv8Tc}L;e8p3XCtD z{OON}<~5dh_aJEc`T5>6Z|ohuNd^>e24L!QT#>V6FdFmz$-p6)63iRF#it% zo5z%oLkhnV^29Al-?N_#%b!!2;mtZ8=Fe7`{5FND?+S&u7pCmv>~~r5 z>3`N2gYf>{3Bz^$XQ0om-W-JIu=%oV;DPcl0pH!P=Y9D`#&5s(FaxU`ZNTpSJoyij zZ@>RA1FM+S-+ixwgH-Cb=NC};<(Vz~y$Yx3-#%Dc@!kx~^s~LZ4gKqTfO%fO*W&*b zkv|f_e-7;O|F#=$_Pz$p_Mpl7|2{D95Ag^e!@m;of75)7cX7XB4^$@qMZl+UKic`f z7uY@2_f^0=-_&cvTMv8=^{oUR{ildy=6)h^IpY5@VE&HDY{=h=_VPD@U45edzXv>< z=VPrt$H;HOeLEZeDPZ0wIAHNvVE0hJA1E9Hehxn{WgqhA1JCC9T^s&S7GnLx-j|O- zp9J`>zEPhJV4m-I5`LMUjlh@ecv61Ll2zoffYK=KY*H>%Wf}?Qh2VPXhCN&koBU17>~2Fw&Fqn81sDsDBZB z-fzEvAtB>`3$T00e=ji4yA0X*e<#BKEHLkL?6CZccRAn2K7R>5?*knMnc;sEnD=j7 zdjA2K_oZ0G$p62Ffi{`;8n=G5kk> zd46uv>ieS@;{TwTFEIb};PZUj9Ls+za8N$(@ZJT?`+J=7FudOab`SOWGhp8T7_#yI z6)^AfaR@^B?*TV7&h$>%@GnLD&xoN;pue3&Sd_mNxQg?;yi!Me7w};_UcVLn<1%2* z-&lXiUjfYfnohrU!2JHl9?RbX%=1MqKg+=Gq5i)fLwq>D%<&DwI|S^#1ou-d{x~qt zw{5Zd{5i0k_qX^gFwYCS^7l@(Z|-|Ex83l3?*jO|UvkWb_bJMKdL zzZ3H#8~(k({N92qFDrq09_*-R^z8+957Uza=6r|kIor240rxAs8+a$yPgh$0`+#|W z(%J7L!0usq$ANi1_Vf%B-&cTn-p=Xsb>Q=#GvA|NefvMa?xFt~cf%fgka+9=7l?U3 z!Q%UX4=uuY+t$ZdlK*P~zYmz_<7a`y{22!3c`M$RBQ5~Dhw(iI%=7+-@J{}_fO%fl zmH+(_`9B2a_atBfk^eF<@5}DI!QgKJ%l#aSe+bO)w+z|xJ?kD9JLU2FRKUDX=j!vK z2){jo9|Y!lz)EBo^?fA5e?2hI7rOHGh6sNzFzc(y?|I&bfO%hw>zfSkBN6%I!2JG+ zYhS(=;hzWQeW5z5&yOPf8y8^wK6rz-*yh*m!0uuC8zT4>z&wBL>VHp!pNilzFz>^i zvEe@&;Xe+{`~AZl?DbFy|9Z7M}*@dPxg5%vc}J0v|y8u*LHK5t#QQ zuG;+n2{6a2bHKkF<$2aZ&)b6VcUk%Qz`QRzY2#l2%Lm#yNC9B6EN?~u*xt!?}+f90OonvhM9){VPM`5cjeeU6Mx z?-gJ-{+I*%T#h?!7(PDu_OdX(1DNMYU3<6)nCC}b{aGH7AB^D92%ZAw{rD!E-p3;R z4+#GTFLt9z?+1Z-U$fup{|6EIzX0ZWRHx71DSiw|xdhDfp3Gm`cYkEOQulJS*Dnd$ z-+92iPch5tw+NWyMQMLfKAM5u_qT4n;STSP#bJA`1m8Wh=fe@aB_h8S{3ly(K{yziE^Rt_*y}ky_`|v3868_%<^LuS|kZ1q;&%oWdALH8l z83^d?rJz5a3(WiehpqpGz`Xx7&z8p~VBQCI?ce>tJYS6=oW!>V*gdqzCScwN>9^sH zMfj7zyq~w&`hQ!5{{iy98uZ`zAqF|mK4#_r1o$fE6HFiNc^a7a8Js?U2h8t1l`Q`X zFwbM3uy{sf{5&^;?*r!i8cn{8H(P-Bs`hv_Fz+{PvFY1DKE|h8Z1^Lbo77 z-)G_{5UB6lDgUKlJp6w0?L+(h5irj`yYbCuBmD0G^ZvzA8{Q9rxjt(0d!9GrUIcOv z>|^;i1M~Y$hb?{?`SxLa_XG2Ole1qRFz;t~fk%C>Ep^hw_+8D2|6&BcBZ7YenBP;_ zW8?qr2>*|P`TesaHonhC_-BE6A7hU7|0Cep@4dmx+wyrY#%Fr`^`GGLe%}1&8U3Go zUs(UUfcbq03~?oWKSg`#zK6*4HiFOZDY-&-A93S`u+%*_b-mw_VYNf?%%!y z%=?2bKfghF`!N3R1M~ihv*!%7SF_ak=9WnN^>X0ZygzE~{|ew>J~7W*6OrE(!6jhc z|Jr5!zX_P%W4s7mXzyLXypQ4R@h)KA_nT$;`+@m=vF_&@`9B2a_bXg~{YhZ=FnwPE z=6;Q9uf73%6yNK(1Rmr2J}~cZ&$s%#xe5B`*yB#I;#Q#Tt`Mo?>{yquJ^;jfB{GX1<{~ho_wrAucyni7-iTwvx{(<>@QmE_Qg;CcF zP~Um~z2DmB9$?WB0n z1m^vybGCec9GLgPcG&p81U&m)L3um}%=;iuTK+!*^L|Rq;-3P$hxWg{5#xmn>MIP$ z`0fVg_aj|khkgiE-=3zhl3$-j7F@E%y6R#Q(=5_}jpzu%2`tVbk9K0L<^> z9JlHDQAEBj;k2PVz90+C?`2%D^7lpfua4l?M(|c(e(z_$OD{0L@51(y`n)M3|0@`e zxbOMicEj_$wP;V>a~odX4S9b5Xvntb?~nLD1kC&3n@|85{-=R?KN=<%{Ff2=?^1qc zFhBb@VBUxBvgy6K8Trw7gE!|n#{Wx!-E$jW?vLON5$rWiRLYI{+;HP)I^CEmk1xsR z3KNq{Mhg@CiuTw@V_W0WrS{)+>~y&rUt07QWbhe||B1kI2rQk-=abvBsjbP8!v92= z1%z46R;tPJ$VhrwQ|si?v}al1&6bnZ@nkw*EMzNQGMOnRNAtzu zR6d!h7R!}nYGTq$7spHaY&Dx%8gFWCYo1;zIg%^nlBsezHI>X3s^uwfq?{VhCNmS` z<5TdWP!f{WkSN#_g>*8xv_0OuoWc&Z#5G#p5<+bnwI(!bYtpDS6lQzEb0$f`29+d! zWj!^KuX?#+a-y2cS704qg|?=+^4!)0g`8M&w1n63VkVocRLeA;RU1;v1F1?W7YZ=G zTxr@CZ&jMM#oJm{F!6Sk!nQp}qB7Q(9WSDM!a_Y@Kxq-^%p+Q_ zC(+5uklxBX%~sQ6OLLWSYU#2BIcu}kj$D;*{S(!WscM$m^$ZSJRda~qYkm~Ajgh;Corpvifl_9Po-G!M*_Y_8oUL`l`k`9SAxsjq3 zU_J~?Qmr6*s3egy-2>TtHdR4hR*Dm4m`V(4q8gv-Ds~pf$6-=!uQ)}57;Nsd7OZ(_ zUFT5G`gN$N@s_6c_6VuDRqBeCiZ?g2v};mgxfE+niYHLG!cxtN1dF?tO0>mUS3;!b zMAT!VEx|ezl4@L)%QM|p@s_iYc9+yXhPsD2rWW1&dB;tvhDiE)+S0XN5olavSzC3EeM7%Yc>_lAp zy0FK#T8}lkok%oA)0n6=bfT>#YOh3Vt@f?)8ogSZYl3gB$=XEgvKm!eTWU$tOX@T> zH`Qb)+Vdl^uzc z!saH_`f$MnsWuiFS%uTs9<7hf@up>LL8gf%UQ<(>~I+QPJ42J2y|+LE)pHq(~3)EIhsP3O?Oyf%lH*EAQ+%WJFn^5r$T-CCnp z9Iah6*{!u%Tid@jx3<-k)zF`pt-%a zooHWHQzqJFwi&9T?KLKBX{xD1EloArw=~rhUyz~+YH32&2K{R-yQZGEG}Vl(K#G=I z49}w$#uOylIJeaHf*{p|6|WgQw4gbNREw5)O??6>TDn^>6RPpp5^W$_;x$#QrFMAN z5^sxEF+>>crV`6)MlFeDHSKj`S&gcReMum4~9un0OT?#+gOyvei|YOxdfbcdW*8H71wJ=PKEZHH4i-GZI-+ z5zS;h1lhP|z{_sKQll)Fc-u3@Q4?*pR4ik$vKP#}crXG+>DBZha&DnzV4_gq!qe!Q zTpp{T!YinEYnXUDI$W4kVlSd3%feqOoo(_oiic1_p$f{Sg5$YLQNv;-ClFC2(}il@ z1nZCrHWXtzt2p5p!V!#z!mk8TK`EE@am<4&*|MZim&;`>QfOs?jCq9Y!9+(xWS%U$ zfn(Q>oK*qMa-Jh>9~qdGTp7%b7E*bxm8@Y4kt>wA=HwM8s^T)w`%;yySUMANzWa+~ zE*82bc+pTa_fyl<pdNAXg{`eHIY>=_pu2g4~eUZcrU zE<>_70o61rWVkoSgR!yBiLHRLXIK3zrEJ>EZ_6jsW2rJai~fPtLqiYiwHJ(Q6I3Cc zN{?lw76hoeO}&qMRt8CRuNAyjK)mSX)N|tWM}>Co;Khm0UA+VV2t0WMwPX=|OHv za%0A;jO9kE9tl>?yhX*5^3$%#Jh*6c5S}GWC`xAAcqW-IOp;qFmeMS`m0W_5k;7jm z*8-Hyk1XM;@Y3R-ho*VbykSf*u&Qr$a`n2dWD=Q934ebk<0ZQuUbm{Rr!&l{aK+wB zuI*jlv8p$@e$AS})kDdlRUN&nldP6pyf>Xna-zWgY~{+ey*(YB$;46)LeOHQlj+KY zY#Vvy)OIf3qptT9WFxGY*5b%I{L_?V#0IvmBvHPS$joG^oE^zcdbvcpzg*-7K_`kl zqCwxHgF$Q8m8zyzZA<0yso{J!*ixCO0*0*i*) zUy{{#55#o3G^ImvzHG%a1q+rjxjm6Xwn@7}!=Q(iZ3??VWs4m3py|3M#!Hmqh@e`` zha%}2>cjq4*>3d>vDGeQha|1A6+7T!sj7W2P#a};Zgi}FB43ep>`);T#iH9peuW67 zD2c{IYC2-BWOa)Aa_Mrhf)o!|DrkjJno#&giluBJst*Sk+%XYlw&ya{F|;SLm7^uY zg)V~{RxXAtK2qaFauOq1EZn2G8V!T8xE|v|N|+5VzCjW6e5Ut6*`X&t)utKXekoh~ z!EAP`tzki-lHOd*FoHI*qLpXp7+QDrtwO_FC}89gPENE2S#8)Kx!Qw~rqUf_OGLK` zqe*N#nS#0wjqV1FuQIx}*amHzA72G+gw~8jH(YWVH@b6M`fZ$@9jh>+BMY_I^zE_- zMao8LAvv;2HYJK^N;@MX};+URQ{J*YHBS|6KoT2;c95g;b#^ZF$tvwvp>gO)BdJMBDH&I@i#l$Z5mFCmUs>kZVJF z3fnMC5@P2>rCJ=1dr%{lmyuei3&Ut~C|e#E(H`Wlnfb5{Ph-C}JzmlZ`)3Z=gve-# zrD&o=t11s$-XNXSJJIp~%f35=xD)L}|5Bd`*&f2L(m>0E8Ur_ouh-bzYM>45!PlHH9 z20*s0N5>Y_7SSpcSGFw8U{K|lKolIzNs3r2VMA|QEohpw&30ZUxyS^WUHI(<`g=1* zFf(Lm%=QG8#SJewbZiQuLWmP3v8Gu|K^vySs$e`k?#?L;m2;yQfpa#*VjC&{ehN+F zP3vT$eb?|X>bPm4Mha*U(qq~X>^-d|Oxfl^3n|+bOgJ)0ngunWh!$*$OGw%;c-g`> zO_3D>r!tN=p?@)B4Xk?Pp_rCskwLTFA!{&$oQqfrbj9?_4qDAfg=;3-FNz9gQN?ia z!6I6ATua&7Do01)V}$0>eArZaY?Mt7>fHD;ndaf1WVJX^!nzwKh101W3>`S88pTSC zKd7?FSj*uxx+F}y|6gP0^CCwP#qri4C@Ln#KOkTZUebgRlz0$!6A26My1K>$1&5j0 z-ppiYx|`{lY*su370kgy@Z?Ey@**CD+*I%;-UKfma>z;IMG(ZJ>*xEbs=I17f(5lx z-SxWaRn@EatA4CwTjCs!*_#ls=3bnP84&0Hpd4?yklJpl9;ykuZ+LJB4Gy7F%&|S= z7GW$QP1Z^^ne9INjkdYP06WZ{m&Ld6IXWMVCbFi*jegIW)iFL8)EHXl&h;9ozl^B;rED-Rlj#B# zdd4UpU{?r>6D`h+K4V(RrX<R0u%9M*677CJI zC4O)H20;e4fb6iIdP`KJOvjt7eOZEjRY@4;AG--fy7Lh3c~-@*=$Rm!!yIJ zJ#lX~^+8yk@2Lf7gB!)3ADua>?c`E@q1tm;2;lrkIJI@}nRy`0dK5V7?e)E&7p$A~ z(&A0?%W&8ubq}>S-US)#09UToAF!Jv&r~}E*Q%7sv>0LK^-J{KNCkra!A{PA9i&Vm z5(AN#7e%&!n&R~FGdNgZ#FCly`emZC3Bj8i>{?PBe>+xqkl>d`y%A1_bmvka3t zGUL7owN0w3mX|ik^Cr(53vI@|YP(B=s8nUa@L={S-&Nn))?PXYX`$*oHG+ash9wsN zxQV4PZe*}E+4aV|S7THJr-BeoOt_BO%$x-BS^ocM+D5#RaaOs{z zzB#B=2iS=RN(3a0b>}ZYPP*ll+MfP*n47WcLc!V z=DzWg;_8&eGMHYBpDpmZ87vvSM)a1e`l#pJrlBxrd`Wj1j+lns(3ptn5QGShieX}-(0a9by1T;ySW*}Wb)w-ioVc}0 zHY!}%UP~9%gK^Reo;s4Y_;)GA*u>TJMn-IzD>QR<76faF1Pv`vszc{Dummza0Q()^XFROWxudJ?}(lU&D;v`N!Sd1szr|?$hdK&ec zQI>D-mz3vzk$9Ga!^J#N@dN8W`K*`--X&_zcjTsX<}7=V)^QcGDp`bCzVhaJj?`w+ zEiXne3N23=xLXEs;32I~tn?XcJJish;swmFR+Q>W!=atAqkgLC`c_=vY~RyA9lJ;7^I(pdR33&F7Y1^cjehfvMU_=y3IS)t9nAw z?~{IlsBazs_W-yTNQd$S_c-w*qTp7EM~`#P@Hpq|e0#b2*vb>!r$phZdUPJHCX=SL zA=LW`Zvvd|eft2olc7@b1gH2}1gG=UcNp-=*TU8X)v53~F_fo!i=O(4vx=7E{)BqJ z@NgfBSl$QjK5!>UhY-HG&xditBiU|r22$lHFufAEi+}PzCPF58A^9)i6=kac diff --git a/software/libcariboulite/src/rffc507x/rffc507x.c b/software/libcariboulite/src/rffc507x/rffc507x.c index 7d8fe3e..c623e57 100644 --- a/software/libcariboulite/src/rffc507x/rffc507x.c +++ b/software/libcariboulite/src/rffc507x/rffc507x.c @@ -68,8 +68,8 @@ static uint16_t rffc507x_regs_default[RFFC507X_NUM_REGS] = 0x2a20, /* 12 */ 0x0000, /* 13 */ 0x0000, /* 14 */ - 0x0000, /* 15 */ - 0x0000, /* 16 */ + 0x0000, /* 15h / 21d <== SDI_CTRL - SDI Control */ + 0x0000, /* 16h / 22d <== GPO - General Purpose Outputs */ 0x4900, /* 17 */ 0x0281, /* 18 */ 0xf00f, /* 19 */ @@ -83,6 +83,7 @@ static uint16_t rffc507x_regs_default[RFFC507X_NUM_REGS] = //=========================================================================== static inline void rffc507x_reg_commit(rffc507x_st* dev, uint8_t r) { + //printf("writing reg %d, value: %04X\n", r, dev->rffc507x_regs[r]); rffc507x_reg_write(dev, r, dev->rffc507x_regs[r]); } @@ -117,11 +118,9 @@ int rffc507x_init( rffc507x_st* dev, dev->io_spi = io_spi; /* Configure GPIO pins. */ - io_utils_setup_gpio(dev->mode_pin, io_utils_dir_output, io_utils_pull_up); io_utils_setup_gpio(dev->reset_pin, io_utils_dir_output, io_utils_pull_up); /* set to known state */ - io_utils_write_gpio(dev->mode_pin, 0); io_utils_write_gpio(dev->reset_pin, 1); dev->io_spi_handle = io_utils_spi_add_chip(dev->io_spi, dev->cs_pin, 5000000, 0, 0, @@ -146,10 +145,15 @@ int rffc507x_init( rffc507x_st* dev, set_RFFC507X_P2PRESC(dev, 0); set_RFFC507X_P2VCOSEL(dev, 0); - // set ENBL and MODE to be configured via 3-wire interface, not control pins. + // set ENBL and MODE to be configured via 4-wire interface, not control pins. + set_RFFC507X_RESET(dev, 0); + set_RFFC507X_ADDR(dev, 0); + //set_RFFC507X_4WIRE(dev, 1); + set_RFFC507X_4WIRE(dev, 0); + set_RFFC507X_MODE(dev, 1); + set_RFFC507X_ENBL(dev, 1); set_RFFC507X_SIPIN(dev, 1); - - // GPOs are active at all times + set_RFFC507X_LOCK(dev, 0); set_RFFC507X_GATE(dev, 1); // Write default register values to chip. @@ -183,7 +187,6 @@ int rffc507x_release(rffc507x_st* dev) dev->initialized = 0; io_utils_setup_gpio(dev->reset_pin, io_utils_dir_input, io_utils_pull_up); - io_utils_setup_gpio(dev->mode_pin, io_utils_dir_input, io_utils_pull_up); // Release the SPI device io_utils_spi_remove_chip(dev->io_spi, dev->io_spi_handle); @@ -209,7 +212,7 @@ uint16_t rffc507x_reg_read(rffc507x_st* dev, uint8_t r) uint16_t vin = 0; // Readback register is not cached. - if (r == RFFC507X_READBACK_REG) + //if (r == RFFC507X_READBACK_REG) { io_utils_spi_transmit(dev->io_spi, dev->io_spi_handle, &vout, (uint8_t*)&vin, 2, io_utils_spi_read); return vin; @@ -371,6 +374,36 @@ uint64_t rffc507x_set_frequency(rffc507x_st* dev, uint16_t mhz) return tune_freq; } +//=========================================================================== +void rffc507x_setup_pin_functions(rffc507x_st* dev) +{ + ZF_LOGD("setting up gpio configurations (4-wire)"); + set_RFFC507X_RESET(dev, 0); + set_RFFC507X_ADDR(dev, 0); + set_RFFC507X_4WIRE(dev, 1); + set_RFFC507X_MODE(dev, 1); + set_RFFC507X_ENBL(dev, 1); + set_RFFC507X_SIPIN(dev, 0); + set_RFFC507X_LOCK(dev, 0); + set_RFFC507X_GATE(dev, 0); + rffc507x_regs_commit(dev); +} + +//=========================================================================== +void rffc507x_readback(rffc507x_st* dev, uint16_t *readback_buff, int buf_len) +{ + if (buf_len > 16) buf_len = 16; + + for (int i = 0; i < buf_len; i++) + { + set_RFFC507X_READSEL(dev, i); + rffc507x_regs_commit(dev); + readback_buff[i] = rffc507x_reg_read(dev, RFFC507X_READBACK_REG); + + printf ("READBACK #%d: %04X\n", i, readback_buff[i]); + } +} + //=========================================================================== void rffc507x_set_gpo(rffc507x_st* dev, uint8_t gpo) { diff --git a/software/libcariboulite/src/rffc507x/rffc507x.h b/software/libcariboulite/src/rffc507x/rffc507x.h index 7bc5b82..2c81d78 100644 --- a/software/libcariboulite/src/rffc507x/rffc507x.h +++ b/software/libcariboulite/src/rffc507x/rffc507x.h @@ -39,7 +39,6 @@ typedef struct { int cs_pin; int reset_pin; - int mode_pin; io_utils_spi_st* io_spi; int io_spi_handle; @@ -78,5 +77,7 @@ void rffc507x_rxtx(rffc507x_st* dev); void rffc507x_enable(rffc507x_st* dev); void rffc507x_disable(rffc507x_st* dev); void rffc507x_set_gpo(rffc507x_st* dev, uint8_t gpo); +void rffc507x_setup_pin_functions(rffc507x_st* dev); +void rffc507x_readback(rffc507x_st* dev, uint16_t *readback_buff, int buf_len); #endif // __RFFC507X_H diff --git a/software/libcariboulite/src/rffc507x/test_rffc507x.c b/software/libcariboulite/src/rffc507x/test_rffc507x.c index f8c197a..6d6b9a0 100644 --- a/software/libcariboulite/src/rffc507x/test_rffc507x.c +++ b/software/libcariboulite/src/rffc507x/test_rffc507x.c @@ -31,10 +31,10 @@ int main () io_utils_set_gpio_mode(FPGA_RESET, io_utils_alt_gpio_out); io_utils_set_gpio_mode(ICE40_CS, io_utils_alt_gpio_out); io_utils_setup_gpio(CARIBOULITE_MXR_RESET, io_utils_dir_output, io_utils_pull_up); - + //io_utils_write_gpio(FPGA_RESET, 0); //io_utils_write_gpio(ICE40_CS, 0); - + io_utils_write_gpio(CARIBOULITE_MXR_RESET, 0); printf("RFFC5072 is reset, press enter to release...\n"); getchar(); @@ -42,6 +42,7 @@ int main () printf("RFFC5072 is not reset.\n"); io_utils_spi_init(&io_spi_dev); + io_utils_set_gpio_mode(19, io_utils_alt_gpio_in); rffc507x_init(&dev, &io_spi_dev); printf("RFFC507X Registers:\n"); @@ -51,6 +52,9 @@ int main () printf("REG #%d => %04X\n", i, reg_val); } + uint16_t readback_buff[16] = {0}; + rffc507x_readback(&dev, readback_buff, 16); + rffc507x_release(&dev); io_utils_spi_close(&io_spi_dev); io_utils_cleanup();