diff --git a/firmware/spi_slave.v b/firmware/spi_slave.v index 4aeb7dc..7caea3e 100644 --- a/firmware/spi_slave.v +++ b/firmware/spi_slave.v @@ -25,7 +25,7 @@ module spi_slave // Purpose: Recover SPI Byte in SPI Clock Domain // Samples line on correct edge of SPI Clock - /*always @(posedge i_spi_sck or posedge i_spi_cs_b) + always @(posedge i_spi_sck/* or posedge i_spi_cs_b*/) begin if (i_spi_cs_b) begin r_rx_bit_count <= 0; @@ -42,8 +42,8 @@ module spi_slave end end end - */ - always @(posedge i_sys_clk) + + /*always @(posedge i_sys_clk) begin if (i_spi_cs_b) begin r_rx_bit_count <= 0; @@ -59,7 +59,7 @@ module spi_slave r_rx_done <= 1'b0; end end - end + end*/ // Purpose: Cross from SPI Clock Domain to main FPGA clock domain // Assert o_rx_data_valid for 1 clock cycle when o_rx_byte has valid data. diff --git a/firmware/top.asc b/firmware/top.asc index a73714a..3eb1160 100644 --- a/firmware/top.asc +++ b/firmware/top.asc @@ -55,12 +55,12 @@ 000000000000000000 .io_tile 4 0 -000010000000000010 -000010010000000000 +000000000000000010 +000000000000000000 000000000000000000 000000000000000001 -000000000000000010 -000000000000010000 +000000000000110010 +000000000000110000 001100000000000000 000000000000000000 000000000000000000 @@ -69,71 +69,71 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 +000001110000000000 000000000000000000 .io_tile 5 0 000000000000000010 000100000000000000 000000000000000000 -000000000000010001 -000000111000010010 -000011111000010000 +000000000000000001 +000000000000110010 +000000000000010000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000000010010 -000000000000010000 -000000000000000000 +000010000000100010 +000011010000110000 +000000110000000000 000000000000000001 000000000000000010 000000000000000000 .io_tile 6 0 -000000000000000010 -000100000000000000 -000000011000000000 -000000001000000001 -000000000000111110 -000000000000111000 -001100000000000000 +000000111000000010 +000100001000000000 +000010000000000000 +000010110000000001 +000000000000000110 +000000000000110000 +001100011000000000 000000000000000000 000000000000000000 000100000000000000 -000010000000000010 -000010110000110000 +000000000000010010 +000000000000110000 000000000000000000 000000000000000001 -000001111000000010 -000000001000000000 +000000000000000010 +000000000000000000 .io_tile 7 0 -000010000000000010 -000010110000001000 -000001010000000000 +000000000000000010 +000000000000001000 +000000000000000000 000000000000000001 -000000000000001110 -000000000000010000 +000000000000100110 +000000000000011100 001100000000000000 000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 +000000111000000000 +000100001000000000 000000000000000000 000000000000000000 000000000000000000 +000011010000000000 000000000000000000 000000000000000000 .io_tile 8 0 -000000000000011000 +000000000000000000 000000000000000000 000000000000000000 000000000000000001 000000000000000000 000000000000000000 -001100000000000000 +001100000000011000 000000000000000000 000000000000000000 000100000000000000 @@ -148,32 +148,32 @@ 000010000000000010 000101010000000000 000000000000000000 -000000000000001001 -000000000000110010 -000000000000010000 -001100000000000000 -000000000000000000 +000000000000000001 +000000000000100010 +000000000000110000 +001100000000011000 +000000000000100000 000000000000000000 000100000000000000 -000000000000000010 +000001111000000010 000000000001000000 -000000111000000000 -000000001000000001 +000000000000000000 +000000000000000001 000000000000000001 000000000000000000 .io_tile 10 0 +000000000001000000 +001100000000000000 000000000000000000 -000100000000000000 -010000000000000000 000000000000000001 -000001010000000000 -000000001000000000 +000000000000000000 +000000000000000000 001100000000000000 000000000000000000 000000000000000000 -000000000000000000 -000000000000010010 +000001110000000000 +000000000000100010 000000000000010000 000000000000000000 000000000000000001 @@ -185,32 +185,32 @@ 000100000000000000 000000000000000000 000000000000000001 -000000000001100001 -000000000011010000 +000000000011110001 +000000000011110000 001000000000000000 000000000000000000 -000001110000000000 -000100001000000001 -000010000000000000 -010010010000000000 -000000000000000000 -000000000000000001 -000000000000000000 -000000000000000000 - -.io_tile 12 0 000000000000000000 000100000000000000 000000000000000000 +001000000000000000 +000000000000000000 +000000000000000001 +000001010000000000 +000011011000000000 + +.io_tile 12 0 +000000000000000000 +001100000000000000 +010000000000000000 000000000000000001 000000000000000000 000000000000000000 001000000000000000 000000000000000000 -100000000000000000 -010000000000000000 +110000000000000000 000000000000000000 000000000000000000 +001000000000000000 000000000000000000 000000000000000001 000000000000000000 @@ -237,212 +237,194 @@ .logic_tile 1 1 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001011000000000010000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 2 1 -000000000000000000000010100000000000000000000100000000 -000000000000000000000110110101000000000010000000000000 -111000000000000101000000000011100000000000000100000000 -000000000000001101100000000000100000000001000000000000 -110000000000000101000000000000000001000000100100000000 -100000000000000000100000000000001000000000000000000000 -000000000000000000000000001000000000000000000100000000 +000000000100000000000000001000000000000000000000000000 000000000000000000000000000001000000000010000000000000 +111000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000011010000100000100000100 +000000000000000000000000000000010000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000100000000 -000000000000000000000011011001000000000010000000000000 -000100000000000000000000000000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000101000000000000000000000000000100000000 +000000000000000000100000000111000000000010000000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramb_tile 3 1 -000000000000000101100110100001000000000001 -000000000000000000000011011001001110000000 -111000000000001101100111001000000000000000 -000000000000000111000100001001000000000000 -110100000000001000000000001101000000000000 -110000000000000101000011100101000000000000 -000000000000001011000111110000000000000000 -000000001110001011100011111101000000000000 -000000000000000000000000001001000000000010 -000000000000000000000000001101100000000000 -000000000000000000000000001000000000000000 -000000000000000000000000000101000000000000 -000000000000000001000011101001100000000010 -000000000000000000000100000111000000000000 -010110100000000001000000001000000000000000 -010101000000000000000000001101000000000000 +000000000000001000000000010011000000000000 +000000000000001111000011111001101000000000 +111000000000001000000000001000000000000000 +000000000000000111000000001101000000000000 +010000000000000000000011101111000000000000 +110000000000001111000000001111000000000000 +000000000000001000000000011000000000000000 +000000000000001101000011100101000000000000 +000000000000000001000111001011100000000000 +000000000000000000100100001011000000000000 +000010100000000011100000000000000000000000 +000001000000001111000011110011000000000000 +000000000000000000000000001111100000000100 +000000000000000001000000000011100000000000 +010000000000000000000111000000000000000000 +010000000000000000000010000101000000000000 .logic_tile 4 1 -000000000000000000000011100000001000110000000000000000 -000000000000000000000100000000011011110000000000000000 -111000000001010101000000000000000000000000000000000000 -000000000000100000100000000000000000000000000000000000 -110001000000000000000110100000000000000000000000000000 -100000000000000000000100000000000000000000000000000000 000000000000000000000000000000000001000000100100000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001000000000000000000001 +111000000000001000000110000000000000000000000000000000 000000000000000001000000000000000000000000000000000000 +010000000000000101000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000011100000000000000100000000 -000000000000000000000000000000000000000001000000000000 +000000000000000000000000000000011000000100000100000000 +000000000000000000000000000000010000000000000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000010000000000000101000000000000000100000000 +000000000000100000000000000000000000000001000010000000 .logic_tile 5 1 -000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000001000000000000000000000000000000000000000 -000000100000001101000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000100000000000000000000011000011100101000000010000000 -000000000000000000000011010101010000010100000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000100111000001 +000000000000000000000000000000001010000000000010000100 +111000000000000101000111101011111101110011000000100000 +000000000000000000100000000001011011000000000000000000 +110000000000000111100000000000000000000000000000000000 +110000000000000000100000000000000000000000000000000000 +000000001111000111100000000101100000000000000110000000 +000000000000100000000010110000000000000001000011000001 +000000000000000000000000000001100000000000000110000100 +000000000000000000000000000000100000000001000010000001 +000001000000000000000000000111100000010110100110000111 +000010100000001001000010010000000000010110100001000000 +000000000000000000000111000000000000000000000000000000 +000000000000000000000110110000000000000000000000000000 +110000000000000001100000000000000000000000000000000000 +000000000000000000000000001111000000000010000000000000 .logic_tile 6 1 -000000000000000000000000001000001110001100110100000000 -000000000000000000000000001111000000110011000011000101 -101000000110100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -110000000000000000000010000000000000000000000000000000 -010000000000010000000100000000000000000000000000000000 -000000000000000000000000000000001011110000000000000000 -000000001110000000000000000000011110110000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000100000001000000000000000000000000000000000000 -110000000000100000000110000000011110000011110110000111 -000000000001000001000000000000010000000011110010100000 +000000000000000000000000000011000000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000011110000100000000000000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001100110011000000000000 +000000000000100000000000000000001001001100111000000000 +000000000001000000000000000000001111110011000000000000 +000000000000001000000011110000001000001100111000000000 +000010000000001011000011010000001100110011000000000000 +000000000000001000000000010011001000001100111000000000 +000000000000000011000011010000100000110011000000000000 +000010000000000000000000000111101000001100111000000000 +000001000101010000000000000000000000110011000000000000 +000000000000001000000000010011101000001100111000000000 +000000000000001011000011000000100000110011000000000000 .logic_tile 7 1 -100000000000001001000000010000000000000000000000000000 -000000000000001111000011100000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000011000000000000000000000000000000 -000000000000000000000000001001000000000110000100000000 -000010100000000000000000000111001011000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000010000000000001111000000000000 -000000000000000000000011000000001000001111000010000000 -000000000000000000000111100000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000011110000000000000000000000000000 -000000000110001000000000000111011100000010100100000000 -000000000000001011000000001111110000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000001000000100100000001 +000010000000000000000000000000001001000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 8 1 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010000011000010 -000000000000000000000000000000000000000000000011100101 -000000000000000011100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000101001110000001010000000000 -000000000000000000000000000000000000000001010000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 +111001000000100000000000000000000000000000000000000000 +000010100001000000000000000000000000000000000000000000 +110000000000001000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000010100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101000000000000000100000000 +000000000000000000000000000000000000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 9 1 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001011000000000000001000 +001000000000000000000000000011100000000000001000000000 +000000000000000000000000000000100000000000000000000000 +000000000000000000000110000111001000001100110100000000 +000000000000000000000000000000100000110011000000000000 +000000000001000011100000000000001010000011110100000000 +000000000000000000100000000000010000000011110000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000100000000010000000000000000000000000000000 +000000000000000000000000011000000000001100110100000000 +000000000000000000000010001011001011110011000000000000 +010000000001000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 .ramb_tile 10 1 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 1 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -101000100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000010110100000000000 -110000000000000000000000001001000000101001010000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001000000000000000000000000 -000000000000000001000000000000100000000001000000000000 -000000000000000000000111000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000100100000000 -010000000000000000000000000000001101000000000000000010 - -.logic_tile 12 1 -000000000000000000000000000000011010000100000100000000 -000000000000000000000000000000000000000000000000000100 -101000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000000000000001000000100100000000 -000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -450,6 +432,24 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +.logic_tile 12 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 + .io_tile 13 1 000000000000000000 000000000000000000 @@ -487,220 +487,220 @@ 000000000000000000 .logic_tile 1 2 +000000000000000000000010101000000000000000000100000000 +000000000000000000000100001001000000000010000000000000 +111000000000000000000000010000000000000000100100000000 +000000000000000000000010000000001001000000000000000100 +110000000000000000000000000000000000000000000100000000 +100000000000000000000000000011000000000010000000000100 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000011100000100000100000100 +000000000000000000000000000000010000000000000000000000 +110000000000001000000000000000000001000000100100000000 +000000000000000011000000000000001110000000000000000100 .logic_tile 2 2 -000000000000000001100000000000000000000000000000000000 +000000000000001000000000011001101110000010100000000000 +000000000000001001000010010001011001001001000000000010 +111000000000001011100000000011101100101000000000000000 +000000000000001011100000000000010000101000000001000000 +010000100000001000000000000000011010000100000100000000 +100000000000000101000000000000010000000000000000000001 000000000000000000000000000000000000000000000000000000 -111000000000000001100000000000000000000000100100000000 -000000000000000000000000000000001101000000000000000000 -110000000000000000000110010000011000000100000100000000 -000000000000000000000010000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000001111011000000110100000000100 +000000000000000000000010000001001000001000000000000000 +000000000000000000000111000011100001100000010000100000 +000000000000000001000100000000001101100000010000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000010100000000000000000000000000000 000000000000000000000000000000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -000000000000000000000111000000001010000100000100000000 -000000000000000000000100000000010000000000000000000000 -000000000000000000000000000111000000000000000100000000 -000000000000000000000000000000100000000001000000000000 -000000000000000000000111000000000000000000100100000000 -000000000000000000000000000000001011000000000010000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101000000000010000001000000 .ramt_tile 3 2 -000000000001110000000110001111100001000000 -000000010110001001000100000111101111000000 -101000000000000000000110001000000000000000 -000000011100000000000100001101000000000000 -110000000000000000000000000001100000000000 -110000000000000000000000001011100000000000 -000010000000000000000000000000000000000000 -000001000000000000000011101011000000000000 -000000000000000001010010000111000000000000 -000000000010000000100010010001100000000000 -000000000000000000000000000000000000000000 -000000001100000111000000000011000000000000 -000000000000000001000111110001000000000010 -000000000000000000100010010111100000000000 -110000000000000011100010011000000000000000 -010000000000001001000010010111000000000000 +000000000000000000000000000011100000000000 +000000010000000000000000000011001010000000 +101000000000000111000000001000000000000000 +000000010100000111100000000001000000000000 +110000000000000111100011110101000000001000 +110000000000000000000011101101100000000000 +000010000000000000000011111000000000000000 +000001000010000000000011011101000000000000 +000010100000001000000111001111000000001000 +000000000000001011000100001101000000000000 +000000000000000011100010001000000000000000 +000000000000000111000000001011000000000000 +000000000010001000000111001011000000000000 +000000000110001001000000000001100000000000 +110000000000000000000000001000000000000000 +010000000000000000000010001111000000000000 .logic_tile 4 2 -000000000000001000000000000111111110010110000000000000 -000000000000000101000000000111101000000010000000000000 -111010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000010000000000011111101110000110000000000000 -000000000000000000000010011111011101000001010000000000 -000010000000001000010000000000011100000100000100000000 -000001000000000111000000000000000000000000000000000000 -000000000000010011100000000000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -110000000000000000000011100000000000000000000000000000 -000000001110001001000010010000000000000000000000000000 +000000000000000000000000001011111101000010000000000000 +000000000000000000000000001001101110000011010000000100 +111000000000000000000000011000000000000000000100000000 +000000000000000000000010100101000000000010000001000000 +010000000000011000000010100111000001100000010000000000 +000000000000000101000100000000101101100000010000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000001000010110000000000000000000000000000 +000000000000000000000000000000000000000000100100000001 +000000000000000000000000000000001011000000000000000000 +000000000000000001000010011111001110000110100000000100 +000000000000001101000011010011011010001000000000000000 +000000000000000011100010010000011010000100000100000100 +000000001100000000000011010000010000000000000000000000 +110000000000001011100000001011101111000110000000000000 +000000000000001001100000000111011100001010000010000000 .logic_tile 5 2 -000000000000000000000000001000000001100000010000100000 -000000001100000000000000000011001010010000100000000000 -000000000000000000000000001011100000101001010000000000 -000000000000000000000000000011000000000000000000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 -000000000000000101100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 - -.logic_tile 6 2 -000000000000000000000000000000000001000000001000000000 -000000000000000101000011100000001011000000000000001000 -101000000000001000000000000001000000000000001000000000 -000000000000000001000000000000000000000000000000000000 -010000000000000000000110000111001000001100111110000000 -010000000000000000000000000000100000110011000011000101 -000010000000000000000010100101001000001100111110000000 -000000001100000000000000000000100000110011000011000001 -000000000000000000000000000000001001001100111110000010 -000000000000000000000000000000001000110011000010000001 -000010000000000000000000000111101000001100111100000001 -000001000000000000000000000000000000110011000011000011 -000000000000000000000000010111101000001100111110000101 -000000000000000000000010000000100000110011000010000101 -110000000000000001100110010111101000001100111110000000 -000000000000000000000010000000100000110011000010100001 - -.logic_tile 7 2 -000000000000000000000000010011100000000000001000000000 -000010000000000000000010100000100000000000000000001000 -000000000001000101100000010011000000000000001000000000 -000000000000100000000010100000000000000000000000000000 -000000000000000000000110100000001000001100111000000000 -000000000000000000000000000000001001110011000000000100 -000000000000001000000110100101001000001100111000000000 -000000000000000101000000000000100000110011000000000100 -000000000000001000000000000101101000001100111000000100 -000000000000001001000000000000000000110011000000000000 -000000000000000000000110000000001001001100111000000000 -000000000000000000000100000000001000110011000000000000 -000010000000000000000000000000001001001100111000000000 -000000000000000000000000000000001001110011000000000000 +000000000000000101000000000000000000000000001000000000 +000000000000000101100010110000001011000000000000001000 +000000000000000101000000000000001011001100111000000000 +000000000000001101100010110000011000110011000010000000 +000000000000000000000010100000001000001100111000000000 +000000000000000000000000000000001000110011000000000100 +000000000000000000000000000001101000001100111000000000 +000000000000000101000000000000000000110011000000000001 +000000000000000000000000000000001000001100111000000000 +000100000000000000000000000000001001110011000000000001 +000000000001010000000000000000001000001100111000000000 +000000000000100000000000000000001000110011000000000000 000000000000000000000000000101101000001100111000000000 +000000000000000000000000000000000000110011000000000000 +000000000000000000000000000001101000001100111000000000 000000000000000000000000000000100000110011000000000000 +.logic_tile 6 2 +000000000001000000000010110111001000001100111000000000 +000000000000000000000011000000000000110011000000010000 +101000000000000000000010100000001000111100001000000000 +000000000000000111000010100000000000111100000000000000 +010000000000000000000000000001111111100010010000000000 +010000000000000000000010100111101001001001100000000000 +000000000000000101000010100011100000000000000100000010 +000000000100000101000000000000000000000001000000000001 +000000000000001001100000000000000001000000100110000000 +000000000000001001000010100000001101000000000000000000 +000000000000001000000000010101111011110011110000000000 +000000000000000101000010101111011110000000000000000000 +000000000000001101000000001001011010100010000000000000 +000000000000000011100010110001101100000100010000000000 +000000000000001101000000000111101100001100000000000100 +000000000000001001100000001101011100000000000000000000 + +.logic_tile 7 2 +000000000000000101000000000001100001100000010010000000 +000000000000000000100000000000001011100000010000000000 +001000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +010000000000000000000010000000000000000000000000000000 +110000000000000001000100000000000000000000000000000000 +000000000000100000000011100000000000000000000000000000 +000000001101000000000000000000000000000000000000000000 +000000000000000101100000000011000000000000000100000000 +000000000000000000000000000000000000000001000000000000 +000000000000000000000000000000000000000000100100000000 +000000000000000000000000000000001010000000000000000010 +000000000000000000000000000001000000000000000100000000 +000000000000000000000000000000000000000001000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 + .logic_tile 8 2 -000000000000000000000000000000000001000000100100000000 -000000000000000000000000000000001010000000000000000000 -101000000000000000000000001101101100000000000000100001 -000000000000000000000000001111000000000001010000000000 +000000000000001000000000010000000000000000000000000000 +000000000000000011000010000000000000000000000000000000 +001000000000000000000000001000000000000000000100000000 +000000000000000000000000001011000000000010000000000000 +110000000000000000000110000000000000000000000100000000 +110000000000000000000000000001000000000010000000000000 +000000000001000000000000000111000000000000000100000000 +000000000000000000000000000000000000000001000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000001100000000111100000000000000100000000 -000000000000000000000000000000100000000001000000100000 -000000000000001000000110000000000000000000000000000000 -000000000000000001000100000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000100000000000000001011000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000110000000000000000000000000000000 -000000000110000000000110110000000000000000000000000000 +000000000000000000000110000001000000000000000100000000 +000000000110000000000000000000100000000001000000000000 +000000000000000101000010100000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000001010000100000100000000 +000000000000000000000000000000010000000000000000000000 .logic_tile 9 2 -000000000000000000000000000011101110101000000000100000 -000000000000000000000000000000000000101000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000100001000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101111000111100010000000000 +000000000000000000000010101001111011111101000000100000 +101000000000000000000111100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000110000101100000000000000100000000 +000000000000001101000010100000100000000001000000000000 +000000000000000000000000000101101010100000000000000000 +000000000000000000000000000000111011100000000000000000 +000000000000000000000000010011100000000000000000000000 +000000000000000000000010010000100000000001000000000000 +000000000000000000000110001000000000001001000010000000 +000000000000000000000000001101001111000110000011000100 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 .ramt_tile 10 2 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 2 -000000000000001000000011101011000000010110100000000010 -000000000000001001000000001101000000000000000010000001 -101010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000001000001100111000101111010111101010000000000 -000000000000001101000100000000010000111101010000000000 -000000000000001001000000000000000000000000000000000000 -000000000000000001000010100000000000000000000000000000 -000000000000000101100000000001001100110001110000000000 -000000000000000000000000000000011100110001110000000010 -000000000000000000000110000101101000111101010100000000 -000000000000000000000000000011010000101000000000100000 -000000000000000000000000000101100001101001010000000000 -000000000000000000000000000011001100001001000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 2 -000000000000000000000000000001100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -101000000001010000000110010001100000000000001000000000 -000000000000100000000010000000100000000000000000000000 -010000000000000011100111000000001000001100110100000000 -110000000000000101100110111011000000110011000000000000 -000000000000000000000000001001101100101000000000000000 -000000000000000000000000001001110000000000000000000100 -000000000000000000000000010101001010000100000000000100 -000000000000000000000010000000101010000100000010000000 -000000000000000000000000000011011001011011110000000000 -000000000000000000000000000000111001011011110000000000 -000000000000000000000000000000011001001100110100000000 -000000000000000000000000000000011001110011000000000000 -110000000000000001100000000000000001001111000100000000 -110000000110000000100000000000001001001111000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 2 000000000000000000 @@ -709,7 +709,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000100000001000000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -739,150 +739,188 @@ 000000000000000000 .logic_tile 1 3 -000000000000000000000000000111100000000000000100000000 +000000000000000000000000000111000000000000000100000000 +000000000000000000000000000000100000000001000010000000 +111010000000000000000000011000000000000000000100000000 +000001000000000000000011010011000000000010000000000000 +110000000000000001100000000000000001000000100100000000 +100000000000000000000010100000001100000000000000000000 +000000000000000000000000000101000000000000000100000000 000000000000000000000000000000000000000001000000000000 -111000000000000001100110010000000001000000100100000000 -000000000000001101000010000000001001000000000000000000 -110000000000001000000000000000011000000100000100000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000000011100000000000000100000000 -000000000000000000000000000000100000000001000000000000 -000000000000000000000110001000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -000000000000000000000000010001100000000000000100000000 -000000000000000000000011010000100000000001000000000000 -000000000000000000000000000011000000000000000100000000 -000000000000000000000000000000100000000001000000000000 -110000000000001000000000000000000000000000000100000000 -000000000000000001000000000101000000000010000000000000 +000000000000000000000000000000001010000100000100000000 +000000000000000000000000000000010000000000000000000000 +000000000000001001100000010111100000000000000100000100 +000000000000000001000010000000100000000001000000000000 +000000000000000000000000011000000000000000000100000000 +000000000000000000000010001001000000000010000000000100 +110000000000000000000110000000011100000100000100000000 +000000000000000000000000000000010000000000000000000000 .logic_tile 2 3 -000000000000000000000000000101000000000000000100000000 -000000000000000000000000000000100000000001000000000000 -111000000000000101100000000000000001000000100100000000 -000000000000000000000000000000001110000000000000000000 -110000000000001000000110110000011010000100000100000000 -100000000000000101000010100000000000000000000000000000 -000000000000001000000110110000000000000000100100000001 -000000000000000101000010100000001010000000000000000000 +000000100000000000000000000000000001000000100100000001 +000000001000000000000011110000001011000000000000000000 +111010000000000000000000011000000000000000000100000000 +000001000000001111000011101101000000000010000000000001 +110000000000000000000000011000000000000000000100000000 +000000000000000000000011101001000000000010000000000010 +000000000000001000000111110001100000000000000100000000 +000000000000000111000011110000000000000001000000000000 000000000000000000000000000000000000000000100100000000 -000000000000000000000000000000001011000000000000000000 +000000000000000000000000000000001001000000000000000000 000000000000000000000000000000000001000000100100000000 -000000000000000000000000000000001011000000000000000000 -000000000000000000000000000000000001000000100100000000 -000000000000000000000000000000001011000000000000000000 -000000000000000000000000000000000001000000100100000000 -000000000000001101000000000000001000000000000000000000 +000000000000000000000000000000001010000000000000000010 +000000000000000000000010000000001010000100000100000000 +000000000000000000000000000000010000000000000000000000 +000000000000000000000000000000001110000100000100000000 +000000000000000000000000000000010000000000000000000000 .ramb_tile 3 3 -010000000000100101100000011101100001000000 -001000001011010000000011111001001000000000 -111000000000001101100000010000000000000000 -000000000000001111000011111011000000000000 -110000000000000000000000010001000000000000 -111010000000000000000010101001000000000000 -000000000000001000000010001000000000000000 -001000000000000101000000001011000000000000 -000000000000000011100011100001100000000000 -001000000000000000000000000111000000000000 -000010100000001000000111000000000000000000 -001001000000000011000100000111000000000000 -000000000000010111100111101101000000000000 -001000000000000111000000001101100000000000 -110010000000000000000000000000000000000000 -011001000000000000000000000011000000000000 +010000000000000000000110101001100001000000 +001000001010001111000011110101101000000000 +111000000000000000000110110000000000000000 +000000000000001111000010111011000000000000 +110000000000000000000000000101100000000000 +011001000000000000000000001001000000000000 +000000000000011111100000010000000000000000 +001000000000100101100010100111000000000000 +000000100000000001000010000101100000000000 +001010000010000000000111100001100000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000011000000000000 +000000000100001000000000001001000000000000 +001001000010000111000000001001100000000000 +110010100000000011100000011000000000000000 +111001000000000000000011001111000000000000 .logic_tile 4 3 -100000000000000011100010101001001100000010100000000000 -000000000000000101000110010001011001000010010000000000 -001000000001011011100111111001011000000111000000000000 -000000000000100101000010100001111101000001000000000000 -110000000000001011100011100000000000000000000000000000 -110010000000000101100100000000000000000000000000000000 -000000000000000000000000000001001111001001000000000000 -000000000000000000000000001011101001000010100000000000 -000000000000000101000010010011001010000110000000000000 -000000001010000000000110011001101010000010100000000000 -000000000000001000010000000001011101000010100000000000 -000000000000001001000000001011101110001001000000000000 -000000000000000011100111100011001110000110000000000001 -000000000000000000000110111001111101000010100000000000 -000000000000000000000000000001001010010111000110000000 -000000000000001101000000001001011100000011000000000000 +000000000000000000000000010011000000000000000100000000 +000000000000100000000010000000000000000001000000000100 +111000000000010000000000000000000001000000100100000000 +000000000000100000000000000000001011000000000001000000 +010000000000000000000000001000000000000000000100000000 +000000000000000000000010110101000000000010000001000000 +000000000000000101000000000001000000000000000100000000 +000000000000000000100010110000100000000001000001000000 +000000000000000001000000000000000001000000100110000000 +000000000000000000000000000000001111000000000000000000 +000000000000000001100000000000000000000000100110000000 +000000000000000000000000000000001011000000000000000000 +000000000001000001100000000011100000000000000110000000 +000001000000000000000000000000000000000001000000000000 +110000000000000000000110000111000000000000000100000000 +000000000000000000000000000000000000000001000001000000 .logic_tile 5 3 -100000000000001000000111110000000000000000000000000000 -000000000000001111000011100000000000000000000000000000 -001000000000000000000000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -010000000000000101100110100011101100101000000000100000 -010000000000001101000000000000010000101000000000000000 -000000000000001000000000011111001011000110100100000001 -000000000000000101000011100101111011000110010000000000 -000000000000000000000011100001000000001001000010000100 -000000000000000000000110110000101000001001000000000000 -000000000000001101000000000011111000010111000100000000 -000010100000001001100000000111011010000011000000000000 -000000000010000000000010100000000000000000000000000000 -000000000000001101000010100000000000000000000000000000 -000001000000100000000000001101001100000110000100000000 -000000100001000000000000001101011111001011100000100000 +000010000001011000000000000000001000111100001000000000 +000000000000001001000000000000000000111100000000010000 +111000000000000111100111010101000000000000000111000000 +000000001110011101000111100000000000000001000010000100 +010000000000100111000111000000011000000100000110000001 +110000000000000000000100000000010000000000000010000001 +000000000000000011100010111001011001100101010000000000 +000000000000000000100010001111001011100110100000000000 +000010000000100000000000000000000001000000100111000000 +000000000001000101000000000000001010000000000000000110 +000000000000100000000010000000000001000000100110000001 +000000000000000000000000000000001000000000000010000000 +000000000001010000000000001000000000000000000110000001 +000000000000000000000000001001000000000010000010000000 +110000000000000000000000001101101101001000100000000000 +000000000000000000000010101011101111100010000000000000 .logic_tile 6 3 -000000000000000000000111100111001000001100110100000001 -000000000000001001000111110000000000110011000011010001 -101000000000000001100110000000011110111100110000100000 -000000000000000000100111100000001001111100110010000000 -010000000000000000000000001011000000001100110000000000 -010000000000000000000010001001000000110011000000000000 -000010000000000000000000010000001101000001000010000010 -000000000000000000000010011011011100000010000000000000 -000000000000001001000111101000000000000000000000000000 -000000100010000101000011011011000000000010000000000000 -000000001110010101100000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000001001000000000101011001110011000000000000 -000000000000000001100000000011111111000000000000000000 -110001000000100111000011101001001111110011000000000000 -000000100001000000100000000001001011000000000000000000 +000000000100000000000010100001000000000000001000000000 +000010000000000000000111100000100000000000000000001000 +101000001100000000000000010000000001000000001000000000 +000000000000000000000010000000001011000000000000000000 +010001000000000000000000010101001000001100111100100000 +010000100000000000000010000000100000110011000011100100 +000000000000000000000000000111001000001100111100100100 +000000000000000000000010110000100000110011000011000101 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001100110011000011100000 +000000001100001000000000000111101000001100111110000000 +000000000000010001000000000000000000110011000010100000 +000000000000100000000110000000001001001100111100000000 +000000000000000000000000000000001001110011000001100011 +110000000000000001100110000111101000001100111110000000 +000000000000000000000000000000100000110011000000100001 .logic_tile 7 3 -000001001110011101000110000101001000001100111000000000 -000000000000010111100000000000000000110011000000010000 -101000000000000101000111000000001000111100001000000000 -000000000000000000100011110000000000111100000000000000 -000010000000001001100110001000000001011111100000000000 -000001100000000101100100001001001101101111010010000000 -000000000000000000000110111101101011100000000000000000 -000000000000000000000010101101101111000000000000000000 -000011000010100000000110101011001110111111000000000000 -000000000000000000000000000011111111010110000000000000 -000000000000001000000000010000001010000100000100000000 -000000000000001001000010000000010000000000000000000000 -000000000000100001100110011001101000111110100100000000 -000010000000000000000110101111010000010110101000100000 -110001000000000000000110011001001110000000000000000000 -000000000000000000000011011001001000000000110000000000 +000010100000000001100000000000000000000000000000000000 +000001000000000000100000000000000000000000000000000000 +001000000000000000000000000101100000000000000100000000 +000001000000000000000000000000000000000001000000000000 +110000000000000000000000001111111100100000000000000000 +110000000000000000000000000111111101000000000000000000 +000000000000000001100000000000000000000000000000000000 +000000001110000000100000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000010100000000000000010010000000000000000000000000000 +000000000000000000000111000000000000000000000100000000 +000000000010000000000000000001000000000010000000000000 +000000000000000000000011100000000000000000000000000000 +000000001100000000000100000000000000000000000000000000 +000000000000000001100000010000000000000000000000000000 +000000000000000000100010010000000000000000000000000000 .logic_tile 8 3 -000000000000000000000000010101100000000000001000000000 -000000000110000000000011110000100000000000000000001000 -000000000000000000000111110011111000001100111000000000 -000000001010000000000011110000110000110011000000000000 -000000000000000000000000000101101000001100111000000000 -000000000000000000000000000000000000110011000000000000 -000000000000101111100000010101101000001100111000000000 -000000000001001111000011110000000000110011000000000000 -000000000000000000000010100011001000001100111000000000 -000000000000000000000100000000100000110011000000000000 -000000000000000000000111000000001001001100111000000000 -000000000000000000000110110000001001110011000000000000 -000000000000000000000000000011101000001100111000000100 -000000000000000000000000000000100000110011000000000000 -000000000000100000000000000001001000001100111000000000 -000000000000000000000000000000100000110011000000000000 +000000001000000000000111100000000000000000000000000000 +000000000000000000000110110000000000000000000000000000 +001001000000000101100010101000000000000000000100000000 +000000100000001111000000000001000000000010000010000000 +010000000000000000000010100101000000000000000100000000 +010000000000000000000010100000100000000001000000000000 +000001000000001101000000000000011010000100000100000000 +000010100000001001000000000000000000000000000000000000 +000000001110000000000000000000011000000100000100000000 +000000000000000000000000000000010000000000000000000000 +000000000001010000000000000000011110110000000000000000 +000000001000100000000000000000011001110000000000000010 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 +000000000000000000000111000000011001000000110000000000 +000000000000000000000000000000001010000000110000100000 .logic_tile 9 3 +000000000110001111100000000001000000010110100000000000 +000000000001000001100000000000000000010110100010000000 +001000000000000000000000000011000001001001000000100000 +000000000000000101000000000000001010001001000001100101 +010000000000000000000111100000000000000000000000000000 +110000000001000000000000000000000000000000000000000000 +000001000000001011100000000001000000000000000000000000 +000010000000001011100000000000000000000001000000000000 +000000000110000000000000001000000000000000000100000000 +000010000001000001000000000001000000000010000000000000 +000000000010001111100000000011101111000000010000000000 +000000000000000111000000001111001100001001010000000100 +000000000000100001000000000000000000000000000000000000 +000000000001010000100010100000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 + +.ramb_tile 10 3 +010000000000000111000000001011100000001000 +001000000000000000000010011001101110000000 +111000000000001111100000001000000000000000 +000000000010000111100011110111000000000000 +010000000000001000000011011011000000000000 +011000000000001111000011110001100000000000 +000000000000000000000111101000000000000000 +001000001000000000000000000001000000000000 +000001000000000000000010000011100000001000 +001010000000000000000100001101000000000000 +000000000000000001100011100000000000000000 +001000000000000000100100001101000000000000 +000000000000000111000000001101000000000000 +001000000001000000100000001101000000000000 +110000000001001011100000000000000000000000 +111000001000000011000000000001000000000000 + +.logic_tile 11 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -894,58 +932,18 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000001000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.ramb_tile 10 3 -010010100000000000000000000000000000000000 -001001010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 - -.logic_tile 11 3 -000000000000010000000010100011100000000000001000000000 -000010100000000000000110110000100000000000000000001000 -101000000000000000000000010001100000000000001000000000 -000000001000000000000010000000101110000000000000000000 -010000000000000000000010111101001000001100110100000000 -110000000000000101000010001101100000110011001000000000 -000000000000000000000010000000000000010110100000000000 -000000000000001101000100000101000000101001010000000010 -000011000000000000000000011101101000111101010000000000 -000010000000000001000010101001011001111000100000000000 -000000000000000000000110000011001101000000000000000000 -000000000000000000000000001101011101000001000000000000 -000000000000001000000000000011101111001100110100000000 -000000000000000011000000000000101001110011001000000000 -110000000000000111100000001000000000010110100100000000 -110000000000000000000000001011000000101001010000000000 .logic_tile 12 3 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000001000000000000000001010000100000100000000 -000000000000001011000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -953,22 +951,24 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 .io_tile 13 3 000000000000000000 000100000000000000 +000001111000000000 000000000000000000 000000000000000000 -000000111000000000 -000000001000000000 +000001110000000000 000100000000000000 +000000000000010000 000000000000000000 000000000000000000 +000000000010000010 +000000000001110000 000000000000000000 -000001110001010010 -000000000011010000 -000000000000000000 -000000000000000001 +000000000000010001 000000000000000001 000000000000000000 @@ -991,202 +991,202 @@ 000000000000000000 .logic_tile 1 4 -010000000000000101000000000000000000000000100100000000 -001000000000000000000010100000001001000000000000000000 -111000000000000000000000010000000000000000000100000000 -000000000000000000000010000101000000000010000000000010 -110000000000000101000000000000000000000000000000000000 -001000000000001101100000000000000000000000000000000000 -000000000000000000000000000000000000000000100100000000 -001000000000000000000000000000001000000000000000000000 -000000000000000000000110000000000000000000100100000000 -001000000000000000000000000000001010000000000000000000 -000000000000000000000000000000011000000100000100000000 -001000000000000000000000000000010000000000000000000010 -000000000000000000000000000011000000000000000100000000 +010000000000000000000000000000000000000000000100000000 +001000000000000000000010101001000000000010000001000000 +111000000000001000000000000000000001000000100110000000 +000000000000001011000000000000001011000000000000000000 +010000100000000101000010110000000000000000000100000000 +101001001010000000000011010101000000000010000000000000 +000000000000000000000010110001100000000000000100000000 +001000000000000101000011000000000000000001000000000001 +000000000000000000000000000101000000000000000110000000 +001000000000000000000000000000100000000001000000000000 +000000000000000000000000001000000000000000000100000100 +001000000000000000000000000101000000000010000000000000 +000000000000000000000000000000000001000000100100000000 +001000000000000000000000000000001011000000000000000010 +000000000000000000000000000101000000000000000110000000 001000000000000000000000000000100000000001000000000000 -110000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 .logic_tile 2 4 -010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -111000000000000101100000000000000001000000100100000000 -000000000000000000000000000000001011000000000000000001 -110000000000000000000110100011000000000000000100000000 -101010000000000000000000000000000000000001000000000000 -000000000000000000000000010000000000000000000000000000 -001000000000000000000010100000000000000000000000000000 -000000000000000001100000000000011000000100000100000000 -001000000000000000100000000000000000000000000000000001 -000000000000000000000000000101000000000000000100000000 -001000000000000000000000000000100000000001000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +010000000000001000000000000000011100000100000100000000 +001000000000001111000000000000000000000000000000000000 +111000000000000000000000001001011100000110100000000000 +000000000110000000000011111101011100000100000000000000 +110000000000000000000000010000011010000100000100000000 +001000000000000001000011100000000000000000000000000010 +000010100000011000000000010000011000000100000100000000 +001001000000100111000010010000010000000000000000000000 +000000000000000001000000000101011111010010100000000000 +001000000000000000000000000001001101000001000000000000 +000000000000000011100000010000000000000000100100000000 +001000000000000001100011010000001011000000000000000010 +000000000000000001000000010000000000000000000100000001 +001000000000000000000010100111000000000010000000000000 +000000000000001000000000011001011100000110000000000000 +001000000000000101000011011111001111000010100000000000 .ramt_tile 3 4 -000000000000001000000000000011100001000000 -000010010000001111000000000001101110000001 -101000000000000000000000001000000000000000 -000000010000000111000000000111000000000000 -110000000001000000000000010101000000000000 -110000000000100000000011011011100000000000 -000000000000000000000000000000000000000000 -000000000000000000000000001011000000000000 -000000000000000001000010000111000000000000 -000010000000010001000110000011000000000000 -000000000000000000000110000000000000000000 -000000000000000000000100000011000000000000 -000000000100001001000011100111100000000000 -000000000000001001000010000111100000000000 -010010000000001001000000010000000000000000 -010001000000001011000010011111000000000000 +000000000000000111100110110101100001000000 +000000010000001111000011101101101010000001 +101000000000000111100000000000000000000000 +000001010010000111100000000001000000000000 +010000101100001000000011101011000000000000 +010000000000000101000100001101000000000000 +000000000000000111100111101000000000000000 +000000000000000000000011100111000000000000 +000000100001000101000000000101000000000000 +000000000000000111000000000011000000000000 +000000000000000000000010001000000000000000 +000001000000000000000100001011000000000000 +000000000000000000000000000001000000000000 +000000000000000000000000000001100000000001 +010000000000000000000000001000000000000000 +010000000000000111000000000001000000000000 .logic_tile 4 4 -110000000000000111100111100101101111000000100000000000 -001000000000000101000000000011011010100000110000000000 -001000000000000101000010110000000000000000000000000000 -000000000000001101000110100000000000000000000000000000 -110001000000000000000011100111111011010000100000000000 -111000000000001101000110111101101010100000100000000000 -000000000000000101000111100001111010011100000000000000 -001000000000001101100110110011001000001000000000000000 -000000000000010011110000001101101001000010000000000000 -001000000000000111000010101001011010001011000010000000 -000000000000000000000000000001011111010111000100000000 -001000000000000000000000000101011011000011000000000000 -000001100001100000000011001001101111000110100100000000 -001001000001110001000010111101101111001001100000000010 -000000000000000000000011100011011111000110100100000000 -001000000000000000000010111101111000001010100000100000 +010000000000000000000000000011000000000000000100000000 +001000000000000000000000000000000000000001000000000000 +111000100000000000000000001000000000000000000100000000 +000000000000000111000000001111000000000010000001000000 +010000000000000000000000001000000000000000000100000000 +001000000000000101000000001011000000000010000000000100 +000000000000000000010000001000000000000000000100000000 +001000000110000000000000000111000000000010000000000001 +000000000000000001100000000000000000000000000100000000 +001000000000000000000011101011000000000010000000000000 +000000000000000000000000010000001110000100000100000000 +001000000000000000000010100000010000000000000000000100 +000000000000000000000110000000001010000100000100000000 +001000000100000000000000000000000000000000000010000000 +110000000000001000000000000001100000000000000110000000 +001000000000000001000010100000000000000001000000000000 .logic_tile 5 4 -010000000100000000000010110111001111110110000000000000 -001000000000000000000110001101101101111010000000000000 -111000000000000101000110010101001101110110000000000000 -000000000000000000100010000101001111111010000000000000 -010000000000000001000010000001100000000000000100000000 -001000000000000001000000000000100000000001000000000000 -000000000000000000000000000000000000000000000100000000 -001000000000000000000000001001000000000010000000000000 -000000000000000000000000000000011100000100000100000000 -001000000000011111000000000000000000000000000000000000 -000000000000000001100000010001100000000000000100000000 -001000000000000000000011100000100000000001000000000000 -000000000000001000000000000000000000000000100100000000 -001000000000000111000010000000001001000000000000000000 -110000000000000000000000010000000001000000100100000000 -001000000000000000000011100000001000000000000000000100 +010000000000000101000110000011111011000010000000000000 +001001000000100101000000000001101100001000000000000000 +111000000100000011100010110101101111100000000000000000 +000000000000000101000010010101001001000000100000000000 +010000000000000101000000001101101011110011000000000000 +001000001000000111100010100001011010000000000000000000 +000010100000101001100110000001111100110011000000000000 +001001000001001001100110100001111100000000000000000000 +000000001100001001000110111000001100010101010000000000 +001000000000000101000010000111010000101010100000000000 +000000000000001101000010000011011111100000000000000000 +001000000000000001000010001001111100000000000000000000 +000000000000000001000000000000000000000000100100000000 +001000000000000000000000000000001010000000000010000000 +110000000000000101100110001011011011100010000000000000 +001000000000000000100000000001011011000100010000000000 .logic_tile 6 4 -010000000000000000000110110000000000000000000000000000 -001001000010000000000010100000000000000000000000000000 -111000000001001111100000010000011000000100000100000000 -000000000000100101100011010000000000000000000010000000 -010000000000100000000111100011001010110010110000000000 -101001000001010000000010001101111111010001100000000000 -000000000000100101100000010101011001110010110000000000 -001000000001010000000010100011111000100010010000000000 -000000000000000001000010001000000000000000000100000001 -001000000000000000000000000101000000000010000000000000 -000000000000000000000000000001000000000000000100000000 -001000001010000000000000000000100000000001000010000000 -000000000000000000000111100001000000000000000100000001 -001000000001010000000100000000100000000001000000000000 -000000000000000000000000001000000000000000000100000001 -001000000000000000000000001101000000000010000000000000 +010000000000001000000010110000001000001100110100100000 +001000000000000001000111000000001000110011000010010001 +101000000000000101000000000101000000111001110000000000 +000000100000010101000010100000001001111001110010000010 +010001001100000001000010000101101101100010100000000000 +111000100000000000000010101001011111011100000000000000 +000000000000001000000010100111000000010110100100100000 +001000000001000101000000000000100000010110100011000000 +000000000000100000000110011011111001100010000000000000 +001000000011000000000110101011001001001000100000000000 +000000000000000101100110110011011001110011000000000000 +001000000000010000000010101101101110000000000000000000 +000000000100000000000110000111100000001100110100000001 +001000000000000000000000000000101111110011000011100000 +110000000000001000000010111000011010101010100000000000 +001000100000000101000010001011010000010101010000000000 .logic_tile 7 4 -010000000000000000000000000101100000000000000100100000 -001000000000100000000000000000100000000001000000000000 -101000000001011101000000000001101100100010000000000000 -000000000000101011100000000011011111001000100000000000 -110001000000100000000000000000000000000000100100000000 -111000100001001001000000000000001110000000000000000100 -000000000000010011100000000000000001000000100000000000 -001000000100000000100010100000001100000000000000000000 -000000000000000101000011100011000000000000000100000000 -001000000000000000100010110000100000000001001010000000 -000000000001010101000000001101101101011111110000000000 -001000000000000000100000001101011000111111010000000000 -000000101111000111000010010000000000000000000000000000 -001000000000000000100110011011000000000010000000000000 -110000000000000101100000000000000000000000000100000010 -111010000000000000100000000101000000000010000000000000 +010001000000000000000000000011100000000000001000000000 +001000100010000000000000000000100000000000000000001000 +000001000000000111100000000111011110001100111000000001 +000000000000000000100000000000010000110011000000000000 +000000001000000111100000000000001000001100111000000000 +001000000000000000100000000000001101110011000000000001 +000000000000000000000000000000001000001100111000000001 +001000000000000000000000000000001110110011000000000000 +000000000100000000000000000000001001001100111010000000 +001000000000000000000011100000001110110011000000000000 +000000000000000000000011100000001001001100111010000000 +001000000000000000000100000000001000110011000000000000 +000000000000000001000000000111001000001100111010000000 +001000000000000001000010010000100000110011000000000000 +000000000110000011100011100101001000001100111000000000 +001000000000000000100100000000000000110011000000000010 .logic_tile 8 4 -010000000000001000000010100000001000111100001000000000 -001000000000001111000010000000000000111100000000010000 -000000000000000101000111110111111101100000000000000000 -000000000010000101000111101011101110000000000000000001 -000000000000001101000000000001101111000000010000000000 -001000000000001111000010100011101011000010000000000000 -000000000000000111000010100101001001100010100000000000 -001000000000001001000000001101011010101000100000000000 -000000000000001001000110001101011001100110000000000000 -001000000000001011100000001001001000011000100000000000 -000000000000001000000000000001011111101011010000000000 -001000000000000001000010001001001000000111010000000000 -000000000000001000000000000000000000000000000000000000 -001000000000000001000010000000000000000000000000000000 -000000000000001011100110000011111010001100110000000000 -001000000000001001000000000011101111000000000000000000 - -.logic_tile 9 4 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010010100000000000000111000000000000000000000000000000 111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000111100000000000000100000000 -001000000000000000000000000000000000000001000010000000 +110000000110000000000000001000000000000000000000000000 +001000000000000000000000001101000000000010000000000000 +000000000000000000000010100000000000000000000000000000 +001000000000001111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 -001000000000000000100000000000000000000000000000000000 +000010100000000000000000001000000000000000000100000000 +001001000000000000000000000101000000000010000010000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +001000000000100000000000000000000000000000000000000000 + +.logic_tile 9 4 +010000000000000000000000010000011110000100000100000000 +001000000110000000000011100000000000000000000000000101 +101000000000000000000000010000011100000100000100000000 +000000000000000000000010010000010000000000000000000101 +010000000110000000000000010000000000000000000000000000 +011000000000000000000010010000000000000000000000000000 +000000000000000000000000000000001100000100000110000000 +001000000000000000000000000000010000000000000000000100 +000000000000000000000000011101001111000000010000000000 +001000000000000000000010010111111111000110100001000000 +000000000000000011100000010000000000000000000000000000 +001000000000000000100010010000000000000000000000000000 +000000001110000000000110001011111111000001010000000000 +001000000000000000000110101111011001001001000001000000 +000000000000001011100111100111000000000000000100000101 +001010101010001001100110100000100000000001000000000000 .ramt_tile 10 4 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010100000100000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 +000000000000001111100000000011000000001000 +000000010000000111100000000101001000000000 +101000000000001000000000000000000000000000 +000000010000001011000000000001000000000000 +110000000000000111100000000111000000000000 +110000001100001001000000000111100000000000 +000000000000000000000000001000000000000000 +000000000000000000000011111111000000000000 +000001000000000111100000001011100000000000 +000010000001010000100000000011000000010000 +000000000000001001000011101000000000000000 +000001000000001011000000001011000000000000 +000001000000000111000010011101000000000000 +000010000000000000000011100111000000100000 +010000000000000111100000001000000000000000 +010000000000000000000011101101000000000000 .logic_tile 11 4 -010000000000000000000000001111101010111001100000000000 -001000000000000000000010101101111001110000010000000000 -101000000000100101000010100000011001010110110000000001 -000000000001011101000110100011011000101001110000000000 -110000000000000000000000000011111101100000000000000000 -111000000000001101000010111001101011110000010000000000 -000000001100000000000010101101111000100100010000000000 -001000000000000000000010111001101110110100110000000000 -000000000000000001000011111101101010010000000000000000 -001000000000000000000011101101101001101001000000000000 -000001000000000001100110000000000000000000100100000000 -001010100000000011000000000000001101000000000000000000 -000000000000001000000010001000000000000000000100000000 -001000000000000001000000001111000000000010000000000000 -110000000000000000000011110000001100000100000100000000 -011000001000000000000110000000010000000000000000000000 +010000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +001000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 .logic_tile 12 4 010000000000000000000000000000000000000000000000000000 @@ -1243,217 +1243,217 @@ 000000000000000000 .logic_tile 1 5 -010000000000000000000000000001100000000000000100000000 -001000000000000101000000000000000000000001000000000000 -111000000000000001100000000101000000000000000100000000 -000000000000000000000000000000000000000001000000000000 -110000000000000101000010100000000000000000000000000000 -001000000000000000100100000000000000000000000000000000 -000000000000000000000000000001000000000000000100000000 -001000000000000000000000000000000000000001000000000000 -000000000000000000000110000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000100100000000 -001000000000000000000000000000001101000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -110000000000000000000000000000001010000100000100000001 -001000000000000000000000000000010000000000000000100000 +010000000000000011100010000000000000000000000000000000 +001000000000000000100011100000000000000000000000000000 +111000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +010000000000000111000000000000000000000000100100000001 +101000000000000000100011110000001001000000000000000000 +000000000000000000000000000000000001000000100100000000 +001000000000000000000000000000001110000000000010000000 +000000000000001000000000000000000000000000000000000000 +001000000000001111000000000000000000000000000000000000 +000000000000000000000000000011001010010000110000000000 +001000000000000000000000001001001011000000100000000000 +000000000000000000000000001000000000000000000100000000 +001000000000000000000000000001000000000010000010000000 +000000000000001000000000000000000000000000100100000000 +001000000000001011000000000000001000000000000000000010 .logic_tile 2 5 -010000000000000101100110100000001110000100000100000000 -001000000000000000000000000000000000000000000000000000 -111000000000000000000000000111000000000000000100000000 -000000000000000000000000000000100000000001000000000010 -110000000000001000000000000011000000000000000100000000 -101000000000010101000000000000000000000001000000000001 -000000000000000101100000000000000000000000000100000000 -001000000000000000000000000101000000000010000000000000 -000000000000000101000000000001000000000000000100000000 -001010000000000000100000000000100000000001000000000000 -000000000000000000000000000000000000000000000100000000 -001000000000000000000000000001000000000010000000000001 -000000000000001000000010100000000000000000000000000000 -001000000000001001000100000000000000000000000000000000 -000000000000000000000000000000011010000100000100000000 -001000000000000000000000000000000000000000000000000000 +110000000000000101000110110000000000000000000000000000 +001000000100001111100111111011000000000010000000000000 +001000000000000101100010110011011100000110100100100000 +001000000000001101000011101101001010001001100000000000 +110000000000001101000010100000000000000000000000000000 +111000000000000111000110110000000000000000000000000000 +000000000000000011100010101011111001010111000100000000 +001000000001000101000110110001111011000011000000100000 +000001000000000000000000011001101010000110100100000001 +001010100000000111000010010001101001000101010000000000 +000000000000000000000000000001111010010111100100000000 +001000000000000001000010000001101001000001000010000000 +000000100000000000000011100111101010000110100110000000 +001001000000000000010000001101101010000101010000000000 +000000000000000111000000010101111111010111100100000000 +001000000000000000100011010101101010000010000000000010 .ramb_tile 3 5 -010000000000001111100011100101000000000000 -001000001010000111000000000001001110000001 -111010000000001111000111010000000000000000 -000001001100000011000111111001000000000000 -110101000000001000000000001111000000000000 -111000000000000101000000001001100000000000 -000000000001011111100000001000000000000000 -001000001110100101000000001001000000000000 -000000000000000000000000001101100000000000 -001000000000000000000000000101000000000000 -000010000000000001100000001000000000000000 -001001001100000000100000000001000000000000 -000000000000000001000011111011100000000000 -001000000000000000000110010111000000000000 -010010000001010001000000000000000000000000 -011000000000000000000000000001000000000000 +010000000000000000000111101111100000000000 +001000000000000001000100000011101100000000 +111000000000000001000000001000000000000000 +000000000000000000100010011101000000000000 +110100000001000000000000000111100000000000 +111000001000100000000010000001000000000000 +000000100000001011100000001000000000000000 +001001000000000111000010000001000000000000 +000000000000000000000000001011100000000000 +001000000000000111000000001001000000000000 +000000000000000001000000000000000000000000 +001000000000001001100000001101000000000000 +000010000000000000000111001001000000000000 +001000000000000000000100000111100000000000 +010000000000001001000000001000000000000000 +111000000000000111000011110101000000000000 .logic_tile 4 5 -110000000000001000000000001101111001011100000000000000 -001000000000001111000000000001001001000100000000000000 -001000000000001001100000011001111011000001010000000000 -000000000000000001000011010001001111000110000010000000 -010000000000000000000110001001111111000001000000000000 -111000001010010000000011100111001000100001010000000000 -000000000001001111000000010000000000111001110000000000 -001000001110100101100011101101001111110110110000000000 -000000000000000000000111001000011000101010100100000000 -001000000110000000000010110001000000010101011000000010 -000000000000010000000000000000000001001111000100000000 -001000000000100000010000000000001000001111001000100010 -000000100000000000000000011101101100101111010000000000 -001001000000000111000011011111111000000001010000000000 -110000000000000011100111001001101100001001000000000000 -001000000000001101100110110001011110000010100000000000 +010000000101010011100000001000000000000000000100000000 +001000000000000101100000001111000000000010000000100000 +111000000000000000000000000000000000000000000100000000 +000000000000000101000000000001000000000010000000000000 +110010100000001000000000000000000000000000100110000000 +001000000000001011000000000000001101000000000000000000 +000000000000000000000000000001000000000000000110000000 +001000000001000000000000000000000000000001000000000000 +000000000110001000000000011000000000000000000100000000 +001000000000000101000010100001000000000010000010000000 +000000000000000000000000000011000000000000000110000000 +001000000000000000000000000000000000000001000000000000 +000000000000000101100000000000000000000000000100000001 +001000000000000000000000000111000000000010000000000000 +000000000000000000000110100000000000000000000100000000 +001000001010000000000000000101000000000010000010000000 .logic_tile 5 5 -010000000000000000000000000000000000000000000100000000 -001000000000000000000000000101000000000010000000000000 -111000000000000000000000000000001110000100000100000000 -000000000000000000000000000000010000000000000010000000 -010010000000001001100000001011111110110010110000000000 -001000000000000001000000001111011100010001100000000000 -000001000000001000000000010000000001000000100100000000 -001000100000000001000010000000001010000000000010000000 -000000000000001000000000000101000000000000000100000000 -001000000000001111000000000000000000000001000010000000 -000000000000000001000000001000000000000000000110000000 -001000000000000000000000001101000000000010000000000000 -000000000000001000000010100111000000000000000100000000 -001000000000001011000100000000000000000001000000100000 -110000000000000000000010000000000000000000000000000000 -001000000000000000000110000000000000000000000000000000 +010001000000001011100011100000001010000100000100000000 +001000100000000001000100000000010000000000000000000000 +111000000000100111000000000000000000000000100100000000 +000000000001010000000000000000001110000000000000000000 +010001001110000101000000010000001000000100000100000000 +001000000000000101000010000000010000000000000000000000 +000001000000001000000000000000001011100000000000000000 +001010100000000001000011100101001011010000000000000000 +000000000000100000000000000000000001000000100100000000 +001000000000000000000000000000001111000000000000000000 +000000001000000000000000001011111100100010000000000000 +001000000000000001000010000001101000000100010000000000 +000000000000001000000000000000011010000100000110000000 +001000000000001001000000000000000000000000000000000000 +110000000000000000000110000001000000000000000100000000 +001000000000000000000000000000000000000001000000000000 .logic_tile 6 5 -010010101100100000000000000000011110000100000100000001 -001001000000000000000000000000000000000000000011000001 -111001000000000000000000000011000000000000000110000000 -000000100000000000000000000000100000000001000001000001 -110000000000000000000000000000000001000000100100000000 -111001000000000000000000000000001100000000000011000001 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000111000000000010000000000000 -000000000001010000000000010000000000000000000000000000 -001000001000000000000011000000000000000000000000000000 -000000000000001000000000000000000000000000100100000000 -001000001010000011000000000000001111000000000011000011 -000001000001101000000110010000000000000000000000000000 -001000100100100101000011010000000000000000000000000000 -110000000000101000000000000000000000000000000000000000 -001000000001011011000000000000000000000000000000000000 +010000000000100000000111001000000001100000010000000000 +001000000000000000000110101111001011010000100000000010 +101000001110001101000010101101001101100000000000000000 +000010100000000001000010110001111010010000100000000000 +000000000000000111000110001000000000000000000100000000 +001000000000000000000111110101000000000010000000000100 +000000000000000101000110110001111110000000000000000000 +001000000001000101100110001101000000000010100000000010 +000000000000000000000000010000001010000100000100000000 +001000000000010000000010110000010000000000000000000000 +000000000000101001100010010001011101101000010100000000 +001000000001001001100010101001101011000000011000000100 +000001001010100000000000000011111100111100000000000000 +001010101101010000000000000101100000000000000000000000 +110000000000001001100011101001111101100000000000000000 +001000000000001001000100001001111110000000000000000000 .logic_tile 7 5 -111001000010101011100110011000011110111101010000000000 -001010100001001011100011010101000000111110100000000000 -001011100000001011100000010001011111100010000000000000 -000001000000000011000010000001101101001000100000000000 -110000001110001101100111011111111011000110100100000000 -011000000000000101000011001111101100001010100000100000 -000000000000000001000111110011111000100010000000000000 -001000000001001001100111000111101011001000100000000000 -000000000000000001000010000111001100100010000000000000 -001000000000000001000010010101111100001000100000000010 -000001000000100000000010100001101110100000000000000000 -001010000011011001000110110011101001000000000000000000 -000000001110000001100000001101011010100010000000000000 -001000000000001101000010111101011000000100010000000000 -000001000100000101000111101011101010110011000000000000 -001000100000010101100010000001111110000000000000100000 +010000000000000000000010101000001000001100110000000000 +001000000000000000000000001001000000110011000000010001 +101000000000000000000111010000000000000000000000000000 +000000000000000000000111110000000000000000000000000000 +110000000000000101000110101000000000000000000100000000 +011000000001010000000000001111000000000010000000000101 +000000000001000000000000000000000000000000000000000000 +001000000000100000000000000000000000000000000000000000 +000001000000001000000110010011111100000001000000000000 +001010000000000111000111010011011111010110000001000000 +000000000000000001000010110000001010010100000000000100 +001000000000000000000110010111010000101000000010000000 +000000000000000000000010001001101000100110000000000000 +001010000001001001000100001011111010011000100000000000 +000000000000000000000010010000000001001100110000000000 +001000000000000000000110011011001111110011000000000000 .logic_tile 8 5 -010000000000000111100000000000000001000000100100000000 -001000000000000000100010100000001111000000000001000000 -111000000000000101000011101000000000000000000100000000 -000000000000000111000000000011000000000010000010000000 -010000000000000000000000000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000111100000001100000100000100000000 -001000000000000000000100000000010000000000000000000000 -000000000000000111100000001001011000100001000000000000 -001000000000000000100000000001101000000000000000000000 -000000000100000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000011100111101000011110000001010010000000 -001000000000000000000000001001000000000010100010100000 +010000000000000000000110000101100000000000001000000000 +001000000000000000000000000000000000000000000000001000 +101000000000000000000000000111100000000000001000000000 +000000000000000000000000000000100000000000000000000000 +010000001010000000000010001111001000000001011100000000 +111000000000000000000000000111100000010100000000000000 +000000000000001111100000000111101000001000010100000000 +001000000000000001000000000000001001001000010000000000 +000000000000000000000000000101100000010110100100000000 +001000001110000000000000000000000000010110100000000000 +000000000000000000000000000111101111011111110000000100 +001000000000000000000000001111111010111111110000000000 +000000000000000000000110011000000001111001110000000000 +001000000000000000000010000111001010110110110001000000 +010000000000000001100110000111111111001000010100000000 +111000000000000000000000000000011010001000010000000000 .logic_tile 9 5 -010000000000100000000000000000000001000000001000000000 -001000000001010000000011110000001010000000000000001000 -101000000000000001100000000011100000000000001000000000 -000000000000000000000000000000100000000000000000000000 -010000000000000000000000011101001000000001011100000000 -011010100000000000000010001111100000010100000000000000 -000000000000000001100000001000001000001000010100000000 -001000000000000000000000001011001101000100100000000000 -000000000000000000000110000011100001111001110000000000 -001000001100000000000000000000101100111001110000100000 -000000000000000000000110010101100000010110100100000000 -001000000000000111000010000000000000010110100000000000 -000000000000000000000000001000011001010000010100000000 -001000000000000000000000001011001111100000100000000000 -110000000000000000000110000011111010011111110010000000 -011000000000000000000000001011101011111111110000000000 +010000000000101000000000000000000001000000001000000000 +001000000001010111000000000000001010000000000000001000 +101000000000000000000000010001100001000000001000000000 +000000001010000000000010000000101100000000000000000000 +010011101000100001000010100000001000001100110100000000 +111011000001010000000100000101001101110011001000000001 +000000000000000001100000010000000001001111000000000000 +001000000000001101000010000000001010001111000000000010 +000000000000000000000000010111011110010000100000100000 +001000000001000001000010000011011000010000010000000000 +000000000010000111100000000000011010000011110100000000 +001000000000000000100000000000000000000011110000000000 +000000000000101000000000001000011010001100110100000000 +001000000001010101000000000101011010110011001000100000 +110000000000000111100000010000000000000000000000000000 +111000000000000000000011000000000000000000000000000000 .ramb_tile 10 5 -010000000110000111000000010101000001000000 -001000000001000000100011010101101011100000 -111010000001001000000011101000000000000000 -000000001000000011000000001101000000000000 -110001000000010111000011100011100000000000 -011010000000100000000111111001100000100000 -000001100000000000000011111000000000000000 -001011000000001001000111100101000000000000 -000000001010000000000000011111000000100000 -001000000000000000000011100011100000000000 -000000000110001000000011100000000000000000 -001001000000100111000000000001000000000000 -000001000010000000000000000111000000000001 -001000001110000001000011111101000000000000 +010000000000100000000010001001000000000000 +001000000110010000000111111101101001000000 +111000000000000011100111110000000000000000 +000010000000001111100011100101000000000000 +010000000010011111100010001011000000000000 +111000000001011111100000000101100000000000 +000000000010000111000010011000000000000000 +001000000000010000100011111101000000000000 +000000000000000000000111001111100000000000 +001000000000000000000000001001000000001000 +000000000000000000000000001000000000000000 +001000000000000000000000000001000000000000 +000000000000001000000000000111000000000000 +001000000000000011000000000101000000000000 010000000000000000000000001000000000000000 -011000001000000000000000001001000000000000 +011000001000001111000000001001000000000000 .logic_tile 11 5 -010000000000000000000000001000000000000000000100000000 -001000000000001001000000001101000000000010000000000000 -101000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -110000000000000000000000000000000000000000100100000000 -111000000000000000000000000000001000000000000000000000 -000000000001001011100111100000000000000000000000000000 -001000000000001111100100000000000000000000000000000000 -000000000000000000000111000011000000000000000100000000 -001000000000000000000100000000100000000001000000000000 -000000000001010000000000000000000000000000000000000000 +010010100000000000000000000000000000000000000000000000 +001001000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +001001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001011100000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +001001000000000000000000000000000000000000000000000000 +000010000000010000000000000000000000000000000000000000 +001001000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000011100111100000000000000000100100000000 -001000000000000000100100000000001110000000000000000000 -010000100000000000000000001000000000000000000100000000 -111000001000000000000000000101000000000010000000000000 .logic_tile 12 5 -010000000000000000000110000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -101000000000000011100000000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 -000000000000000000000111000000000001000000100000000000 -001000000000000000000000000000001100000000000000000000 -000010100000000000000000000000000000001111000100000000 -001000000000000000000000000000001001001111000010000000 -000000000000000000000000000001100000100000010000000000 -001000000000000000000000000000001011100000010000000000 -000011000000001000000000000000000000000000000000000000 -001001000000000001000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 @@ -1495,220 +1495,220 @@ 000000000000000000 .logic_tile 1 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000001000000000000000000100000000 -000000000000000000000000001101000000000010000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000001000000000000000000100000000 -000000000000000000000011110011000000000010000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000101000000000010000001000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 +010000000000000111100000000000000000000000000110000000 +100000000000000000000000000011000000000010000000000000 +000000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000001000000000000000000000000000100110000000 +000000000000001011000000000000001010000000000000000000 +000000000000000000000000010000011100000100000110000000 +000000000000000000000011010000010000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 .logic_tile 2 6 -000000000000000000000000001101111110000000000100000000 -000000000000000000000000000011000000010100000010000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 +000000000000101000000010010000000000000000000000000000 +000000000001011111000111110000000000000000000000000000 +111000000000001000000000010111111010101110100000000000 +000000000000001001000011100111001010101100000000000000 +010000000000101000000111110101011100101010000000000000 +100010000001011001000010011101101101010111100000000000 +000000000000000111100000011001011101101011110000000000 +000000000000001001000010010011111011001001000000000000 +000001000000101000000000001101101110100110110000000000 +000000000001010111000010100111011100010000110000000000 +000000000000001011100000000111000000000000000100000000 +000000000000000101100010100000000000000001000000000001 +000000000000100011100000010011111111101011110000000000 +000010000000000101000010100001001000000110000000000000 +000000000000000011100000001001111100101111010000000000 +000000000000000101100000000011101001000001010000000000 .ramt_tile 3 6 -000000000001010111000111000011100000000000 -000000010000000000000100001011101111000001 -101000000000000000000000001000000000000000 -000000010000001001000000000101000000000000 -010000000000010000000011101001000000000000 -110000000000000000000000001101100000000000 -000000000000001001000010001000000000000000 -000000000000001011000110010111000000000000 -000000100001000000000110011011000000000000 -000011000000100000000111000001100000000000 -000000000000000000010110001000000000000000 -000000001100001001000100001101000000000000 -000000000000000000000010001001000000000000 -000000000000000000000000000011000000000000 -010010100001010000000000001000000000000000 -110000001010000001000010011111000000000000 +000000100000001000000111101001100000000000 +000001010000000011000110001111001010000001 +101000001010001111100111110000000000000000 +000001010010101111100011010101000000000000 +010000000000001111000000001001100000000000 +010000000000000111000000000001100000000000 +000000000000001000000000010000000000000000 +000000000000000011000011101001000000000000 +000000000000000000000000000101100000000000 +000000001110010000000000000001000000000000 +000000000000000000010111001000000000000000 +000000000000000111000000001101000000000000 +000000000000001000000000001111000000000010 +000000000010001001000000001001000000000000 +110000000000000000000011101000000000000000 +010000000000000000000000001011000000000000 .logic_tile 4 6 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000001010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -010010100001000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 -000010000000000000010000000000011100000100000100000000 -000001000000000000000000000000000000000000000010000000 -000000000001000101000000000011000000000000000100000000 -000000000110100000000000000000000000000001000000000000 -000010000001011000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 +111000000000001000000000001000000000000000000100000000 +000000000100001011000000001011000000000010000010000000 +110000000000000000010000011000000000000000000110000000 +000000000000000000000011000001000000000010000000000000 +000010000000001000000000000000000000000000000000000000 +000001000000001001000000000000000000000000000000000000 +000000000001000000000000000101000000000000000100000000 +000000000000100000000000000000100000000001000010000000 +000000000000100000000010001000000000000000000100000010 +000000000001010000000000000101000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 - -.logic_tile 5 6 -000000001100000000000000000101100000000000000100000000 -000000000000000000000000000000000000000001000000000001 -111000000000000000000000010001100000000000000100000000 -000000000000001101000011000000100000000001000000000100 -010000000000000000000000000000000000000000000000000000 -100000000000000101000010110000000000000000000000000000 -000000000000001101000000000000000000000000100100000000 -000000000000000101100000000000001010000000000000000001 -000000000000000000000000000000000001000000100100000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000000000000000000000100100000001 -000000000000000000000000000000001011000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 6 6 -000000000000000000000010100000001000000100000100000001 -000001000000000000000110110000010000000000000001000000 -111000000000000111000010111011011001100010000000000000 -000000000000001101000111001101001001001000100010000000 -010001000000000101000010100000000000010110100110000001 -110010000000000000100000001011000000101001010010000100 -000010001010001000000000011011001001000000000000000000 -000000000000000011000011010011111010110011000000000000 -000000000000001000000000011001101000100010000001000000 -000000000000001101000010001001011010000100010000000000 -000000000000000101100000000000000001000000100110000000 -000000000000000000100000000000001001000000000011100001 -000000000000001000000000001000000000000000000100000000 -000000000000100011000000000001000000000010000000000011 -110000000000000000000000000000000000000000000100000100 -000000000000000000000000000111000000000010000000000110 - -.logic_tile 7 6 -000000001100000111100000010000000000000000000110000000 -000000000001010000000011001111000000000010000000000000 -111000000000000000000111100000000000000000100100000000 -000000000000001001000000000000001111000000000000100000 -010000000000000101000110100101111000100000000000000000 -100000000000000000100011110101011011000000000000000000 -000000000000001111000000000000001100000100000100000000 -000000000000000001100000000000010000000000000010000000 -000100000000000011100000000111011111000000010000000000 -000000000000000000100011101001001110000001000000000000 -000000000000000000010000000101111000101010000000000000 -000000000000000000000000001101001000010111100000000010 -000000000000101111000111100000000000000000100100000000 -000000000001010101100110000000001110000000000010000000 -000000000000001101000000000000000001010000100000000100 -000000000000000101100000000011001110100000010000100011 - -.logic_tile 8 6 -000000000000010000000000010011011001101000010100100000 -000000000000100000000010101001101000111000100001000000 -111000000000001101000000000000000000000000000000000000 -000010000000001101000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000100100000000 -000000000000000000000000000000001111000000000000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 6 -000000000000000000000000000000011000000100000100000000 +.logic_tile 5 6 +000000000000000001100111100000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +111001000000000000000110000000000001100000010000000100 +000000100000000000000100000111001110010000100000000000 +000000000000000000000010100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -101000000000000001100000010000011100000100000000000000 -000000000000000000000011100000000000000000000000000000 +000001000000100101000000001101101010101000010100000011 +000010100001000000000000001001011000111000100000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000111011100000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +110000000000000000000000000000001110111100110000000000 +000000000000001001000000000000001001111100110000100000 + +.logic_tile 6 6 +100000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +101000000000000000000000011000000000010110100010000000 +101000000000000000000011111101000000101001010000000000 +010000000000001000000110100000001111000010000100000000 +010000000000001001000000001111001010000001000000000100 +000000000000000101000011100000011010010111110000000000 +000000000000000000000110001011000000101011110000100000 +000001000010000001000111001000011010000001010000000000 +000010100000000000000000001001010000000010100001000001 +000000000000000000000000000000011011000010000100000000 +000000000000000000000000001011011111000001000000000000 +000000000000000001000010101101001100000000100000000000 +000000000000001101000100001001001011100000000000000000 +000010000000000000000000000000001110000100000000000000 +000001000000000000000011100000010000000000000000000000 + +.logic_tile 7 6 +000001000000100000000110100000000000000000000000000000 +000010000001010000000100000000000000000000000000000000 +101000000000001000000000000011000000000000000100000000 +000000000000000011000000000000000000000001000000000010 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000110100101111011000100000000000000 +000000000000000111000000001001101010101100000001000000 000000000000000000000000000000000000000000000000000000 -000001000000100000000000000001101110000001010000000101 -000000100001000000000000000000000000000001010000000001 -000000000000001000000000000001100000000000000100000000 -000000000000001001000000000000100000000001000000000000 -000010000000001000000000000000000000000000000000000000 000001000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 +000000100001010000000000000000000000000000000000000000 +000000000000000000000000000000011000000100000100000100 +000000000000000000000000000000000000000000000000100011 + +.logic_tile 8 6 +000000000000000000000011110000000000000000000000000000 +000001000001000000000110010000000000000000000000000000 +101000000000000001100111000000000000000000000000000000 +000000000110000000100000000000000000000000000000000000 +010000001010000101100111100001011000000000000000000000 +110000000000000000000000001001101010000001000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000011000000100000100000010 +000000000000000000000000000000010000000000000010000000 +000000000000010000000000000000011010000100000100000100 +000000000000100000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 + +.logic_tile 9 6 +000000000000001011100000001011011100000001110000000000 +000000000000001111000010100111011010000011110000000000 +101000000000001101000000001001101001000000100000000000 +000000001010001111000010110001111010101000010000000000 +110000000000000011100010110101000001001111000000000000 +110000000000000111000110100101101100101111010010000000 +000000000000000101000010111011111000010111110000000000 +000000000000001101100111101101000000010110100000000000 +000000000000001001100111000101000000010110100000000010 +000000000000000001000000000001000000000000000000000000 +000000000000001000000110001101101001100001010000000000 +000000000000000001000000000001111110010000000000000000 +000000000000000000000110000000001101101100010100000000 +000000000000000000000000000011011100011100100000100000 +000000000001001001100000001101011010100000000000000000 +000000001110100011000000000001101000110000010000000000 .ramt_tile 10 6 -000000000000001101100111001001000001001000 -000000010000001011000000000011001010000000 -101001000000000111100000001000000000000000 -000000110000000000100000000011000000000000 -110000000000000011100111100111100000000000 -110000001100000000100100000101000000000100 -000000100000000011100000011000000000000000 -000000001000000000100011001101000000000000 -000000000000000000000000000111100000000000 -000000000000000000000000000001100000100000 -000000100000000011100111000000000000000000 -000000000000000001100000001001000000000000 -000000000000000000000011100011100000100000 -000000001100000000000000001101100000000000 -110000000000101001000000011000000000000000 -110000000010010111100011110001000000000000 +000010100000000000000000001111000001010000 +000001010000000000000000001011101100000000 +101000000010001000000011101000000000000000 +000000010000001011000000001111000000000000 +110000000000000111000000000111000000000000 +110000000000000000000000000101000000001000 +000010100000000000000000001000000000000000 +000000000000000000000011101101000000000000 +000000000000000111100000001111100000000000 +000000000000000000100000000001000000010000 +000000000000001000000011111000000000000000 +000000000000001111000011001001000000000000 +000000000000000000000010001011100000001000 +000000000000001001000111111111100000000000 +110001000000010011100111110000000000000000 +110000000000001111100011110011000000000000 .logic_tile 11 6 -000000000000000000000000010000000000000000100100000000 -000000000000000000000010010000001111000000000000000001 -101000000000000000000000000000000001000000100100000000 -000000000000000000000000000000001111000000000000000001 -010000000000000000000000000000001100000100000100000000 -010000000000000000000000000000010000000000000000000100 -000000000000100000000000000000000000000000100100000000 -000000000001000000000000000000001111000000000000000001 -000000000000000000000110100000000001000000100100000001 -000000000000000000000100000000001110000000000000000000 -000000000000000000000110100111000000000000000100000100 -000000000000000000000000000000000000000001000000000000 -000000000000000101100110110000011110000100000100000000 -000000000000000000000010100000000000000000000000000001 -000000000001001101100000010000000001000000100100000000 -000000000000000101000010100000001101000000000000000001 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +101000000000000000000000001000000000000000000100000000 +000000000000000000000000001111000000000010000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001010000100000100000000 +000000000000000000000000000000000000000000000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 .logic_tile 12 6 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -101000000000000111100000010001100000000000000100000000 -000000000000000000000010000000100000000001000000000000 -010000000000000000000000010000000001000000100100000000 -010000000000000000000010000000001100000000000000000000 -000000000000000001100000000000000000000000000100000000 -000010000000000000000000001101000000000010000000000000 -000000000000000000000110001000000000000000000100000000 -000000000000000000000000001111000000000010000000000000 -000000000000001000000000001000000000000000000100000000 -000000000000000001000000000001000000000010000000000000 -000000000000000000000000000000001100000100000100000000 -000000000000000000000000000000010000000000000000000000 -000000000000000000000110000000011010000100000100000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 .io_tile 13 6 000000000000000000 @@ -1717,7 +1717,7 @@ 000000000000000001 000000000000000000 000000000000000000 -001100000000011000 +001100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -1729,9 +1729,9 @@ 000000000000000000 .io_tile 0 7 +000000000000001000 000000000000000000 -000000000000000000 -000000000000000000 +000000000000001000 000000000000000000 000000000000000000 000000000000000000 @@ -1747,202 +1747,202 @@ 000000000000000000 .logic_tile 1 7 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000101000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -010100000000000000000010100000011010000100000110000000 -100000000000000000000100000000000000000000000000000000 -000000000000000000000000000101000000000000000100000000 -000000000000001001000000000000100000000001000000000001 -000000000000000000000000000001100000000000000100000000 -000000000000000000000000000000000000000001000010000000 -000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000001000000100100000000 +000000000000000000000000000000001011000000000000100000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 2 7 -000011000000000111100000000111101100101011010000000100 -000000000000000000000000001101111010111011010000000000 -111000000000000101000000000000000001000000100100000000 -000000000000000000100010110000001101000000000000000000 -010000000000000111000011100000000001000000100100000000 -100000000000000000000010110000001101000000000000000001 -000000000000000101000000000101101110101111010000000001 -000000000000000000100010111101001000000010100000000000 -000000001100000000000011001101111001011101010000000000 -000000000000011001000000000011101110001001010000000100 -000000000000000000000010111001101101011001110000000001 -000000000000000101000111010111101000001001010000000000 -000000000100000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 -000000000000000101100011100000000000000000000000000000 +000000000100100111000010100001000000000000001000000000 +000000000001000000100100000000100000000000000000001000 +000000000000000000000000010101100000000000001000000000 +000000000000000000000011000000000000000000000000000000 +000000000010000000000000000011001000001100111000000000 +000001000000000000000000000000000000110011000000100000 +000000000000000101000000000111001000001100111010000000 +000000000000000000100000000000100000110011000000000000 +000000100000000111000000000000001001001100111000000000 +000001000000000000000000000000001110110011000010000000 +000000000000000000000011100000001001001100111010000000 +000000000000000000000000000000001101110011000000000000 +000000000000000000000011100001001000001100111010000000 +000000000000000000000000000000000000110011000000000000 +000000000000000111000000000001101000001100111000000000 +000000000000000000000000000000100000110011000000000010 .ramb_tile 3 7 -000001000000001101100111100111000001000000 -000000001010000111000011110001001011000000 -111000000000000111100000000000000000000000 -000000000000000000100000001001000000000000 -010000000000000000000011100001100000000000 -110000000000000111000100000111000000000000 -000000000000000111000011101000000000000000 -000000000000001111100100000101000000000000 -000000000000000000000000001001000000000000 -000000000000000000000000000101000000000000 -000010000000000000000111001000000000000000 -000000001110000000000000001011000000000000 -000000000001011011100000000101000000000000 -000000000000000111000011101001000000000000 -110010000000000011000000000000000000000000 -110000000000000000000000001111000000000000 +000000000000000000000011101001100001000000 +000000000000000001000000000011101011000000 +111000000000000011100111101000000000000000 +000000000000000111100000000101000000000000 +010000100000000000000111001001000000000000 +110001001010000000000100000111100000000000 +000000000000000000000011100000000000000000 +000000000000000001000011101011000000000000 +000000000000000111000011100011000000000000 +000000000000000000100110001011000000000000 +000000000000000000000000001000000000000000 +000000000000000001000000001111000000000000 +000000000000010000000000000001100000000000 +000000000000000000000000000001000000000000 +010000000000000111000000001000000000000000 +010000000000000000100010011101000000000000 .logic_tile 4 7 +000010100000000001000010000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +111000000000000000000011100111011010001001000000000000 +000000000000000000000100000001101110101011110000000000 +110000000001000000000000000000000000000000000000000000 +000000000000110000000000000000000000000000000000000000 +000000000000000111000111000000011010000100000110000000 +000000000000000000100000000000010000000000000000000000 +000010101000000000000000000001000000000000000110000000 +000000001110000000000000000000100000000001000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000001000111100110100111100000000000000100000010 -000000001100000000000100000000100000000001000000000000 -110000000000010000000000001001111001010000000000000100 -100001000110000000000000001011101011001000000010000010 -000000000000001000000111100000000001000000100000000000 -000000000000001001000100000000001010000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000001111000000000011000000000010000000100000 -000000000000000000000111001101100001001001000000000000 -000000000000000000000011101011101001000000000010100000 -000000100000000000000000010111000000000000000100000000 -000001000000000000000011100000100000000001000010000000 -000000000001000000000011100000000000000000000000000000 -000000000110100000000100000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000001000000111000000000000000000000000000000 +000000000100001011000000000000000000000000000000000000 +000000100000000000000000001000000000000000000110000000 +000000000000000000000000001001000000000010000000000000 .logic_tile 5 7 -000000000000000000000000010000000000000000000000000000 +100000000000001000000000000000000000010110100100100000 +000000000000000001000010110001000000101001011011100110 +001000000000001000000000001101001110100010000000000000 +101000000000001011000000000111101100000100010000000000 +110001000000000011100011100000000001111001110000000000 +010000000000000000000100001001001000110110110000000000 +000001000000100000000000010001001110101010100100100001 +000000100011000000000011010000010000101010101011000010 +000000000000000111100000010000000000000000000000000000 +000000000000000000100010000000000000000000000000000000 000000000000000000000010000000000000000000000000000000 -111000000000000000000000000001000000000000000110000000 -000000000000000000000000000000100000000001000000000000 -010000000000000000000000000111100000000000000100000000 -000000000000000000000000000000000000000001000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000011100000100000100000000 -000000000000000000000000000000000000000000000000100000 -000000000000000001100000000000001110000100000100000000 -000000000000000000000000000000010000000000000000000000 -000010000000010000000010100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000001101000000000000000000000000000000000000 +000000000000000011100110000101111100010000110000000000 +000000000000000000000000001111111000000000100000000000 +110000000000001000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 .logic_tile 6 7 -000000000000000000000000000101000000000000001000000000 -000000001010000101000010100000100000000000000000001000 -000000000000000000000000000000001000001100111000100000 -000000000000000000000000000000011101110011000000000000 -000000000000000000000000000011101000001100111000100000 -000000000000000000000010100000000000110011000000000000 -000000000000000101000000000001001000001100111000000000 -000000000000000000000010100000000000110011000000000000 -000000000000000001000010000101101000001100111000000000 -000000000000000000000000000000100000110011000000000000 -000000000000000001000000000111001000001100111000000000 -000000000000000000000000000000100000110011000000100000 -000010100000010000000010000011001000001100111000000000 -000000000000000000000000000000000000110011000000100000 -000000000000000000000000000101101000001100111000000000 -000000100000000000000000000000000000110011000000000000 +000000000000000000000000010111100001111001110000000000 +000000100000000000000011100000001100111001110000100000 +101000000000011000000110000000001000101011110100000001 +000000001010000001000100000111010000010111110000000100 +010000000000000000000110000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000001000001110010101000000000000 +000000000000000000000000001001011110101010000000000000 +000000000000000000000000000001100000010110100000000000 +000000000000000000000000000000100000010110100001000000 +000000000000000000000000000000000000000000000000000000 +000000000000100001000000000000000000000000000000000000 +000001000000001000000110100000000000000000000000000000 +000010000000000001000000000000000000000000000000000000 +010000000000001000000000000000000000000000000000000000 +010000000000000101000000000000000000000000000000000000 .logic_tile 7 7 -000001001110100111000000001101100000101001010110000000 -000000100001000000000000001111100000000000000000000000 -101000000000000000000000010001101100110011000000000000 -000000000000010000000010100101101000000000000000000000 -110001001100100011100010100111100001110110110100000000 -110010100001010111000100001101101010010110100000000000 -000001000100000111000000000000000000000000000000000000 -000000000000010000000010110000000000000000000000000000 -000000100000000000000011100011011010101000010110000000 -000001000000001101000000001101111110111000100000000000 -000000000010100000000000001101001010101000010100000000 -000000000000000000000000001101101111111000100000000000 -000000000000000011000110100000000000000000000000000000 -000000000000000000000111100000000000000000000000000000 -110000000100100101000011110000000000000000000000000000 -110000000000000000100011010000000000000000000000000000 +000000000000100000000000010111111100111111110000000000 +000000000000010000000011100111000000111110100000000000 +101000000000001001100000001111000001111111110000000000 +000000000000000101100000000111001100100110010000000000 +010000000000001001100000000000000000000000000000000000 +010000000000000001000000000000000000000000000000000000 +000000000000000000000010100101011011100010100000000001 +000000000000100000000000000101011000100001010000000000 +000000000000010000000000000000000000000000100100000000 +000000000000100000000000000000001010000000000000000000 +000000000000000001000000001101101111000000110000000000 +000000000000000000000000000011101110001011000010100010 +000001000000000101100000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +010000000000001000000000000000000000000000000000000000 +010000000000000001000000000000000000000000000000000000 .logic_tile 8 7 -000000000000100000000000010000000000000000000000000000 -000000000001000000000010100000000000000000000000000000 -101000000100000101100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000001000000000000000000000000000000000110000000 -010000001110100000000000001001000000000010000000000000 -000001000000000000000000001000000000000000000110000000 -000010000000000000000000001011000000000010000000000000 -000000100000000000000000000000000000000000000000000000 -000001001100000000000010010000000000000000000000000000 -000000000000000000000111000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 -000010100000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000000010 +101000000000001000000000000000000000000000000100000001 +000000000000001111000000000101000000000010000001000000 +010000000000001001100111000000000000000000000000000000 +110000000000000101000100000000000000000000000000000000 +000000000000001011100000010000000000000000000000000000 +000000000000000101100010000000000000000000000000000000 +000000000000100001100000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000111100001010000100000000000 +000000000000000000000000000000101011010000100000000000 +000000000000000000000000000000011011000000100000000000 +000000000000000000000000000101001010000000010010000000 +010000000000000000000110000101111111000111010000000000 +010000000000000000000100000011011010000011110010000000 .logic_tile 9 7 -000010001110001000000000000000000000000000100100000000 -000001000000000111000011110000001100000000000000000001 -101000000000000000000000000000001110000001010000000000 -000000000000000000000000001101010000000010100010100100 -010000000000000000000000000000000000000000000000000000 -010000000000000000000010110000000000000000000000000000 -000000000000000000000000000111100000000000000110000000 -000000000000000000000000000000000000000001000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000011111000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000110000000000111000000000000000000100100000001 -000000000000000000000000000000001000000000000000000000 -000000000000000011100000001000000000000000000110000000 -000000000000000000100000000011000000000010000000000000 +000010000000000000000000000000000001000000100100000000 +000001000000001101000010100000001110000000000000000000 +101000000001000000000000000000011110000100000100000000 +000000000000100101000000000000010000000000000000000000 +010000000001000000000000000000000000000000000100000000 +010000000000000000000000000111000000000010000000000000 +000010000000000011100000001001000000000110000000000000 +000000000000000000100000000011001110011111100000000000 +000010000000000001100010111000000000000000000100000000 +000001000000000000000110000101000000000010000000000000 +000000000000000001000000001000001100000111010000000000 +000000000000001101000000000011011100001011100000000000 +000000000000001101000000000000001100000100000100000000 +000000000000000001100000000000010000000000000000000000 +010000000000000101000010100011100000000000000100000000 +010000000000000000100100000000000000000001000000000000 .ramb_tile 10 7 -000000000000000000000000000111100001000010 -000000000000000000000000000111001111000000 -111000000000001000000111100000000000000000 -000000000000000111000100000111000000000000 -110000000000000000000000000001100000000000 -010000000000000000000000001111100000000100 -000000000000000000000000010000000000000000 -000000000000000000000011010011000000000000 -000001000000000111100011101101100000000000 -000000000000000000100011110111100000010000 -000000000000000000000000010000000000000000 -000000000000000000000011101111000000000000 -000000000000001111000010011011000000000000 -000000000000001111000011011011100000000001 -010001000000001111100111111000000000000000 -010000000000000111000011011111000000000000 +000010000000000000000000000000000000000000 +000001010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 11 7 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -101000000000000000000000000000011100000100000100100000 -000000000000000000000000000000010000000000000000000000 -010000000000000000000111100000000000000000000000000000 -110000001100000000000100000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000110000000000011100000000000000000000000000000 +110000100000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000001010000000000000000011010000100000110000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000101000010000000000000000000000000000000 -000010000000010000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000111100000000000000000000000000000000000 +110000000000000000100000000000000000000000000000000000 .logic_tile 12 7 000000000000000000000000000000000000000000000000000000 @@ -1957,8 +1957,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -1981,16 +1981,16 @@ 000000000000000000 .io_tile 0 8 -000001110000000000 -000000001001000000 +000000000000000000 +000000000000001000 000000000000000000 000000000000000000 000000000000000100 -000000000000000000 +000000000000001000 000100000000000000 000000000000000000 000000000000000000 -000100000000000000 +000111010000000000 000000000000000000 000000000000000000 000000000000000000 @@ -1999,214 +1999,214 @@ 000000000000000000 .logic_tile 1 8 +000000000000000000000000000000000000000000000100100000 +000000000000001101000000000001000000000010000000000000 +111000000000001000000010100000000000000000000000000000 +000000000000000001000100000000000000000000000000000000 +110000000000000000000000000000011100000100000000000000 +100000000000000000000000000000010000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000001100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000100100000000 -000000000000000000000000000000001101000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000111000000000000000100000000 -000000000000000000000000000000100000000001000000000000 -000000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000001000000100110000000 -000000000000000000000000000000001000000000000000000000 - -.logic_tile 2 8 -100000000000001000000000001111111011010101000000000000 -000000000000001001000000000111111001111110000000000010 -001000000000000001100000010011011111010100110000000000 -000000000000001001000010011101101011011000110000000010 -110000000000000000000000011101101111001001000000000000 -110000000110000000000010010111111000101011110000000000 -000000000000000000000000011111101100001001000000000000 -000000000000000000000010001101001101010111110000000010 -000000100000001000000010001001111011010101000000000001 -000001000000000101000010100011011101111101000000000000 -000000000000000000000000011000011110101010100110000010 -000000000000001001000010101101000000010101011010100000 -000000000000000000000011110101100000010110100100000000 -000000000000000101000110100000100000010110101000100000 -110000000000000001100000001001011110011101010000000000 -000000000000000000000010101101001110000110100010000000 - -.ramt_tile 3 8 -000000000000010111000110011101000000000000 -000000010000000000000111111101101010000000 -101010000000000111100000000000000000000000 -000000010000000111100000000111000000000000 -010000000001000011100011111101100000000000 -110000001000000111100011100101100000000000 -000000000000000011100111110000000000000000 -000000000000000000100011101011000000000000 -000000000000000000000111000001100000000000 -000000100000001001000100000011000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000101000000000000 -000000000001000000000000000001000000000000 -000000000000100001000000001001100000000000 -010000100000000000000000000000000000000000 -010001000000000000000000000001000000000000 - -.logic_tile 4 8 -000000100000010000000000001011011010101001010000000000 -000001000000100000000011100011101001101001110010000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000100000010000000111100000000000000000000000000000 -000001000110000000000100000000000000000000000000000000 -000001000000000000000000010000000001000000100100000000 -000010000000000111000010000000001011000000000000000000 -000010100000010000000111000000000000000000000100000000 -000000001010000000000100001101000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000010101010000000000000000000001110000100000100000000 -000000000000000000000010000000010000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 5 8 -000000000000000000000110100000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 -111000000000001000000000000001100000000000000100000000 -000000000000000101000000000000000000000001000001000000 -010010001110000000000000000011100000000000000000000000 -100001000000000111000000000000100000000001000000000000 -000000000000000000000000010000000000000000000100000000 -000000000000000000000010101001000000000010000001000000 -000000000000000000000000000000011010000100000110000000 -000000000000000000000000000000010000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000000000000000101000000000000000100000001 +000000000000001000000000000000000000000000000100000000 +000000000000000011000000000011000000000010000000100000 +000000000000000000000110100001100000000000000100000000 000000000000000000000000000000000000000001000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000001000000100100000000 +000000000000000000000000000000001001000000000000100000 + +.logic_tile 2 8 +000000000000000111000110110000001001111100001000000001 +000000000000000000100110100000001000111100000000010000 +101000000000001000000000000111100000000000001000000000 +000000101100001011000000000000000000000000000000000000 +010001000000000000000110000000001000111100001000000000 +010010100000000000000000000000000000111100000010000000 +000000000000000000000000000000001010000011110110000001 +000000000000000000000000000000010000000011110000100001 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000001100000001101000000001100110110000001 +000000000000000000000000000011100000110011000010000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000011100000000000000000111001110000000010 +000000000000000000100000001001001000110110110000000001 + +.ramt_tile 3 8 +000000000000000011100011100001000001000000 +000000010000000000000000001101001100000000 +101000000000000101100011101000000000000000 +000000010000000111000100000001000000000000 +110000000001000000000110101101100000000000 +010000000000100000000000000101100000001000 +000000000000000011100000011000000000000000 +000000000000000000100011011011000000000000 +000000000100100011100111000011000000000000 +000000000101010000000110010111000000000100 +000000000000001000000111000000000000000000 +000000000000001011000000001011000000000000 +000000100001000011100000001001000000000000 +000001000110100000110000000111100000000000 +010000000000000011100000001000000000000000 +010000000000000000100000001001000000000000 + +.logic_tile 4 8 +100000000000101101000010101001011001100111010000000000 +000000000101010001000111111001001110100001010000000000 +101000000000001011100010110101000000010000100000100000 +001000000000100011000110100000101110010000100000000100 +010000000000000101000110101001111100000000000000000000 +010000000000001101100111100011100000101000000000000000 +000000000000000111100011110111001100110011000000000000 +000000000000000000100010010011111001000000000000000000 +000000000000000111000111000111101010010000000010000000 +000000000000000001000011101101111110001000000000100000 +000000000000000101100000000001011001010111100100000000 +000000000000001111000000001111001011000010000000100000 +000000000000001001000011110011001111000110100100000000 +000000000000000101000110100101111011000101010000100000 +000000000000000101000011101111111010100010000000000000 +000000000000000111000110000111101010001000100000000000 + +.logic_tile 5 8 +000000000000000101000110010101100000010000100001000000 +000000000010000000000010100111101010000000000000000000 +101000000000000000000000000111001001111100010100000000 +000000000000000000000000000001011100111100001000000011 +000000000000000001000110101101011110000000000000000001 +000000000000000000000011100101100000010100000000100000 +000000000000000011100110110111111101100010000000000000 +000000000000000000100010000011011111000100010000000000 +000000000001000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010001000000010101101010100000000000000000 +000000000000100001000011011001111110000000000000000000 +000000000000001001100000000000000000000000000100000000 +000000000000000001000000000101000000000010000000000000 +110000000000000001000000000000011100000100000100000000 +000000000000100001100011100000000000000000000000000010 .logic_tile 6 8 -000000000000000000000000000101101000001100110000100000 -000000000000000000000000000000100000110011000000010000 -101000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010001000000000000000011100111000000000000000100000000 -110010100000000000000000000000100000000001000000100001 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000100000000000000001000000000000000000000000000 -000000000000010000000000000111000000000010000000000000 +000000000001001000000111101101101001101111010100000000 +000000000000001101000000001111011100111111100000000000 +001000000000001000000000010000000000000000000000000000 +001000000000001001000011110000000000000000000000000000 +110000000000000001000000000111111011010110010000100000 +110000000000000101000000000101111000011101100000000000 000000000000010000000000010000000000000000000000000000 -000000001100000000000010100000000000000000000000000000 +000000000000001101000011110000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000001010000001000000000000000000000000000000000000 +000000000000000000000010100101011111000100000000000011 +000000000000010000000000000000011001000100000000000000 +000000000000000000000010100000000000000000000000000000 +000000001000000000000010000000000000000000000000000000 .logic_tile 7 8 -000001000000001000000000011001101010000110100000000100 -000000100000001101000011000011011010000011000000100100 -101000000000001001100000000101100000010000100100000000 -000000000000001111000000000000101010010000100000000000 -010000000000001001100010011000000000000110000010000000 -110000000000011101000110101101001000001001000000000000 -000000000110000000000010011011101010000000000000000000 -000000000000000000000010000001001011000001000000000000 -000000000000000000000000001000000000001001000100000000 -000000000000000000000000001101001000000110000000000000 -000000000000001000000000000001011010101000000100000000 -000000000000000001000000000000010000101000000000000000 -000000100000000000000110001101011000000000100000000001 -000001000000000000000000000011101010000000000000000000 -010000000000001000000000001001001111010111100000000000 -010000000000000011000000001101111110000111010000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000001010000000000000000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +110000000000001000000000000000000000000000000000000000 +010000000000000101000000000000000000000000000000000000 +000000000000000000000000000001100000000000000100000000 +000000000000000000000000000000100000000001000010000000 +000000000000000000000000010000001010000100000110000000 +000000000000000000000010100000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 8 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -101000000000000101000000000000000000000000000000000000 -000000000000000000110000000000000000000000000000000000 -010000000000000000000111100011111110101011110100000000 -110000001110000000000100000000100000101011110000000100 -000000000000000000000000000000011100000100000000000000 -000000000000000000000000000000010000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000000000001000010100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110010100000001101000000001000011110111101010000000100 -010001000000000101000000000001000000111110100000000000 +000000001110001101000000010011100000000000000100000000 +000000000000000011000010000000000000000001000000000000 +101000000000000101000000000111100000000000000100000000 +000000000000000000000000000000000000000001000000000010 +010000000000000000000000000000011000000100000000000000 +010000000000100000000000000000000000000000000000000000 +000000000000000000000011100011000000000000000100000000 +000000000000000000000000000000100000000001000000000000 +000000000000000000000000000000001110000100000100000000 +000000000000000000000010100000000000000000000000000000 +000000000000000101000000000111000000000000000100000000 +000000000110001101100000000000000000000001000000000000 +000000000000000101000000000001011111000000000000000010 +000000000000001101100000000001001011010000000000000100 +000000000001011001000000000011100000000000000100000000 +000000000000101001000000000000000000000001000000000000 .logic_tile 9 8 +000010000000001000000000000000011110000100000100000000 +000001000000000101000000000000010000000000000000000000 +101000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000001000000000000000000000000000000000100100000 +010000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000001011101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -101000000001010101000000011000011101111110110000000000 -000000000000101111100011010011001000111101110000000000 -010000000000000000000110101011111000010100000010000000 -110000000000000000000000000011000000000000000000000000 -000000000000000111000000010000000000000000000000000000 -000000001110000000000010000000000000000000000000000000 -000000000110000101000000000000000000000000000100000000 -000000000000000000100000000111000000000010000000000000 -000010000000001101000010101111011000101001010000000000 -000001000000000001100100001011010000010111110000000001 -000010100000000001100000001000000000000110000000000000 -000000000000000000000000001001001110001001000000000000 -010000000000000000000000001001111100111001100010000000 -110000001110000000000000001101001100110110100000000000 +110000000000000000000000000000000000000000000000000000 +010000000000010000000011110000000000000000000000000000 .ramt_tile 10 8 -000000000000000000000000001111000000000000 -000000010000000000000000000101101101001000 -101000000000001111000000001000000000000000 -000000010000001011000000001101000000000000 -010010000000000000000011111011000000100000 -110001000000000000000011110001100000000000 -000000000000000011100111011000000000000000 -000000000000000000000111011011000000000000 -000000001110000000000010001001100000001000 -000000000000000000000110001111000000000000 -000000000000000000000010000000000000000000 -000000000000000000000000000111000000000000 -000000000000000000000000011101000000000010 -000000000000000001000011011101100000000000 -110000000000000001000010001000000000000000 -110000000000000001000000000111000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 .logic_tile 11 8 -000000000000000000000000010000001100000100000101000000 -000000000000000000000011110000000000000000000001000000 -101000000000001000000000000000001010000100000100000000 -000000000000001011000000000000000000000000000001000001 -010000000000000001000000000011100000000000000100000000 -010000000001000000000011100000100000000001000000000001 -000000000000000000000000000000011000000100000100000000 -000000000000000000000000000000000000000000000001000001 -000010100000000001000010000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000100000000 -000000000000000000000000001011000000000010000001000001 -000000000000000000000000000101000000000000000110000000 -000000000000000000000000000000100000000001000000000010 -000000000000000001000000000000011110000100000110000000 -000000000000000000000000000000000000000000000000000100 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000001101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000111100000000000000110100110 +000000000000000000000000000000000000000001001001100100 +000000000000001000000000000000000000010110100000000000 +000000000000000111000000000101000000101001010010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000001000000000000000000000000000000000000000 +010000000000000011000000000000000000000000000000000000 .logic_tile 12 8 -000000000000000000000010100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000001000000100000000000000 -000000000000000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -2217,15 +2217,15 @@ .io_tile 13 8 000000000000000000 000100000000000000 +000000011000000000 +000000000000000000 +000000000000001100 +000000000000000000 +000000000000100000 000000000000000000 000000000000000000 -000000000000000100 -000000000000001000 -000000000000000000 -000000000000000000 -000000000000000000 -100101110000000000 -000000000000000000 +100100000000000001 +100000000000000000 000000000000000000 000000000000000000 000000000000000001 @@ -2235,248 +2235,248 @@ .io_tile 0 9 000000000000001000 000000000000000000 -000000000000001000 000000000000000000 +000000000000000000 +000000000000000100 000000000000001100 -000000000000001000 000100000000000000 000000000000000000 000000000000000000 000100000000000000 +000000000000000000 +000000000000000000 000010000000000000 -000010010000000000 -000000000000000000 -000000000000000000 +000001110000000000 000000000000000000 000000000000000000 .logic_tile 1 9 -000000000000000000000000000000001110000100000100000001 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000001011111111000000010000000000 -100000000000000000000000000111011111000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000001100000000111000000000000000100000000 +000000000000000000000000000000100000000001000000000000 +111000001100000000000000000101000000000000000100000000 +000000000000000000000000000000000000000001000000000000 +110000000000000101000000000000000001000000100100000000 +100000000000010000100000000000001010000000000000000000 +000000000000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000001000000010011100000000000000100000001 -000000000000000000100010100000100000000001000000000000 -000000000000001101100000000000000000000000000100000000 -000000000000000101000000000111000000000010000000000010 -000000000000000001000111000000000000000000000000000000 -000000000000000000100110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +110000000000000000000000000000000000000000100100000000 +000000000000000000000000000000001000000000000000000000 .logic_tile 2 9 -000000000000000001100000000001000000000000000100000000 +000000100000000000000000000011000000000000000100000000 +000000001010000000000000000000000000000001000000000000 +111000000000000000000110101000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +010000000000101101100000010000001010000100000100000000 +100010000001010101000010100000010000000000000000000000 +000000000000000000000000000000000000000000100100000000 +000000000000000000000000000000001010000000000000000000 +000010000000000101000000000111000000000000000100000000 +000000000000000000100000000000000000000001000000000000 +000000000000000000000000000111100000000000000100000000 000000000000000000000000000000000000000001000000000000 -111000000000001000000000000000000000000000100100000000 -000000000000001011000000000000001111000000000000000000 -010000000000000000000000001000000000000000000100100000 -000000000000000000000000001111000000000010000000000000 -000000000000000000000000000000000001000000100110000000 -000000000000000000000000000000001101000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000010000001110000100000100000000 -000000000000000001000010000000000000000000000010000000 -110000000000000000000011100001100000000000000100000000 -000000000000000000000100000000000000000001000000100000 +000000000000001000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000001100000000101000000000000000100000000 +000000000000000000100000000000000000000001000000000000 .ramb_tile 3 9 -000000000000001000000000001011000001000000 -000000000000000111000000000001001001000000 -111000000000001011100111011000000000000000 -000000000000000111100011110101000000000000 -010000000000000011100111100111000000000000 -110000000000000000000010010101000000000000 -000000000000000111100000001000000000000000 -000000000000000001000011111011000000000000 -000000000000000101000000000001100000000000 -000000000000000001100000000001000000000100 -000000000000000000000010001000000000000000 -000000000110000000000000001001000000000000 -000000000000000000000000000101000000000000 -000000000010001101000000000011100000000000 -010000000000000000000000001000000000000000 -110000000000000000000000001101000000000000 +000010000001000111100000001101000001000000 +000000000000110000100000000011001111000000 +111000000000000101100110100000000000000000 +000000000000001001000000000111000000000000 +110100000000101000000000011001100000000000 +110000000001010101000010100001000000000000 +000000000000000111000000000000000000000000 +000000000000000000100000001111000000000000 +000000000010001000000110100001100000000000 +000000000000000101000010011011100000000000 +000000000000000000000000000000000000000000 +000000000000001001000000001011000000000000 +000010101110010000000111000101000000000000 +000000000000011001000110010011100000000000 +010000000000000000000111000000000000000000 +110000000100000000000100000001000000000000 .logic_tile 4 9 -000010000000000000000000010111100000000000000100000001 -000000000100000000000010010000100000000001000000100100 -111000000000000000000000000000011000000001010000000000 -000000000000000000000011101101010000000010100000000011 -010000000000000000000000000000000000000000000000000000 -010000000000000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000001001110000000000000000000000000000000000000000000 -000010100000010000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 -000010000001010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000010000011000111100110010000000 -000000000000000000000010100000011011111100110010000010 +000000000000001000000000001000000000000000000100100000 +000000000000000101000000001001000000000010000010100110 +111000000001010000000111100111101101101011110000000000 +000000000000000000000011110101001010000110000000000000 +110000000000000000000000000000000000000000000000000000 +010001000000001101000000000000000000000000000000000000 +000000000000001000000111100111000000000000000100100000 +000000000000001011000110110000100000000001000000100001 +000000000001011011100000000000000000000000000000000000 +000010001110001001000011000000000000000000000000000000 +000010100000000000000110100000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000010000011011101011100100000000000 +000000000000000000000000000001011110011100010000000010 +110000000000000101100010001101111111001000010000000000 +000000000000000000000100001101111001010010000000000000 .logic_tile 5 9 -000000000001010000000010100000000000000000001000000000 -000000001110000000000100000000001111000000000000001000 -000000000000000000000000000101001110001100111000000000 -000000000000001101000000000000010000110011000000000000 -000000000001011101000000000001001000001100111000000000 -000010000000100101100010110000100000110011000000000100 -000000000000000000000010100101101000001100111000000000 -000000000000000000000100000000100000110011000000000000 -000000000010000000000000000101001000001100111000000000 -000010001110000000000000000000100000110011000000000000 -000000000000000000000000000001101000001100111000000000 -000000000000000000000000000000000000110011000000000000 -000000000000000000000010100101001000001100111000000000 -000000000000000000000000000000000000110011000000000000 -000000000000001000000000000000001001001100111000000000 -000000000000000101000000000000001110110011000000000000 +100010100000001101000000010011101111001000100000000000 +000000000000000111100011110111001111100010000000000000 +001000000000000001100010100001011111111100000010000000 +101000000000001101000110111011001010111100010000000000 +010010100000001111000010101001011010100010000000000000 +010000000100000001100110110011011011001000100000000000 +000000000000001111000110011011101100100000000000000000 +000000000000001101000011010111111010000000000000000100 +000000000000100000000011110101011101100010000000000000 +000000001100010000000110001111111000001000100000000000 +000000000000000011100011110000011011110011000100000000 +000000000000000001100110000000011000110011001001100010 +000000000001011111000111101001101111100010000000000000 +000000000000101111000011110101111001001000100000000000 +110000000000001111000010000101100000010110100100000000 +000000000000000001000100000000100000010110101010000010 .logic_tile 6 9 -000010000000000000000111111011111011100010000000000000 -000000000000000000000111110111111100001000100000000000 -111000000100000101100110101001011000110011000000000000 -000010000000000000000010110101111001010010000000000000 -010000000001000000000010000000000000000000000000000000 -110000000000100000000000000000000000000000000000000000 -000000000000001000000000010000000000000000000000000000 -000000000000000001000011100000000000000000000000000000 -000000000000000000000000000000011000000100000110000000 -000001000000000000000000000000010000000000000001100110 -000000000000000000000010100000011111111100110000000000 -000001000000001101000100000000011110111100110000100000 -000000000000000000000000001001111000110011000000000000 -000000000000000000000000000111011001000000000000000000 -110010000000101111000010100000000000000000000000000000 -000000001010000011000000000000000000000000000000000000 +000010000000000000000000000011111010010111100000000000 +000000000000001101000000000111011000001011100000000010 +101000000000000000000000000000011000000010100000000000 +000000001010000111000000000011000000000001010000000010 +110010000000000000000110010000001101110000000100000000 +110001000000000000000010000000001000110000000000000000 +000000001100000000000000010000011000000001010100000000 +000000000000000000000011100011000000000010100000000100 +000001000000000011000010100011001011111001010000000000 +000010001010000000000000001101111101111101110010000000 +000000000000000001100000010011101010000100000000000000 +000000000000000000000010001101111101000000000000000000 +000010000000000101000011000011000001010000100100000000 +000000000001010000000000000000001000010000100000000011 +110000000000000111100000000000000000000000000000000000 +110000000000000000100000000000000000000000000000000000 .logic_tile 7 9 -000000000000010101000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -101000000000000000000010100101111000000000000000000001 -000000000000000101000110101111001000000001000000000000 -010010000000000101000111110000000000000000000000000000 -110001000000000111100010010000000000000000000000000000 -000000000000000101000010100011111010111011110100000000 -000000000000000000000000000101011100110011110000000010 -000000000000000001100000001001001111111101010000000000 -000000000000000000000000000001011010111100110000000000 -000001000001111000000000001001101010000000000000000001 -000000000000000001000000001001001011100000000000000000 -000000000000100000000000010000000000000000000000000000 -000000000001010000000010010000000000000000000000000000 -000000000000101000000000001000011000000111000000000000 -000000000000001011000000000111011100001011000000100000 +000000000000000101000110100001001101111001010100000000 +000000000000001111100010001001001010110000000000000000 +001000000000001000000011111101100000111111110100000000 +001000000000000101000110101111100000101001010000000000 +110000000000100000000010110000000000000000000000000000 +110000000001000000000110100000000000000000000000000000 +000001000000000101100110000001101010000110100001000000 +000000100000000000000000001001111011000011000000000000 +000000000000100101000000001001011111101000010100000000 +000000000000000000100000000101001101110100010000000000 +000000000000000000000000000000011010111100010100000000 +000000000000010000000010010111011000111100100000000000 +000000000000000000000110000001011000101000000100000000 +000000000000001001000000000000010000101000000000000000 +010000000000000000000000000001101010000000100000000000 +010000000000001101000010111001111011000000000010000000 .logic_tile 8 9 -000000000000000000000000000000000000001111000000000010 -000000000000000000000000000000001100001111000010000010 -101000000000001001100010100000001101001100000000000000 -000000000000001001000000000000001001001100000000000000 -010000000001000000000110100101000000000000000000000000 -110000000000000000000110100111101101010000100010000100 -000000000000001011100000001011011111010011000000000100 -000000000000001001000000000101001000110111100000000000 -000000000000000001100000011000011001101110110000000000 -000000000000000001000011010001001110011101110000000000 -000000000000001000000010100011100000000000000100000000 -000000000000010001000100000000000000000001000000000000 -000000000000000001100000000011101010010000000000000010 -000000000000000000100000000000111110010000000000000100 -010000000000000101100000000000000001001001000010000000 -110000000000000000000000000011001010000110000000100000 +000000000000001000000000001000000000000000000100000000 +000000000000001111000000000011000000000010000000100101 +101000000000000000000000000000011010000100000100000000 +000000000000000000000000000000010000000000000000000011 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000111000000000001000000100110000000 +000000000000000000000000000000001111000000000000000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000011000000000000000000100100000010 +000000000000000000000000000000001000000000000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 .logic_tile 9 9 -000000000000001101000011100000000000000000000000000000 -000000000000001111000100000000000000000000000000000000 -101000000000000101100000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -110000000000000011000000001001101001001000000000000000 -110001000000000000000000000101011000000000000010000000 -000000000000001001100000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001001001010101011000100000000 -000000000000000000000000000101001000000011000000000000 -000000000000100000000110001001101001000100100001000000 -000000000001010000000100000001011010100101000000000000 +000000000000001111000011010000000000000000000000000000 +001000000100000000000111100000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010000000000000000000000000111000001100000010100000000 +010000000000000000000000000000001100100000010000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000001001100001111001110100000000 +000000000000000000000000000011101101110000110000100000 +000000000000000000000000010000000000000000000000000000 +000000000000000001000011100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +110000000001010111100000000000000000000000000000000000 +110000000000000000100000000000000000000000000000000000 .ramb_tile 10 9 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 +000010100000100000000000000000000000000000 +000001010000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000001000000010000000000000000000000000000 +000010000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 9 +000000000000000000000011100000011000000100000100100000 +000000000000000000000000000000010000000000000000000000 +101000000000000000000111100000000000000000000000000000 +000000101000000000000000000000000000000000000000000000 +010000000000000000000111100000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000010110100000000000 +000000000010000000000000001001000000101001010000000010 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000100110000000 -110000000000000000000000000000001101000000000000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000111100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +110000000000000111100000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 .logic_tile 12 9 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000011100000000000000101000000 -000000001000010000000000000000000000000001000001000000 +101000000000100000000000000000000000000000000000000000 +101010000001010000000011010000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 +000000000000000000000110000001111100111101010000000000 +000000000000000000000000000000100000111101010000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000011010000011110100000000 +000000000000000000000000000000010000000011110000000000 .io_tile 13 9 000000000000000010 000000000000000000 000000000000000000 000000000000000001 -000000000000100110 -000000000000011000 -001100000000000000 -000000000000000000 -000010111000000000 -000100111000000000 +000000000000101110 +000000000000010100 +001100011000011000 +000000001000100000 +000001111000000000 +000100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -2485,10 +2485,10 @@ 000000000000000000 .io_tile 0 10 -000000000000001010 +000000000000000010 000100000001000000 -000000000000001000 -000000000000000001 +000000000000000000 +000000000001000001 000000000000000010 000000000000000000 001100000000000000 @@ -2503,175 +2503,175 @@ 000000000000000000 .logic_tile 1 10 +000000000000000000000000000000011000000100000100100000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000111000000000000000100100001 -000000000000000000000000000000000000000001000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001101000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000100000000 -000000000000000000100000000011000000000010000000000010 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +111000000000000001100000010001100000000000000100000000 +000000000000000000000010000000100000000001000000000000 +110000000000000000000000010000011110000100000100100000 +100000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000100100000000 +000000000000000000000000000000001011000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000000011000000000000000100000000 +000000000000000000000000000000100000000001000000000000 +000000000000000000000110000000000000000000100100000000 +000000000000000000000000000000001111000000000000000000 +110000000000001000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 .logic_tile 2 10 -000001000000100000000000000011100000000000000100000000 -000000101101000000000000000000100000000001000000000000 -111000000000000000000000000000000000000000100100000000 -000000000000000000000000000000001100000000000000000000 -010000001100000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000010011100000000000001000000000 +000000000000000000000010000000100000000000000000001000 +101000000000001000000000000101000000000000001000000000 +000000000000000001000000000000100000000000000000000000 +110000000000000000000010000000001000001100111110100000 +010000000000000000000000000000001001110011000000000001 +000000000000000001100000000000001000001100111100100000 +000000000000000000000000000000001101110011000000100011 +000000000000000000000110000000001001001100111100000001 +000000000000000000000011000000001000110011000010100010 +000000000000000000000010010101101000001100111110000000 +000000000000000000000010000000000000110011000010100011 +000000000001000000000000000000001001001100111100000001 +000000000000000000000000000000001101110011000000100010 +110000000000000000000110000111101000001100111100000001 +000000000000000000000000000000100000110011000011100000 .ramt_tile 3 10 -000000000000000111100111001101000001001000 -000000010000000000100100001001001111000000 -101010000000000111100111111000000000000000 -000001010000000000000011100101000000000000 -010000100001001011100010010001100000000000 -110000000000001111100011010101000000001000 -000000000000000000000000000000000000000000 -000000000000000111000010001001000000000000 -000000000000000000000000000001100000000000 -000001000000000001000010000011100000000100 -000000000000000000000000000000000000000000 -000000000000000001000000001101000000000000 -000000000000000000000000000001000000000000 -000000000000000000000000001011000000000100 -110000000000000000000010001000000000000000 -010000000000000000000000001101000000000000 +000000000000000111100110111011000000000000 +000000010000000000000010101101001100000000 +101010000000001101100110110000000000000000 +000000010000000101000010100001000000000000 +110001000000000000000000010011000000000000 +010000100000000000000011001001100000000000 +000000000000000000000111000000000000000000 +000000000010000000000100001001000000000000 +000000000000000001100110001101000000000000 +000000000000001101100110000001100000000000 +000000000000001000000000001000000000000000 +000000001110001011000000000001000000000000 +000001000000000000000000001111100000000000 +000010100000000000000000001001000000000001 +110000000000001000000000001000000000000000 +010000000000001001000000001001000000000000 .logic_tile 4 10 -000000000000000000000000000000000000000000001000000000 -000000000000000101000000000000001110000000000000001000 -000000000000000000000000000111001110001100111000000000 -000000000000000000000000000000100000110011000000000000 -000000000000000000000000000011101000001100111000000000 -000000001000000000000000000000100000110011000000000000 -000000000000001000000000000011001000001100111000000000 -000000000000001111000000000000100000110011000000000000 -000000000000000000000011110111101000001100111000000000 -000000001010000000000010100000000000110011000000000000 -000000000000000000000110100001001000001100111000000000 -000000000000000000000000000000000000110011000000000000 -000000000000000101100110100011001000001100111000000001 -000000000000100000000000000000100000110011000000000000 -000000000000001000000000000000001001001100111000000000 -000000000000000101000000000000001010110011000000000000 +000000000000000000000000001101011000011100000000000000 +000000000000001101000000000101011110101110100000000000 +111000100000001000000110011101111010010101000000100000 +000001000000100101000111100011011011111101000000000000 +000000000000001000000000011001111111001001000000000000 +000000000000000101000010100101101100101011110000000010 +000000000000000001100110001011111011000101010000000000 +000000000000000000100110111001101111011110100000000010 +000000000000000011100010011001101101011001110000000000 +000000000000000000100110010001101010001001010000000010 +000000000000000111000000000000001110000100000100000000 +000000000000001101000010110000010000000000000000000010 +000000000000000111000010001101101100010000010000000000 +000000000000000000000010111001111000000101000000000000 +110000000000001000000000010011011011010100110010000000 +000000000100001001000010011111101001100100110000000000 .logic_tile 5 10 -000000000000000101000000011000001000001100110000000000 -000000000000000000100011001011000000110011000000010100 -111000000000000000000000000000001010000100000100000001 -000000000000000101000000000000000000000000000001000000 -010000000000000101000010100000000001000000100100000001 -010000000000000000000010100000001000000000000000100001 -000000000000001101000010100000000000000000000100000101 -000000000000001001000110111101000000000010000000000001 -000000000100001000000000001000000000000000000110000000 -000000000000001001000000000101000000000010000000000000 -000000000000000000000011111101001010110011000000000000 -000000000000000000000010101011101000000000000000000000 -000000001110000000000000000101111011100010000000000000 -000000000000000000000000000011011011000100010000000000 -110000000000000000000000000000001010000100000110000000 -000000000000000000000000000000010000000000000000000010 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001100000000000000001000 +000000000000000000000000000011001100001100111000000000 +000000000000000000000000000000100000110011000000000000 +000010100000000001000000000000001000001100111000000000 +000001100000000000100000000000001110110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001100110011000000000000 +000000100001000000000110000011001000001100111000000000 +000001000000001101000110110000100000110011000000000000 +000000000000000101000000000000001000001100111000000000 +000000000000000000100000000000001110110011000000000000 +000000000000001101000000010000001000001100111000000000 +000000000000001001100011010000001010110011000000000000 +000000000000000000000000000000001000001100111000000000 +000001000000000000000000000000001111110011000000000000 .logic_tile 6 10 -000000000000001101000110110001101001100010000000000000 -000000000000000101000010101101111100000100010000000000 -111000000000000000000111110001101010110011000000000000 -000000000000000101000111111011101100000000000000000000 -110000000000000101100010010101111011100000000000000000 -110000000000000101000111110000011010100000000000100000 -000011000000101101100110000000011000000011110110000100 -000001000000000001000010100000010000000011110000100101 -000000000000000101000111001111011111100010000000000000 -000000000000000000100100001011001100001000100000000000 -000001000000001000000000010111011010100000000000000000 -000010000000000011000010010001011010000000000000000000 -000000000000001001100011100000000000000000100110000000 -000000000000000011000000000000001000000000000001100000 -110000000000001000000000000001011000100010010000000000 -000000000000001001000000001101101110000110010000000000 +000000000000100000000111000000011000000100000100000000 +000000000010000000000111110000010000000000001000000000 +101000000000001101000111000000011000000100000100000000 +000000000000001011000100000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000001110000000000000001001000000000010000000000000 +000000000000000101000110000000001100000100000100000000 +000000000001000000100000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000100101000000000000000000000000000000000000 +000000000000000101100000000001101101010111100000000000 +000000000000000000000000000111011111000111010000000000 +110000000000000000000111000000000000000000000000000000 +010000001000000000000100000000000000000000000000000000 .logic_tile 7 10 -000000000000100000000000000000000000000000000000000000 -000000000001010111000011100000000000000000000000000000 -101000000000000011100000000000001110000001010000000000 -000000001110000000100000000111000000000010100000000000 -010000000001000000000000001111011000101000000000000000 -010000000110000000000000000011000000000000000010000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000101100000000000000000000000000000000000 -000000000100000101000000000000000000000000000000000000 -110000000000000111000000000001000000000000000100000111 -110000000000000000000000000000000000000001001011000110 +000000000000000111100000000000000000000000000100000000 +000000000000000000100000000011000000000010000010000001 +101000001010000000000000000011101110000000000010000000 +000000000000000000000000001011111111000001000000000000 +010000000000000001000111100000011010000100000100000000 +110010000000000000000000000000010000000000000000000000 +000000100000010000000010010000000000000000100100000000 +000001000000100000000111100000001100000000000010000000 +000000000000000001100110001000000000000000000100000001 +000000000000000001100000001101000000000010000000000000 +000010100001010000000000010000000000000000000000000000 +000001001100000000000010010000000000000000000000000000 +000000000000100000000110010000000000000000000100000000 +000000000001010000000110010101000000000010000000000000 +000000000000000000000000000000000000000000100100000000 +000000000000000000000000000000001000000000000000000000 .logic_tile 8 10 -000000000000000000000111100000000000000000000110000000 -000000000000000111000100000111000000000010000010000100 -101010000000011000000000001000000000000000000110000000 -000001000000101111000000000101000000000010000010000000 -010000000000100000000111001000000000000000000100000000 -110000000001000000000000000001000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000001000000000000000000000000000001000000100000000000 -000000100000000000000000000000001100000000000000000000 -000000000000001000000000000001000000000000000100000000 -000000000000001001000000000000000000000001000000000000 -000000000000000011100000000000001000000100000100000000 -000000000000000000100000000000010000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000011100000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +101000000001010000000000000011100000000000000110000000 +000000000000100000000000000000100000000001000001100000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000000100001 +000000000000001000000000000000011100000100000100000100 +000000000000001101000000000000010000000000000010000100 +000000000001000000000010000000000000000000000000000000 +000000000000100000000010000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000011000000000000000110000110 +000000000000000000000000000000000000000001000000000000 .logic_tile 9 10 -000000000000100000000000000000001011111001010100100000 -000000000000000000000000001101001010110110100000000000 -101000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -110000000000001000000111100000000000000000000000000000 -010000000000011111000111110000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000011100000000101001100111000110100000000 -000000000000000000100011110000001011111000110000100000 -000000000000000000000000010000011100000000110000000001 -000000000000000000000011100000011111000000110000000001 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -010000000000000000000000000000000000100000010100000000 -010000000000000000000000001101001010010000100000100000 +000000000000000000000000000000000000000000000100000010 +000000000000000000000000000011000000000010000000000000 +101000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001001100000000000000000000000000000000000 +000000000000001001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000001 +000000000000000000000000000011000000000010000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 10 10 000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000100000000000000000000000000000 +000001000010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -2683,31 +2683,31 @@ 000000000000000000000000000000000000000000 .logic_tile 11 10 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011010000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -010000000000000000000000001000000000000000000100000000 -010000000000000000000000000111000000000010000010000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 -000001000000000001000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 10 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000011000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -2737,184 +2737,184 @@ 000000000000000000 .io_tile 0 11 -100000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 010000000000000000 -001100000000000000 +000100000001000000 000000000000000000 +010011110001000000 +000000000000000000 +011000000000000000 +001100000000000000 +010000000000000000 001000000000101000 000100000000000100 -000000000000001100 -000000000000001100 -000000111000000000 +000000000000000100 +000000000000001000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 .logic_tile 1 11 -000000000000000000000000000101111000000110000000000000 -000000000000000000000000000111001101001001010000000000 -111000000000000101100000001000000000000000000100000000 -000000000000000000000000000001000000000010000010000000 -110000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101100000000001111010000001010000000000 -000000000000000000000000000000000000000001010000000000 +000000000000000000000000000000000001000000100100000001 +000000000000000101000000000000001001000000000000000000 +111000000000000101000000000001000000000000000100000001 +000000000000000000000010100000000000000001000000000000 +010000000000000000000010100111000000000000000100000000 +100000000000000000000000000000100000000001000010000000 000000000000000000000000001000000000000000000100000000 -000000000000000000000000000101000000000010000010000000 +000000000000000000000000000111000000000010000010000000 +000000000000000000000000000000000000000000100100000000 +000000000000000000000000000000001011000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000010000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 .logic_tile 2 11 -000000000000100000000000000000000000000000000000000000 -000000000001010000000011110000000000000000000000000000 -111000000000000000000000000011001010000001000110000000 -000000000000000000000000001101001111000000000000000101 -010000000000000001100000010101001110010110100100000000 -010000000000000000000010000101111100010110110010000100 -000000000000000000000000010111001011101001110000000000 -000000000000000000000010101101101011101001010000000000 -000000000000001000000110010111001100111100010000000000 -000000000000001111000010000000011101111100010000100000 +000000001100000000000000000000001000001100110100100001 +000000000000000000000000000000001100110011000000010011 +101000000000101000000000000000000000000000000000000000 +000001000001000101000000000000000000000000000000000000 +110001000000100000000000000000000000000000000000000000 +010000100001011101000000000000000000000000000000000000 +000000100000001000000000000000011000000100000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000001000010000000000000000000000000000000 +000000000000000000000000000000000001001001000000000000 +000000000000000000000000000101001010000110000000000010 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000010010000000000000000000000000000 -000000000000001011000011100000000000000000000000000000 110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramb_tile 3 11 -010010100000000000000111101111100001000100 -001000000000000000000100001001101000000000 -111000000000001111100111010000000000000000 -000000000000000111010011111001000000000000 -110000100000000000000000011111000000000000 -111000000000000000000011111101100000000001 -000000000000000111100111100000000000000000 -001000000000000000100100001101000000000000 -000000000000000000000010001011000000000001 -001000000000000001000000000101100000000000 -000010000000000011100000001000000000000000 -001000000000000000100000000011000000000000 -000000000000000000000111100001100000000000 -001000001000000000000011110011100000000001 -110000000000000101100000011000000000000000 -111000000000000000100011100001000000000000 +010001000000000000000000001111100001000000 +001000100000000000000000001011101011000001 +111000000000000111000000000000000000000000 +000000000000000111000000000111000000000000 +010000000000000000000111000111100000000000 +111000000000000000000110011011000000000000 +000000000000000000000111000000000000000000 +001000000000000000000100000011000000000000 +000001000000000101100000011011100000000000 +001000100000000001000010100111000000000000 +000000000000000011100000010000000000000000 +001000000000000000100010101101000000000000 +000000000000001000000000010111000000000000 +001000000000000101000011100011100000000000 +010000000000000101100000001000000000000000 +011000000000001111000011110001000000000000 .logic_tile 4 11 -000000000000000101000010100000001000111100001000000000 -000000000000000000000010110000000000111100000000010000 -111000000000000101000010101111101101100000000000000000 -000000000000001101100010111111111110000000000000000000 -000000000000000001100010100011001111000100010000000000 -000000000000000000100110100101111110100010000000000000 -000000000000000101000010101011101111100000010100000001 -000000000001010101000110011101101100111000100000000000 -000000100000001001100111111001111011100010000000000000 -000001000000000001000010001001001010001000100000000000 -000000000000001001100000000001101100000000010000000000 -000000000000001101000010100011001001000010000000000000 -000000000000000111100000001001101111110011000000000000 -000000000000000001000010000011101011000000000000000000 -110000000000001101000110010001001010110011000000000000 -000000000000000001000010000001111011000000000000000000 +000000000000000101000010100001000000000000000100000101 +000000000000000000100110110000000000000001000000100000 +111000000000001101000000000000000000000000100100100000 +000000000000000111100010110000001001000000000000100010 +110000000000000000000000000000000000000000000100100000 +110000000000000000000000001001000000000010000000000010 +000000000000000000000010110000011000111101010000000100 +000000000000001101000110000111000000111110100000000000 +000000000000000111000011101001001101100010000000000000 +000000000000000000100100001001101110000100010000000000 +000000000000000000000000000000000001000000100100000000 +000000000000000000000000000000001010000000000000100010 +000000000000000000000110000101100000010110100100000000 +000000000000000001000000000000100000010110100000100010 +110000000000000111000111001101111110100010000000000000 +000000001000000000100100000001111101000100010000000000 .logic_tile 5 11 -000000000000000000000000000001100000000000001000000000 -000000000000010000000000000000000000000000000000001000 -101000000000000101000000000000011010001100111100000001 -000000000000000000100000000000001100110011000001100000 -010000000000000000000010100000001000001100111000000000 -110010100000000000000100000000001101110011000010000000 -000000000000000101000000000111001000001100111000000000 -000000000000000000100000000000100000110011000000000000 -000000000000000001100000000000001001001100111000000000 -000000000000000000000010110000001100110011000000000000 -000000000000000000000010100111101000001100111000000000 -000000000000001101000110110000000000110011000000000000 -000000000000000000000010100000001001001100111000000000 -000000000000000000000100000000001101110011000000000000 -110000000000100101000000000000001001001100111000000000 -000000000000000000100000000000001101110011000000000000 +000000000100001000000000000000001000111100001000000000 +000000000000000001000010110000000000111100000000010000 +111000000000001101100111011001111001101000010100000000 +000000000000000001000111010011101011110100010000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000001101000110111111111111110011000000000000 +000000000000000101000010000001011001000000000000000000 +000000100000000001100000001101001101000100000000000000 +000000000000000000000000000011001110010000000000000000 +000000000000000001100110001001011011100000000000000000 +000000000000001101000011110101111101000000000000000000 +000000000000000101000000000111001011000100000000000000 +000000000000001111100010110001001010100000000000000000 +110000000000000001000010001011101110100010000000000000 +000000000000000111100100000111101111001000100000000000 .logic_tile 6 11 -000000000000001001100110110011101100001000000000000000 -000000000000000001000010000000111101001000000000000000 -101001000100001101100111100001011010101001010100000100 -000000000000000101000010100001001010111001011000000010 -000000001000000111100010101001011001100010000000000000 -000000001100000101100010110011101111000100010000000000 -000000000000000101000110011001011101100000000000000000 -000000000000001111000111100111111111000000000000000000 -000000000000001001100110000011001010100010000000000000 -000000000000001011100010110101011111001000100000000000 -000001000010101101000110010001101011001100110000000000 -000000000000001001100010011111011100000000000000000000 -000000000000000000000110011101111010000000000000000000 -000000000000001101000110011101011100100000000000000010 -110001100100101001100110010001111111001100000000000000 -000000000000000001000110110111101001110000000000000000 - -.logic_tile 7 11 -000000000000001000000000010000000000000000000000000000 -000000000000001001000010000000000000000000000000000000 -101000000000000000000000000111000000010110100000000000 -000000000000000000000000000000000000010110100010000000 -010000000001001001000110100000001100010100000000000000 -110000000000000011000100000001000000101000000010000000 -000000000000000000000000000001001011010110110000000000 -000000000000000000000000000101101100101001010000000000 -000000000000000101100000000000000000000000000000000000 -000000000010000001000000000000000000000000000000000000 -000000000000000101100010000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 -000000000000000101000000000111011100010110100100000000 -000000000000000101100000000011010000111110100000100000 -110000000000000101000000000000000000000000000000000000 +000000000000000000000000001011111010000000000000000000 +000000000000000111000011100001111101001000000000000000 +101000000000001000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +010000000000000000000110000000000000000000000100000000 +010000000000000000000100000001000000000010000000000101 +000000000000000001000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000010100001010000000010000101000001100000010000000000 +000000000000100000000000001111101101000000000000100000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 110000000000000000000000000000000000000000000000000000 +.logic_tile 7 11 +000000000000000000000110001101011110001111000000000000 +000000000000000000000010101101101011101111000000000100 +000000000110000000000010100000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000010111000011000000010000000000000 +000000000000001101000010101001011001000001000000000000 +000000000000000111000111000101111011001000000000000000 +000000001110000101000100000000011111001000000000000000 +000000000000000000000000000101000000000000000000000000 +000000000000000000000000000000000000000001000000000000 +000000000000000000000000001001011001000000000000000000 +000000000000000000000000000101111001000001000000000001 +000000000000000000000000010000000000000000000000000000 +000000001000000000000010000000000000000000000000000000 +000000000000001001100000000111100000100000010010000001 +000000000000000101000010001111001001110000110000000000 + .logic_tile 8 11 -000000000000000101000111001101100000000110000000000000 -000000000000000000000110100101101001000000000000000100 -101000000000001101000000001001011010000000000010000000 -000000000000000011100000001101101000000001000010000100 -110000000000000001100000000101101011010000110000000000 -010000000000100101000000000111001000010000100000000100 -000000000000001101000000000000000000000000000000000000 -000000000000000001000010100000000000000000000000000000 -000000000001011000000000010001000000010110100000000000 -000000000000000001000010110101001100001001000000000001 -000000000000000000000000000000000000000000100100000000 -000000000000000011000000000000001001000000000000100000 -000000000000000001100000000001100001110000110001000000 -000000000000000000100000000111001000010000100000000000 -110001000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 +000000000000000000000000001111111111011110100100000000 +000000000000001101000000001101101110111111111000000001 +101000000001001101100111100101011111011111110100100000 +000000000000100001000000000011001100011111100000000000 +110000000000000001000000011111001110011110100000000000 +110000000000000000100010100001101000101110000000000000 +000010100000000101000010100011100001000110000000000001 +000000000000000000100110001101001000001111000000000000 +000000000000001101100000010001100000001001000000000000 +000000000000001001000010000000001100001001000010000001 +000000000000001101000000000011101100010111110010000001 +000000000000001001100000001101000000111111110000000000 +000000000000000000000111100000000000000000000000000000 +000000000000001101000110010000000000000000000000000000 +010000000001001001100000001111101101001111110100000000 +110000000000100101000011101001011011011111110000100000 .logic_tile 9 11 -000000000000000111000011110101000000000000000100000001 -000000000000000000000011110000000000000001000000000101 -101000000000000000000011110101000000000000000100000001 -000000000000000000000011110000100000000001000000000001 -110000000000001000000010000000011000000100000110000000 -010000000000001111000100000000010000000000000000000000 -000000000000000000000111000000000000000000000100000001 -000000000000000000000100000001000000000010000000000100 -000000000000000000000000010001000000000000000100000101 -000000000000000000000011010000100000000001000010000000 -000000000000000000000000000101100000000000000100000000 -000000000000000000000000000000100000000001000010000100 -000000000000000000000000000000000000000000100100000001 -000000000000000000000000000000001001000000000000000110 -000000000000000000000000000001100000000000000100000000 -000000000000000000000000000000100000000001000010000000 +000000000000000011100111000000000000000000000000000000 +000000000000000000100100000000000000000000000000000000 +101000000000000000000000000111000000000000000100000000 +000000000000000000000000000000000000000001000000000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000111000101100000000000000100000000 +000000000000000000000100000000100000000001000000000000 +000010100000000000000110000000000001000000100100000000 +000000000000000000000100000000001111000000000000000000 +000000000000000000000000000000000000000000100110000000 +000000000000000000000000000000001101000000000000000000 +000000000000000001100010000001000000000000000100000100 +000000000000000000100000000000000000000001000000000000 +000000000000000000000110000000000000000000000100000000 +000000000000000000000100001001000000000010000000000000 .ramb_tile 10 11 010000000000000000000000000000000000000000 @@ -2935,40 +2935,40 @@ 001000000000000000000000000000000000000000 .logic_tile 11 11 -000000000000000000000000010000000000000000000000000001 -000000000000000000000011010000000000000000000010000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000011100011000000000010000000000000 101000000000000000000000000000000000000000000000000000 -000000100000000000000010110000000000000000000000000000 -010000000000000000000000000000011110000100000100000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000011000000100000000000000 -000000001000000000000000000000010000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000001000000000000000000000000000000000000000 -000000001010000111000000000000000000000000000000000000 -000000000000001000000000000000000000000000000100000100 -000000000000001111000000000111000000000010000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 .logic_tile 12 11 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010011011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010000000000111100000000001000000100000000000 -000000000000000000000100000000001101000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000010000000000000000000000000000000000000000 .io_tile 13 11 000000000000000000 @@ -3001,222 +3001,222 @@ 000100000000000000 000000000000001100 000000000000001100 -000001110000000000 -000000000000000000 +000000111000000000 +000000001000000000 000000000000000000 000000000000000000 .logic_tile 1 12 -010000000000000101000000000001011110000100000100000000 -001000000000000101100010110011001010010100000000000000 -111000000000001111100000000011011000101000000000100000 -000000000000000001100000000101100000000000000000000010 -110000000000001001100000010011011110001100000100000000 -111000000000000001000010010011001001000100000000000000 -000000000000001000000000000001011100111001110000000000 -001000000000000001000000001101001111111011110000000000 -000000000000001001100000010111111010001001010100000000 -001000000000000101000010000101001100000001010000000000 -000000000000000000000000000011001111000100000000000000 -001000000000000101000000000111001110000000000000000000 -000000000000000101000110100001111110010000000000000000 -001000000000001101100011110011001010010010100000000000 -110000000000001000000000010011001111001111000000000000 -001000000000000101000010000111001111001111100000000000 +010000000000000000000010100000000000000000000000000000 +001000000000000000000100000000000000000000000000000000 +111000000000001000000000001111011000010111100100000010 +000000000000000001000000000111001100010110100000000000 +110000000000000000000110000111100001010000100000000000 +111000000000000000000000000000001110010000100000000000 +000000000000001000000000001111011000010100000000000000 +001000000000001101000000000101001001100000010000000000 +000000000000000101000000001111101100000000000100000000 +001000000000000000100000000001001110000000010000000000 +000010100000000000000000000101011001010110100000000000 +001000000000000000000000000011101110010110110000100000 +000000000000000001100110100101101001000000000000000000 +001000000000000000000000000111011000010000000000100000 +110000000000000101000000000000000000000000000000000000 +001000000000001101100000000000000000000000000000000000 .logic_tile 2 12 -010000000000000000000000001111101011000001000100100100 -001000000000000000000000001101001100000000000000000000 -111000000000000000000110100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000001101100000001101111110111001010000000000 -011000000000000001000000000101101010111111110000000000 -000000000000000101100111001000000000000000000000000000 -001000000000000000000110001001000000000010000000000000 -000000000000000000000000011111101011010110100100000000 -001000000000000000000010000011001011010110110010100000 -000000000000000000000000000000000000000000000000000000 +010001000000000000000000000000011010000100000110000000 +001010100000000000000000000000010000000000000000000000 +111000000000001000000110100000000000000000000000000000 +000000000000000101000100000000000000000000000000000000 +000000001100000101100000010001101011101101010000000000 +001000000000000000000010100101111000101001010000000000 +000000000000000000000000000000011100000100000000000000 +001000000000000000000010110000000000000000000000000000 +000000000000000000000000000000001110111100010000000000 +001000000000000000000000001111011010111100100000000000 +000000000000001000000000000000000000000000000000000000 001000000000000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +001000000000000000000110000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -110000000000001000000000000000000000000000000000000000 -001000000000000001000000000000000000000000000000000000 .ramt_tile 3 12 -000000000000000011100111100101000000000000 -000000010000100000100111111001101100000001 -101000000000000000000000000000000000000000 -000000010001010000000000001001000000000000 -110000000000001000000000000101000000000000 -110000000000000011000011101011000000000001 +000000000000000111100011101011000000000010 +000000010000100000100000001101101100000000 +101001000000000111100111000000000000000000 +000010110000000000000100001101000000000000 +110000001100000011100111101001100000000010 +010000000000001001000010000101000000000000 +000000000000000011100011101000000000000000 +000000000000000000100000001001000000000000 +000010001100001000000110000001000000000000 +000000000000101001000110010101000000000001 000000000000000000000000000000000000000000 -000000000000000000000000001011000000000000 -000000000000000000000110111111000000000000 -000001000000000101000010100011000000000001 -000000000000001101100110111000000000000000 -000000000000010101000010100011000000000000 -000000000000000000000011101001000000000000 -000000000000000001000000001011000000000001 -110000000000000001000000001000000000000000 -110000000000000000000000001011000000000000 +000000000000000000000000001001000000000000 +000000100000100000000000000101000000000000 +000001000001010000000000001101000000000001 +110000000000001101000000001000000000000000 +110000000000000011100000000111000000000000 .logic_tile 4 12 -010000000000000001100000010011100000000000001000000000 -001000000000000000100010000000000000000000000000001000 -101000000000000001100000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -110000000000000000000000000000001000001100111110100100 -111000000000000000010000000000001001110011000000000000 -000000000000001000000000000000001000001100111100000101 -001000000000000001000000000000001101110011000000000100 -000000000000000000000110000101101000001100111110000000 -001000000000000000000000000000000000110011000000100000 -000000000000000101100000010000001001001100111110000000 -001000000001010000000010000000001000110011000000100000 -000000000000000000000110100000001001001100111110000000 -001000000000000000000000000000001101110011000000100000 -110000000000000000000110000111101000001100111100000001 -001000000000000000000000000000100000110011000000100010 +010000000000000000000000000000000001000000001000000000 +001000000000000000000010100000001001000000000000001000 +000000000000000000000000000000011000001100111000000000 +000000000000000000000010100000011010110011000000000000 +000000000000000101000011100000001000001100111000000000 +001000000000000101000010000000001001110011000000000000 +000000000000000101000000000101001000001100111000000000 +001000000000000000000000000000000000110011000000000000 +000000000000000000000000000000001001001100111000000000 +001000000000000000000000000000001010110011000000000000 +000000000000000000000000000000001000001100111000000001 +001000000000000000000000000000001011110011000000000000 +000000000000001000000000000000001000001100111000000000 +001000000000000101000000000000001011110011000000100000 +000000000000000000000000000000001000001100111000000000 +001000000000000000000000000000001110110011000000000000 .logic_tile 5 12 -010000000000000000000000000000001001111100001000000000 -001000000000000000000000000000001111111100000000010000 -101000000000000111100110000011000000000000001000000000 -000000000000000000000000000000000000000000000000000000 -110000000000001011100000010000001000111100001000000000 -111000000000001011000010100000000000111100000000000000 -000000000000000001100000001011100000101001010000000000 -001000000000000000000000000001000000111111110000000000 -000000000000000000000110000011000000000000000000000000 -001000000000001101000100000000100000000001000000000000 -000000000000000000000000000000011010000011110110000000 -001000000000001001000010000000000000000011110000000010 -000000000000000000000110001011011001001000000000000000 -001000000000000000000000000101111101100000000000000000 -110000000000000000000010000011001010110011000000000000 -001000000000000000000000000101001011000000000000000000 +010000000000001000000010100000011000000100000100000001 +001000000000000001000000000000010000000000000001000010 +111000000000000000000110101101101110110011000000000000 +000000000000000000000000000111001111010010000000000000 +110000000000010001100111000111111110001000100000000000 +111000000000100000000100000011101011010001000000000000 +000000000000001001100000000000000000000000000000000000 +001000000000000001000000000000000000000000000000000000 +000000000000000000000000000111011110100010000000000000 +001000000000001101000010001101011101000100010000000000 +000000000000000000000011100011000000000000000110000000 +001000000000000000000100000000000000000001000000100000 +000000000000001011100110011101101000100000000000000000 +001000000000001001100110011111011010000000000000000010 +110000000000000000000110011001011011111111000000000000 +001000000000001111000010011011001110000000000000000000 .logic_tile 6 12 -010010000000000000000000000000000000000000000000000000 -001001000000000000000000000000000000000000000000000000 -101000000000000101100000000000011010000100000110000000 -000000000000000000000000000000010000000000000000000000 -010000000000000000000110000001101100001100110000000000 -111000001100000000000100000000000000110011000000000000 -000000000000000001000011100000000000000000000000000000 -001000000000000111000000000000000000000000000000000000 -000000000001010001100000000000000000000000000000000000 -001000000000100000100000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -001000000000100000000000000000000000000000000000000000 +010000000000000000000000010000000000000000000000000000 +001000000000000000000010010000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +111000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000001000000100100100000 +001000000000000000000000000000001100000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000111000000000000001000110000000000000000 +001000000000000000000000000000011010110000000000000100 +000000000000000000000011100000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000001001111000000000000 -111000000000000000000000000000001010001111000001000000 .logic_tile 7 12 -010000000000001000000000000000000000000000000000000000 -001000000000001111000011000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010010100001010000000111100000000000000000000100000000 -011001000000100000000100000001000000000010000000000100 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000100100000100 -001000000000000000000000000000001111000000000000000000 -000001000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000110100000000000000000100100000000 -001000000000000000000000000000001011000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +010000000000001000000000010101001100110111110000000001 +001000000000001011000010000000101010110111110000000000 +101000000000001000000000001001100000101001010000000001 +000000000000001001000000000111000000000000000010000100 +110000000000001001000000000000000001000000100100000000 +111000000000000001000010100000001111000000000000000000 +000000000000000101000010110101011010111100000000000000 +001000000000000000000011000011010000010100000000000000 +000000000100000001000010010011011010000000000000000000 +001000000000000000000010010001111101000000100000000000 +000000000000000000000000000001101000000111010000000000 +001000000000000000000000000101011111010111100000000000 +000000000000000000000010000101001101000111000000000000 +001000000000000001000010010000101010000111000010000000 +000000000000001000000111000000000000000000100100000000 +001000000000000001000000000000001110000000000000000000 .logic_tile 8 12 -010000001111001101000110000011111100101100000000000000 -001000000000001011000110100000011101101100000000000000 -101000000000000000000010100000000000000000000000000000 -000010000000000101000100000000000000000000000000000000 -010000000000000011100000000011100001110000110000000000 -011000000000000101000000000101001011110110110000000000 -000000000000000111000111010000000000000000000000000000 -001000000000001101100010101001000000000010000000000000 -000000000000000000000000001111111001111111110100000000 -001000000000000000000010100101111110111001010000000010 -000000000000000101000110010001000000000110000000000000 -001000000000000000100010100101001000001111000000000000 -000000000000000000000000001000011010000001010000000000 -001000000000000000000000001011000000000010100000000000 -010000000000000011100010011001011110011111110000000000 -011000000000000000000110001101011000001111010000000000 +010000000000000011100010110011100000000000000100000000 +001000000000000000100010000000100000000001000000000000 +101000000000000000000000000111100000101001010000000001 +000000000000000101000011100001000000000000000000000000 +010000000000000011100011110000000001000000100100000000 +111000000000100000000011110000001001000000000000000000 +000000100000001101000000010101011111111100000000000000 +001001000000000101000010000001011100111000000010000000 +000000000000000000000000010101100001001001000010000010 +001000000000000000000010010000001010001001000000000100 +000000000000000001100010000011000000010000100000000000 +001000000000000000100000000001101001110000110000000000 +000000000000000000000000000001000000000000000000000000 +001000000000000000000000000101001101010000100000000010 +000000000000010001100110000001101001010111100000000000 +001000000000000000100100001101011011000111010000000000 .logic_tile 9 12 -010000000000000000000000000000000000000000000000000000 -001000001110000000000010100000000000000000000000000000 -101000000000000000000000000101100000000000000100000000 -000000000000000000000000000000100000000001000000000000 -110000000000000101000000000000000000000000000110000000 -011000000000000000000000000101000000000010000000000000 -000000000000000101000010100101100000000000000100000000 -001000000000000000000000000000000000000001000000000100 -000000000000000000000000000000000000000000000000000000 -001000000000000000000010110000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000001101000000000000000000000000000000000000 -000000000000000000000010000000000000000000100100000000 -001000000000000000000000000000001001000000000000000000 -000000000000000000000000000011101110010100000000000000 -001000000000000000000000000000010000010100000000000100 +010000000000000000000010100000001010000100000100000000 +001000000000000000000011100000010000000000000000000001 +101000000000000000000010110001011000101000000000000000 +000000000000000000000010100000110000101000000010000000 +110000001110000101100000010000000000000000000000000000 +111000000000000000000011000000000000000000000000000000 +000000000000000000000111001101011111010110000000000000 +001000000000000000000111100111001001111111000010000000 +000000000000000000000000001001001110001111110000000000 +001000000000000000000000000111111010000110100010000000 +000000000000000000000000000101100000000000000100000100 +001000000000000000000000000000100000000001000000000000 +000000000000000000000010010000011010000100000100000100 +001000000000000000000011000000010000000000000000000000 +000000000000001001100000000000000000000000000000000000 +001000000000001011100000000000000000000000000000000000 .ramt_tile 10 12 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001001110000000000000000000000000000000 -000000100000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000010000000000000000000000000000 000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000010100000000000000000000000000000000000 000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 12 -010000000000000111100111100000000000000000000000000000 -001000000000000000100100000000000000000000000000000000 -101000000000000000000000010000000000000000100100000010 -000000000000000000000011010000001001000000000000000100 -010000000000000000000010100001000000000000000110000000 -111000000000000000000010100000000000000001000000000100 -000000000000000001000000010000000000000000000000000000 -001000000000000000100011100000000000000000000000000000 -000000000000000111000000001011101011011111100000000000 -001000000000000000000000000101111100010111110001000000 -000000000000001000000010000000000001100000010000100100 -001000000000000011000100000011001011010000100000000010 -000000000000000001000000000000011111111100010000000000 -001000000000000000000000000111001001111100100000000000 -110000000000001000000000000000000000000000000000000000 -001000000000000111000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +001000000001001101000000000000000000000000000000000000 +101000000000000000000010101001011110111110110100000000 +000100000000000000000000001001001110110110110000100000 +110000000000000000000000000111111011111111110100000001 +111000000000000101000000000111111110110110100000000000 +000000000000000000000000010000000000000000000000000000 +001000000000000000000011100000000000000000000000000000 +000000000000001000000000000111001011111111110100000000 +001000000010000111000000000011101110111001010001000000 +000000000000000000000000000000000000000000000000000000 +001000000000001111000000000000000000000000000000000000 +000000000000000001000111100000000000000000000000000000 +001000000000000001000111110000000000000000000000000000 +110000000000000001000000000000000000000000000000000000 +011000000000000000100011110000000000000000000000000000 .logic_tile 12 12 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -101000000000000000000000000011000000000000000100000000 -000000000000000000000000000000100000000001000000000100 -010000001100000000000111000000000001000000100100000000 -111000000000000000000100000000001010000000000000000100 -000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -001000000000000000000011100000000000000000000000000000 -000000000000000000000000010000011100000100000100000100 -001000000000000000000011010000010000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3229,249 +3229,249 @@ 000000000100000001 000000000100000000 000000000100000000 -000000000100000000 +000000000101000000 000000000100000000 000000000000000000 000000000000000000 000000000000110010 000000000000010000 -000010000000000000 -000000110000000001 +000000000000000000 +000001010000000001 000000000000000010 000000000000000000 .io_tile 0 13 000000000100000000 -001100000100001000 -100000000100000000 +000100000100001000 +110000000100000000 +000001110100000000 000000000100000000 -100000000100000000 000000000100000000 -101100000100000000 -010000000100000000 +111100000100000000 +000000000100000000 001000000000101000 000100000000010100 000000000000000100 -000010110000001100 +000000000000001000 000000000000000000 000000000000000000 000000000000000000 000000000000010000 .logic_tile 1 13 -010000000000000000000000000000000000000000001000000000 -001000000000000000000000000000001111000000000000001000 -111000000000000000000000000101101111001100111000000000 -000000000000000000000000000000011101110011000000000000 -010000000000000000000010101101001000110011000000000000 -111000000000000101000010100101100000001100110000000000 -000000000000000011000000001000000000010110100000000000 -001000000000000101100000000101000000101001010000000000 -000000000000000000000000000000000000000000000000000000 -001000001010000000000010000000000000000000000000000000 -000000000000000001100110001011101101000000010100000000 -001000000000000001000000000011011110101001010000000000 -000000000000000000000110000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -110000000000001000000000000000000000010110100000000000 -001000000000000101000000000101000000101001010000000000 +010010100000000101000000000000000000000000001000000000 +001000000000000000000000000000001101000000000000001000 +111000000000000001100000000111101101001100111000000000 +000000000000000101000000000000011011110011000000000000 +110000000000000101000000001000001001110011000000000000 +111000000000000000000000001101001110001100110000000000 +000000000000000000000110011101100001100000010000000000 +001000000000000000000010000001101110000000000000000000 +000000000000000000000110010001001101000000000100000000 +001000001010000000000010100111011000100001010000000000 +000000000000000000000000000101011001001001010100000000 +001000000000000000000000001011001000001001000000000000 +000000000000000001100011110111100000010110100000000000 +001000000000000000000010000000000000010110100000000000 +110000000000001101100000000001101010001100000100000000 +001000000000000001000000001011001111001000000000000000 .logic_tile 2 13 -010000000001010000000000000000000000000000001000000000 -001000000000100000000000000000001011000000000000001000 -111000000000001101100000010001101011001100111000000000 -000000000000001011000010000000111011110011000000000000 -110000000000000001100110001101101000110011000000000000 -111000000000000000000000001101100000001100110000000000 -000000000000001000000110000000000001001111000000000000 -001000000000001011000011010000001000001111000000000000 -000000000000000000000010001001100001100000010000000000 -001000000000000000000000000111001011000000000010100000 -000000000000001000000000000011101011000000110100000000 -001000000000000001000000001011001010000000100000000000 -000000000000000000000110011000000000010110100000000000 -001000000000000000000110000111000000101001010000000000 -110000000000000001100000000011111000010100000100000000 -001000000000000000000000001111001101100000000000000000 +010000000000000000000000001111001100101011110000000000 +001000000000000000000010010011101011111001010000000001 +111000000000000000000111100000000000000000000000000000 +000000000000001101000100000000000000000000000000000000 +010000000000000000000110100000000001001111000000000000 +011000000000000000000000000000001010001111000000000000 +000000000000000101100000001000011000001000000000100000 +001000000000000000000000000111001111000100000000000000 +000000000000000001100000000000000000000000000000000000 +001000000000000000100000000000000000000000000000000000 +000000000000001000000000000000000000000010000000000000 +001000000000001001000000000000000000000000000001100111 +000000000000000000000000000001101101010000000100000000 +001000000000000000000010000000101100010000000000000000 +110000000000000000000000000000000000000000000000000000 +001000000000001101000000000000000000000000000000000000 .ramb_tile 3 13 -010100000000000000000000000000000000000000 +010000000000000000000000000000000000000000 001000011110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -001000000001010000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001100000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 .logic_tile 4 13 -010000000000000000000000000101001000001100110100000100 -001000000000000000000000000000000000110011000000010011 -101000000000000000000000000000000000000000000000000000 +010000000000000000000000000000001001001100110000000000 +001000000000000000000000000000001010110011000000010000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000001000000110000000000000000000000000000000 -111000000000000001000100000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000001100000000000001100000100000100000000 +001000000000000000100000000000010000000000000000000010 +000000000000000000000000010000000000000000000000000000 +001000000000000000000011010000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 110000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 .logic_tile 5 13 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100100000 -001000000000000000000000001111000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +001000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -110000000000000000000000010000000000000000000000000000 -001000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 .logic_tile 6 13 -010000000000000000000000010000000000000000000000000000 -001000000000000000000011100000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000001000000000000000000000000000000000000000 -011000000000000111000000000000000000000000000000000000 +010000001100000011100000000000001111111100110000000000 +001000000000000000000000000000001101111100110000100000 +101000000000001000000000011001101110110110110100100000 +000000000000001011000011101111101100111101110000000000 +010000000000001000000110000000000000000000000000000000 +111000000000001111000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000011000000010000000000000000000000000000000 -001000000001101111000000000000000000000000000000000000 -000000000000000000000000000111001100101011110000000000 -001000000000000000000000001011001010110110100010000000 -000000000000000011100000000111100000000000000100000000 -001000000000000000100000000111001100010000100000000000 -110000000000001000000000000000000000000000000000000000 -001000000000001001000000000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000001000000000010000000000000000000000000000 +001000000000001011000010000000000000000000000000000000 +000010100000000101000000001001001011000000000000000000 +001000000000000001000000000001001110010000000000000000 +110000000000000001000000010000000000000000000000000000 +011000000000000000000010100000000000000000000000000000 .logic_tile 7 13 -010000000000000000000110010000000000000000000000000000 -001000000000000000000111100000000000000000000000000000 -101000000000001000000000000000000000000000000000000000 -000000000000001011000000000000000000000000000000000000 -010000000000000111100000000000000000000000000100000000 -011000000000000111100000000101000000000010000000000000 -000000000000001001100000000000000000000000000000000000 -001000000000001011100000000000000000000000000000000000 -000000000000000000000000000001000000000000000100000000 -001000000000000001000000000000100000000001000000000000 -000000000000000000000000000001101100101111110000000010 -001000000000000000000000000000001010101111110000000000 +010000000000000000000011101101011001010000000000000000 +001000000000000000000011100001001001000000000000000000 +101000000000001011100000011000000000001001000000000000 +000000000000000111100011011111001010000110000000000000 +110000100000000000000000000111100000000000000000000000 +011001000000000101000010110000000000000001000000000000 +000000000000000001000010100101100000000000000100000000 +001000000000000000000000000000000000000001000000000000 +000000000000000000000000010000000000000000100100000000 +001000000000000000000010000000001001000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000001101101100000001010000000010 -001000000000000000000000000101000000101001010000000000 +000000000000000000000010000101001001010111100000000000 +001000000000000000000000001111111011111111010000000000 +000000000000001000000000000000000000000000000000000000 +001000000000000101000010100000000000000000000000000000 .logic_tile 8 13 -010000000000000000000000000101001011110000010000000000 -001000000000000000000000000000011100110000010010000000 -101000000000000011100110000000011100000100000100000000 -000000000000000000100100000000010000000000000000000000 -110000000000001000000000010000011000000100000000000000 -111000000000001011000011010000010000000000000000000000 -000000000000000000000111001000000000000000000100000000 -001000000000000001000100000101000000000010000000000000 -000000000000000000000000000101011001011111110000000000 -001000000000000001000000000000001011011111110010000100 -000010100000001000000000000011000000000000000100000000 -001001000000001101000010000000000000000001000000000000 -000000000000000000000010000000001110000100000100000000 -001000000000000001000000000000000000000000000000000000 -000000000000000000000000000101100001001001000000000000 -001000000000000000000000000101101000000000000010000000 +010000000010100000000111000101111111010111100000000000 +001000000001010000000111110101111101001011100000000010 +101000000000001000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +010000001100000101000000001000000000000000000100000000 +011000000000000000000000000101000000000010000000000000 +000000000000000111000000001101001101010110000000000000 +001000000000001101000010101011001010111111000000100000 +000000001100001000000000000000000001100000010010000000 +001000000000001001000000000101001100010000100000100000 +000000000000001000000010100001100001100000010000000000 +001000000000001001000110000000001011100000010010000000 +000000000000000000000000000111100000000000000100000000 +001000000000000000000000000000000000000001000000000000 +000000000000001000000000000000000000000000000100000000 +001000000000001101000010111001000000000010000000000000 .logic_tile 9 13 -010000000000100101000000000000000000000000000000000000 -001000000001000000000000000000000000000000000000000000 -101000000000001000000000000001100000010000100000000000 -000000000000000101000000000000001000010000100000000000 -110000000000000000000000000011000000000000000100000001 -011000000000000111000000000000100000000001000000000000 -000000000000000001000000010000000000000000000100000001 -001000000000000000000011010101000000000010000000000000 -000000000000000001000010011000000000000000000100000000 -001000000000000000000010010101000000000010000000000000 -000000000000000000000000000000000000000000000110000000 -001000000000000000000000000011000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -110000000000000000000000001000000000000000000100000000 -001000000000000000000000001101000000000010000000000000 +010001000000000111100000010000000000000000000000000000 +001010100000000000100011110000000000000000000000000000 +101000000000000001100000001101101110010111100000000000 +000000000000000111000000001111001110000111010000000100 +010000000000001111000111000111101010010111100000000000 +011000000000001011000010111111101010000111010000000000 +000001000000001101000000001000000001100000010010000000 +001000000000010111100000001111001010010000100000000000 +000000000000010000000000000000000000000000000000000000 +001000001010000000000000000000000000000000000000000000 +000000000000000000000000000111101110001111110000000000 +001000000000000000000000000001101011000110100000000000 +000001000000000001100011010000000000000000000000000000 +001010100000000001100010000000000000000000000000000000 +110000000000000001100010110101011001011111100100000000 +111000000000000000100110011111101000111111010000000010 .ramb_tile 10 13 -010001001100000000000000000000000000000000 -001010110000000000000000000000000000000000 +010000000000000000000000000000000000000000 +001000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -001000000001000000000000000000000000000000 -000000000010000000000000000000000000000000 -001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -001000100000000000000000000000000000000000 +000010100000000000000000000000000000000000 +001001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 .logic_tile 11 13 -010000000000000000000000010000000000000000000000000000 -001000000000000000000011100000000000000000000000000000 -101000000000001000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -010010000000001000000000000000001010000100000100000000 -011001000000001111000010010000000000000000000000000000 -000000000000000111100000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000001000000100100000000 -001000000000000000100000000000001000000000000000000000 -000000000000000000000000000101100000100000010000000000 -001000000010000000000000001101001110000000000010000010 +010000000000000000000000000001101111000111010000000000 +001000000000000000000000000111001001010111100000000000 +101000000000000011100000010000000000000000000000000000 +000000000000000000100010000000000000000000000000000000 +110000000000000011100000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -001000000000000001000000000000000000000000000000000000 -000000001000000000000000000000000000000000100100000000 -001000000000000000000000000000001100000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000111100010000000000000000000100100000000 +001000000000001111000000000000001010000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 .logic_tile 12 13 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000111000000000000000000000000000000 -111000000000010000000100000000000000000000000000000000 -000000000000000000000000000111000000000000000100000000 -001000000000000000000000000000100000000001000000000000 -000000000000010111100000001000000000000000000100000000 -001000000000100000000000001111000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -001000000000000000000000000011000000000010000000000000 -000000000000000000000111000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +001000001110100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000111100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 .io_tile 13 13 @@ -3479,16 +3479,16 @@ 000100000100000000 000000000100000000 000000000100000000 -000000111100000000 +000000000100000000 000000000100000000 000100000100000000 000000000100000000 000000000000000000 000100000000000000 -000000000000010010 +000000000000110010 000000000000010000 000000000000000000 -000000000000000001 +000011010000000001 000000000000000010 000000000000000000 @@ -3511,40 +3511,40 @@ 000000000000000000 .logic_tile 1 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000110010000000001000000001000000000 +000000000000000000000011010000001011000000000000001000 +111000000000000000000110000101111011001100111000000000 +000000000000000000000000000000011001110011000000000000 +010000000000000001100000000001001001110011000000000000 +010000000000000000000000000000101010001100110000000000 +000000000000000001100010101111011100001000000100000000 +000000000000000000100100000111001111001001000000000000 +000000000000000101100000001011001001100000000000000000 +000000000000000000000000000111011101000000000000000000 +000000000000001000000000010101011101000000000100000000 +000000000000000101000010000011001110010110000000000000 +000000000000000101100000011111101101001100000100000000 +000000000010000000000010000011001101101101010000000000 +110000000000001001100000000111100000010110100000000000 +000000000000000001000000000000000000010110100000000000 .logic_tile 2 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000110010101101001000111110010000000 +000000000000000000000011100111011000010111110000000000 +111000000000001000000110000001111011010110100100000000 +000000000000000001000000000011001011101101010010000001 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000010100000001011100010011101111011000011110000000000 +000001000000000101100011010111011011000010110000000000 +000000000000000001100000001011011011000001000100000000 +000000000000000000000000000101011000000000000010000000 +000000000000000000000000001000011011111101110000000000 +000000000000000000000000000101011001111110110010000000 +000000000000000001100000001101101101111011110001000000 +000000000000000000000000000001001011110001110000000000 +110000000000001000000000001101111000000000000000000000 +000000000000000001000000001101001100000010000000000000 .ramt_tile 3 14 000000000000000000000000000000000000000000 @@ -3571,8 +3571,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3585,163 +3585,163 @@ .logic_tile 5 14 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000011000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000111110000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000000000000001000011101001000000100000000 +000000000000000000000000000111011101000100000000100000 +110000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 .logic_tile 6 14 000000000000000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000111100000011010000100000110000000 +110000000000000000000000000000000000000000000010000000 +000000000000001000000000010000000000000000000000000000 +000000000000000011000011000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +110000000000000000000000000000000001000000100100000001 +000000100000000000000000000000001011000000000010000000 .logic_tile 7 14 -000000000000001111100110001101001011010111110000000000 -000000000000000001100100001011011010100111110000000000 -101000000000001001100000010101101011010111110000000000 -000000000000001001100010011101011010101111010000000000 -110000000000000111100010000111011011110110100100100000 -110000000000000111000011100001011011111111110000000000 -000000000000001011100110000001111001011111110100100000 -000000000000001011000111100001101101101111010000000000 -000000000000000101100000000011101001011110100100000000 -000000000000000000000000000111011000111111111000100000 -000000000000000101100110110101011001010110110100000000 -000000000000000000000010100011001000111111110000100000 -000000000000001001100010000000000000000000000000000000 -000000000000000101000100000000000000000000000000000000 -110000000000000011000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 +000000000000000000000010100000001010111100110001000000 +000000000000000000000000000000001010111100110000000000 +101000000000000000000000000011100000000000000000000000 +000000000000000000000000000000000000000001000000000000 +010000000000000111000110000001011100101001110110000000 +110000000000000101000000001111111100011001110000000000 +000000000000000000000110001111001111000110100000000000 +000000000000000101000100000111011101010110100001000000 +000000000000001000000110100000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000101100110100000011010011100100100000000 +000000000000000000000000001111001100101100010001000000 +000000000000001000000000001011011010011101000100000000 +000000000000000101000000001011011101110100010000000000 .logic_tile 8 14 -000000000000000000000000001101111100101110000000000000 -000000000000000000000000001101001010101101010000000000 -101000000000001000000010111111111011001011100000000000 -000000000000001001000011100011001001010111100000000000 -110000000001110101000110000000000000000000000000000000 -010001000000000000000100000000000000000000000000000000 -000000000000000001100110000101101011111001100100000001 -000000000000000101100100001111011110110110100001000000 -000000001110000000000000000000000000000000000000000000 +000001000000000000000110000111100000000110000000000000 +000000100100000000000000000000001010000110000000000000 +101000000000000000000111000000000001000000100100000000 +000000000000000000000111100000001011000000000000100000 +010000000000000001100011011001001111101100000000000000 +110000000000000000000011001111101101101000000000000000 +000000000000000000000000011000000000000000000100000000 +000000000000000000000011011001000000000010000000000010 +000001000000000000000000000111100000000000000100000000 +000010000000000000000000000000100000000001000000000000 +000000000000000000000000010000000000000000000000000000 000000000000000000000010000000000000000000000000000000 -000000000000001001100000001001111001001111110000000000 -000000000000001001100000000011011001000110100000000000 -000000000000000111100000011111100000001001000100000000 -000000000000000000000010010011001011011111100000000100 -000000000000001001000000001101001111001111110000000000 -000000000000000101000000000011101001000110100000000000 +000000000000001000000000000101000000000000000100000000 +000000000000000001000000000000100000000001000000000000 +110000000000001000000110010000011000000100000100000000 +000000000000000001000010110000010000000000000000000000 .logic_tile 9 14 -000000000000000101000010111001101101111111100100100000 -000000000000000000000011111001111100111110100000000000 -101000000000001000000011110111101010101011110100100000 -000000000000000011000111010001111010110111110000000000 -010000000000001000000111100000000000000000000000000000 -010000000000001111000000000000000000000000000000000000 -000000000000000101000010100111100001100000010000000000 -000000000000000101000011110000101011100000010000000000 -000000000000000000000110000000011011000011000000000000 -000010000000000000000000000000001011000011000010000000 -000000000000001000000000000101111011010110100000000000 -000000000000001001000000001001101010010010100010100010 +000000000000001000000110101001011000110000110000000000 +000000000000000101000011101001111000100000100000000000 +101000000000000000000110110001000000010000100100100000 +000000000000000111000010101101001100101001010010000000 +010010100000001000000111110101000000101001010100000001 +110001000000000001000110101011101000101111010000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000001000000011101000000101001010110000001 +000010000000000000000010011011001000101111010000000000 +000000000000000000000000011001001000011101000100000000 +000000000000000000000010011001011001110100010010000000 +000000000000000000000000000101000001010000100100000000 +000000000000000000000000000001001000010110100010100000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000110000000000000000000000000000000 -010000000000000000000100000000000000000000000000000000 .ramt_tile 10 14 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000100000000000000000000000000000000000 .logic_tile 11 14 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -101000000000000000000110000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -110000000000000111100111000001101111010100100100100000 -010000000000000001100100000000101011010100100000000001 -000000000000000111100010100001001010011001010100000000 -000010000000000000100000001111101110101001100001000000 -000000000000000000000000010111001111010001110100000000 -000000000000000000000011100101011000111000100010000000 -000000000000001000000010000101011010100000110000000000 -000000000000000001000000001111001110100000010000000000 -000000000000001000000000000101011101011111110000000000 -000000000000000111000011101101011100001011110010000000 -000000000000000000000000000101101010101001000000000000 -000010100000000000000000000111001111010010100000000000 .logic_tile 12 14 -000000000000000000000000010011111001111100100100000000 -000000000000000000000011010000001010111100100000000000 -101000000000000101100110100000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -110000000000001000000010100011111000111100000100000000 -110000000000000011000011101101010000111110100000000000 -000000000000001101000111000000000000000000000000000000 -000000000000001011000100000000000000000000000000000000 -000000000000000000000000010011111001000001110100000000 -000000000000000000000010000000001000000001110000000000 -000000000000001111100000000011111011000111110000000000 -000000000000000001100000000101011010011111110001000000 -000000000000001000000111101111001010010111100000000000 -000000000000000001000000001101001001111111010010000000 -000000000000000000000000000101101100010111110000000000 -000000000000000000000000000101111011011111100001000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 14 000000000000000010 -000100000000000000 +000100000000011000 000000000000000000 000000000000000001 -000011110000010010 -000010010000010000 -001100000000000000 -000000000000000000 +000000000000110010 +000000000000110000 +001101111000000000 +000000001000000000 000000000000000000 000100000000000000 000000000000010010 -000000000000010000 -000000000000000000 +000000000000110000 +000000000000100000 000000000000000001 -000000000000000010 +000001111000000010 000000000000000000 .io_tile 0 15 @@ -3766,6 +3766,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3776,9 +3777,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000011000000011110000000000 +000000000000000000000000000000010000000011110000000000 .logic_tile 2 15 000000000000000000000000000000000000000000000000000000 @@ -3804,7 +3804,7 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3883,7 +3883,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3891,18 +3891,18 @@ .logic_tile 8 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000000000000000000101100000000000000100000000 +000000000000000000000000000000100000000001000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3915,7 +3915,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3933,8 +3933,8 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3954,7 +3954,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3982,13 +3982,13 @@ 000000000000000010 000100000000000000 000000000000000000 -000001010000000001 -000000000000000010 -000000000000110000 +000000000000000001 +000000000000010010 +000011010000010000 001100000000000000 000000000000000000 -000000000000000000 -000101010000000000 +000010000000000000 +000101110000000000 000000000000100010 000000000000010000 000000000000000000 @@ -4063,8 +4063,8 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -4076,7 +4076,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4105,8 +4105,8 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 6 16 -000000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4125,18 +4125,18 @@ .logic_tile 7 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000111100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000111011000111101010000000000 -000000000000000000000000000000110000111101010000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000001010000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101100000111001110000000000 +000000000000000000000000000000101101111001110000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4147,7 +4147,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4177,6 +4177,8 @@ 000000000000000000000000000000000000000000000000000000 .ramt_tile 10 16 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -4187,8 +4189,6 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -4232,12 +4232,12 @@ .io_tile 13 16 000000000000000000 +000000000000010000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 +000000000001000000 000000000000000000 000000000000000000 000000000000000000 @@ -4256,9 +4256,9 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000011000 000000000000000000 -100100000000000010 +000000000000000000 +100100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -4281,16 +4281,16 @@ 000000000000110000 000000000000000000 000000000000000001 -000000000000000010 -000011010000000000 +000010000000000010 +000010110000000000 .io_tile 3 17 000000000000000000 -001000000000000001 -000000000000000000 -001000000000000001 -000000000000000000 000000000000000001 +100000000000000001 +001000000000000001 +000000000000000000 +000000000000000000 001100000000000000 000000000000000000 000000000000000000 @@ -4303,16 +4303,16 @@ 000000000000000000 .io_tile 4 17 -000000000000011010 +000000000000000010 000000000000000000 000000000000000000 000000000000000001 -000000000000100010 -000000000000010000 -001100000000000000 +000000000000010010 +000000000000110000 +001110000000000000 +000010110000000000 000000000000000000 -000001111000000000 -000100001000000000 +000100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -4321,7 +4321,7 @@ 000000000000000000 .io_tile 5 17 -000000000000000000 +000000000000011000 000000000000000000 000000000000000000 000000000000000000 @@ -4339,12 +4339,12 @@ 000000000000000000 .io_tile 6 17 +000000000000001000 000000000000000000 000000000000000000 -000001110000000000 000000000000000000 000000000000001100 -000000000000000000 +000000000000001100 000100000000000000 000000000000000000 000000000000000000 @@ -4354,37 +4354,37 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 +000011010000000000 .io_tile 7 17 000000000000000000 +100000000000000000 +010000000000000000 000000000000000001 -000000000000000000 -001000000000000001 -000000000000001100 -000000000000001000 +000000011000000100 +000000001000000100 001100000000000000 000000000000000000 000000000000000000 000000000000000000 -000010000000000000 -000010010000000000 +000000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 .io_tile 8 17 -100000000000000000 000000000000000000 +010000000000000000 000000000000000000 000000000000000001 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -100000000000000000 000000000000000000 +010000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -4394,15 +4394,15 @@ .io_tile 9 17 000000000000000000 -001000000000000000 +010000000000000000 000000000000000000 000000000000000001 000000000000000000 000000000000000000 -001000000000000000 +001000000001000000 000000000000000000 000000000000000000 -010100000000000000 +100100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -4416,13 +4416,13 @@ 000000000000000000 000000000000000001 000000000000100010 -000000000000110000 +000000000000010000 +000000000000000000 +000000000000000000 +100001110000000000 000000000000000000 000000000000000000 -100000000000000000 000000000000000000 -000001111000000000 -000000001000000000 000000000000000000 000000000000000001 000000000000000000 @@ -4434,13 +4434,13 @@ 000000000000000000 000000000000000001 000000000000100010 -000000000000010000 +000000000000110000 001100000000000000 000000000000000000 -000000110000000000 +000000000000000000 000100000000000000 -000000000000000000 -000000000000000000 +000001010000000000 +000000001000000000 000000000000000000 000000000000000000 000000000000000000 @@ -4464,24 +4464,6 @@ 000000000000000000 000000000000000000 -.ram_data 3 7 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - .ram_data 3 9 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4500,60 +4482,6 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 10 5 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 10 7 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - -.ram_data 3 3 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 -0000000000000000000000000000000000000000000000000000000000000000 - .ram_data 3 11 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4572,7 +4500,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 1 +.ram_data 3 7 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4608,1225 +4536,1600 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.sym 1 smi_ctrl_ins.soe_and_reset_$glb_clk -.sym 2 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E_$glb_ce -.sym 3 w_clock_sys +.ram_data 3 1 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 + +.ram_data 10 5 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 + +.ram_data 3 3 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 + +.ram_data 10 3 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 + +.sym 1 i_sck$SB_IO_IN_$glb_clk +.sym 2 lvds_rx_09_inst.r_state_if_SB_LUT4_I3_O_$glb_ce +.sym 3 r_counter_$glb_clk .sym 4 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce .sym 5 w_soft_reset_$glb_sr -.sym 6 lvds_rx_09_inst.r_data_SB_DFFESR_Q_E_$glb_ce +.sym 6 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O_$glb_ce .sym 7 lvds_clock_$glb_clk -.sym 8 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce -.sym 60 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 61 rx_09_fifo.wr_addr[2] -.sym 177 lvds_rx_09_inst.r_data[11] -.sym 178 lvds_rx_09_inst.r_data[15] -.sym 179 lvds_rx_09_inst.r_data[12] -.sym 180 lvds_rx_09_inst.r_data[6] -.sym 181 lvds_rx_09_inst.r_data[9] -.sym 182 lvds_rx_09_inst.r_data[10] -.sym 183 lvds_rx_09_inst.r_data[8] -.sym 184 lvds_rx_09_inst.r_data[13] -.sym 291 lvds_rx_09_inst.r_data[14] -.sym 292 lvds_rx_09_inst.r_data[22] -.sym 294 lvds_rx_09_inst.r_data[17] -.sym 295 lvds_rx_09_inst.r_data[7] -.sym 296 lvds_rx_09_inst.r_data[21] -.sym 297 lvds_rx_09_inst.r_data[19] -.sym 405 lvds_rx_09_inst.r_data[20] -.sym 406 lvds_rx_09_inst.r_data[5] -.sym 408 lvds_rx_09_inst.r_data[16] -.sym 410 lvds_rx_09_inst.r_data[18] -.sym 412 lvds_rx_09_inst.r_data[4] -.sym 520 lvds_rx_09_inst.r_data[3] -.sym 522 lvds_rx_09_inst.r_data[2] -.sym 635 w_rx_24_fifo_data[3] -.sym 636 w_rx_24_fifo_data[6] -.sym 637 w_rx_24_fifo_data[8] -.sym 749 lvds_rx_24_inst.r_data[4] -.sym 751 lvds_rx_24_inst.r_data[6] -.sym 753 lvds_rx_24_inst.r_data[8] -.sym 825 w_rx_24_fifo_data[3] +.sym 8 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E_$glb_ce +.sym 43 w_rx_09_fifo_data[14] +.sym 47 lvds_rx_24_inst.r_data[20] +.sym 48 lvds_rx_24_inst.r_data[25] +.sym 49 lvds_rx_24_inst.r_data[22] +.sym 53 lvds_rx_24_inst.r_data[18] +.sym 54 lvds_rx_24_inst.r_data[16] +.sym 177 lvds_rx_24_inst.r_data[28] +.sym 178 lvds_rx_24_inst.r_data[21] +.sym 179 lvds_rx_24_inst.r_data[26] +.sym 180 lvds_rx_24_inst.r_data[23] +.sym 181 lvds_rx_24_inst.r_data[24] +.sym 182 lvds_rx_24_inst.r_data[19] +.sym 183 lvds_rx_24_inst.r_data[15] +.sym 184 lvds_rx_24_inst.r_data[17] +.sym 191 io_smi_data[1]$SB_IO_OUT +.sym 211 io_smi_data[6]$SB_IO_OUT +.sym 224 w_rx_24_fifo_data[22] +.sym 291 w_rx_24_fifo_data[28] +.sym 292 w_rx_24_fifo_data[18] +.sym 293 w_rx_24_fifo_data[23] +.sym 294 w_rx_24_fifo_data[24] +.sym 295 w_rx_24_fifo_data[27] +.sym 296 w_rx_24_fifo_data[26] +.sym 297 w_rx_24_fifo_data[19] +.sym 298 w_rx_24_fifo_data[25] +.sym 300 rx_09_fifo.wr_addr[6] +.sym 407 w_rx_24_fifo_data[21] +.sym 408 w_rx_24_fifo_data[16] +.sym 410 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[0] +.sym 411 w_rx_24_fifo_data[17] +.sym 412 w_rx_24_fifo_data[20] +.sym 442 w_rx_24_fifo_data[27] +.sym 448 w_rx_24_fifo_data[25] +.sym 451 w_rx_24_fifo_data[28] +.sym 482 w_rx_24_fifo_data[18] +.sym 485 lvds_clock +.sym 519 w_rx_24_fifo_data[30] +.sym 521 w_rx_24_fifo_data[7] +.sym 523 w_rx_24_fifo_data[2] +.sym 524 w_rx_24_fifo_data[14] +.sym 552 w_rx_24_fifo_data[17] +.sym 573 w_rx_09_fifo_pulled_data[10] +.sym 585 w_smi_data_output[3] +.sym 594 i_smi_a2_SB_LUT4_I1_1_O[0] +.sym 639 lvds_rx_24_inst.r_data[14] +.sym 669 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 670 w_rx_24_fifo_data[2] +.sym 672 w_rx_24_fifo_data[14] +.sym 679 w_rx_24_fifo_data[30] +.sym 711 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 712 w_rx_24_fifo_data[7] +.sym 746 lvds_rx_24_inst.r_data[13] +.sym 748 rx_24_fifo.rd_addr_SB_DFFESR_Q_E +.sym 750 lvds_rx_24_inst.r_data[5] +.sym 751 lvds_rx_24_inst.r_data[7] +.sym 753 lvds_rx_24_inst.r_data[12] +.sym 779 w_lvds_rx_09_d0 +.sym 790 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[5] +.sym 812 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[6] +.sym 823 w_lvds_rx_09_d1 +.sym 826 w_lvds_rx_09_d0 +.sym 827 w_lvds_rx_09_d1 .sym 830 lvds_clock -.sym 845 lvds_clock -.sym 860 w_rx_24_fifo_data[7] -.sym 862 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O -.sym 865 w_rx_24_fifo_data[9] -.sym 866 w_rx_24_fifo_data[5] -.sym 903 lvds_rx_24_inst.r_data[8] -.sym 904 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 910 lvds_clock -.sym 915 smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_E +.sym 852 lvds_clock +.sym 860 lvds_rx_24_inst.r_data[11] +.sym 861 lvds_rx_24_inst.r_data[8] +.sym 862 lvds_rx_24_inst.r_data[9] +.sym 867 lvds_rx_24_inst.r_data[10] +.sym 904 rx_24_fifo.rd_addr[1] +.sym 909 i_smi_a2_SB_LUT4_I1_O .sym 940 lvds_clock .sym 944 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O -.sym 968 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O -.sym 977 lvds_rx_09_inst.r_data[1] -.sym 980 lvds_rx_09_inst.r_data[0] +.sym 970 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O +.sym 974 lvds_rx_24_inst.r_data[3] +.sym 975 lvds_rx_24_inst.r_data[6] +.sym 976 lvds_rx_24_inst.r_data[0] +.sym 977 lvds_rx_24_inst.r_data[2] +.sym 979 lvds_rx_24_inst.r_data[1] +.sym 980 lvds_rx_24_inst.r_data[4] +.sym 983 r_tx_data_SB_DFFE_Q_E .sym 1007 i_smi_a3$SB_IO_IN -.sym 1051 w_lvds_rx_24_d1 +.sym 1036 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O .sym 1054 i_smi_a3$SB_IO_IN -.sym 1055 w_lvds_rx_24_d1 -.sym 1088 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] -.sym 1089 w_rx_09_fifo_data[1] -.sym 1094 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I2[2] -.sym 1095 w_rx_09_fifo_data[0] -.sym 1121 w_lvds_rx_24_d0 +.sym 1088 w_rx_24_fifo_data[6] +.sym 1089 w_rx_24_fifo_data[5] +.sym 1090 w_rx_24_fifo_data[1] +.sym 1091 w_rx_24_fifo_data[0] +.sym 1092 w_rx_24_fifo_data[4] +.sym 1093 w_rx_24_fifo_data[3] +.sym 1132 rx_24_fifo.rd_addr[5] +.sym 1135 rx_24_fifo.rd_addr[7] .sym 1138 w_lvds_rx_24_d0 -.sym 1165 w_lvds_rx_24_d1 +.sym 1145 w_lvds_rx_24_d1 .sym 1168 w_lvds_rx_24_d0 .sym 1169 w_lvds_rx_24_d1 .sym 1173 w_lvds_rx_09_d0 .sym 1174 w_lvds_rx_09_d1 .sym 1183 $PACKER_VCC_NET .sym 1184 lvds_clock_$glb_clk -.sym 1199 $PACKER_VCC_NET -.sym 1202 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3 -.sym 1203 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 1204 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[0] -.sym 1205 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E -.sym 1206 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 1207 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] -.sym 1208 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] -.sym 1209 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E +.sym 1188 $PACKER_VCC_NET +.sym 1203 lvds_rx_24_inst.o_debug_state[0] +.sym 1204 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I2[2] +.sym 1205 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] +.sym 1206 lvds_rx_24_inst.o_debug_state[1] +.sym 1207 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E +.sym 1208 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O .sym 1236 w_lvds_rx_09_d1 -.sym 1242 w_lvds_rx_09_d0 -.sym 1257 w_rx_09_fifo_data[0] -.sym 1277 $PACKER_VCC_NET +.sym 1251 w_lvds_rx_09_d0 +.sym 1252 w_lvds_rx_24_d0 +.sym 1253 $PACKER_VCC_NET +.sym 1259 w_lvds_rx_24_d1 +.sym 1262 w_lvds_rx_09_d1 +.sym 1282 w_lvds_rx_24_d0 +.sym 1283 w_lvds_rx_24_d1 .sym 1287 lvds_clock .sym 1297 $PACKER_VCC_NET .sym 1313 $PACKER_VCC_NET .sym 1317 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[1] .sym 1318 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[2] -.sym 1319 lvds_rx_24_inst.r_phase_count[0] -.sym 1321 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 1323 lvds_rx_24_inst.r_phase_count[1] -.sym 1355 w_soft_reset -.sym 1362 $PACKER_VCC_NET -.sym 1394 w_soft_reset +.sym 1319 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 1320 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3 +.sym 1321 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 1322 lvds_rx_24_inst.r_phase_count[1] +.sym 1323 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[2] +.sym 1341 w_soft_reset +.sym 1353 $PACKER_VCC_NET .sym 1401 w_lvds_rx_24_d0 .sym 1402 w_lvds_rx_24_d1 .sym 1411 $PACKER_VCC_NET .sym 1412 lvds_clock_$glb_clk -.sym 1424 $PACKER_VCC_NET -.sym 1448 $PACKER_VCC_NET -.sym 1472 w_lvds_rx_24_d1 -.sym 1488 w_lvds_rx_24_d0 +.sym 1416 $PACKER_VCC_NET +.sym 1431 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[1] +.sym 1432 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[2] +.sym 1433 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_I2[2] +.sym 1434 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 1435 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3 +.sym 1436 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 1437 lvds_rx_09_inst.r_phase_count[1] +.sym 1472 $PACKER_VCC_NET .sym 1510 i_smi_a3$SB_IO_IN -.sym 1879 w_rx_09_fifo_data[25] -.sym 1880 w_rx_09_fifo_data[24] -.sym 1881 w_rx_09_fifo_data[30] -.sym 1882 w_rx_09_fifo_data[26] -.sym 1884 w_rx_09_fifo_data[27] -.sym 1885 w_rx_09_fifo_data[28] -.sym 2064 lvds_rx_09_inst.r_data[23] -.sym 2065 lvds_rx_09_inst.r_data[26] -.sym 2066 lvds_rx_09_inst.r_data[25] -.sym 2067 lvds_rx_09_inst.r_data[28] -.sym 2068 lvds_rx_09_inst.r_data[24] -.sym 2069 lvds_rx_09_inst.r_data[27] -.sym 2080 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 2119 lvds_rx_09_inst.r_data[22] -.sym 2128 lvds_rx_09_inst.r_data[21] -.sym 2234 w_rx_09_fifo_data[14] -.sym 2235 w_rx_09_fifo_data[9] -.sym 2236 w_rx_09_fifo_data[11] -.sym 2237 w_rx_09_fifo_data[13] -.sym 2238 w_rx_09_fifo_data[8] -.sym 2239 w_rx_09_fifo_data[10] -.sym 2240 w_rx_09_fifo_data[15] -.sym 2241 w_rx_09_fifo_data[12] -.sym 2247 lvds_rx_09_inst.r_data[27] -.sym 2249 rx_09_fifo.mem_i.0.0.0_RCLKE -.sym 2272 lvds_rx_09_inst.r_data[4] -.sym 2291 lvds_rx_09_inst.r_data[7] -.sym 2292 lvds_rx_09_inst.r_data[10] -.sym 2293 lvds_rx_09_inst.r_data[8] -.sym 2294 lvds_rx_09_inst.r_data[13] -.sym 2295 lvds_rx_09_inst.r_data[11] -.sym 2306 lvds_rx_09_inst.r_data[6] -.sym 2309 lvds_rx_09_inst.r_data[4] -.sym 2315 lvds_rx_09_inst.r_data[9] -.sym 2321 lvds_rx_09_inst.r_data[9] -.sym 2328 lvds_rx_09_inst.r_data[13] -.sym 2335 lvds_rx_09_inst.r_data[10] -.sym 2339 lvds_rx_09_inst.r_data[4] -.sym 2344 lvds_rx_09_inst.r_data[7] -.sym 2351 lvds_rx_09_inst.r_data[8] -.sym 2357 lvds_rx_09_inst.r_data[6] -.sym 2362 lvds_rx_09_inst.r_data[11] -.sym 2366 lvds_rx_09_inst.r_data_SB_DFFESR_Q_E_$glb_ce +.sym 1551 lvds_rx_09_inst.r_phase_count[0] +.sym 1552 lvds_rx_09_inst.r_push_SB_DFFESR_Q_E +.sym 1879 w_rx_09_fifo_data[14] +.sym 1883 lvds_rx_24_inst.r_data[29] +.sym 1885 lvds_rx_24_inst.r_data[27] +.sym 1947 lvds_rx_24_inst.r_data[13] +.sym 1951 lvds_rx_24_inst.r_data[14] +.sym 1953 lvds_rx_24_inst.r_data[16] +.sym 1957 lvds_rx_24_inst.r_data[25] +.sym 1991 lvds_rx_09_inst.r_data[12] +.sym 2026 lvds_rx_09_inst.r_data[12] +.sym 2048 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O_$glb_ce +.sym 2049 lvds_clock_$glb_clk +.sym 2063 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[1] +.sym 2064 io_smi_data[6]$SB_IO_OUT +.sym 2065 w_rx_24_fifo_data[29] +.sym 2067 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[1] +.sym 2068 io_smi_data[1]$SB_IO_OUT +.sym 2070 w_rx_24_fifo_data[22] +.sym 2071 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 2081 rx_09_fifo.wr_addr[6] +.sym 2083 rx_09_fifo.wr_addr[4] +.sym 2093 lvds_rx_09_inst.r_data[12] +.sym 2104 smi_ctrl_ins.int_cnt_09[4] +.sym 2105 smi_ctrl_ins.int_cnt_09[3] +.sym 2122 lvds_rx_24_inst.r_data[29] +.sym 2135 lvds_rx_24_inst.r_data[22] +.sym 2140 lvds_rx_24_inst.r_data[15] +.sym 2143 lvds_rx_24_inst.r_data[18] +.sym 2155 lvds_rx_24_inst.r_data[23] +.sym 2158 lvds_rx_24_inst.r_data[18] +.sym 2168 lvds_rx_24_inst.r_data[20] +.sym 2175 lvds_rx_24_inst.r_data[16] +.sym 2180 lvds_rx_24_inst.r_data[14] +.sym 2185 lvds_rx_24_inst.r_data[18] +.sym 2193 lvds_rx_24_inst.r_data[23] +.sym 2197 lvds_rx_24_inst.r_data[20] +.sym 2224 lvds_rx_24_inst.r_data[16] +.sym 2229 lvds_rx_24_inst.r_data[14] +.sym 2231 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E_$glb_ce +.sym 2232 lvds_clock_$glb_clk +.sym 2233 w_soft_reset_$glb_sr +.sym 2234 w_rx_09_fifo_data[20] +.sym 2235 w_rx_09_fifo_data[28] +.sym 2236 w_rx_09_fifo_data[10] +.sym 2237 w_rx_09_fifo_data[27] +.sym 2238 w_rx_09_fifo_data[25] +.sym 2239 w_rx_09_fifo_data[8] +.sym 2240 w_rx_09_fifo_data[26] +.sym 2241 w_rx_09_fifo_data[24] +.sym 2258 $PACKER_VCC_NET +.sym 2259 w_rx_24_fifo_data[19] +.sym 2261 w_smi_data_output[1] +.sym 2262 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[1] +.sym 2266 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O +.sym 2276 lvds_rx_24_inst.r_data[19] +.sym 2293 lvds_rx_24_inst.r_data[13] +.sym 2296 lvds_rx_24_inst.r_data[21] +.sym 2297 lvds_rx_24_inst.r_data[22] +.sym 2307 lvds_rx_24_inst.r_data[24] +.sym 2308 lvds_rx_24_inst.r_data[19] +.sym 2309 lvds_rx_24_inst.r_data[15] +.sym 2313 lvds_rx_24_inst.r_data[26] +.sym 2318 lvds_rx_24_inst.r_data[17] +.sym 2321 lvds_rx_24_inst.r_data[26] +.sym 2326 lvds_rx_24_inst.r_data[19] +.sym 2334 lvds_rx_24_inst.r_data[24] +.sym 2339 lvds_rx_24_inst.r_data[21] +.sym 2347 lvds_rx_24_inst.r_data[22] +.sym 2351 lvds_rx_24_inst.r_data[17] +.sym 2356 lvds_rx_24_inst.r_data[13] +.sym 2365 lvds_rx_24_inst.r_data[15] +.sym 2366 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E_$glb_ce .sym 2367 lvds_clock_$glb_clk .sym 2368 w_soft_reset_$glb_sr -.sym 2370 w_rx_09_fifo_data[21] -.sym 2371 w_rx_09_fifo_data[17] -.sym 2373 w_rx_09_fifo_data[23] -.sym 2374 w_rx_09_fifo_data[19] -.sym 2384 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 2389 i_smi_a1_SB_LUT4_I1_O -.sym 2392 rx_09_fifo.wr_addr[4] -.sym 2423 lvds_rx_09_inst.r_data[15] -.sym 2424 lvds_rx_09_inst.r_data[12] -.sym 2428 lvds_rx_09_inst.r_data[19] -.sym 2430 lvds_rx_09_inst.r_data[20] -.sym 2431 lvds_rx_09_inst.r_data[5] -.sym 2441 lvds_rx_09_inst.r_data[17] -.sym 2457 lvds_rx_09_inst.r_data[12] -.sym 2461 lvds_rx_09_inst.r_data[20] -.sym 2475 lvds_rx_09_inst.r_data[15] -.sym 2481 lvds_rx_09_inst.r_data[5] -.sym 2488 lvds_rx_09_inst.r_data[19] -.sym 2492 lvds_rx_09_inst.r_data[17] -.sym 2501 lvds_rx_09_inst.r_data_SB_DFFESR_Q_E_$glb_ce +.sym 2369 w_rx_09_fifo_data[30] +.sym 2370 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[1] +.sym 2371 w_rx_09_fifo_data[12] +.sym 2372 w_rx_09_fifo_data[31] +.sym 2373 i_smi_a2_SB_LUT4_I1_1_O[1] +.sym 2374 w_rx_09_fifo_data[15] +.sym 2375 w_rx_09_fifo_data[13] +.sym 2376 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[1] +.sym 2381 lvds_rx_24_inst.r_data[28] +.sym 2382 lvds_rx_09_inst.r_data[6] +.sym 2384 lvds_rx_09_inst.r_data[26] +.sym 2385 lvds_rx_09_inst.r_data[18] +.sym 2386 lvds_rx_09_inst.r_data[8] +.sym 2391 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 2396 w_rx_24_fifo_data[20] +.sym 2402 w_rx_24_fifo_data[21] +.sym 2403 w_smi_data_output[2] +.sym 2406 lvds_rx_24_inst.r_data[28] +.sym 2411 w_rx_24_fifo_data[26] +.sym 2424 lvds_rx_24_inst.r_data[26] +.sym 2426 lvds_rx_24_inst.r_data[22] +.sym 2431 lvds_rx_24_inst.r_data[21] +.sym 2432 lvds_rx_24_inst.r_data[25] +.sym 2433 lvds_rx_24_inst.r_data[23] +.sym 2434 lvds_rx_24_inst.r_data[24] +.sym 2436 lvds_rx_24_inst.r_data[16] +.sym 2437 lvds_rx_24_inst.r_data[17] +.sym 2455 lvds_rx_24_inst.r_data[26] +.sym 2463 lvds_rx_24_inst.r_data[16] +.sym 2467 lvds_rx_24_inst.r_data[21] +.sym 2474 lvds_rx_24_inst.r_data[22] +.sym 2480 lvds_rx_24_inst.r_data[25] +.sym 2485 lvds_rx_24_inst.r_data[24] +.sym 2493 lvds_rx_24_inst.r_data[17] +.sym 2498 lvds_rx_24_inst.r_data[23] +.sym 2501 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce .sym 2502 lvds_clock_$glb_clk -.sym 2503 w_soft_reset_$glb_sr -.sym 2504 w_rx_09_fifo_data[16] -.sym 2505 w_rx_09_fifo_data[4] -.sym 2506 w_rx_09_fifo_data[5] -.sym 2507 w_rx_09_fifo_data[22] -.sym 2508 w_rx_09_fifo_data[18] -.sym 2509 w_rx_09_fifo_data[7] -.sym 2511 w_rx_09_fifo_data[20] -.sym 2529 lvds_rx_09_inst.r_data[0] -.sym 2534 lvds_rx_09_inst.r_data[4] -.sym 2557 lvds_rx_09_inst.r_data[14] -.sym 2562 lvds_rx_09_inst.r_data[18] -.sym 2566 lvds_rx_09_inst.r_data[3] -.sym 2568 lvds_rx_09_inst.r_data[2] -.sym 2576 lvds_rx_09_inst.r_data[16] -.sym 2591 lvds_rx_09_inst.r_data[18] -.sym 2597 lvds_rx_09_inst.r_data[3] -.sym 2609 lvds_rx_09_inst.r_data[14] -.sym 2622 lvds_rx_09_inst.r_data[16] -.sym 2635 lvds_rx_09_inst.r_data[2] -.sym 2636 lvds_rx_09_inst.r_data_SB_DFFESR_Q_E_$glb_ce +.sym 2504 w_rx_24_fifo_data[23] +.sym 2505 w_smi_data_output[1] +.sym 2507 w_smi_data_output[2] +.sym 2508 w_smi_data_output[5] +.sym 2509 io_smi_data[0]$SB_IO_OUT +.sym 2510 w_smi_data_output[3] +.sym 2511 w_smi_data_output[6] +.sym 2517 lvds_rx_09_inst.r_data[10] +.sym 2523 smi_ctrl_ins.int_cnt_09[3] +.sym 2529 lvds_rx_09_inst.r_data[28] +.sym 2530 smi_ctrl_ins.int_cnt_09[4] +.sym 2531 w_rx_24_fifo_data[24] +.sym 2535 rx_24_fifo.rd_addr[7] +.sym 2540 lvds_rx_24_inst.r_data[14] +.sym 2541 lvds_rx_24_inst.r_data[13] +.sym 2549 lvds_rx_24_inst.r_data[5] +.sym 2558 lvds_rx_24_inst.r_data[18] +.sym 2559 lvds_rx_24_inst.r_data[19] +.sym 2560 smi_ctrl_ins.int_cnt_09[4] +.sym 2561 lvds_rx_24_inst.r_data[15] +.sym 2566 w_rx_09_fifo_pulled_data[26] +.sym 2567 smi_ctrl_ins.int_cnt_09[3] +.sym 2573 w_rx_09_fifo_pulled_data[10] +.sym 2585 lvds_rx_24_inst.r_data[14] +.sym 2604 lvds_rx_24_inst.r_data[19] +.sym 2610 lvds_rx_24_inst.r_data[14] +.sym 2620 smi_ctrl_ins.int_cnt_09[4] +.sym 2621 w_rx_09_fifo_pulled_data[10] +.sym 2622 smi_ctrl_ins.int_cnt_09[3] +.sym 2623 w_rx_09_fifo_pulled_data[26] +.sym 2626 lvds_rx_24_inst.r_data[15] +.sym 2634 lvds_rx_24_inst.r_data[18] +.sym 2636 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce .sym 2637 lvds_clock_$glb_clk -.sym 2638 w_soft_reset_$glb_sr -.sym 2639 lvds_rx_24_inst.r_push -.sym 2656 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 2668 lvds_rx_24_inst.o_debug_state[0] -.sym 2670 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 2674 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 2706 lvds_rx_09_inst.r_data[1] -.sym 2713 lvds_rx_09_inst.r_data[0] -.sym 2731 lvds_rx_09_inst.r_data[1] -.sym 2743 lvds_rx_09_inst.r_data[0] -.sym 2771 lvds_rx_09_inst.r_data_SB_DFFESR_Q_E_$glb_ce +.sym 2640 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[2] +.sym 2641 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[2] +.sym 2642 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[2] +.sym 2643 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[2] +.sym 2644 w_rx_24_fifo_data[31] +.sym 2645 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[2] +.sym 2646 i_smi_a2_SB_LUT4_I1_1_O[2] +.sym 2647 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[0] +.sym 2652 w_rx_09_fifo_pulled_data[26] +.sym 2655 smi_ctrl_ins.int_cnt_09[3] +.sym 2656 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 2659 w_rx_24_fifo_data[16] +.sym 2660 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[0] +.sym 2663 lvds_rx_24_inst.r_data[29] +.sym 2665 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[0] +.sym 2667 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[0] +.sym 2670 i_smi_a2_SB_LUT4_I1_O +.sym 2671 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 2677 lvds_rx_24_inst.r_data[12] +.sym 2701 lvds_rx_24_inst.r_data[0] +.sym 2705 lvds_rx_24_inst.r_data[28] +.sym 2708 lvds_rx_24_inst.r_data[5] +.sym 2714 lvds_rx_24_inst.r_data[12] +.sym 2725 lvds_rx_24_inst.r_data[28] +.sym 2737 lvds_rx_24_inst.r_data[5] +.sym 2751 lvds_rx_24_inst.r_data[0] +.sym 2758 lvds_rx_24_inst.r_data[12] +.sym 2771 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce .sym 2772 lvds_clock_$glb_clk -.sym 2773 w_soft_reset_$glb_sr -.sym 2774 lvds_rx_24_inst.r_push_SB_DFFESR_Q_E -.sym 2775 w_rx_24_fifo_data[10] -.sym 2776 w_rx_24_fifo_data[2] -.sym 2777 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[2] -.sym 2778 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 2779 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 2794 lvds_rx_09_inst.r_data[1] -.sym 2798 smi_ctrl_ins.int_cnt_24[3] -.sym 2799 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 2803 lvds_rx_24_inst.r_data[1] -.sym 2817 lvds_rx_09_inst.r_data[1] -.sym 2832 lvds_rx_24_inst.r_data[6] -.sym 2838 lvds_rx_24_inst.r_data[4] -.sym 2839 lvds_rx_24_inst.r_data[1] -.sym 2875 lvds_rx_24_inst.r_data[1] -.sym 2879 lvds_rx_24_inst.r_data[4] -.sym 2885 lvds_rx_24_inst.r_data[6] -.sym 2906 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce +.sym 2776 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 2777 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[3] +.sym 2778 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[4] +.sym 2779 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[5] +.sym 2780 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[6] +.sym 2781 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[7] +.sym 2786 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 2791 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[3] +.sym 2793 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 2795 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 2797 lvds_rx_24_inst.r_data[0] +.sym 2798 $PACKER_VCC_NET +.sym 2799 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 2805 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O +.sym 2808 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 2811 lvds_rx_24_inst.r_data[3] +.sym 2815 lvds_rx_24_inst.r_data[0] +.sym 2842 lvds_rx_24_inst.r_data[12] +.sym 2898 lvds_rx_24_inst.r_data[12] +.sym 2906 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E_$glb_ce .sym 2907 lvds_clock_$glb_clk -.sym 2909 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 2910 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 2911 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 2912 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 2913 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[3] -.sym 2914 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 2915 smi_ctrl_ins.int_cnt_24[3] -.sym 2916 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 2917 i_smi_a2$SB_IO_IN -.sym 2920 i_smi_a2$SB_IO_IN -.sym 2931 w_rx_24_fifo_data[8] -.sym 2933 w_lvds_rx_24_d0 -.sym 2934 w_rx_24_fifo_data[5] -.sym 2935 lvds_rx_24_inst.r_data[0] -.sym 2936 w_rx_24_fifo_data[6] -.sym 2938 w_rx_24_fifo_data[7] -.sym 2943 w_soft_reset -.sym 2967 lvds_rx_24_inst.r_data[6] -.sym 2981 lvds_rx_24_inst.r_data[2] -.sym 2989 lvds_rx_24_inst.r_data[4] -.sym 3015 lvds_rx_24_inst.r_data[2] -.sym 3026 lvds_rx_24_inst.r_data[4] -.sym 3039 lvds_rx_24_inst.r_data[6] +.sym 2908 w_soft_reset_$glb_sr +.sym 2909 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[8] +.sym 2911 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[9] +.sym 2912 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 2914 rx_24_fifo.rd_addr[1] +.sym 2916 rx_24_fifo.rd_addr_SB_DFFESR_Q_E +.sym 2922 w_rx_24_fifo_data[26] +.sym 2924 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[3] +.sym 2926 rx_24_fifo.wr_addr[3] +.sym 2928 rx_24_fifo.wr_addr[2] +.sym 2938 rx_24_fifo.rd_addr[2] +.sym 2940 rx_24_fifo.rd_addr[3] +.sym 2942 rx_24_fifo.rd_addr[4] +.sym 2943 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 2944 rx_24_fifo.rd_addr[5] +.sym 2962 lvds_rx_24_inst.r_data[11] +.sym 2966 lvds_rx_24_inst.r_data[5] +.sym 2969 lvds_rx_24_inst.r_data[10] +.sym 2978 lvds_rx_24_inst.r_data[3] +.sym 2985 rx_24_fifo.rd_addr_SB_DFFESR_Q_E +.sym 2995 lvds_rx_24_inst.r_data[11] +.sym 3010 rx_24_fifo.rd_addr_SB_DFFESR_Q_E +.sym 3019 lvds_rx_24_inst.r_data[3] +.sym 3026 lvds_rx_24_inst.r_data[5] +.sym 3039 lvds_rx_24_inst.r_data[10] .sym 3041 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E_$glb_ce .sym 3042 lvds_clock_$glb_clk .sym 3043 w_soft_reset_$glb_sr -.sym 3044 lvds_rx_24_inst.r_data[5] -.sym 3045 lvds_rx_24_inst.r_data[3] -.sym 3046 lvds_rx_24_inst.r_data[1] -.sym 3047 lvds_rx_24_inst.r_data[2] -.sym 3050 lvds_rx_24_inst.r_data[7] -.sym 3051 lvds_rx_24_inst.r_data[0] -.sym 3061 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 3069 lvds_rx_09_inst.r_data[0] -.sym 3070 w_rx_24_fifo_data[9] -.sym 3077 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E -.sym 3079 lvds_rx_09_inst.r_data[1] -.sym 3083 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 3118 lvds_rx_24_inst.o_debug_state[1] -.sym 3119 lvds_rx_24_inst.r_data[7] -.sym 3121 lvds_rx_24_inst.r_data[5] -.sym 3122 lvds_rx_24_inst.r_data[3] -.sym 3126 lvds_rx_24_inst.o_debug_state[0] -.sym 3127 w_soft_reset -.sym 3128 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 3133 lvds_rx_24_inst.r_data[5] -.sym 3142 lvds_rx_24_inst.o_debug_state[0] -.sym 3143 lvds_rx_24_inst.o_debug_state[1] -.sym 3144 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 3145 w_soft_reset -.sym 3161 lvds_rx_24_inst.r_data[7] -.sym 3166 lvds_rx_24_inst.r_data[3] -.sym 3176 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce +.sym 3044 w_rx_24_fifo_data[8] +.sym 3045 w_rx_24_fifo_data[12] +.sym 3046 w_rx_24_fifo_data[11] +.sym 3047 w_rx_24_fifo_data[13] +.sym 3048 w_rx_24_fifo_data[15] +.sym 3049 w_rx_24_fifo_data[9] +.sym 3051 w_rx_24_fifo_data[10] +.sym 3052 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] +.sym 3059 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 3068 rx_24_fifo.rd_addr[6] +.sym 3069 rx_24_fifo.rd_addr[8] +.sym 3070 rx_24_fifo.rd_addr[7] +.sym 3078 rx_24_fifo.rd_addr[3] +.sym 3091 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E +.sym 3098 lvds_rx_24_inst.r_data[8] +.sym 3106 lvds_rx_24_inst.r_data[6] +.sym 3110 lvds_rx_24_inst.r_data[7] +.sym 3123 lvds_rx_24_inst.r_data[9] +.sym 3131 lvds_rx_24_inst.r_data[9] +.sym 3137 lvds_rx_24_inst.r_data[6] +.sym 3144 lvds_rx_24_inst.r_data[7] +.sym 3174 lvds_rx_24_inst.r_data[8] +.sym 3176 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E_$glb_ce .sym 3177 lvds_clock_$glb_clk -.sym 3179 w_rx_24_fifo_data[1] -.sym 3180 w_rx_24_fifo_data[0] -.sym 3192 lvds_rx_24_inst.r_data[7] -.sym 3194 lvds_rx_24_inst.r_data[2] +.sym 3178 w_soft_reset_$glb_sr +.sym 3181 rx_24_fifo.rd_addr[2] +.sym 3182 rx_24_fifo.rd_addr[3] +.sym 3183 rx_24_fifo.rd_addr[4] +.sym 3184 rx_24_fifo.rd_addr[5] +.sym 3185 rx_24_fifo.rd_addr[6] +.sym 3186 rx_24_fifo.rd_addr[7] +.sym 3188 lvds_rx_24_inst.o_debug_state[0] +.sym 3189 lvds_rx_24_inst.o_debug_state[0] +.sym 3198 i_smi_a2$SB_IO_IN .sym 3200 i_smi_a2$SB_IO_IN -.sym 3204 lvds_rx_24_inst.o_debug_state[1] -.sym 3205 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 3212 lvds_rx_24_inst.o_debug_state[0] +.sym 3203 w_soft_reset +.sym 3208 w_rx_24_fifo_data[6] +.sym 3210 w_rx_24_fifo_data[5] +.sym 3215 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O +.sym 3216 i_smi_a2$SB_IO_IN .sym 3221 i_smi_a2$SB_IO_IN -.sym 3248 w_lvds_rx_09_d0 -.sym 3257 w_lvds_rx_09_d1 -.sym 3284 w_lvds_rx_09_d1 -.sym 3301 w_lvds_rx_09_d0 -.sym 3311 lvds_rx_09_inst.r_data_SB_DFFESR_Q_E_$glb_ce +.sym 3237 lvds_rx_24_inst.r_data[1] +.sym 3238 lvds_rx_24_inst.r_data[4] +.sym 3242 lvds_rx_24_inst.r_data[0] +.sym 3250 w_lvds_rx_24_d1 +.sym 3259 lvds_rx_24_inst.r_data[2] +.sym 3260 w_lvds_rx_24_d0 +.sym 3268 lvds_rx_24_inst.r_data[1] +.sym 3272 lvds_rx_24_inst.r_data[4] +.sym 3280 w_lvds_rx_24_d0 +.sym 3285 lvds_rx_24_inst.r_data[0] +.sym 3296 w_lvds_rx_24_d1 +.sym 3303 lvds_rx_24_inst.r_data[2] +.sym 3311 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E_$glb_ce .sym 3312 lvds_clock_$glb_clk .sym 3313 w_soft_reset_$glb_sr -.sym 3315 lvds_rx_09_inst.o_debug_state[1] -.sym 3316 lvds_rx_09_inst.o_debug_state[0] -.sym 3317 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] -.sym 3318 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E -.sym 3341 w_lvds_rx_24_d0 -.sym 3347 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 3349 lvds_rx_09_inst.o_debug_state[1] -.sym 3372 w_lvds_rx_09_d1 -.sym 3379 w_lvds_rx_09_d0 -.sym 3385 lvds_rx_09_inst.o_debug_state[0] -.sym 3392 lvds_rx_09_inst.o_debug_state[1] -.sym 3400 lvds_rx_09_inst.o_debug_state[1] -.sym 3401 w_lvds_rx_09_d0 -.sym 3402 lvds_rx_09_inst.o_debug_state[0] -.sym 3403 w_lvds_rx_09_d1 -.sym 3406 w_lvds_rx_09_d1 -.sym 3437 w_lvds_rx_09_d1 -.sym 3439 w_lvds_rx_09_d0 -.sym 3442 w_lvds_rx_09_d0 -.sym 3446 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce +.sym 3314 rx_24_fifo.rd_addr[8] +.sym 3317 w_rx_24_fifo_data[4] +.sym 3319 rx_24_fifo.mem_i.0.0.0_WCLKE +.sym 3331 rx_24_fifo.rd_addr[7] +.sym 3337 rx_24_fifo.rd_addr[2] +.sym 3345 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O +.sym 3349 $PACKER_VCC_NET +.sym 3361 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E +.sym 3367 lvds_rx_24_inst.r_data[3] +.sym 3372 lvds_rx_24_inst.r_data[1] +.sym 3373 lvds_rx_24_inst.r_data[4] +.sym 3378 lvds_rx_24_inst.r_data[2] +.sym 3393 w_lvds_rx_24_d1 +.sym 3395 w_lvds_rx_24_d0 +.sym 3402 lvds_rx_24_inst.r_data[4] +.sym 3407 lvds_rx_24_inst.r_data[3] +.sym 3413 w_lvds_rx_24_d1 +.sym 3418 w_lvds_rx_24_d0 +.sym 3426 lvds_rx_24_inst.r_data[2] +.sym 3430 lvds_rx_24_inst.r_data[1] +.sym 3446 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce .sym 3447 lvds_clock_$glb_clk -.sym 3449 lvds_rx_24_inst.o_debug_state[1] -.sym 3451 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E -.sym 3452 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E -.sym 3453 lvds_rx_24_inst.o_debug_state[0] -.sym 3464 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 3465 w_rx_09_fifo_data[1] -.sym 3470 lvds_rx_09_inst.o_debug_state[1] -.sym 3473 lvds_rx_09_inst.o_debug_state[0] -.sym 3502 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] -.sym 3503 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[1] -.sym 3504 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[2] -.sym 3506 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 3507 w_soft_reset -.sym 3510 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3 -.sym 3511 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 3512 lvds_rx_09_inst.o_debug_state[0] -.sym 3514 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 3518 lvds_rx_24_inst.o_debug_state[1] -.sym 3519 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 3520 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[0] -.sym 3522 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 3526 w_lvds_rx_24_d0 -.sym 3527 w_lvds_rx_24_d1 -.sym 3528 w_soft_reset -.sym 3529 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E -.sym 3530 lvds_rx_24_inst.o_debug_state[0] -.sym 3532 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] -.sym 3535 lvds_rx_24_inst.o_debug_state[1] -.sym 3536 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[1] -.sym 3537 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 3538 lvds_rx_24_inst.o_debug_state[0] -.sym 3541 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3 -.sym 3542 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[0] -.sym 3544 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 3547 lvds_rx_24_inst.o_debug_state[1] -.sym 3548 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 3549 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[2] -.sym 3550 lvds_rx_24_inst.o_debug_state[0] -.sym 3553 lvds_rx_09_inst.o_debug_state[0] -.sym 3554 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] -.sym 3555 w_soft_reset -.sym 3556 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 3559 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 3560 lvds_rx_24_inst.o_debug_state[0] -.sym 3561 lvds_rx_24_inst.o_debug_state[1] -.sym 3562 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 3565 w_lvds_rx_24_d0 -.sym 3566 lvds_rx_24_inst.o_debug_state[1] -.sym 3567 lvds_rx_24_inst.o_debug_state[0] -.sym 3568 w_lvds_rx_24_d1 -.sym 3571 lvds_rx_24_inst.o_debug_state[1] +.sym 3449 w_rx_24_fifo_push +.sym 3451 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 3452 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E +.sym 3453 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E +.sym 3463 w_rx_24_fifo_data[3] +.sym 3467 w_rx_24_fifo_data[1] +.sym 3469 w_rx_24_fifo_data[0] +.sym 3505 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 3506 lvds_rx_24_inst.o_debug_state[1] +.sym 3513 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] +.sym 3514 w_soft_reset +.sym 3519 w_lvds_rx_24_d1 +.sym 3527 lvds_rx_24_inst.o_debug_state[0] +.sym 3529 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E +.sym 3530 w_lvds_rx_24_d0 +.sym 3531 w_lvds_rx_24_d1 +.sym 3541 w_lvds_rx_24_d0 +.sym 3542 lvds_rx_24_inst.o_debug_state[0] +.sym 3543 w_lvds_rx_24_d1 +.sym 3544 lvds_rx_24_inst.o_debug_state[1] +.sym 3548 w_lvds_rx_24_d0 +.sym 3549 w_lvds_rx_24_d1 +.sym 3553 w_soft_reset +.sym 3554 lvds_rx_24_inst.o_debug_state[0] +.sym 3555 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 3556 lvds_rx_24_inst.o_debug_state[1] +.sym 3559 lvds_rx_24_inst.o_debug_state[1] +.sym 3560 w_lvds_rx_24_d0 +.sym 3561 lvds_rx_24_inst.o_debug_state[0] +.sym 3562 w_lvds_rx_24_d1 +.sym 3565 w_lvds_rx_24_d1 +.sym 3566 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] +.sym 3567 w_lvds_rx_24_d0 +.sym 3568 lvds_rx_24_inst.o_debug_state[1] +.sym 3571 lvds_rx_24_inst.o_debug_state[0] .sym 3572 w_soft_reset -.sym 3573 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 3574 lvds_rx_24_inst.o_debug_state[0] -.sym 3577 w_lvds_rx_24_d0 -.sym 3578 lvds_rx_24_inst.o_debug_state[1] -.sym 3579 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] -.sym 3580 w_lvds_rx_24_d1 -.sym 3581 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E +.sym 3573 lvds_rx_24_inst.o_debug_state[1] +.sym 3574 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 3581 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E .sym 3582 lvds_clock_$glb_clk .sym 3583 w_soft_reset_$glb_sr -.sym 3585 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[1] -.sym 3586 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[2] -.sym 3587 lvds_rx_09_inst.r_phase_count[0] -.sym 3588 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 3589 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3 -.sym 3590 lvds_rx_09_inst.r_phase_count[1] -.sym 3591 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[2] -.sym 3645 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3 -.sym 3647 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[0] -.sym 3648 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E -.sym 3649 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 3650 $PACKER_VCC_NET -.sym 3655 lvds_rx_09_inst.o_debug_state[1] -.sym 3657 lvds_rx_09_inst.o_debug_state[0] -.sym 3658 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 3660 lvds_rx_24_inst.r_phase_count[1] -.sym 3664 lvds_rx_24_inst.r_phase_count[0] -.sym 3665 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 3584 lvds_rx_24_inst.r_push_SB_DFFESR_Q_E +.sym 3586 lvds_rx_24_inst.r_phase_count[0] +.sym 3587 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O +.sym 3589 $PACKER_VCC_NET +.sym 3590 lvds_rx_24_inst.r_push +.sym 3603 w_rx_24_fifo_push +.sym 3609 w_lvds_rx_09_d0 +.sym 3610 w_lvds_rx_09_d1 +.sym 3611 $PACKER_VCC_NET +.sym 3627 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E +.sym 3638 lvds_rx_24_inst.o_debug_state[0] +.sym 3641 lvds_rx_24_inst.o_debug_state[1] +.sym 3642 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 3646 lvds_rx_24_inst.o_debug_state[0] +.sym 3651 lvds_rx_24_inst.r_phase_count[1] +.sym 3652 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[2] +.sym 3655 lvds_rx_24_inst.r_phase_count[0] +.sym 3656 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 3662 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[1] +.sym 3663 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[2] +.sym 3664 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E +.sym 3665 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3 +.sym 3666 $PACKER_VCC_NET .sym 3669 $nextpnr_ICESTORM_LC_3$O .sym 3672 lvds_rx_24_inst.r_phase_count[0] .sym 3675 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O_I3[2] .sym 3677 $PACKER_VCC_NET .sym 3678 lvds_rx_24_inst.r_phase_count[1] .sym 3679 lvds_rx_24_inst.r_phase_count[0] -.sym 3682 $PACKER_VCC_NET -.sym 3683 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[0] +.sym 3682 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[2] +.sym 3684 $PACKER_VCC_NET .sym 3685 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O_I3[2] .sym 3688 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 3700 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 3701 lvds_rx_09_inst.o_debug_state[0] -.sym 3702 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 3703 lvds_rx_09_inst.o_debug_state[1] -.sym 3712 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3 -.sym 3716 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E +.sym 3689 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[2] +.sym 3690 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3 +.sym 3694 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[1] +.sym 3695 lvds_rx_24_inst.o_debug_state[0] +.sym 3696 lvds_rx_24_inst.o_debug_state[1] +.sym 3697 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 3700 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 3701 lvds_rx_24_inst.o_debug_state[0] +.sym 3702 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 3703 lvds_rx_24_inst.o_debug_state[1] +.sym 3707 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3 +.sym 3712 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 3713 lvds_rx_24_inst.o_debug_state[1] +.sym 3714 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[2] +.sym 3715 lvds_rx_24_inst.o_debug_state[0] +.sym 3716 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E .sym 3717 lvds_clock_$glb_clk .sym 3718 w_soft_reset_$glb_sr +.sym 3719 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E +.sym 3720 lvds_rx_09_inst.o_debug_state[0] +.sym 3722 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] +.sym 3723 lvds_rx_09_inst.o_debug_state[1] +.sym 3724 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E +.sym 3725 lvds_rx_09_inst.r_push_SB_DFFESR_Q_E +.sym 3726 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I2[1] +.sym 3733 w_rx_24_fifo_full .sym 3760 i_smi_a2$SB_IO_IN -.sym 3874 o_shdn_tx_lna$SB_IO_OUT -.sym 3891 o_shdn_tx_lna$SB_IO_OUT +.sym 3774 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E +.sym 3775 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_I2[2] +.sym 3779 lvds_rx_09_inst.r_phase_count[1] +.sym 3781 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[1] +.sym 3785 $PACKER_VCC_NET +.sym 3787 lvds_rx_09_inst.r_phase_count[0] +.sym 3789 lvds_rx_09_inst.o_debug_state[0] +.sym 3792 lvds_rx_09_inst.o_debug_state[1] +.sym 3794 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 3797 lvds_rx_09_inst.o_debug_state[0] +.sym 3798 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[2] +.sym 3800 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 3801 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3 +.sym 3804 $nextpnr_ICESTORM_LC_2$O +.sym 3807 lvds_rx_09_inst.r_phase_count[0] +.sym 3810 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O_I3[2] +.sym 3812 $PACKER_VCC_NET +.sym 3813 lvds_rx_09_inst.r_phase_count[1] +.sym 3814 lvds_rx_09_inst.r_phase_count[0] +.sym 3818 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_I2[2] +.sym 3819 $PACKER_VCC_NET +.sym 3820 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O_I3[2] +.sym 3823 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 3824 lvds_rx_09_inst.o_debug_state[0] +.sym 3825 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[2] +.sym 3826 lvds_rx_09_inst.o_debug_state[1] +.sym 3829 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3 +.sym 3830 lvds_rx_09_inst.o_debug_state[0] +.sym 3831 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 3832 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_I2[2] +.sym 3835 lvds_rx_09_inst.o_debug_state[0] +.sym 3836 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[1] +.sym 3837 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 3838 lvds_rx_09_inst.o_debug_state[1] +.sym 3841 lvds_rx_09_inst.o_debug_state[1] +.sym 3842 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 3843 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 3844 lvds_rx_09_inst.o_debug_state[0] +.sym 3848 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3 +.sym 3851 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E +.sym 3852 lvds_clock_$glb_clk +.sym 3853 w_soft_reset_$glb_sr +.sym 3873 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E +.sym 3913 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 3985 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 4015 o_shdn_tx_lna$SB_IO_OUT .sym 4138 i_smi_a2$SB_IO_IN -.sym 4209 o_shdn_tx_lna$SB_IO_OUT -.sym 4237 w_rx_09_fifo_pulled_data[0] -.sym 4239 w_rx_09_fifo_pulled_data[1] -.sym 4241 w_rx_09_fifo_pulled_data[2] -.sym 4243 w_rx_09_fifo_pulled_data[3] -.sym 4249 lvds_rx_24_inst.r_push_SB_DFFESR_Q_E -.sym 4261 lvds_rx_24_inst.o_debug_state[1] -.sym 4281 lvds_rx_09_inst.r_data[26] -.sym 4282 lvds_rx_09_inst.r_data[25] -.sym 4283 lvds_rx_09_inst.r_data[28] -.sym 4284 lvds_rx_09_inst.r_data[24] -.sym 4288 lvds_rx_09_inst.r_data[23] -.sym 4301 lvds_rx_09_inst.r_data[22] -.sym 4312 lvds_rx_09_inst.r_data[23] -.sym 4319 lvds_rx_09_inst.r_data[22] -.sym 4326 lvds_rx_09_inst.r_data[28] -.sym 4330 lvds_rx_09_inst.r_data[24] -.sym 4342 lvds_rx_09_inst.r_data[25] -.sym 4348 lvds_rx_09_inst.r_data[26] -.sym 4358 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce +.sym 4237 w_rx_09_fifo_pulled_data[16] +.sym 4239 w_rx_09_fifo_pulled_data[17] +.sym 4241 w_rx_09_fifo_pulled_data[18] +.sym 4243 w_rx_09_fifo_pulled_data[19] +.sym 4283 w_rx_09_fifo_data[14] +.sym 4293 lvds_rx_24_inst.r_data[27] +.sym 4304 lvds_rx_24_inst.r_data[25] +.sym 4312 w_rx_09_fifo_data[14] +.sym 4339 lvds_rx_24_inst.r_data[27] +.sym 4348 lvds_rx_24_inst.r_data[25] +.sym 4358 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E_$glb_ce .sym 4359 lvds_clock_$glb_clk -.sym 4365 w_rx_09_fifo_pulled_data[4] -.sym 4367 w_rx_09_fifo_pulled_data[5] -.sym 4369 w_rx_09_fifo_pulled_data[6] -.sym 4371 w_rx_09_fifo_pulled_data[7] -.sym 4374 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 4375 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] +.sym 4360 w_soft_reset_$glb_sr +.sym 4365 w_rx_09_fifo_pulled_data[20] +.sym 4367 w_rx_09_fifo_pulled_data[21] +.sym 4369 w_rx_09_fifo_pulled_data[22] +.sym 4371 w_rx_09_fifo_pulled_data[23] .sym 4378 $PACKER_VCC_NET -.sym 4380 rx_09_fifo.wr_addr[7] -.sym 4381 rx_09_fifo.wr_addr[4] -.sym 4393 rx_09_fifo.wr_addr[5] -.sym 4396 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 4399 rx_09_fifo.wr_addr[3] +.sym 4382 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 4384 rx_09_fifo.wr_addr[8] +.sym 4386 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 4388 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O +.sym 4393 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[1] +.sym 4400 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 4405 w_smi_data_output[6] .sym 4407 i_smi_a3$SB_IO_IN -.sym 4415 w_rx_09_fifo_pulled_data[24] -.sym 4418 rx_09_fifo.rd_addr[1] -.sym 4424 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 4431 w_rx_09_fifo_data[13] -.sym 4443 lvds_rx_09_inst.r_data[23] -.sym 4447 lvds_rx_09_inst.r_data[24] -.sym 4452 lvds_rx_09_inst.r_data[26] -.sym 4453 lvds_rx_09_inst.r_data[25] -.sym 4461 lvds_rx_09_inst.r_data[21] -.sym 4469 lvds_rx_09_inst.r_data[22] -.sym 4483 lvds_rx_09_inst.r_data[21] -.sym 4490 lvds_rx_09_inst.r_data[24] -.sym 4493 lvds_rx_09_inst.r_data[23] -.sym 4502 lvds_rx_09_inst.r_data[26] -.sym 4506 lvds_rx_09_inst.r_data[22] -.sym 4513 lvds_rx_09_inst.r_data[25] -.sym 4521 lvds_rx_09_inst.r_data_SB_DFFESR_Q_E_$glb_ce +.sym 4409 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 4411 w_rx_09_fifo_data[10] +.sym 4413 rx_09_fifo.rd_addr[2] +.sym 4414 rx_09_fifo.wr_addr[2] +.sym 4416 rx_09_fifo.rd_addr[6] +.sym 4417 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 4418 w_rx_09_fifo_data[8] +.sym 4420 w_rx_09_fifo_pulled_data[22] +.sym 4421 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 4424 w_rx_09_fifo_data[12] +.sym 4425 w_rx_09_fifo_data[20] +.sym 4427 lvds_rx_09_inst.r_data[22] +.sym 4428 w_rx_09_fifo_pulled_data[19] +.sym 4429 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 4430 w_rx_09_fifo_data[15] +.sym 4442 w_rx_09_fifo_pulled_data[16] +.sym 4444 w_rx_09_fifo_pulled_data[17] +.sym 4446 smi_ctrl_ins.int_cnt_09[3] +.sym 4447 smi_ctrl_ins.int_cnt_09[4] +.sym 4450 lvds_rx_24_inst.r_data[20] +.sym 4456 lvds_rx_24_inst.r_data[27] +.sym 4460 w_smi_data_output[6] +.sym 4462 i_smi_a3$SB_IO_IN +.sym 4465 w_smi_data_output[1] +.sym 4466 w_rx_09_fifo_pulled_data[0] +.sym 4468 w_rx_09_fifo_pulled_data[1] +.sym 4475 smi_ctrl_ins.int_cnt_09[3] +.sym 4476 smi_ctrl_ins.int_cnt_09[4] +.sym 4477 w_rx_09_fifo_pulled_data[17] +.sym 4478 w_rx_09_fifo_pulled_data[1] +.sym 4482 i_smi_a3$SB_IO_IN +.sym 4484 w_smi_data_output[6] +.sym 4490 lvds_rx_24_inst.r_data[27] +.sym 4499 smi_ctrl_ins.int_cnt_09[3] +.sym 4500 w_rx_09_fifo_pulled_data[0] +.sym 4501 w_rx_09_fifo_pulled_data[16] +.sym 4502 smi_ctrl_ins.int_cnt_09[4] +.sym 4506 i_smi_a3$SB_IO_IN +.sym 4507 w_smi_data_output[1] +.sym 4517 lvds_rx_24_inst.r_data[20] +.sym 4521 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce .sym 4522 lvds_clock_$glb_clk -.sym 4523 w_soft_reset_$glb_sr -.sym 4524 w_rx_09_fifo_pulled_data[16] -.sym 4526 w_rx_09_fifo_pulled_data[17] -.sym 4528 w_rx_09_fifo_pulled_data[18] -.sym 4530 w_rx_09_fifo_pulled_data[19] -.sym 4548 rx_09_fifo.wr_addr[7] -.sym 4550 w_rx_09_fifo_data[4] -.sym 4553 $PACKER_VCC_NET +.sym 4524 w_rx_09_fifo_pulled_data[0] +.sym 4526 w_rx_09_fifo_pulled_data[1] +.sym 4528 w_rx_09_fifo_pulled_data[2] +.sym 4530 w_rx_09_fifo_pulled_data[3] +.sym 4538 lvds_rx_09_inst.r_data[12] +.sym 4548 w_rx_09_fifo_pulled_data[21] +.sym 4549 w_rx_24_fifo_data[29] +.sym 4552 $PACKER_VCC_NET +.sym 4553 lvds_rx_09_inst.r_data[11] +.sym 4555 rx_09_fifo.wr_addr[7] .sym 4556 $PACKER_VCC_NET -.sym 4570 lvds_rx_09_inst.r_data[10] -.sym 4573 lvds_rx_09_inst.r_data[11] -.sym 4575 lvds_rx_09_inst.r_data[12] -.sym 4576 lvds_rx_09_inst.r_data[6] -.sym 4577 lvds_rx_09_inst.r_data[9] -.sym 4579 lvds_rx_09_inst.r_data[8] -.sym 4580 lvds_rx_09_inst.r_data[13] -.sym 4593 lvds_rx_09_inst.r_data[7] -.sym 4599 lvds_rx_09_inst.r_data[12] -.sym 4606 lvds_rx_09_inst.r_data[7] -.sym 4613 lvds_rx_09_inst.r_data[9] -.sym 4618 lvds_rx_09_inst.r_data[11] -.sym 4624 lvds_rx_09_inst.r_data[6] -.sym 4630 lvds_rx_09_inst.r_data[8] -.sym 4636 lvds_rx_09_inst.r_data[13] -.sym 4642 lvds_rx_09_inst.r_data[10] -.sym 4644 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce +.sym 4558 w_rx_09_fifo_data[28] +.sym 4567 lvds_rx_09_inst.r_data[23] +.sym 4569 lvds_rx_09_inst.r_data[25] +.sym 4571 lvds_rx_09_inst.r_data[8] +.sym 4575 lvds_rx_09_inst.r_data[24] +.sym 4577 lvds_rx_09_inst.r_data[6] +.sym 4579 lvds_rx_09_inst.r_data[26] +.sym 4580 lvds_rx_09_inst.r_data[18] +.sym 4592 lvds_rx_09_inst.r_data[22] +.sym 4600 lvds_rx_09_inst.r_data[18] +.sym 4604 lvds_rx_09_inst.r_data[26] +.sym 4610 lvds_rx_09_inst.r_data[8] +.sym 4617 lvds_rx_09_inst.r_data[25] +.sym 4624 lvds_rx_09_inst.r_data[23] +.sym 4630 lvds_rx_09_inst.r_data[6] +.sym 4637 lvds_rx_09_inst.r_data[24] +.sym 4643 lvds_rx_09_inst.r_data[22] +.sym 4644 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O_$glb_ce .sym 4645 lvds_clock_$glb_clk -.sym 4647 w_rx_09_fifo_pulled_data[20] -.sym 4649 w_rx_09_fifo_pulled_data[21] -.sym 4651 w_rx_09_fifo_pulled_data[22] -.sym 4653 w_rx_09_fifo_pulled_data[23] -.sym 4662 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 4667 w_smi_data_output[4] -.sym 4668 rx_09_fifo.wr_addr[2] -.sym 4670 rx_09_fifo.wr_addr[8] -.sym 4671 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 4673 rx_24_fifo.rd_addr[3] -.sym 4674 rx_09_fifo.wr_addr[3] -.sym 4675 rx_09_fifo.wr_addr[5] -.sym 4677 rx_24_fifo.rd_addr[5] -.sym 4678 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 4680 rx_09_fifo.wr_addr[5] -.sym 4681 w_rx_09_fifo_data[21] -.sym 4693 lvds_rx_09_inst.r_data[21] -.sym 4699 lvds_rx_09_inst.r_data[17] -.sym 4702 lvds_rx_09_inst.r_data[19] -.sym 4705 lvds_rx_09_inst.r_data[15] -.sym 4729 lvds_rx_09_inst.r_data[19] -.sym 4734 lvds_rx_09_inst.r_data[15] -.sym 4748 lvds_rx_09_inst.r_data[21] -.sym 4752 lvds_rx_09_inst.r_data[17] -.sym 4767 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce +.sym 4647 w_rx_09_fifo_pulled_data[4] +.sym 4649 w_rx_09_fifo_pulled_data[5] +.sym 4651 w_rx_09_fifo_pulled_data[6] +.sym 4653 w_rx_09_fifo_pulled_data[7] +.sym 4657 rx_24_fifo.rd_addr_SB_DFFESR_Q_E +.sym 4659 lvds_rx_09_inst.r_data[28] +.sym 4660 smi_ctrl_ins.int_cnt_09[3] +.sym 4661 lvds_rx_09_inst.r_data[24] +.sym 4663 lvds_rx_09_inst.r_data[23] +.sym 4665 lvds_rx_09_inst.r_data[25] +.sym 4668 smi_ctrl_ins.int_cnt_09[4] +.sym 4669 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 4671 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[1] +.sym 4672 rx_24_fifo.rd_addr[4] +.sym 4673 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[1] +.sym 4674 w_smi_data_output[6] +.sym 4675 w_rx_09_fifo_data[13] +.sym 4676 w_rx_24_fifo_data[22] +.sym 4677 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 4682 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 4688 smi_ctrl_ins.int_cnt_09[3] +.sym 4694 lvds_rx_09_inst.r_data[29] +.sym 4696 w_rx_09_fifo_pulled_data[22] +.sym 4698 lvds_rx_09_inst.r_data[13] +.sym 4700 lvds_rx_09_inst.r_data[10] +.sym 4702 w_rx_09_fifo_pulled_data[3] +.sym 4705 w_rx_09_fifo_pulled_data[19] +.sym 4708 w_rx_09_fifo_pulled_data[21] +.sym 4709 lvds_rx_09_inst.r_data[28] +.sym 4710 smi_ctrl_ins.int_cnt_09[4] +.sym 4713 lvds_rx_09_inst.r_data[11] +.sym 4714 w_rx_09_fifo_pulled_data[5] +.sym 4716 w_rx_09_fifo_pulled_data[6] +.sym 4718 smi_ctrl_ins.int_cnt_09[4] +.sym 4724 lvds_rx_09_inst.r_data[28] +.sym 4727 w_rx_09_fifo_pulled_data[3] +.sym 4728 smi_ctrl_ins.int_cnt_09[3] +.sym 4729 w_rx_09_fifo_pulled_data[19] +.sym 4730 smi_ctrl_ins.int_cnt_09[4] +.sym 4736 lvds_rx_09_inst.r_data[10] +.sym 4742 lvds_rx_09_inst.r_data[29] +.sym 4745 smi_ctrl_ins.int_cnt_09[3] +.sym 4746 w_rx_09_fifo_pulled_data[22] +.sym 4747 smi_ctrl_ins.int_cnt_09[4] +.sym 4748 w_rx_09_fifo_pulled_data[6] +.sym 4753 lvds_rx_09_inst.r_data[13] +.sym 4757 lvds_rx_09_inst.r_data[11] +.sym 4763 smi_ctrl_ins.int_cnt_09[4] +.sym 4764 smi_ctrl_ins.int_cnt_09[3] +.sym 4765 w_rx_09_fifo_pulled_data[5] +.sym 4766 w_rx_09_fifo_pulled_data[21] +.sym 4767 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O_$glb_ce .sym 4768 lvds_clock_$glb_clk -.sym 4770 w_rx_09_fifo_pulled_data[8] -.sym 4772 w_rx_09_fifo_pulled_data[9] -.sym 4774 w_rx_09_fifo_pulled_data[10] -.sym 4776 w_rx_09_fifo_pulled_data[11] -.sym 4787 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 4789 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 4794 rx_09_fifo.rd_addr[1] -.sym 4799 w_rx_09_fifo_data[23] -.sym 4801 rx_09_fifo.mem_i.0.0.0_RCLKE -.sym 4802 rx_24_fifo.rd_addr[1] -.sym 4804 rx_09_fifo.rd_addr[1] +.sym 4770 w_rx_24_fifo_pulled_data[8] +.sym 4772 w_rx_24_fifo_pulled_data[9] +.sym 4774 w_rx_24_fifo_pulled_data[10] +.sym 4776 w_rx_24_fifo_pulled_data[11] +.sym 4782 rx_09_fifo.rd_addr[8] +.sym 4784 lvds_rx_09_inst.r_data[13] +.sym 4786 rx_09_fifo.rd_addr[2] +.sym 4789 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[0] +.sym 4790 lvds_rx_09_inst.r_data[29] +.sym 4792 rx_09_fifo.rd_addr[7] +.sym 4793 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[0] +.sym 4794 w_smi_data_output[5] +.sym 4796 rx_24_fifo.rd_addr[6] +.sym 4798 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 4799 rx_24_fifo.rd_addr[8] +.sym 4802 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 4804 rx_24_fifo.mem_i.0.0.0_WCLKE .sym 4805 i_smi_a3$SB_IO_IN -.sym 4812 lvds_rx_09_inst.r_data[5] -.sym 4814 lvds_rx_09_inst.r_data[16] -.sym 4819 lvds_rx_09_inst.r_data[20] -.sym 4824 lvds_rx_09_inst.r_data[18] -.sym 4828 lvds_rx_09_inst.r_data[3] -.sym 4835 lvds_rx_09_inst.r_data[14] -.sym 4838 lvds_rx_09_inst.r_data[2] -.sym 4847 lvds_rx_09_inst.r_data[14] -.sym 4851 lvds_rx_09_inst.r_data[2] -.sym 4857 lvds_rx_09_inst.r_data[3] -.sym 4862 lvds_rx_09_inst.r_data[20] -.sym 4869 lvds_rx_09_inst.r_data[16] -.sym 4874 lvds_rx_09_inst.r_data[5] -.sym 4889 lvds_rx_09_inst.r_data[18] -.sym 4890 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce -.sym 4891 lvds_clock_$glb_clk -.sym 4893 w_rx_09_fifo_pulled_data[12] -.sym 4895 w_rx_09_fifo_pulled_data[13] -.sym 4897 w_rx_09_fifo_pulled_data[14] -.sym 4899 w_rx_09_fifo_pulled_data[15] -.sym 4905 rx_09_fifo.wr_addr[4] -.sym 4908 rx_09_fifo.wr_addr[2] -.sym 4912 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 4913 smi_ctrl_ins.int_cnt_24[3] -.sym 4917 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 4918 w_rx_09_fifo_data[5] -.sym 4919 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 4920 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 4921 w_rx_24_fifo_data[11] -.sym 4922 w_rx_24_fifo_pulled_data[13] -.sym 4923 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 4924 w_rx_09_fifo_data[7] -.sym 4925 rx_24_fifo.rd_addr[8] -.sym 4927 w_rx_24_fifo_pulled_data[28] -.sym 4928 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[2] -.sym 4946 w_rx_24_fifo_full -.sym 4954 lvds_rx_24_inst.o_debug_state[0] -.sym 4961 lvds_rx_24_inst.r_push_SB_DFFESR_Q_E -.sym 4962 lvds_rx_24_inst.o_debug_state[1] -.sym 4967 lvds_rx_24_inst.o_debug_state[0] -.sym 4968 w_rx_24_fifo_full -.sym 4970 lvds_rx_24_inst.o_debug_state[1] -.sym 5013 lvds_rx_24_inst.r_push_SB_DFFESR_Q_E +.sym 4811 smi_ctrl_ins.soe_and_reset +.sym 4812 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[2] +.sym 4813 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[0] +.sym 4814 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[0] +.sym 4815 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[2] +.sym 4816 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[0] +.sym 4817 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 4818 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[1] +.sym 4819 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 4820 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[1] +.sym 4821 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[2] +.sym 4822 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[2] +.sym 4823 i_smi_a2_SB_LUT4_I1_1_O[1] +.sym 4824 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[1] +.sym 4825 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[2] +.sym 4826 i_smi_a2_SB_LUT4_I1_1_O[2] +.sym 4827 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[0] +.sym 4829 w_rx_24_fifo_data[23] +.sym 4831 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[1] +.sym 4833 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[1] +.sym 4838 i_smi_a2_SB_LUT4_I1_O +.sym 4840 i_smi_a2_SB_LUT4_I1_1_O[0] +.sym 4841 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[0] +.sym 4844 w_rx_24_fifo_data[23] +.sym 4850 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[2] +.sym 4851 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[0] +.sym 4852 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 4853 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[1] +.sym 4862 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[0] +.sym 4863 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[1] +.sym 4864 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[2] +.sym 4865 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 4868 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[2] +.sym 4869 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[1] +.sym 4870 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[0] +.sym 4871 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 4874 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[2] +.sym 4875 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 4876 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[0] +.sym 4877 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[1] +.sym 4880 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[2] +.sym 4881 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[0] +.sym 4882 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[1] +.sym 4883 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 4886 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 4887 i_smi_a2_SB_LUT4_I1_1_O[2] +.sym 4888 i_smi_a2_SB_LUT4_I1_1_O[1] +.sym 4889 i_smi_a2_SB_LUT4_I1_1_O[0] +.sym 4890 i_smi_a2_SB_LUT4_I1_O +.sym 4891 smi_ctrl_ins.soe_and_reset +.sym 4893 w_rx_24_fifo_pulled_data[12] +.sym 4895 w_rx_24_fifo_pulled_data[13] +.sym 4897 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[1] +.sym 4899 w_rx_24_fifo_pulled_data[15] +.sym 4901 w_rx_09_fifo_pulled_data[26] +.sym 4905 smi_ctrl_ins.soe_and_reset +.sym 4906 rx_24_fifo.wr_addr[7] +.sym 4907 io_smi_data[0]$SB_IO_OUT +.sym 4909 w_rx_09_fifo_data[7] +.sym 4912 w_rx_09_fifo_pulled_data[10] +.sym 4913 w_rx_24_fifo_data[19] +.sym 4915 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 4917 w_rx_24_fifo_data[28] +.sym 4918 w_rx_24_fifo_data[27] +.sym 4920 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[7] +.sym 4921 rx_24_fifo.wr_addr[8] +.sym 4922 w_rx_24_fifo_pulled_data[5] +.sym 4923 rx_24_fifo.rd_addr[7] +.sym 4924 w_rx_24_fifo_data[25] +.sym 4925 $PACKER_VCC_NET +.sym 4926 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[0] +.sym 4927 rx_24_fifo.wr_addr[7] +.sym 4928 rx_24_fifo.wr_addr[8] +.sym 4934 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 4936 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 4937 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[0] +.sym 4938 w_rx_24_fifo_pulled_data[10] +.sym 4940 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[3] +.sym 4942 w_rx_24_fifo_pulled_data[8] +.sym 4944 w_rx_24_fifo_pulled_data[9] +.sym 4945 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 4946 w_rx_24_fifo_pulled_data[5] +.sym 4947 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 4948 w_rx_24_fifo_pulled_data[11] +.sym 4950 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 4952 w_rx_24_fifo_pulled_data[1] +.sym 4954 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[1] +.sym 4955 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 4956 w_rx_24_fifo_pulled_data[3] +.sym 4958 w_rx_24_fifo_pulled_data[0] +.sym 4959 lvds_rx_24_inst.r_data[29] +.sym 4960 w_rx_24_fifo_pulled_data[13] +.sym 4962 w_rx_24_fifo_pulled_data[2] +.sym 4963 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 4973 w_rx_24_fifo_pulled_data[0] +.sym 4974 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 4975 w_rx_24_fifo_pulled_data[8] +.sym 4976 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 4979 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 4980 w_rx_24_fifo_pulled_data[9] +.sym 4981 w_rx_24_fifo_pulled_data[1] +.sym 4982 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 4985 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 4986 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 4987 w_rx_24_fifo_pulled_data[11] +.sym 4988 w_rx_24_fifo_pulled_data[3] +.sym 4991 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 4992 w_rx_24_fifo_pulled_data[5] +.sym 4993 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 4994 w_rx_24_fifo_pulled_data[13] +.sym 4998 lvds_rx_24_inst.r_data[29] +.sym 5003 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 5004 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 5005 w_rx_24_fifo_pulled_data[10] +.sym 5006 w_rx_24_fifo_pulled_data[2] +.sym 5009 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 5010 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[3] +.sym 5011 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[0] +.sym 5012 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[1] +.sym 5013 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce .sym 5014 lvds_clock_$glb_clk -.sym 5015 w_soft_reset_$glb_sr -.sym 5016 w_rx_24_fifo_pulled_data[16] -.sym 5018 w_rx_24_fifo_pulled_data[17] -.sym 5020 w_rx_24_fifo_pulled_data[18] -.sym 5022 w_rx_24_fifo_pulled_data[19] -.sym 5028 lvds_rx_24_inst.r_push -.sym 5034 w_rx_24_fifo_full -.sym 5040 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 5041 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 5042 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 5044 rx_24_fifo.rd_addr[5] -.sym 5045 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 5046 rx_24_fifo.wr_addr[5] -.sym 5047 rx_24_fifo.mem_i.0.0.0_RCLKE +.sym 5016 w_rx_24_fifo_pulled_data[0] +.sym 5018 w_rx_24_fifo_pulled_data[1] +.sym 5020 w_rx_24_fifo_pulled_data[2] +.sym 5022 w_rx_24_fifo_pulled_data[3] +.sym 5031 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 5032 w_rx_24_fifo_data[21] +.sym 5033 rx_24_fifo.rd_addr[5] +.sym 5035 w_smi_data_output[2] +.sym 5036 rx_24_fifo.rd_addr[3] +.sym 5038 w_rx_24_fifo_data[20] +.sym 5039 rx_24_fifo.rd_addr[2] +.sym 5041 rx_24_fifo.rd_addr[2] +.sym 5042 w_rx_24_fifo_data[29] +.sym 5043 $PACKER_VCC_NET +.sym 5045 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[8] +.sym 5047 w_rx_24_fifo_data[31] .sym 5048 $PACKER_VCC_NET -.sym 5049 w_rx_24_fifo_pulled_data[26] -.sym 5050 w_rx_09_fifo_data[4] -.sym 5051 rx_09_fifo.wr_addr[7] -.sym 5058 w_rx_24_fifo_pulled_data[5] -.sym 5062 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 5063 smi_ctrl_ins.int_cnt_24[3] -.sym 5066 lvds_rx_24_inst.o_debug_state[0] -.sym 5067 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 5068 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 5070 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 5071 smi_ctrl_ins.int_cnt_24[3] -.sym 5073 w_rx_24_fifo_pulled_data[26] -.sym 5076 lvds_rx_24_inst.o_debug_state[1] -.sym 5077 w_rx_24_fifo_pulled_data[22] -.sym 5079 lvds_rx_24_inst.r_data[0] -.sym 5080 lvds_rx_24_inst.r_data[8] -.sym 5082 w_rx_24_fifo_pulled_data[13] -.sym 5085 w_rx_24_fifo_pulled_data[18] -.sym 5086 w_rx_24_fifo_pulled_data[30] -.sym 5087 w_soft_reset -.sym 5090 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 5091 w_soft_reset -.sym 5092 lvds_rx_24_inst.o_debug_state[0] -.sym 5093 lvds_rx_24_inst.o_debug_state[1] -.sym 5098 lvds_rx_24_inst.r_data[8] -.sym 5104 lvds_rx_24_inst.r_data[0] -.sym 5108 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 5109 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 5110 w_rx_24_fifo_pulled_data[5] -.sym 5111 w_rx_24_fifo_pulled_data[13] -.sym 5114 w_rx_24_fifo_pulled_data[22] -.sym 5115 smi_ctrl_ins.int_cnt_24[3] -.sym 5116 w_rx_24_fifo_pulled_data[30] -.sym 5117 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 5120 w_rx_24_fifo_pulled_data[18] -.sym 5121 smi_ctrl_ins.int_cnt_24[3] -.sym 5122 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 5123 w_rx_24_fifo_pulled_data[26] -.sym 5136 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce -.sym 5137 lvds_clock_$glb_clk -.sym 5139 w_rx_24_fifo_pulled_data[20] -.sym 5141 w_rx_24_fifo_pulled_data[21] -.sym 5143 w_rx_24_fifo_pulled_data[22] -.sym 5145 w_rx_24_fifo_pulled_data[23] -.sym 5151 lvds_rx_09_inst.r_data[0] -.sym 5153 lvds_rx_09_inst.r_data[1] -.sym 5160 lvds_rx_09_inst.r_data[4] -.sym 5161 w_rx_24_fifo_data[9] -.sym 5162 w_rx_24_fifo_pulled_data[5] -.sym 5163 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[3] +.sym 5050 $PACKER_VCC_NET +.sym 5051 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 5058 rx_24_fifo.rd_addr[6] +.sym 5060 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 5063 rx_24_fifo.rd_addr[7] +.sym 5070 rx_24_fifo.rd_addr[1] +.sym 5074 rx_24_fifo.rd_addr[2] +.sym 5080 rx_24_fifo.rd_addr[5] +.sym 5084 rx_24_fifo.rd_addr[3] +.sym 5086 rx_24_fifo.rd_addr[4] +.sym 5089 $nextpnr_ICESTORM_LC_12$O +.sym 5091 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 5095 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[2] +.sym 5097 rx_24_fifo.rd_addr[1] +.sym 5101 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[3] +.sym 5103 rx_24_fifo.rd_addr[2] +.sym 5105 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[2] +.sym 5107 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[4] +.sym 5109 rx_24_fifo.rd_addr[3] +.sym 5111 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[3] +.sym 5113 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[5] +.sym 5116 rx_24_fifo.rd_addr[4] +.sym 5117 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[4] +.sym 5119 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[6] +.sym 5122 rx_24_fifo.rd_addr[5] +.sym 5123 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[5] +.sym 5125 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[7] +.sym 5127 rx_24_fifo.rd_addr[6] +.sym 5129 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[6] +.sym 5131 $nextpnr_ICESTORM_LC_13$I3 +.sym 5133 rx_24_fifo.rd_addr[7] +.sym 5135 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[7] +.sym 5139 w_rx_24_fifo_pulled_data[4] +.sym 5141 w_rx_24_fifo_pulled_data[5] +.sym 5143 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[0] +.sym 5145 w_rx_24_fifo_pulled_data[7] +.sym 5151 w_rx_09_fifo_data[3] +.sym 5152 rx_24_fifo.rd_addr[6] +.sym 5155 w_rx_24_fifo_data[24] +.sym 5156 smi_ctrl_ins.int_cnt_09[4] +.sym 5157 rx_24_fifo.rd_addr[3] +.sym 5159 w_rx_09_fifo_data[1] +.sym 5161 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[4] +.sym 5163 w_rx_24_fifo_data[30] .sym 5164 w_rx_24_fifo_data[2] -.sym 5165 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 5167 rx_09_fifo.wr_addr[5] -.sym 5168 w_rx_24_fifo_pulled_data[29] -.sym 5169 rx_24_fifo.wr_addr[7] -.sym 5170 rx_09_fifo.wr_addr[3] -.sym 5171 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 5172 w_rx_24_fifo_pulled_data[30] -.sym 5173 rx_24_fifo.rd_addr[3] -.sym 5174 rx_24_fifo.rd_addr[5] -.sym 5180 w_rx_24_fifo_pulled_data[16] -.sym 5184 w_rx_24_fifo_pulled_data[29] -.sym 5185 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 5186 w_rx_24_fifo_pulled_data[19] -.sym 5190 w_rx_24_fifo_pulled_data[17] -.sym 5194 smi_ctrl_ins.int_cnt_24[3] -.sym 5196 w_rx_24_fifo_pulled_data[20] -.sym 5198 w_rx_24_fifo_pulled_data[25] -.sym 5199 w_rx_24_fifo_pulled_data[28] -.sym 5200 w_rx_24_fifo_pulled_data[31] -.sym 5202 w_rx_24_fifo_pulled_data[23] -.sym 5204 w_rx_24_fifo_pulled_data[24] -.sym 5206 w_rx_24_fifo_pulled_data[21] -.sym 5207 smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_E -.sym 5209 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 5210 w_rx_24_fifo_pulled_data[27] -.sym 5213 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 5214 w_rx_24_fifo_pulled_data[27] -.sym 5215 w_rx_24_fifo_pulled_data[19] -.sym 5216 smi_ctrl_ins.int_cnt_24[3] -.sym 5219 w_rx_24_fifo_pulled_data[17] -.sym 5220 w_rx_24_fifo_pulled_data[25] -.sym 5221 smi_ctrl_ins.int_cnt_24[3] -.sym 5222 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 5225 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 5226 smi_ctrl_ins.int_cnt_24[3] -.sym 5227 w_rx_24_fifo_pulled_data[29] -.sym 5228 w_rx_24_fifo_pulled_data[21] -.sym 5231 smi_ctrl_ins.int_cnt_24[3] -.sym 5232 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 5233 w_rx_24_fifo_pulled_data[28] -.sym 5234 w_rx_24_fifo_pulled_data[20] -.sym 5237 w_rx_24_fifo_pulled_data[31] -.sym 5238 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 5239 w_rx_24_fifo_pulled_data[23] -.sym 5240 smi_ctrl_ins.int_cnt_24[3] -.sym 5243 smi_ctrl_ins.int_cnt_24[3] -.sym 5246 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 5250 smi_ctrl_ins.int_cnt_24[3] -.sym 5255 smi_ctrl_ins.int_cnt_24[3] -.sym 5256 w_rx_24_fifo_pulled_data[16] -.sym 5257 w_rx_24_fifo_pulled_data[24] -.sym 5258 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 5259 smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_E -.sym 5260 smi_ctrl_ins.soe_and_reset_$glb_clk +.sym 5165 rx_24_fifo.rd_addr[1] +.sym 5166 w_rx_24_fifo_data[14] +.sym 5169 rx_24_fifo.rd_addr[3] +.sym 5171 rx_24_fifo.rd_addr[4] +.sym 5173 rx_24_fifo.rd_addr[5] +.sym 5175 $nextpnr_ICESTORM_LC_13$I3 +.sym 5181 w_soft_reset +.sym 5182 rx_24_fifo.rd_addr_SB_DFFESR_Q_E +.sym 5183 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] +.sym 5184 $PACKER_VCC_NET +.sym 5191 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 5201 rx_24_fifo.rd_addr[1] +.sym 5209 rx_24_fifo.rd_addr[8] +.sym 5212 $nextpnr_ICESTORM_LC_13$COUT +.sym 5215 $PACKER_VCC_NET +.sym 5216 $nextpnr_ICESTORM_LC_13$I3 +.sym 5218 $nextpnr_ICESTORM_LC_14$I3 +.sym 5220 rx_24_fifo.rd_addr[8] +.sym 5228 $nextpnr_ICESTORM_LC_14$I3 +.sym 5234 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 5243 rx_24_fifo.rd_addr[1] +.sym 5244 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 5255 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] +.sym 5257 w_soft_reset +.sym 5259 rx_24_fifo.rd_addr_SB_DFFESR_Q_E +.sym 5260 r_counter_$glb_clk .sym 5261 w_soft_reset_$glb_sr -.sym 5262 w_rx_24_fifo_pulled_data[24] -.sym 5264 w_rx_24_fifo_pulled_data[25] -.sym 5266 w_rx_24_fifo_pulled_data[26] -.sym 5268 w_rx_24_fifo_pulled_data[27] -.sym 5276 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 5278 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 5279 w_rx_24_fifo_data[13] -.sym 5283 rx_24_fifo.rd_addr[6] -.sym 5284 w_rx_24_fifo_data[14] -.sym 5286 w_rx_24_fifo_pulled_data[31] -.sym 5287 i_smi_a3$SB_IO_IN -.sym 5288 rx_09_fifo.rd_addr[1] -.sym 5292 w_rx_09_fifo_data[3] -.sym 5293 rx_09_fifo.mem_i.0.0.0_RCLKE -.sym 5294 rx_24_fifo.rd_addr[1] -.sym 5297 rx_09_fifo.rd_addr[1] -.sym 5304 lvds_rx_24_inst.r_data[3] -.sym 5307 w_lvds_rx_24_d0 -.sym 5326 lvds_rx_24_inst.r_data[0] -.sym 5327 lvds_rx_24_inst.r_data[5] -.sym 5329 lvds_rx_24_inst.r_data[1] -.sym 5334 w_lvds_rx_24_d1 -.sym 5337 lvds_rx_24_inst.r_data[3] -.sym 5344 lvds_rx_24_inst.r_data[1] -.sym 5348 w_lvds_rx_24_d1 -.sym 5356 lvds_rx_24_inst.r_data[0] -.sym 5375 lvds_rx_24_inst.r_data[5] -.sym 5379 w_lvds_rx_24_d0 -.sym 5382 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E_$glb_ce +.sym 5262 w_rx_24_fifo_pulled_data[16] +.sym 5264 w_rx_24_fifo_pulled_data[17] +.sym 5266 w_rx_24_fifo_pulled_data[18] +.sym 5268 w_rx_24_fifo_pulled_data[19] +.sym 5274 i_smi_a2_SB_LUT4_I1_O +.sym 5275 w_soft_reset +.sym 5276 rx_24_fifo.rd_addr[1] +.sym 5279 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 5280 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[9] +.sym 5283 rx_24_fifo.wr_addr[7] +.sym 5286 rx_24_fifo.rd_addr[8] +.sym 5287 rx_24_fifo.rd_addr[6] +.sym 5289 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 5293 rx_24_fifo.rd_addr[1] +.sym 5296 rx_24_fifo.mem_i.0.0.0_WCLKE +.sym 5297 rx_24_fifo.rd_addr_SB_DFFESR_Q_E +.sym 5310 lvds_rx_24_inst.r_data[10] +.sym 5311 lvds_rx_24_inst.r_data[11] +.sym 5312 lvds_rx_24_inst.r_data[8] +.sym 5313 lvds_rx_24_inst.r_data[9] +.sym 5320 lvds_rx_24_inst.r_data[6] +.sym 5327 lvds_rx_24_inst.r_data[13] +.sym 5332 lvds_rx_24_inst.r_data[7] +.sym 5337 lvds_rx_24_inst.r_data[6] +.sym 5342 lvds_rx_24_inst.r_data[10] +.sym 5351 lvds_rx_24_inst.r_data[9] +.sym 5356 lvds_rx_24_inst.r_data[11] +.sym 5361 lvds_rx_24_inst.r_data[13] +.sym 5367 lvds_rx_24_inst.r_data[7] +.sym 5379 lvds_rx_24_inst.r_data[8] +.sym 5382 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce .sym 5383 lvds_clock_$glb_clk -.sym 5384 w_soft_reset_$glb_sr -.sym 5385 w_rx_24_fifo_pulled_data[28] -.sym 5387 w_rx_24_fifo_pulled_data[29] -.sym 5389 w_rx_24_fifo_pulled_data[30] -.sym 5391 w_rx_24_fifo_pulled_data[31] -.sym 5397 $PACKER_VCC_NET -.sym 5398 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 5400 rx_24_fifo.wr_addr[8] -.sym 5405 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 5410 w_rx_09_fifo_data[5] -.sym 5411 $PACKER_VCC_NET -.sym 5412 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 5416 w_soft_reset -.sym 5417 w_rx_09_fifo_data[7] -.sym 5418 w_rx_24_fifo_pulled_data[28] -.sym 5443 w_lvds_rx_24_d0 -.sym 5449 w_lvds_rx_24_d1 -.sym 5460 w_lvds_rx_24_d1 -.sym 5467 w_lvds_rx_24_d0 -.sym 5505 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce -.sym 5506 lvds_clock_$glb_clk -.sym 5508 w_rx_09_fifo_pulled_data[24] -.sym 5510 w_rx_09_fifo_pulled_data[25] -.sym 5512 w_rx_09_fifo_pulled_data[26] -.sym 5514 w_rx_09_fifo_pulled_data[27] -.sym 5520 w_rx_24_fifo_data[5] -.sym 5524 w_rx_24_fifo_data[6] -.sym 5525 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 5526 w_rx_24_fifo_data[7] -.sym 5527 w_rx_24_fifo_data[4] -.sym 5528 w_soft_reset -.sym 5532 w_lvds_rx_24_d1 -.sym 5538 w_rx_09_fifo_data[4] -.sym 5542 lvds_rx_09_inst.o_debug_state[1] -.sym 5551 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E -.sym 5558 lvds_rx_09_inst.o_debug_state[1] -.sym 5559 lvds_rx_09_inst.o_debug_state[0] -.sym 5563 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I2[2] -.sym 5565 w_lvds_rx_09_d0 -.sym 5567 lvds_rx_09_inst.o_debug_state[0] -.sym 5568 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] -.sym 5573 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 5575 w_lvds_rx_09_d1 -.sym 5576 w_soft_reset -.sym 5588 lvds_rx_09_inst.o_debug_state[0] -.sym 5589 w_lvds_rx_09_d0 -.sym 5590 w_lvds_rx_09_d1 -.sym 5591 lvds_rx_09_inst.o_debug_state[1] -.sym 5594 lvds_rx_09_inst.o_debug_state[1] -.sym 5595 lvds_rx_09_inst.o_debug_state[0] -.sym 5596 w_lvds_rx_09_d0 -.sym 5597 w_lvds_rx_09_d1 -.sym 5600 lvds_rx_09_inst.o_debug_state[0] -.sym 5601 w_soft_reset -.sym 5602 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I2[2] -.sym 5603 lvds_rx_09_inst.o_debug_state[1] -.sym 5607 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 5608 lvds_rx_09_inst.o_debug_state[0] -.sym 5609 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] -.sym 5628 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E -.sym 5629 lvds_clock_$glb_clk +.sym 5385 w_rx_24_fifo_pulled_data[20] +.sym 5387 w_rx_24_fifo_pulled_data[21] +.sym 5389 w_rx_24_fifo_pulled_data[22] +.sym 5391 w_rx_24_fifo_pulled_data[23] +.sym 5402 $PACKER_VCC_NET +.sym 5403 rx_24_fifo.wr_addr[7] +.sym 5404 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 5406 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 5409 rx_24_fifo.rd_addr[4] +.sym 5412 rx_24_fifo.wr_addr[8] +.sym 5413 rx_24_fifo.rd_addr[6] +.sym 5414 rx_24_fifo.rd_addr[8] +.sym 5415 rx_24_fifo.rd_addr[7] +.sym 5416 $PACKER_VCC_NET +.sym 5417 $PACKER_VCC_NET +.sym 5418 rx_24_fifo.wr_addr[7] +.sym 5428 rx_24_fifo.rd_addr[2] +.sym 5430 rx_24_fifo.rd_addr[4] +.sym 5437 rx_24_fifo.rd_addr[1] +.sym 5439 rx_24_fifo.rd_addr[5] +.sym 5444 rx_24_fifo.rd_addr_SB_DFFESR_Q_E +.sym 5445 rx_24_fifo.rd_addr[3] +.sym 5448 rx_24_fifo.rd_addr[6] +.sym 5449 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 5457 rx_24_fifo.rd_addr[7] +.sym 5458 $nextpnr_ICESTORM_LC_9$O +.sym 5460 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 5464 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 5466 rx_24_fifo.rd_addr[1] +.sym 5470 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] +.sym 5473 rx_24_fifo.rd_addr[2] +.sym 5474 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 5476 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] +.sym 5479 rx_24_fifo.rd_addr[3] +.sym 5480 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] +.sym 5482 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] +.sym 5485 rx_24_fifo.rd_addr[4] +.sym 5486 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] +.sym 5488 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] +.sym 5490 rx_24_fifo.rd_addr[5] +.sym 5492 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] +.sym 5494 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] +.sym 5497 rx_24_fifo.rd_addr[6] +.sym 5498 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] +.sym 5500 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] +.sym 5502 rx_24_fifo.rd_addr[7] +.sym 5504 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] +.sym 5505 rx_24_fifo.rd_addr_SB_DFFESR_Q_E +.sym 5506 r_counter_$glb_clk +.sym 5507 w_soft_reset_$glb_sr +.sym 5508 w_rx_24_fifo_pulled_data[24] +.sym 5510 w_rx_24_fifo_pulled_data[25] +.sym 5512 w_rx_24_fifo_pulled_data[26] +.sym 5514 w_rx_24_fifo_pulled_data[27] +.sym 5522 rx_24_fifo.rd_addr[5] +.sym 5528 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 5530 rx_24_fifo.rd_addr[4] +.sym 5532 $PACKER_VCC_NET +.sym 5533 rx_24_fifo.rd_addr[2] +.sym 5535 rx_24_fifo.rd_addr[3] +.sym 5539 rx_24_fifo.rd_addr[5] +.sym 5540 rx_24_fifo.rd_addr[8] +.sym 5542 $PACKER_VCC_NET +.sym 5543 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 5544 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] +.sym 5553 w_rx_24_fifo_data[4] +.sym 5557 w_rx_24_fifo_push +.sym 5561 w_soft_reset +.sym 5565 rx_24_fifo.rd_addr[8] +.sym 5567 rx_24_fifo.rd_addr_SB_DFFESR_Q_E +.sym 5584 rx_24_fifo.rd_addr[8] +.sym 5585 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] +.sym 5603 w_rx_24_fifo_data[4] +.sym 5612 w_rx_24_fifo_push +.sym 5614 w_soft_reset +.sym 5628 rx_24_fifo.rd_addr_SB_DFFESR_Q_E +.sym 5629 r_counter_$glb_clk .sym 5630 w_soft_reset_$glb_sr -.sym 5631 w_rx_09_fifo_pulled_data[28] -.sym 5633 w_rx_09_fifo_pulled_data[29] -.sym 5635 w_rx_09_fifo_pulled_data[30] -.sym 5637 w_rx_09_fifo_pulled_data[31] -.sym 5643 rx_09_fifo.wr_addr[7] -.sym 5644 rx_09_fifo.wr_addr[8] -.sym 5645 rx_09_fifo.wr_addr[2] -.sym 5646 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 5647 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E -.sym 5649 lvds_rx_09_inst.o_debug_state[0] -.sym 5651 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 5652 rx_09_fifo.wr_addr[3] -.sym 5653 rx_09_fifo.wr_addr[4] -.sym 5654 rx_09_fifo.full_o_SB_LUT4_I2_O[1] -.sym 5656 rx_09_fifo.wr_addr[5] -.sym 5679 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E -.sym 5680 lvds_rx_24_inst.o_debug_state[1] -.sym 5681 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 5685 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] -.sym 5686 w_soft_reset -.sym 5687 w_lvds_rx_24_d0 -.sym 5690 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E -.sym 5692 w_lvds_rx_24_d1 -.sym 5700 lvds_rx_24_inst.o_debug_state[0] -.sym 5705 w_lvds_rx_24_d0 -.sym 5706 lvds_rx_24_inst.o_debug_state[0] -.sym 5707 w_lvds_rx_24_d1 -.sym 5708 lvds_rx_24_inst.o_debug_state[1] -.sym 5717 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] +.sym 5631 w_rx_24_fifo_pulled_data[28] +.sym 5633 w_rx_24_fifo_pulled_data[29] +.sym 5635 w_rx_24_fifo_pulled_data[30] +.sym 5637 w_rx_24_fifo_pulled_data[31] +.sym 5644 rx_24_fifo.rd_addr[6] +.sym 5648 rx_24_fifo.rd_addr[7] +.sym 5650 rx_24_fifo.rd_addr[3] +.sym 5656 w_rx_24_fifo_data[2] +.sym 5660 rx_24_fifo.rd_addr[7] +.sym 5661 w_soft_reset +.sym 5676 lvds_rx_24_inst.o_debug_state[1] +.sym 5679 w_soft_reset +.sym 5681 lvds_rx_24_inst.o_debug_state[0] +.sym 5682 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I2[2] +.sym 5686 lvds_rx_24_inst.r_push +.sym 5692 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E +.sym 5698 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 5699 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 5708 lvds_rx_24_inst.r_push +.sym 5717 lvds_rx_24_inst.o_debug_state[0] .sym 5718 w_soft_reset -.sym 5719 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 5720 lvds_rx_24_inst.o_debug_state[0] -.sym 5723 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E -.sym 5729 w_lvds_rx_24_d1 -.sym 5730 lvds_rx_24_inst.o_debug_state[0] -.sym 5731 w_lvds_rx_24_d0 -.sym 5732 lvds_rx_24_inst.o_debug_state[1] -.sym 5751 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E +.sym 5719 lvds_rx_24_inst.o_debug_state[1] +.sym 5720 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I2[2] +.sym 5726 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E +.sym 5729 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 5731 lvds_rx_24_inst.o_debug_state[0] +.sym 5732 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] .sym 5752 lvds_clock_$glb_clk .sym 5753 w_soft_reset_$glb_sr -.sym 5763 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O -.sym 5766 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 5770 rx_09_fifo.rd_addr[5] -.sym 5772 rx_09_fifo.rd_addr[6] -.sym 5774 rx_09_fifo.rd_addr[1] -.sym 5776 lvds_rx_24_inst.o_debug_state[0] -.sym 5783 i_smi_a3$SB_IO_IN -.sym 5785 rx_09_fifo.mem_i.0.0.0_RCLKE -.sym 5799 lvds_rx_09_inst.o_debug_state[0] -.sym 5800 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 5801 lvds_rx_09_inst.r_phase_count[1] -.sym 5804 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[1] -.sym 5806 lvds_rx_09_inst.r_phase_count[0] -.sym 5807 lvds_rx_09_inst.o_debug_state[0] -.sym 5809 $PACKER_VCC_NET -.sym 5810 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[2] -.sym 5814 lvds_rx_09_inst.o_debug_state[1] -.sym 5815 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 5821 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[2] -.sym 5822 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E -.sym 5824 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3 -.sym 5827 $nextpnr_ICESTORM_LC_0$O -.sym 5830 lvds_rx_09_inst.r_phase_count[0] -.sym 5833 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O_I3[2] -.sym 5835 lvds_rx_09_inst.r_phase_count[1] -.sym 5836 $PACKER_VCC_NET -.sym 5837 lvds_rx_09_inst.r_phase_count[0] -.sym 5840 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[2] -.sym 5841 $PACKER_VCC_NET -.sym 5843 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O_I3[2] -.sym 5848 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 5852 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3 -.sym 5853 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 5854 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[2] -.sym 5858 lvds_rx_09_inst.o_debug_state[1] -.sym 5859 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 5860 lvds_rx_09_inst.o_debug_state[0] -.sym 5861 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[1] -.sym 5864 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3 -.sym 5870 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[2] -.sym 5871 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 5872 lvds_rx_09_inst.o_debug_state[1] -.sym 5873 lvds_rx_09_inst.o_debug_state[0] -.sym 5874 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E +.sym 5766 w_rx_24_fifo_data[5] +.sym 5772 w_rx_24_fifo_data[6] +.sym 5786 lvds_rx_24_inst.r_push_SB_DFFESR_Q_E +.sym 5797 lvds_rx_24_inst.r_push_SB_DFFESR_Q_E +.sym 5799 lvds_rx_09_inst.o_debug_state[1] +.sym 5802 w_rx_24_fifo_full +.sym 5806 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 5808 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 5812 lvds_rx_24_inst.o_debug_state[0] +.sym 5815 lvds_rx_24_inst.o_debug_state[1] +.sym 5821 w_soft_reset +.sym 5823 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 5828 lvds_rx_24_inst.o_debug_state[1] +.sym 5829 w_soft_reset +.sym 5830 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 5831 lvds_rx_24_inst.o_debug_state[0] +.sym 5842 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 5846 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 5848 w_soft_reset +.sym 5849 lvds_rx_09_inst.o_debug_state[1] +.sym 5865 w_rx_24_fifo_full +.sym 5866 lvds_rx_24_inst.o_debug_state[1] +.sym 5867 lvds_rx_24_inst.o_debug_state[0] +.sym 5874 lvds_rx_24_inst.r_push_SB_DFFESR_Q_E .sym 5875 lvds_clock_$glb_clk .sym 5876 w_soft_reset_$glb_sr -.sym 5899 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 5908 $PACKER_VCC_NET +.sym 5911 lvds_rx_09_inst.o_debug_state[0] +.sym 5920 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E +.sym 5921 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] +.sym 5922 lvds_rx_09_inst.o_debug_state[1] +.sym 5925 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I2[1] +.sym 5930 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 5931 w_lvds_rx_09_d0 +.sym 5932 w_lvds_rx_09_d1 +.sym 5933 w_soft_reset +.sym 5935 lvds_rx_09_inst.o_debug_state[0] +.sym 5943 lvds_rx_09_inst.o_debug_state[0] +.sym 5946 lvds_rx_09_inst.o_debug_state[1] +.sym 5951 lvds_rx_09_inst.o_debug_state[0] +.sym 5952 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 5953 lvds_rx_09_inst.o_debug_state[1] +.sym 5954 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] +.sym 5957 lvds_rx_09_inst.o_debug_state[0] +.sym 5958 lvds_rx_09_inst.o_debug_state[1] +.sym 5959 w_lvds_rx_09_d1 +.sym 5960 w_lvds_rx_09_d0 +.sym 5969 lvds_rx_09_inst.o_debug_state[1] +.sym 5970 w_lvds_rx_09_d0 +.sym 5971 w_lvds_rx_09_d1 +.sym 5972 w_soft_reset +.sym 5975 w_lvds_rx_09_d0 +.sym 5976 lvds_rx_09_inst.o_debug_state[0] +.sym 5977 lvds_rx_09_inst.o_debug_state[1] +.sym 5978 w_lvds_rx_09_d1 +.sym 5981 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 5983 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I2[1] +.sym 5984 w_soft_reset +.sym 5987 lvds_rx_09_inst.o_debug_state[1] +.sym 5988 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 5989 w_soft_reset +.sym 5990 lvds_rx_09_inst.o_debug_state[0] +.sym 5993 w_lvds_rx_09_d1 +.sym 5994 w_lvds_rx_09_d0 +.sym 5995 lvds_rx_09_inst.o_debug_state[0] +.sym 5996 lvds_rx_09_inst.o_debug_state[1] +.sym 5997 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E +.sym 5998 lvds_clock_$glb_clk +.sym 5999 w_soft_reset_$glb_sr +.sym 6014 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E +.sym 6016 lvds_rx_09_inst.o_debug_state[0] +.sym 6022 lvds_rx_09_inst.o_debug_state[1] +.sym 6023 o_shdn_tx_lna$SB_IO_OUT .sym 6246 i_smi_a3$SB_IO_IN -.sym 6275 i_smi_a3$SB_IO_IN .sym 6294 o_shdn_tx_lna$SB_IO_OUT .sym 6314 o_shdn_tx_lna$SB_IO_OUT -.sym 6346 io_smi_data[6]$SB_IO_OUT -.sym 6349 w_rx_09_fifo_data[31] -.sym 6353 w_rx_09_fifo_data[29] -.sym 6367 w_rx_09_fifo_pulled_data[24] +.sym 6316 i_smi_a3$SB_IO_IN +.sym 6346 lvds_rx_09_inst.r_data[18] +.sym 6350 lvds_rx_09_inst.r_data[16] +.sym 6353 lvds_rx_09_inst.r_data[14] .sym 6378 i_smi_a3$SB_IO_IN -.sym 6387 w_rx_09_fifo_data[24] -.sym 6388 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 6389 w_rx_09_fifo_data[26] +.sym 6386 rx_09_fifo.wr_addr[8] +.sym 6388 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] .sym 6390 $PACKER_VCC_NET -.sym 6391 w_rx_09_fifo_data[27] -.sym 6393 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 6394 w_rx_09_fifo_data[25] -.sym 6396 rx_09_fifo.wr_addr[3] -.sym 6398 rx_09_fifo.wr_addr[5] -.sym 6399 rx_09_fifo.wr_addr[2] -.sym 6400 rx_09_fifo.wr_addr[7] -.sym 6401 rx_09_fifo.wr_addr[4] -.sym 6411 rx_09_fifo.wr_addr[8] -.sym 6413 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 6415 rx_09_fifo.wr_addr[6] -.sym 6422 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[1] -.sym 6426 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[1] -.sym 6427 lvds_rx_09_inst.r_data[29] -.sym 6438 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 6439 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] +.sym 6394 rx_09_fifo.wr_addr[7] +.sym 6397 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 6398 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 6400 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 6403 rx_09_fifo.wr_addr[5] +.sym 6405 w_rx_09_fifo_data[8] +.sym 6406 rx_09_fifo.wr_addr[6] +.sym 6407 w_rx_09_fifo_data[10] +.sym 6408 rx_09_fifo.wr_addr[4] +.sym 6410 w_rx_09_fifo_data[9] +.sym 6416 w_rx_09_fifo_data[11] +.sym 6417 rx_09_fifo.wr_addr[2] +.sym 6422 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0[1] +.sym 6423 lvds_rx_09_inst.r_data[12] +.sym 6424 io_smi_data[7]$SB_IO_OUT +.sym 6426 lvds_rx_09_inst.r_data[10] +.sym 6427 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[1] +.sym 6428 lvds_rx_09_inst.r_data[27] +.sym 6429 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[1] +.sym 6438 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 6439 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] .sym 6441 rx_09_fifo.wr_addr[2] -.sym 6442 rx_09_fifo.wr_addr[3] +.sym 6442 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] .sym 6443 rx_09_fifo.wr_addr[4] .sym 6444 rx_09_fifo.wr_addr[5] .sym 6445 rx_09_fifo.wr_addr[6] .sym 6446 rx_09_fifo.wr_addr[7] .sym 6447 rx_09_fifo.wr_addr[8] .sym 6449 lvds_clock_$glb_clk -.sym 6450 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 6451 w_rx_09_fifo_data[24] -.sym 6453 w_rx_09_fifo_data[25] -.sym 6455 w_rx_09_fifo_data[26] -.sym 6457 w_rx_09_fifo_data[27] +.sym 6450 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 6451 w_rx_09_fifo_data[8] +.sym 6453 w_rx_09_fifo_data[9] +.sym 6455 w_rx_09_fifo_data[10] +.sym 6457 w_rx_09_fifo_data[11] .sym 6459 $PACKER_VCC_NET -.sym 6460 i_smi_a3$SB_IO_IN -.sym 6461 $PACKER_VCC_NET -.sym 6462 $PACKER_VCC_NET -.sym 6463 i_smi_a3$SB_IO_IN -.sym 6466 $PACKER_VCC_NET -.sym 6468 io_smi_data[3]$SB_IO_OUT -.sym 6469 $PACKER_VCC_NET -.sym 6485 rx_09_fifo.wr_addr[8] -.sym 6490 rx_09_fifo.wr_addr[6] -.sym 6491 rx_09_fifo.rd_addr[3] -.sym 6494 w_rx_09_fifo_pulled_data[2] -.sym 6498 w_rx_09_fifo_pulled_data[3] -.sym 6501 rx_09_fifo.wr_addr[6] -.sym 6503 w_rx_09_fifo_pulled_data[0] -.sym 6504 rx_09_fifo.rd_addr[8] -.sym 6506 w_rx_09_fifo_pulled_data[20] -.sym 6511 w_rx_09_fifo_pulled_data[7] -.sym 6515 rx_09_fifo.rd_addr[5] -.sym 6516 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 6517 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 6518 rx_09_fifo.rd_addr[2] -.sym 6528 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 6531 w_rx_09_fifo_data[31] -.sym 6535 w_rx_09_fifo_data[29] -.sym 6542 rx_09_fifo.rd_addr[1] -.sym 6545 rx_09_fifo.rd_addr[5] -.sym 6546 rx_09_fifo.rd_addr[2] -.sym 6547 rx_09_fifo.rd_addr[3] +.sym 6464 rx_09_fifo.wr_addr[7] +.sym 6468 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 6469 lvds_rx_09_inst.r_data[14] +.sym 6484 w_rx_09_fifo_data[9] +.sym 6491 w_rx_09_fifo_data[11] +.sym 6492 io_smi_data[6]$SB_IO_OUT +.sym 6495 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 6503 rx_09_fifo.wr_addr[5] +.sym 6505 rx_09_fifo.rd_addr[7] +.sym 6506 w_rx_09_fifo_pulled_data[4] +.sym 6516 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0[1] +.sym 6518 w_smi_data_output[7] +.sym 6532 rx_09_fifo.rd_addr[6] +.sym 6533 rx_09_fifo.rd_addr[1] +.sym 6537 rx_09_fifo.rd_addr[8] +.sym 6538 w_rx_09_fifo_data[13] +.sym 6539 rx_09_fifo.rd_addr[2] +.sym 6542 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 6543 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 6544 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 6547 w_rx_09_fifo_data[15] .sym 6548 $PACKER_VCC_NET -.sym 6553 rx_09_fifo.rd_addr[6] -.sym 6554 w_rx_09_fifo_data[30] +.sym 6549 w_rx_09_fifo_data[12] +.sym 6551 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 6552 w_rx_09_fifo_data[14] .sym 6555 rx_09_fifo.mem_i.0.0.0_RCLKE -.sym 6556 rx_09_fifo.rd_addr[7] -.sym 6557 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 6558 w_rx_09_fifo_data[28] -.sym 6559 rx_09_fifo.rd_addr[8] -.sym 6560 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[1] -.sym 6561 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[1] -.sym 6563 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[0] -.sym 6564 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[1] -.sym 6565 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0[1] -.sym 6566 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[1] -.sym 6567 w_smi_data_output[4] -.sym 6576 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] +.sym 6558 rx_09_fifo.rd_addr[7] +.sym 6560 lvds_rx_09_inst.r_data[20] +.sym 6561 lvds_rx_09_inst.r_data[24] +.sym 6562 lvds_rx_09_inst.r_data[6] +.sym 6563 lvds_rx_09_inst.r_data[8] +.sym 6564 lvds_rx_09_inst.r_data[28] +.sym 6565 lvds_rx_09_inst.r_data[23] +.sym 6566 lvds_rx_09_inst.r_data[25] +.sym 6567 lvds_rx_09_inst.r_data[26] +.sym 6576 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] .sym 6577 rx_09_fifo.rd_addr[1] .sym 6579 rx_09_fifo.rd_addr[2] -.sym 6580 rx_09_fifo.rd_addr[3] -.sym 6581 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 6582 rx_09_fifo.rd_addr[5] +.sym 6580 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 6581 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 6582 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] .sym 6583 rx_09_fifo.rd_addr[6] .sym 6584 rx_09_fifo.rd_addr[7] .sym 6585 rx_09_fifo.rd_addr[8] -.sym 6587 w_clock_sys +.sym 6587 r_counter_$glb_clk .sym 6588 rx_09_fifo.mem_i.0.0.0_RCLKE .sym 6589 $PACKER_VCC_NET -.sym 6590 w_rx_09_fifo_data[29] -.sym 6592 w_rx_09_fifo_data[30] -.sym 6594 w_rx_09_fifo_data[31] -.sym 6596 w_rx_09_fifo_data[28] -.sym 6603 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 6606 rx_09_fifo.wr_addr[3] -.sym 6607 rx_24_fifo.rd_addr[3] -.sym 6611 rx_24_fifo.rd_addr[5] -.sym 6614 w_rx_09_fifo_pulled_data[8] -.sym 6616 w_smi_data_output[6] -.sym 6617 rx_24_fifo.rd_addr[1] -.sym 6619 rx_09_fifo.rd_addr[6] -.sym 6620 rx_09_fifo.rd_addr[7] -.sym 6622 rx_09_fifo.rd_addr[7] -.sym 6623 rx_09_fifo.rd_addr[8] -.sym 6625 smi_ctrl_ins.int_cnt_09[3] -.sym 6631 w_rx_09_fifo_data[9] +.sym 6590 w_rx_09_fifo_data[13] +.sym 6592 w_rx_09_fifo_data[14] +.sym 6594 w_rx_09_fifo_data[15] +.sym 6596 w_rx_09_fifo_data[12] +.sym 6604 w_rx_09_fifo_data[13] +.sym 6607 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[1] +.sym 6609 rx_09_fifo.rd_addr[1] +.sym 6613 rx_09_fifo.rd_addr[8] +.sym 6615 w_rx_09_fifo_pulled_data[7] +.sym 6616 i_smi_a3$SB_IO_IN +.sym 6617 rx_24_fifo.wr_addr[5] +.sym 6620 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[1] +.sym 6621 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 6622 lvds_rx_09_inst.r_data[27] +.sym 6623 lvds_rx_09_inst.r_data[20] +.sym 6624 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 6630 rx_09_fifo.wr_addr[4] .sym 6632 rx_09_fifo.wr_addr[2] +.sym 6633 w_rx_09_fifo_data[27] .sym 6634 rx_09_fifo.wr_addr[8] -.sym 6635 w_rx_09_fifo_data[10] -.sym 6636 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 6640 w_rx_09_fifo_data[11] -.sym 6642 w_rx_09_fifo_data[8] -.sym 6645 rx_09_fifo.wr_addr[6] -.sym 6647 rx_09_fifo.wr_addr[7] -.sym 6649 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 6650 $PACKER_VCC_NET -.sym 6653 rx_09_fifo.wr_addr[3] -.sym 6654 rx_09_fifo.wr_addr[5] -.sym 6655 rx_09_fifo.wr_addr[4] -.sym 6657 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 6662 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[0] -.sym 6664 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[0] -.sym 6665 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[0] -.sym 6666 i_smi_a2_SB_LUT4_I1_O[1] -.sym 6667 w_smi_data_output[2] -.sym 6668 w_smi_data_output[5] -.sym 6669 w_smi_data_output[6] -.sym 6678 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 6679 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] +.sym 6636 rx_09_fifo.wr_addr[6] +.sym 6637 w_rx_09_fifo_data[24] +.sym 6642 w_rx_09_fifo_data[25] +.sym 6643 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 6644 w_rx_09_fifo_data[26] +.sym 6647 rx_09_fifo.wr_addr[5] +.sym 6648 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 6649 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 6654 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 6659 $PACKER_VCC_NET +.sym 6660 rx_09_fifo.wr_addr[7] +.sym 6662 lvds_rx_09_inst.r_data[15] +.sym 6663 lvds_rx_09_inst.r_data[13] +.sym 6664 lvds_rx_09_inst.r_data[21] +.sym 6665 lvds_rx_09_inst.r_data[2] +.sym 6666 lvds_rx_09_inst.r_data[0] +.sym 6667 lvds_rx_09_inst.r_data[4] +.sym 6668 lvds_rx_09_inst.r_data[22] +.sym 6669 lvds_rx_09_inst.r_data[29] +.sym 6678 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 6679 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] .sym 6681 rx_09_fifo.wr_addr[2] -.sym 6682 rx_09_fifo.wr_addr[3] +.sym 6682 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] .sym 6683 rx_09_fifo.wr_addr[4] .sym 6684 rx_09_fifo.wr_addr[5] .sym 6685 rx_09_fifo.wr_addr[6] .sym 6686 rx_09_fifo.wr_addr[7] .sym 6687 rx_09_fifo.wr_addr[8] .sym 6689 lvds_clock_$glb_clk -.sym 6690 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 6691 w_rx_09_fifo_data[8] -.sym 6693 w_rx_09_fifo_data[9] -.sym 6695 w_rx_09_fifo_data[10] -.sym 6697 w_rx_09_fifo_data[11] +.sym 6690 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 6691 w_rx_09_fifo_data[24] +.sym 6693 w_rx_09_fifo_data[25] +.sym 6695 w_rx_09_fifo_data[26] +.sym 6697 w_rx_09_fifo_data[27] .sym 6699 $PACKER_VCC_NET -.sym 6704 rx_09_fifo.mem_i.0.0.0_RCLKE -.sym 6708 w_rx_09_fifo_pulled_data[24] -.sym 6709 i_smi_a3$SB_IO_IN -.sym 6715 rx_24_fifo.rd_addr[1] -.sym 6716 w_rx_09_fifo_pulled_data[12] -.sym 6717 rx_24_fifo.rd_addr[4] -.sym 6718 w_rx_09_fifo_pulled_data[26] -.sym 6720 $PACKER_VCC_NET -.sym 6721 rx_09_fifo.wr_addr[8] -.sym 6722 w_soft_reset -.sym 6723 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 6725 rx_09_fifo.wr_addr[6] -.sym 6726 rx_09_fifo.rd_addr[3] -.sym 6727 rx_24_fifo.rd_addr[6] -.sym 6732 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] +.sym 6704 rx_09_fifo.wr_addr[4] +.sym 6705 rx_09_fifo.rd_addr[2] +.sym 6706 i_smi_a3$SB_IO_IN +.sym 6708 rx_09_fifo.wr_addr[2] +.sym 6709 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 6710 rx_09_fifo.wr_addr[8] +.sym 6711 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 6712 w_smi_data_output[5] +.sym 6715 rx_09_fifo.rd_addr[6] +.sym 6716 w_rx_24_fifo_pulled_data[12] +.sym 6719 lvds_rx_09_inst.r_data[4] +.sym 6720 w_rx_09_fifo_data[9] +.sym 6725 rx_24_fifo.wr_addr[6] +.sym 6732 rx_09_fifo.rd_addr[6] +.sym 6733 rx_09_fifo.rd_addr[1] +.sym 6734 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 6735 w_rx_09_fifo_data[31] .sym 6736 $PACKER_VCC_NET -.sym 6742 w_rx_09_fifo_data[13] -.sym 6748 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 6749 rx_09_fifo.rd_addr[5] -.sym 6750 rx_09_fifo.rd_addr[2] -.sym 6751 rx_09_fifo.rd_addr[3] -.sym 6755 w_rx_09_fifo_data[12] -.sym 6756 w_rx_09_fifo_data[14] -.sym 6757 rx_09_fifo.rd_addr[6] -.sym 6758 rx_09_fifo.rd_addr[7] -.sym 6759 rx_09_fifo.mem_i.0.0.0_RCLKE -.sym 6760 rx_09_fifo.rd_addr[1] -.sym 6761 rx_09_fifo.rd_addr[8] -.sym 6762 w_rx_09_fifo_data[15] -.sym 6764 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[0] -.sym 6765 i_smi_a2_SB_LUT4_I1_O[0] -.sym 6766 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0[0] -.sym 6767 smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_E -.sym 6768 smi_ctrl_ins.int_cnt_09[4] -.sym 6769 smi_ctrl_ins.int_cnt_09[3] -.sym 6770 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[2] -.sym 6771 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[0] -.sym 6780 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] +.sym 6737 rx_09_fifo.rd_addr[7] +.sym 6740 w_rx_09_fifo_data[30] +.sym 6743 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 6745 rx_09_fifo.rd_addr[8] +.sym 6746 w_rx_09_fifo_data[28] +.sym 6747 rx_09_fifo.rd_addr[2] +.sym 6748 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 6749 w_rx_09_fifo_data[29] +.sym 6755 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 6760 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 6764 w_rx_09_fifo_data[9] +.sym 6765 w_rx_09_fifo_data[29] +.sym 6766 w_rx_09_fifo_data[5] +.sym 6767 w_rx_09_fifo_data[17] +.sym 6768 w_rx_09_fifo_data[2] +.sym 6769 w_rx_09_fifo_data[7] +.sym 6770 w_rx_09_fifo_data[19] +.sym 6771 w_rx_09_fifo_data[22] +.sym 6780 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] .sym 6781 rx_09_fifo.rd_addr[1] .sym 6783 rx_09_fifo.rd_addr[2] -.sym 6784 rx_09_fifo.rd_addr[3] -.sym 6785 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 6786 rx_09_fifo.rd_addr[5] +.sym 6784 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 6785 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 6786 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] .sym 6787 rx_09_fifo.rd_addr[6] .sym 6788 rx_09_fifo.rd_addr[7] .sym 6789 rx_09_fifo.rd_addr[8] -.sym 6791 w_clock_sys +.sym 6791 r_counter_$glb_clk .sym 6792 rx_09_fifo.mem_i.0.0.0_RCLKE .sym 6793 $PACKER_VCC_NET -.sym 6794 w_rx_09_fifo_data[13] -.sym 6796 w_rx_09_fifo_data[14] -.sym 6798 w_rx_09_fifo_data[15] -.sym 6800 w_rx_09_fifo_data[12] -.sym 6806 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 6808 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[2] -.sym 6814 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 6816 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 6817 rx_24_fifo.rd_addr[8] -.sym 6820 w_rx_24_fifo_pulled_data[10] -.sym 6821 rx_24_fifo.rd_addr[8] -.sym 6822 w_rx_09_fifo_pulled_data[25] -.sym 6823 rx_09_fifo.wr_addr[6] -.sym 6825 rx_09_fifo.rd_addr[8] -.sym 6826 rx_09_fifo.rd_addr[3] -.sym 6827 w_rx_09_fifo_data[2] -.sym 6829 i_smi_a2_SB_LUT4_I1_O[3] -.sym 6834 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 6835 rx_09_fifo.wr_addr[7] -.sym 6837 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 6838 $PACKER_VCC_NET -.sym 6839 rx_09_fifo.wr_addr[5] -.sym 6840 rx_09_fifo.wr_addr[2] -.sym 6841 rx_09_fifo.wr_addr[3] -.sym 6842 w_rx_09_fifo_data[16] -.sym 6846 w_rx_09_fifo_data[18] -.sym 6847 rx_09_fifo.wr_addr[4] -.sym 6855 w_rx_09_fifo_data[19] -.sym 6859 rx_09_fifo.wr_addr[8] -.sym 6860 w_rx_09_fifo_data[17] -.sym 6861 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 6863 rx_09_fifo.wr_addr[6] -.sym 6869 lvds_rx_24_inst.r_data[18] -.sym 6870 lvds_rx_24_inst.r_data[16] -.sym 6882 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 6883 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 6885 rx_09_fifo.wr_addr[2] -.sym 6886 rx_09_fifo.wr_addr[3] -.sym 6887 rx_09_fifo.wr_addr[4] -.sym 6888 rx_09_fifo.wr_addr[5] -.sym 6889 rx_09_fifo.wr_addr[6] -.sym 6890 rx_09_fifo.wr_addr[7] -.sym 6891 rx_09_fifo.wr_addr[8] +.sym 6794 w_rx_09_fifo_data[29] +.sym 6796 w_rx_09_fifo_data[30] +.sym 6798 w_rx_09_fifo_data[31] +.sym 6800 w_rx_09_fifo_data[28] +.sym 6806 rx_09_fifo.rd_addr[6] +.sym 6807 lvds_rx_09_inst.r_data[22] +.sym 6808 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 6811 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 6812 w_rx_09_fifo_data[20] +.sym 6817 rx_09_fifo.rd_addr[1] +.sym 6818 lvds_rx_09_inst.r_data[21] +.sym 6819 rx_24_fifo.wr_addr[2] +.sym 6821 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 6822 rx_24_fifo.wr_addr[3] +.sym 6823 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 6824 w_lvds_rx_09_d0 +.sym 6825 rx_24_fifo.wr_addr[4] +.sym 6829 rx_24_fifo.wr_addr[4] +.sym 6834 rx_24_fifo.wr_addr[2] +.sym 6837 w_rx_24_fifo_data[19] +.sym 6839 rx_24_fifo.wr_addr[3] +.sym 6840 rx_24_fifo.wr_addr[4] +.sym 6844 rx_24_fifo.wr_addr[5] +.sym 6846 rx_24_fifo.wr_addr[7] +.sym 6847 $PACKER_VCC_NET +.sym 6848 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 6850 rx_24_fifo.wr_addr[8] +.sym 6854 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 6855 w_rx_24_fifo_data[18] +.sym 6861 rx_24_fifo.mem_i.0.0.0_WCLKE +.sym 6862 w_rx_24_fifo_data[17] +.sym 6863 rx_24_fifo.wr_addr[6] +.sym 6864 w_rx_24_fifo_data[16] +.sym 6867 w_rx_09_fifo_data[4] +.sym 6868 w_rx_09_fifo_data[23] +.sym 6870 w_rx_09_fifo_data[6] +.sym 6871 w_rx_09_fifo_data[11] +.sym 6882 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 6883 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 6885 rx_24_fifo.wr_addr[2] +.sym 6886 rx_24_fifo.wr_addr[3] +.sym 6887 rx_24_fifo.wr_addr[4] +.sym 6888 rx_24_fifo.wr_addr[5] +.sym 6889 rx_24_fifo.wr_addr[6] +.sym 6890 rx_24_fifo.wr_addr[7] +.sym 6891 rx_24_fifo.wr_addr[8] .sym 6893 lvds_clock_$glb_clk -.sym 6894 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 6895 w_rx_09_fifo_data[16] -.sym 6897 w_rx_09_fifo_data[17] -.sym 6899 w_rx_09_fifo_data[18] -.sym 6901 w_rx_09_fifo_data[19] +.sym 6894 rx_24_fifo.mem_i.0.0.0_WCLKE +.sym 6895 w_rx_24_fifo_data[16] +.sym 6897 w_rx_24_fifo_data[17] +.sym 6899 w_rx_24_fifo_data[18] +.sym 6901 w_rx_24_fifo_data[19] .sym 6903 $PACKER_VCC_NET .sym 6904 i_smi_a3$SB_IO_IN .sym 6907 i_smi_a3$SB_IO_IN -.sym 6908 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 6909 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 6910 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 6911 rx_24_fifo.rd_addr[5] -.sym 6912 lvds_rx_24_inst.r_data[19] -.sym 6913 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 6915 w_rx_24_fifo_pulled_data[2] -.sym 6916 rx_24_fifo.mem_i.0.0.0_RCLKE -.sym 6917 rx_24_fifo.wr_addr[5] -.sym 6918 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 6919 rx_09_fifo.wr_addr[7] -.sym 6921 w_rx_09_fifo_pulled_data[30] -.sym 6922 w_rx_09_fifo_pulled_data[27] -.sym 6923 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 6924 w_rx_09_fifo_pulled_data[29] -.sym 6925 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 6926 rx_09_fifo.rd_addr[2] -.sym 6927 rx_09_fifo.rd_addr[5] -.sym 6928 w_rx_09_fifo_pulled_data[28] -.sym 6929 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 6937 w_rx_09_fifo_data[23] -.sym 6939 w_rx_09_fifo_data[21] -.sym 6940 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 6947 rx_09_fifo.mem_i.0.0.0_RCLKE -.sym 6948 rx_09_fifo.rd_addr[1] -.sym 6949 $PACKER_VCC_NET -.sym 6950 rx_09_fifo.rd_addr[5] -.sym 6951 rx_09_fifo.rd_addr[2] -.sym 6954 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 6955 w_rx_09_fifo_data[22] -.sym 6956 rx_09_fifo.rd_addr[6] -.sym 6959 w_rx_09_fifo_data[20] -.sym 6963 rx_09_fifo.rd_addr[8] -.sym 6964 rx_09_fifo.rd_addr[3] -.sym 6966 rx_09_fifo.rd_addr[7] -.sym 6969 w_rx_09_fifo_data[6] -.sym 6970 i_smi_a1_SB_LUT4_I1_O -.sym 6971 w_rx_24_fifo_data[15] -.sym 6972 w_rx_09_fifo_data[2] -.sym 6973 i_smi_a2_SB_LUT4_I1_O[3] -.sym 6974 w_rx_09_fifo_data[3] -.sym 6984 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 6985 rx_09_fifo.rd_addr[1] -.sym 6987 rx_09_fifo.rd_addr[2] -.sym 6988 rx_09_fifo.rd_addr[3] -.sym 6989 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 6990 rx_09_fifo.rd_addr[5] -.sym 6991 rx_09_fifo.rd_addr[6] -.sym 6992 rx_09_fifo.rd_addr[7] -.sym 6993 rx_09_fifo.rd_addr[8] -.sym 6995 w_clock_sys -.sym 6996 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 6911 w_rx_09_fifo_data[17] +.sym 6913 w_rx_09_fifo_data[22] +.sym 6914 lvds_rx_09_inst.r_data[11] +.sym 6919 w_rx_09_fifo_data[5] +.sym 6920 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 6921 w_rx_24_fifo_data[18] +.sym 6923 rx_24_fifo.mem_i.0.0.0_RCLKE +.sym 6924 w_rx_24_fifo_pulled_data[15] +.sym 6925 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0[1] +.sym 6929 i_smi_a2_SB_LUT4_I1_O +.sym 6931 w_smi_data_output[7] +.sym 6936 rx_24_fifo.rd_addr[4] +.sym 6938 rx_24_fifo.mem_i.0.0.0_RCLKE +.sym 6939 rx_24_fifo.rd_addr[3] +.sym 6940 rx_24_fifo.rd_addr[2] +.sym 6941 w_rx_24_fifo_data[20] +.sym 6942 rx_24_fifo.rd_addr[6] +.sym 6943 w_rx_24_fifo_data[21] +.sym 6944 rx_24_fifo.rd_addr[1] +.sym 6945 rx_24_fifo.rd_addr[8] +.sym 6948 w_rx_24_fifo_data[22] +.sym 6950 rx_24_fifo.rd_addr[5] +.sym 6956 $PACKER_VCC_NET +.sym 6959 rx_24_fifo.rd_addr[7] +.sym 6960 w_rx_24_fifo_data[23] +.sym 6967 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 6969 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 6971 w_rx_09_fifo_data[0] +.sym 6972 w_rx_09_fifo_data[3] +.sym 6975 w_rx_09_fifo_data[1] +.sym 6984 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 6985 rx_24_fifo.rd_addr[1] +.sym 6987 rx_24_fifo.rd_addr[2] +.sym 6988 rx_24_fifo.rd_addr[3] +.sym 6989 rx_24_fifo.rd_addr[4] +.sym 6990 rx_24_fifo.rd_addr[5] +.sym 6991 rx_24_fifo.rd_addr[6] +.sym 6992 rx_24_fifo.rd_addr[7] +.sym 6993 rx_24_fifo.rd_addr[8] +.sym 6995 r_counter_$glb_clk +.sym 6996 rx_24_fifo.mem_i.0.0.0_RCLKE .sym 6997 $PACKER_VCC_NET -.sym 6998 w_rx_09_fifo_data[21] -.sym 7000 w_rx_09_fifo_data[22] -.sym 7002 w_rx_09_fifo_data[23] -.sym 7004 w_rx_09_fifo_data[20] -.sym 7018 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[3] -.sym 7019 rx_24_fifo.wr_addr[7] -.sym 7022 rx_09_fifo.rd_addr[6] -.sym 7023 w_rx_09_fifo_pulled_data[31] -.sym 7026 rx_24_fifo.rd_addr[1] -.sym 7027 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] +.sym 6998 w_rx_24_fifo_data[21] +.sym 7000 w_rx_24_fifo_data[22] +.sym 7002 w_rx_24_fifo_data[23] +.sym 7004 w_rx_24_fifo_data[20] +.sym 7017 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 7020 rx_24_fifo.rd_addr[1] +.sym 7021 w_rx_09_fifo_data[23] +.sym 7022 w_smi_data_output[4] +.sym 7023 rx_24_fifo.wr_addr[6] +.sym 7024 smi_ctrl_ins.int_cnt_24[3] .sym 7028 i_smi_a3$SB_IO_IN -.sym 7029 rx_09_fifo.rd_addr[7] -.sym 7030 i_smi_a1$SB_IO_IN -.sym 7031 rx_24_fifo.wr_addr[6] -.sym 7032 rx_09_fifo.rd_addr[7] -.sym 7038 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 7039 w_rx_24_fifo_data[10] -.sym 7040 rx_24_fifo.wr_addr[6] -.sym 7041 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 7043 w_rx_24_fifo_data[9] -.sym 7046 w_rx_24_fifo_data[11] +.sym 7029 rx_24_fifo.wr_addr[5] +.sym 7031 w_rx_24_fifo_pulled_data[31] +.sym 7033 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[1] +.sym 7038 rx_24_fifo.wr_addr[6] +.sym 7041 w_rx_24_fifo_data[25] +.sym 7042 $PACKER_VCC_NET +.sym 7043 w_rx_24_fifo_data[27] +.sym 7045 w_rx_24_fifo_data[24] .sym 7049 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 7050 rx_24_fifo.wr_addr[3] -.sym 7051 rx_24_fifo.wr_addr[4] +.sym 7050 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 7052 rx_24_fifo.wr_addr[7] .sym 7053 rx_24_fifo.wr_addr[8] -.sym 7061 rx_24_fifo.wr_addr[5] -.sym 7062 w_rx_24_fifo_data[8] -.sym 7063 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 7064 rx_24_fifo.wr_addr[7] -.sym 7067 $PACKER_VCC_NET -.sym 7070 smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_E -.sym 7073 lvds_rx_24_inst.r_data[11] -.sym 7074 lvds_rx_24_inst.r_data[10] -.sym 7076 lvds_rx_24_inst.r_data[9] -.sym 7086 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 7087 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 7089 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] +.sym 7055 w_rx_24_fifo_data[26] +.sym 7056 rx_24_fifo.wr_addr[4] +.sym 7057 rx_24_fifo.wr_addr[3] +.sym 7058 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 7067 rx_24_fifo.wr_addr[2] +.sym 7068 rx_24_fifo.wr_addr[5] +.sym 7070 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0[2] +.sym 7071 rx_24_fifo.mem_i.0.0.0_RCLKE +.sym 7072 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 7073 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] +.sym 7074 i_smi_a2_SB_LUT4_I1_O +.sym 7075 w_smi_data_output[7] +.sym 7076 w_smi_data_output[4] +.sym 7077 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[1] +.sym 7086 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 7087 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 7089 rx_24_fifo.wr_addr[2] .sym 7090 rx_24_fifo.wr_addr[3] .sym 7091 rx_24_fifo.wr_addr[4] .sym 7092 rx_24_fifo.wr_addr[5] @@ -5835,100 +6138,94 @@ .sym 7095 rx_24_fifo.wr_addr[8] .sym 7097 lvds_clock_$glb_clk .sym 7098 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 7099 w_rx_24_fifo_data[8] -.sym 7101 w_rx_24_fifo_data[9] -.sym 7103 w_rx_24_fifo_data[10] -.sym 7105 w_rx_24_fifo_data[11] +.sym 7099 w_rx_24_fifo_data[24] +.sym 7101 w_rx_24_fifo_data[25] +.sym 7103 w_rx_24_fifo_data[26] +.sym 7105 w_rx_24_fifo_data[27] .sym 7107 $PACKER_VCC_NET -.sym 7112 i_smi_a3$SB_IO_IN -.sym 7113 w_rx_09_fifo_data[3] -.sym 7114 i_smi_a1$SB_IO_IN -.sym 7115 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 7116 rx_24_fifo.wr_addr[6] -.sym 7117 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 7118 rx_24_fifo.wr_addr[3] -.sym 7119 rx_24_fifo.wr_addr[4] -.sym 7121 rx_24_fifo.wr_addr[8] -.sym 7122 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 7126 rx_24_fifo.mem_i.0.0.0_RCLKE -.sym 7127 rx_24_fifo.wr_addr[3] -.sym 7128 rx_09_fifo.wr_addr[6] -.sym 7129 rx_09_fifo.wr_addr[8] -.sym 7130 w_rx_09_fifo_pulled_data[26] -.sym 7131 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 7133 rx_24_fifo.rd_addr[4] -.sym 7134 w_soft_reset -.sym 7135 rx_24_fifo.rd_addr[6] -.sym 7141 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 7142 rx_24_fifo.rd_addr[6] -.sym 7143 w_rx_24_fifo_data[15] +.sym 7115 w_rx_09_fifo_data[0] +.sym 7119 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 7122 smi_ctrl_ins.int_cnt_09[3] +.sym 7124 w_rx_24_fifo_pulled_data[12] +.sym 7126 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[0] +.sym 7127 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 7128 rx_24_fifo.wr_addr[6] +.sym 7132 w_rx_24_fifo_data[7] +.sym 7134 rx_24_fifo.wr_addr[5] +.sym 7135 rx_24_fifo.mem_i.0.0.0_RCLKE +.sym 7141 w_rx_24_fifo_data[28] +.sym 7143 w_rx_24_fifo_data[31] .sym 7144 $PACKER_VCC_NET -.sym 7145 w_rx_24_fifo_data[14] -.sym 7148 rx_24_fifo.rd_addr[5] -.sym 7149 rx_24_fifo.rd_addr[8] -.sym 7150 w_rx_24_fifo_data[12] -.sym 7151 rx_24_fifo.mem_i.0.0.0_RCLKE -.sym 7153 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 7154 w_rx_24_fifo_data[13] -.sym 7155 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 7156 rx_24_fifo.rd_addr[4] -.sym 7159 rx_24_fifo.rd_addr[3] -.sym 7164 rx_24_fifo.rd_addr[1] -.sym 7172 rx_09_fifo.wr_addr[6] -.sym 7173 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 7179 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 7188 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 7145 rx_24_fifo.rd_addr[1] +.sym 7147 rx_24_fifo.rd_addr[7] +.sym 7151 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 7153 rx_24_fifo.rd_addr[2] +.sym 7154 w_rx_24_fifo_data[29] +.sym 7157 w_rx_24_fifo_data[30] +.sym 7158 rx_24_fifo.mem_i.0.0.0_RCLKE +.sym 7159 rx_24_fifo.rd_addr[5] +.sym 7160 rx_24_fifo.rd_addr[8] +.sym 7163 rx_24_fifo.rd_addr[3] +.sym 7165 rx_24_fifo.rd_addr[4] +.sym 7169 rx_24_fifo.rd_addr[6] +.sym 7172 rx_24_fifo.wr_addr[6] +.sym 7173 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[2] +.sym 7175 rx_24_fifo.wr_addr[5] +.sym 7178 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 7179 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[2] +.sym 7188 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] .sym 7189 rx_24_fifo.rd_addr[1] -.sym 7191 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] +.sym 7191 rx_24_fifo.rd_addr[2] .sym 7192 rx_24_fifo.rd_addr[3] .sym 7193 rx_24_fifo.rd_addr[4] .sym 7194 rx_24_fifo.rd_addr[5] .sym 7195 rx_24_fifo.rd_addr[6] -.sym 7196 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] +.sym 7196 rx_24_fifo.rd_addr[7] .sym 7197 rx_24_fifo.rd_addr[8] -.sym 7199 w_clock_sys +.sym 7199 r_counter_$glb_clk .sym 7200 rx_24_fifo.mem_i.0.0.0_RCLKE .sym 7201 $PACKER_VCC_NET -.sym 7202 w_rx_24_fifo_data[13] -.sym 7204 w_rx_24_fifo_data[14] -.sym 7206 w_rx_24_fifo_data[15] -.sym 7208 w_rx_24_fifo_data[12] -.sym 7214 w_rx_24_fifo_data[11] -.sym 7216 w_rx_24_fifo_data[12] -.sym 7220 w_rx_24_fifo_pulled_data[13] -.sym 7222 lvds_rx_24_inst.r_data[8] -.sym 7226 $PACKER_VCC_NET -.sym 7229 w_rx_24_fifo_data[3] -.sym 7230 w_rx_09_fifo_pulled_data[25] -.sym 7233 rx_09_fifo.rd_addr[3] -.sym 7235 rx_09_fifo.wr_addr[6] -.sym 7236 w_rx_09_fifo_data[2] -.sym 7237 rx_24_fifo.rd_addr[8] -.sym 7242 rx_24_fifo.wr_addr[4] -.sym 7246 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 7247 w_rx_24_fifo_data[2] -.sym 7248 rx_24_fifo.wr_addr[8] -.sym 7249 rx_24_fifo.wr_addr[5] -.sym 7251 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 7252 w_rx_24_fifo_data[3] -.sym 7253 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 7254 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] +.sym 7202 w_rx_24_fifo_data[29] +.sym 7204 w_rx_24_fifo_data[30] +.sym 7206 w_rx_24_fifo_data[31] +.sym 7208 w_rx_24_fifo_data[28] +.sym 7210 w_soft_reset +.sym 7223 rx_24_fifo.rd_addr[7] +.sym 7224 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[7] +.sym 7226 w_rx_24_fifo_pulled_data[24] +.sym 7227 w_rx_24_fifo_pulled_data[23] +.sym 7229 rx_24_fifo.wr_addr[3] +.sym 7231 rx_24_fifo.wr_addr[2] +.sym 7232 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[5] +.sym 7234 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[6] +.sym 7235 rx_24_fifo.wr_addr[6] +.sym 7237 rx_24_fifo.wr_addr[4] +.sym 7243 rx_24_fifo.wr_addr[7] +.sym 7246 rx_24_fifo.wr_addr[2] +.sym 7247 w_rx_24_fifo_data[9] +.sym 7249 w_rx_24_fifo_data[10] +.sym 7250 w_rx_24_fifo_data[8] +.sym 7252 w_rx_24_fifo_data[11] .sym 7255 $PACKER_VCC_NET -.sym 7256 rx_24_fifo.wr_addr[7] .sym 7258 rx_24_fifo.wr_addr[6] -.sym 7259 w_rx_24_fifo_data[0] -.sym 7265 rx_24_fifo.wr_addr[3] -.sym 7266 w_rx_24_fifo_data[1] -.sym 7275 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[1] -.sym 7276 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[2] -.sym 7277 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[3] -.sym 7278 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[4] -.sym 7279 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[5] -.sym 7280 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[6] -.sym 7281 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[7] -.sym 7290 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 7291 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 7293 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] +.sym 7260 rx_24_fifo.wr_addr[4] +.sym 7261 rx_24_fifo.wr_addr[5] +.sym 7262 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 7266 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 7268 rx_24_fifo.wr_addr[3] +.sym 7269 rx_24_fifo.mem_i.0.0.0_WCLKE +.sym 7273 rx_24_fifo.wr_addr[8] +.sym 7274 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 7275 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 7276 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 7277 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 7278 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[3] +.sym 7279 w_rx_09_fifo_push +.sym 7280 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_O_I1[1] +.sym 7281 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 7290 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 7291 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 7293 rx_24_fifo.wr_addr[2] .sym 7294 rx_24_fifo.wr_addr[3] .sym 7295 rx_24_fifo.wr_addr[4] .sym 7296 rx_24_fifo.wr_addr[5] @@ -5937,5414 +6234,5078 @@ .sym 7299 rx_24_fifo.wr_addr[8] .sym 7301 lvds_clock_$glb_clk .sym 7302 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 7303 w_rx_24_fifo_data[0] -.sym 7305 w_rx_24_fifo_data[1] -.sym 7307 w_rx_24_fifo_data[2] -.sym 7309 w_rx_24_fifo_data[3] +.sym 7303 w_rx_24_fifo_data[8] +.sym 7305 w_rx_24_fifo_data[9] +.sym 7307 w_rx_24_fifo_data[10] +.sym 7309 w_rx_24_fifo_data[11] .sym 7311 $PACKER_VCC_NET -.sym 7319 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 7321 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 7323 rx_09_fifo.wr_addr[7] -.sym 7324 rx_24_fifo.wr_addr[7] -.sym 7326 rx_24_fifo.wr_addr[4] -.sym 7328 w_rx_09_fifo_pulled_data[28] -.sym 7329 w_rx_09_fifo_pulled_data[27] -.sym 7332 w_rx_09_fifo_pulled_data[29] -.sym 7333 rx_09_fifo.rd_addr[2] -.sym 7336 w_rx_09_fifo_pulled_data[30] -.sym 7337 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 7339 rx_09_fifo.rd_addr[5] -.sym 7345 w_rx_24_fifo_data[7] +.sym 7312 i_smi_a3$SB_IO_IN +.sym 7315 i_smi_a3$SB_IO_IN +.sym 7318 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[8] +.sym 7319 rx_24_fifo.rd_addr[5] +.sym 7320 rx_24_fifo.rd_addr[8] +.sym 7321 i_smi_a1$SB_IO_IN +.sym 7323 $PACKER_VCC_NET +.sym 7325 rx_24_fifo.rd_addr[3] +.sym 7326 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 7328 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 7331 rx_24_fifo.rd_addr[1] +.sym 7332 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 7334 rx_24_fifo.wr_addr[3] +.sym 7336 w_rx_24_fifo_pulled_data[30] +.sym 7345 rx_24_fifo.rd_addr[1] +.sym 7346 rx_24_fifo.rd_addr[2] .sym 7347 rx_24_fifo.rd_addr[3] -.sym 7349 w_rx_24_fifo_data[5] -.sym 7350 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 7351 w_rx_24_fifo_data[6] -.sym 7352 w_rx_24_fifo_data[4] -.sym 7353 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 7354 rx_24_fifo.rd_addr[5] -.sym 7355 rx_24_fifo.mem_i.0.0.0_RCLKE -.sym 7356 rx_24_fifo.rd_addr[1] -.sym 7358 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 7360 rx_24_fifo.rd_addr[4] -.sym 7362 rx_24_fifo.rd_addr[6] +.sym 7348 rx_24_fifo.rd_addr[4] +.sym 7349 rx_24_fifo.rd_addr[5] +.sym 7350 rx_24_fifo.rd_addr[6] +.sym 7351 rx_24_fifo.rd_addr[7] +.sym 7354 w_rx_24_fifo_data[14] +.sym 7359 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 7360 rx_24_fifo.rd_addr[8] +.sym 7361 w_rx_24_fifo_data[12] +.sym 7362 rx_24_fifo.mem_i.0.0.0_RCLKE +.sym 7363 w_rx_24_fifo_data[13] .sym 7364 $PACKER_VCC_NET -.sym 7375 rx_24_fifo.rd_addr[8] -.sym 7376 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[8] -.sym 7377 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[3] -.sym 7378 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[1] -.sym 7379 w_rx_09_fifo_full -.sym 7380 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[3] -.sym 7381 rx_09_fifo.full_o_SB_LUT4_I2_O[2] -.sym 7382 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[2] -.sym 7383 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[0] -.sym 7392 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] +.sym 7372 w_rx_24_fifo_data[15] +.sym 7376 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 7377 rx_24_fifo.wr_addr[3] +.sym 7378 rx_24_fifo.wr_addr[2] +.sym 7379 rx_24_fifo.wr_addr_SB_DFFESR_Q_E +.sym 7380 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 7381 rx_24_fifo.wr_addr[4] +.sym 7382 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 7383 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 7392 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] .sym 7393 rx_24_fifo.rd_addr[1] -.sym 7395 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] +.sym 7395 rx_24_fifo.rd_addr[2] .sym 7396 rx_24_fifo.rd_addr[3] .sym 7397 rx_24_fifo.rd_addr[4] .sym 7398 rx_24_fifo.rd_addr[5] .sym 7399 rx_24_fifo.rd_addr[6] -.sym 7400 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] +.sym 7400 rx_24_fifo.rd_addr[7] .sym 7401 rx_24_fifo.rd_addr[8] -.sym 7403 w_clock_sys +.sym 7403 r_counter_$glb_clk .sym 7404 rx_24_fifo.mem_i.0.0.0_RCLKE .sym 7405 $PACKER_VCC_NET -.sym 7406 w_rx_24_fifo_data[5] -.sym 7408 w_rx_24_fifo_data[6] -.sym 7410 w_rx_24_fifo_data[7] -.sym 7412 w_rx_24_fifo_data[4] -.sym 7418 rx_09_fifo.wr_addr[5] -.sym 7420 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 7426 rx_09_fifo.wr_addr[3] -.sym 7429 rx_09_fifo.wr_addr[8] -.sym 7430 rx_09_fifo.rd_addr[6] -.sym 7431 w_rx_09_fifo_pulled_data[31] -.sym 7432 rx_09_fifo.rd_addr[7] -.sym 7434 w_rx_09_fifo_data[6] -.sym 7437 rx_09_fifo.wr_addr[7] -.sym 7438 i_smi_a1$SB_IO_IN -.sym 7439 $PACKER_VCC_NET -.sym 7449 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 7450 rx_09_fifo.wr_addr[8] -.sym 7451 rx_09_fifo.wr_addr[7] -.sym 7452 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 7453 w_rx_09_fifo_data[3] -.sym 7456 rx_09_fifo.wr_addr[3] -.sym 7459 rx_09_fifo.wr_addr[4] -.sym 7461 rx_09_fifo.wr_addr[2] -.sym 7462 rx_09_fifo.wr_addr[6] -.sym 7465 w_rx_09_fifo_data[2] -.sym 7467 rx_09_fifo.wr_addr[5] -.sym 7472 w_rx_09_fifo_data[1] -.sym 7473 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 7475 $PACKER_VCC_NET -.sym 7476 w_rx_09_fifo_data[0] -.sym 7480 rx_09_fifo.rd_addr[2] -.sym 7481 rx_09_fifo.rd_addr[3] -.sym 7482 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 7483 rx_09_fifo.rd_addr[5] -.sym 7484 rx_09_fifo.rd_addr[6] -.sym 7485 rx_09_fifo.rd_addr[7] -.sym 7494 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 7495 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 7497 rx_09_fifo.wr_addr[2] -.sym 7498 rx_09_fifo.wr_addr[3] -.sym 7499 rx_09_fifo.wr_addr[4] -.sym 7500 rx_09_fifo.wr_addr[5] -.sym 7501 rx_09_fifo.wr_addr[6] -.sym 7502 rx_09_fifo.wr_addr[7] -.sym 7503 rx_09_fifo.wr_addr[8] +.sym 7406 w_rx_24_fifo_data[13] +.sym 7408 w_rx_24_fifo_data[14] +.sym 7410 w_rx_24_fifo_data[15] +.sym 7412 w_rx_24_fifo_data[12] +.sym 7419 w_soft_reset +.sym 7423 rx_24_fifo.rd_addr[1] +.sym 7429 rx_24_fifo.rd_addr[1] +.sym 7430 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 7431 w_rx_24_fifo_pulled_data[31] +.sym 7433 rx_24_fifo.wr_addr[5] +.sym 7435 w_rx_24_fifo_pulled_data[28] +.sym 7437 rx_24_fifo.wr_addr[6] +.sym 7439 w_rx_24_fifo_pulled_data[29] +.sym 7450 $PACKER_VCC_NET +.sym 7451 rx_24_fifo.wr_addr[7] +.sym 7456 rx_24_fifo.wr_addr[5] +.sym 7457 rx_24_fifo.mem_i.0.0.0_WCLKE +.sym 7461 rx_24_fifo.wr_addr[8] +.sym 7462 rx_24_fifo.wr_addr[6] +.sym 7463 rx_24_fifo.wr_addr[3] +.sym 7464 rx_24_fifo.wr_addr[2] +.sym 7467 w_rx_24_fifo_data[2] +.sym 7468 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 7470 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 7472 w_rx_24_fifo_data[3] +.sym 7474 w_rx_24_fifo_data[1] +.sym 7475 rx_24_fifo.wr_addr[4] +.sym 7476 w_rx_24_fifo_data[0] +.sym 7479 rx_24_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 7480 rx_24_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 7481 rx_24_fifo.full_o_SB_LUT4_I3_I2[3] +.sym 7482 rx_24_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 7483 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 7484 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 7485 rx_24_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 7494 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 7495 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 7497 rx_24_fifo.wr_addr[2] +.sym 7498 rx_24_fifo.wr_addr[3] +.sym 7499 rx_24_fifo.wr_addr[4] +.sym 7500 rx_24_fifo.wr_addr[5] +.sym 7501 rx_24_fifo.wr_addr[6] +.sym 7502 rx_24_fifo.wr_addr[7] +.sym 7503 rx_24_fifo.wr_addr[8] .sym 7505 lvds_clock_$glb_clk -.sym 7506 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 7507 w_rx_09_fifo_data[0] -.sym 7509 w_rx_09_fifo_data[1] -.sym 7511 w_rx_09_fifo_data[2] -.sym 7513 w_rx_09_fifo_data[3] +.sym 7506 rx_24_fifo.mem_i.0.0.0_WCLKE +.sym 7507 w_rx_24_fifo_data[0] +.sym 7509 w_rx_24_fifo_data[1] +.sym 7511 w_rx_24_fifo_data[2] +.sym 7513 w_rx_24_fifo_data[3] .sym 7515 $PACKER_VCC_NET -.sym 7522 rx_09_fifo.rd_addr[1] -.sym 7537 w_rx_09_fifo_pulled_data[26] -.sym 7549 w_rx_09_fifo_data[7] -.sym 7550 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 7551 rx_09_fifo.rd_addr[1] -.sym 7556 w_rx_09_fifo_data[5] -.sym 7558 w_rx_09_fifo_data[4] -.sym 7564 rx_09_fifo.rd_addr[8] -.sym 7566 rx_09_fifo.rd_addr[2] -.sym 7567 rx_09_fifo.rd_addr[3] -.sym 7568 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 7569 rx_09_fifo.rd_addr[5] -.sym 7570 rx_09_fifo.rd_addr[6] -.sym 7571 rx_09_fifo.rd_addr[7] -.sym 7572 w_rx_09_fifo_data[6] -.sym 7575 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 7520 rx_24_fifo.rd_addr[6] +.sym 7521 w_soft_reset +.sym 7528 rx_24_fifo.rd_addr[1] +.sym 7533 w_rx_24_fifo_data[7] +.sym 7535 rx_24_fifo.rd_addr[5] +.sym 7543 rx_24_fifo.mem_i.0.0.0_RCLKE +.sym 7549 w_rx_24_fifo_data[6] +.sym 7551 rx_24_fifo.rd_addr[5] +.sym 7553 w_rx_24_fifo_data[5] +.sym 7555 rx_24_fifo.rd_addr[3] +.sym 7556 w_rx_24_fifo_data[7] +.sym 7557 rx_24_fifo.rd_addr[4] +.sym 7558 rx_24_fifo.rd_addr[1] +.sym 7559 rx_24_fifo.rd_addr[6] +.sym 7561 rx_24_fifo.rd_addr[2] +.sym 7563 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 7564 rx_24_fifo.rd_addr[8] +.sym 7566 rx_24_fifo.mem_i.0.0.0_RCLKE +.sym 7567 w_rx_24_fifo_data[4] +.sym 7576 rx_24_fifo.rd_addr[7] .sym 7577 $PACKER_VCC_NET -.sym 7580 rx_09_fifo.rd_addr[8] -.sym 7596 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 7597 rx_09_fifo.rd_addr[1] -.sym 7599 rx_09_fifo.rd_addr[2] -.sym 7600 rx_09_fifo.rd_addr[3] -.sym 7601 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 7602 rx_09_fifo.rd_addr[5] -.sym 7603 rx_09_fifo.rd_addr[6] -.sym 7604 rx_09_fifo.rd_addr[7] -.sym 7605 rx_09_fifo.rd_addr[8] -.sym 7607 w_clock_sys -.sym 7608 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 7580 rx_24_fifo.full_o_SB_LUT4_I3_I2[8] +.sym 7583 lvds_rx_09_inst.r_data[1] +.sym 7596 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 7597 rx_24_fifo.rd_addr[1] +.sym 7599 rx_24_fifo.rd_addr[2] +.sym 7600 rx_24_fifo.rd_addr[3] +.sym 7601 rx_24_fifo.rd_addr[4] +.sym 7602 rx_24_fifo.rd_addr[5] +.sym 7603 rx_24_fifo.rd_addr[6] +.sym 7604 rx_24_fifo.rd_addr[7] +.sym 7605 rx_24_fifo.rd_addr[8] +.sym 7607 r_counter_$glb_clk +.sym 7608 rx_24_fifo.mem_i.0.0.0_RCLKE .sym 7609 $PACKER_VCC_NET -.sym 7610 w_rx_09_fifo_data[5] -.sym 7612 w_rx_09_fifo_data[6] -.sym 7614 w_rx_09_fifo_data[7] -.sym 7616 w_rx_09_fifo_data[4] -.sym 7625 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R -.sym 7626 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 7630 w_soft_reset -.sym 7633 rx_09_fifo.rd_addr[2] -.sym 7636 rx_09_fifo.rd_addr[3] -.sym 7723 i_smi_a3$SB_IO_IN -.sym 7731 lvds_rx_09_inst.o_debug_state[1] -.sym 7838 i_smi_a1$SB_IO_IN +.sym 7610 w_rx_24_fifo_data[5] +.sym 7612 w_rx_24_fifo_data[6] +.sym 7614 w_rx_24_fifo_data[7] +.sym 7616 w_rx_24_fifo_data[4] +.sym 7625 rx_24_fifo.rd_addr[6] +.sym 7626 rx_24_fifo.wr_addr[8] +.sym 7628 rx_24_fifo.rd_addr[8] +.sym 7631 rx_24_fifo.rd_addr[7] +.sym 7632 rx_24_fifo.wr_addr[7] +.sym 7645 w_lvds_rx_09_d1 +.sym 7822 io_ctrl_ins.rf_mode_SB_DFFESR_Q_E +.sym 7942 o_shdn_rx_lna$SB_IO_OUT +.sym 8029 lvds_rx_09_inst.o_debug_state[0] .sym 8093 io_smi_data[6]$SB_IO_OUT -.sym 8102 io_smi_data[6]$SB_IO_OUT -.sym 8123 io_smi_data[3]$SB_IO_OUT -.sym 8134 i_smi_a1_SB_LUT4_I1_O -.sym 8163 w_smi_data_output[6] -.sym 8165 lvds_rx_09_inst.r_data[29] -.sym 8171 i_smi_a3$SB_IO_IN -.sym 8180 lvds_rx_09_inst.r_data[27] -.sym 8195 i_smi_a3$SB_IO_IN -.sym 8196 w_smi_data_output[6] -.sym 8213 lvds_rx_09_inst.r_data[29] -.sym 8236 lvds_rx_09_inst.r_data[27] -.sym 8239 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce +.sym 8117 io_smi_data[6]$SB_IO_OUT +.sym 8118 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 8119 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[2] +.sym 8121 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 8122 rx_09_fifo.wr_addr[7] +.sym 8123 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8125 io_smi_data[7]$SB_IO_OUT +.sym 8139 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 8140 w_rx_09_fifo_data[11] +.sym 8164 lvds_rx_09_inst.r_data[16] +.sym 8167 lvds_rx_09_inst.r_data[14] +.sym 8169 lvds_rx_09_inst.r_data[12] +.sym 8195 lvds_rx_09_inst.r_data[16] +.sym 8220 lvds_rx_09_inst.r_data[14] +.sym 8236 lvds_rx_09_inst.r_data[12] +.sym 8239 lvds_rx_09_inst.r_state_if_SB_LUT4_I3_O_$glb_ce .sym 8240 lvds_clock_$glb_clk -.sym 8246 io_smi_data[1]$SB_IO_OUT -.sym 8247 io_smi_data[5]$SB_IO_OUT -.sym 8257 rx_09_fifo.rd_addr[8] -.sym 8263 w_smi_data_output[6] -.sym 8266 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 8268 rx_24_fifo.rd_addr[1] -.sym 8274 lvds_rx_09_inst.r_data[27] -.sym 8275 io_smi_data[1]$SB_IO_OUT -.sym 8291 io_smi_data[2]$SB_IO_OUT -.sym 8295 io_smi_data[5]$SB_IO_OUT -.sym 8302 w_smi_data_output[3] -.sym 8323 w_rx_09_fifo_pulled_data[4] -.sym 8341 w_rx_09_fifo_pulled_data[1] -.sym 8343 lvds_rx_09_inst.r_data[27] -.sym 8348 w_rx_09_fifo_pulled_data[20] -.sym 8349 w_rx_09_fifo_pulled_data[17] -.sym 8351 smi_ctrl_ins.int_cnt_09[4] -.sym 8353 smi_ctrl_ins.int_cnt_09[3] -.sym 8354 smi_ctrl_ins.int_cnt_09[3] -.sym 8356 w_rx_09_fifo_pulled_data[20] -.sym 8357 smi_ctrl_ins.int_cnt_09[3] -.sym 8358 w_rx_09_fifo_pulled_data[4] -.sym 8359 smi_ctrl_ins.int_cnt_09[4] -.sym 8380 smi_ctrl_ins.int_cnt_09[3] -.sym 8381 smi_ctrl_ins.int_cnt_09[4] -.sym 8382 w_rx_09_fifo_pulled_data[1] -.sym 8383 w_rx_09_fifo_pulled_data[17] -.sym 8389 lvds_rx_09_inst.r_data[27] -.sym 8402 lvds_rx_09_inst.r_data_SB_DFFESR_Q_E_$glb_ce +.sym 8241 w_soft_reset_$glb_sr +.sym 8247 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[1] +.sym 8248 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[2] +.sym 8249 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[3] +.sym 8250 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[4] +.sym 8251 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[5] +.sym 8252 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[6] +.sym 8253 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[7] +.sym 8258 rx_09_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 8261 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 8262 rx_09_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 8264 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[0] +.sym 8265 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 8268 lvds_rx_09_inst.r_data[16] +.sym 8282 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[2] +.sym 8287 smi_ctrl_ins.int_cnt_09[3] +.sym 8289 smi_ctrl_ins.int_cnt_09[4] +.sym 8294 smi_ctrl_ins.int_cnt_09[4] +.sym 8296 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 8297 lvds_rx_09_inst.r_data[18] +.sym 8299 rx_09_fifo.wr_addr_SB_DFFESR_Q_E +.sym 8300 lvds_rx_09_inst.r_data[10] +.sym 8301 rx_09_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 8303 rx_09_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 8305 rx_09_fifo.wr_addr[7] +.sym 8306 rx_09_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 8307 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8329 w_rx_09_fifo_pulled_data[23] +.sym 8331 w_rx_09_fifo_pulled_data[20] +.sym 8334 lvds_rx_09_inst.r_data[8] +.sym 8335 lvds_rx_09_inst.r_data[10] +.sym 8337 lvds_rx_09_inst.r_data[25] +.sym 8343 w_rx_09_fifo_pulled_data[2] +.sym 8344 smi_ctrl_ins.int_cnt_09[3] +.sym 8345 i_smi_a3$SB_IO_IN +.sym 8346 smi_ctrl_ins.int_cnt_09[4] +.sym 8348 w_rx_09_fifo_pulled_data[4] +.sym 8349 w_smi_data_output[7] +.sym 8350 smi_ctrl_ins.int_cnt_09[4] +.sym 8351 w_rx_09_fifo_pulled_data[18] +.sym 8352 w_rx_09_fifo_pulled_data[7] +.sym 8356 w_rx_09_fifo_pulled_data[23] +.sym 8357 smi_ctrl_ins.int_cnt_09[4] +.sym 8358 w_rx_09_fifo_pulled_data[7] +.sym 8359 smi_ctrl_ins.int_cnt_09[3] +.sym 8362 lvds_rx_09_inst.r_data[10] +.sym 8369 w_smi_data_output[7] +.sym 8370 i_smi_a3$SB_IO_IN +.sym 8382 lvds_rx_09_inst.r_data[8] +.sym 8386 smi_ctrl_ins.int_cnt_09[3] +.sym 8387 w_rx_09_fifo_pulled_data[4] +.sym 8388 w_rx_09_fifo_pulled_data[20] +.sym 8389 smi_ctrl_ins.int_cnt_09[4] +.sym 8395 lvds_rx_09_inst.r_data[25] +.sym 8398 w_rx_09_fifo_pulled_data[18] +.sym 8399 w_rx_09_fifo_pulled_data[2] +.sym 8400 smi_ctrl_ins.int_cnt_09[3] +.sym 8401 smi_ctrl_ins.int_cnt_09[4] +.sym 8402 lvds_rx_09_inst.r_state_if_SB_LUT4_I3_O_$glb_ce .sym 8403 lvds_clock_$glb_clk .sym 8404 w_soft_reset_$glb_sr -.sym 8407 io_smi_data[2]$SB_IO_OUT -.sym 8408 io_smi_data[0]$SB_IO_OUT -.sym 8409 rx_09_fifo.mem_i.0.0.0_RCLKE -.sym 8410 w_smi_data_output[1] -.sym 8412 w_smi_data_output[3] -.sym 8416 rx_09_fifo.rd_addr[2] -.sym 8417 rx_24_fifo.rd_addr[4] -.sym 8423 rx_24_fifo.rd_addr[6] -.sym 8425 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 8430 w_smi_data_output[5] -.sym 8431 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 8433 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[1] -.sym 8437 smi_ctrl_ins.int_cnt_09[4] -.sym 8439 smi_ctrl_ins.int_cnt_09[3] -.sym 8446 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[1] -.sym 8447 w_rx_09_fifo_pulled_data[2] -.sym 8448 smi_ctrl_ins.int_cnt_09[4] -.sym 8449 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[0] -.sym 8450 w_rx_09_fifo_pulled_data[18] -.sym 8451 w_rx_09_fifo_pulled_data[3] -.sym 8452 w_rx_09_fifo_pulled_data[19] -.sym 8453 w_rx_09_fifo_pulled_data[24] -.sym 8454 w_rx_09_fifo_pulled_data[16] -.sym 8455 w_rx_09_fifo_pulled_data[0] -.sym 8457 i_smi_a2_SB_LUT4_I1_O[3] -.sym 8463 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[2] -.sym 8464 w_rx_09_fifo_pulled_data[5] -.sym 8465 smi_ctrl_ins.int_cnt_09[3] -.sym 8466 w_rx_09_fifo_pulled_data[6] -.sym 8471 w_rx_09_fifo_pulled_data[8] -.sym 8472 w_rx_09_fifo_pulled_data[21] -.sym 8473 i_smi_a1_SB_LUT4_I1_O -.sym 8474 w_rx_09_fifo_pulled_data[22] -.sym 8479 w_rx_09_fifo_pulled_data[18] -.sym 8480 w_rx_09_fifo_pulled_data[2] -.sym 8481 smi_ctrl_ins.int_cnt_09[4] -.sym 8482 smi_ctrl_ins.int_cnt_09[3] -.sym 8485 w_rx_09_fifo_pulled_data[3] -.sym 8486 smi_ctrl_ins.int_cnt_09[4] -.sym 8487 smi_ctrl_ins.int_cnt_09[3] -.sym 8488 w_rx_09_fifo_pulled_data[19] -.sym 8497 smi_ctrl_ins.int_cnt_09[3] -.sym 8498 smi_ctrl_ins.int_cnt_09[4] -.sym 8499 w_rx_09_fifo_pulled_data[24] -.sym 8500 w_rx_09_fifo_pulled_data[8] -.sym 8503 smi_ctrl_ins.int_cnt_09[4] -.sym 8504 smi_ctrl_ins.int_cnt_09[3] -.sym 8505 w_rx_09_fifo_pulled_data[0] -.sym 8506 w_rx_09_fifo_pulled_data[16] -.sym 8509 smi_ctrl_ins.int_cnt_09[3] -.sym 8510 smi_ctrl_ins.int_cnt_09[4] -.sym 8511 w_rx_09_fifo_pulled_data[22] -.sym 8512 w_rx_09_fifo_pulled_data[6] -.sym 8515 smi_ctrl_ins.int_cnt_09[4] -.sym 8516 smi_ctrl_ins.int_cnt_09[3] -.sym 8517 w_rx_09_fifo_pulled_data[5] -.sym 8518 w_rx_09_fifo_pulled_data[21] -.sym 8521 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[0] -.sym 8522 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[1] -.sym 8523 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[2] -.sym 8524 i_smi_a2_SB_LUT4_I1_O[3] -.sym 8525 i_smi_a1_SB_LUT4_I1_O -.sym 8526 smi_ctrl_ins.soe_and_reset_$glb_clk -.sym 8528 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[2] -.sym 8529 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[2] -.sym 8530 lvds_rx_24_inst.r_data[23] -.sym 8531 lvds_rx_24_inst.r_data[28] -.sym 8532 lvds_rx_24_inst.r_data[29] -.sym 8533 lvds_rx_24_inst.r_data[27] -.sym 8534 lvds_rx_24_inst.r_data[25] -.sym 8535 lvds_rx_24_inst.r_data[21] -.sym 8538 rx_09_fifo.rd_addr[3] -.sym 8543 smi_ctrl_ins.r_fifo_09_pull -.sym 8545 i_smi_a2_SB_LUT4_I1_O[3] -.sym 8547 w_soft_reset -.sym 8550 rx_24_fifo.rd_addr[8] -.sym 8552 lvds_rx_24_inst.r_data[17] -.sym 8556 rx_09_fifo.mem_i.0.0.0_RCLKE -.sym 8559 lvds_rx_24_inst.r_data[21] -.sym 8569 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[1] -.sym 8570 w_rx_09_fifo_pulled_data[28] -.sym 8572 w_rx_09_fifo_pulled_data[7] -.sym 8573 smi_ctrl_ins.int_cnt_09[4] -.sym 8574 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0[1] -.sym 8575 w_rx_09_fifo_pulled_data[23] -.sym 8576 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[0] -.sym 8577 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[0] -.sym 8579 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0[0] -.sym 8580 w_rx_09_fifo_pulled_data[27] -.sym 8581 smi_ctrl_ins.int_cnt_09[4] -.sym 8582 smi_ctrl_ins.int_cnt_09[3] -.sym 8583 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[2] -.sym 8584 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[2] -.sym 8585 w_rx_09_fifo_pulled_data[25] -.sym 8586 w_rx_09_fifo_pulled_data[12] -.sym 8587 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0[2] -.sym 8593 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[1] -.sym 8595 w_rx_09_fifo_pulled_data[9] -.sym 8596 i_smi_a1_SB_LUT4_I1_O -.sym 8599 w_rx_09_fifo_pulled_data[11] -.sym 8600 i_smi_a2_SB_LUT4_I1_O[3] -.sym 8602 w_rx_09_fifo_pulled_data[25] -.sym 8603 smi_ctrl_ins.int_cnt_09[4] -.sym 8604 smi_ctrl_ins.int_cnt_09[3] -.sym 8605 w_rx_09_fifo_pulled_data[9] -.sym 8614 w_rx_09_fifo_pulled_data[27] -.sym 8615 w_rx_09_fifo_pulled_data[11] -.sym 8616 smi_ctrl_ins.int_cnt_09[3] -.sym 8617 smi_ctrl_ins.int_cnt_09[4] -.sym 8620 w_rx_09_fifo_pulled_data[12] -.sym 8621 smi_ctrl_ins.int_cnt_09[4] -.sym 8622 w_rx_09_fifo_pulled_data[28] -.sym 8623 smi_ctrl_ins.int_cnt_09[3] -.sym 8626 w_rx_09_fifo_pulled_data[23] -.sym 8627 smi_ctrl_ins.int_cnt_09[4] -.sym 8628 smi_ctrl_ins.int_cnt_09[3] -.sym 8629 w_rx_09_fifo_pulled_data[7] -.sym 8632 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[0] -.sym 8633 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[1] -.sym 8634 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[2] -.sym 8635 i_smi_a2_SB_LUT4_I1_O[3] -.sym 8638 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[2] -.sym 8639 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[0] -.sym 8640 i_smi_a2_SB_LUT4_I1_O[3] -.sym 8641 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[1] -.sym 8644 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0[0] -.sym 8645 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0[2] -.sym 8646 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0[1] -.sym 8647 i_smi_a2_SB_LUT4_I1_O[3] -.sym 8648 i_smi_a1_SB_LUT4_I1_O -.sym 8649 smi_ctrl_ins.soe_and_reset_$glb_clk -.sym 8651 lvds_rx_24_inst.r_data[22] -.sym 8652 lvds_rx_24_inst.r_data[20] -.sym 8653 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0[2] -.sym 8654 lvds_rx_24_inst.r_data[26] -.sym 8655 lvds_rx_24_inst.r_data[24] -.sym 8656 lvds_rx_24_inst.r_data[19] -.sym 8657 lvds_rx_24_inst.r_data[17] -.sym 8661 w_rx_09_fifo_data[6] -.sym 8666 w_rx_09_fifo_pulled_data[7] -.sym 8668 w_rx_09_fifo_pulled_data[27] -.sym 8673 i_smi_a2_SB_LUT4_I1_O[1] -.sym 8674 w_rx_09_fifo_pulled_data[28] -.sym 8675 w_rx_24_fifo_data[17] -.sym 8679 i_smi_a1_SB_LUT4_I1_O -.sym 8683 rx_09_fifo.wr_addr[4] -.sym 8684 lvds_rx_24_inst.r_data[15] -.sym 8685 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 8692 w_rx_24_fifo_pulled_data[2] -.sym 8696 smi_ctrl_ins.int_cnt_09[4] -.sym 8697 smi_ctrl_ins.int_cnt_09[3] -.sym 8698 w_rx_09_fifo_pulled_data[26] -.sym 8702 w_soft_reset -.sym 8703 smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_E -.sym 8704 w_rx_09_fifo_pulled_data[10] -.sym 8705 w_rx_09_fifo_pulled_data[31] -.sym 8706 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 8710 w_rx_09_fifo_pulled_data[13] -.sym 8711 w_rx_24_fifo_pulled_data[10] -.sym 8716 w_rx_09_fifo_pulled_data[29] -.sym 8718 i_smi_a2_SB_LUT4_I1_O[3] -.sym 8720 w_rx_09_fifo_pulled_data[14] -.sym 8721 w_rx_09_fifo_pulled_data[30] -.sym 8722 w_rx_09_fifo_pulled_data[15] -.sym 8723 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 8725 smi_ctrl_ins.int_cnt_09[4] -.sym 8726 w_rx_09_fifo_pulled_data[10] -.sym 8727 w_rx_09_fifo_pulled_data[26] -.sym 8728 smi_ctrl_ins.int_cnt_09[3] -.sym 8731 smi_ctrl_ins.int_cnt_09[3] -.sym 8732 smi_ctrl_ins.int_cnt_09[4] -.sym 8733 w_rx_09_fifo_pulled_data[15] -.sym 8734 w_rx_09_fifo_pulled_data[31] -.sym 8737 w_rx_09_fifo_pulled_data[30] -.sym 8738 smi_ctrl_ins.int_cnt_09[3] -.sym 8739 smi_ctrl_ins.int_cnt_09[4] -.sym 8740 w_rx_09_fifo_pulled_data[14] -.sym 8743 w_soft_reset -.sym 8745 i_smi_a2_SB_LUT4_I1_O[3] -.sym 8749 smi_ctrl_ins.int_cnt_09[4] -.sym 8752 smi_ctrl_ins.int_cnt_09[3] -.sym 8757 smi_ctrl_ins.int_cnt_09[3] -.sym 8761 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 8762 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 8763 w_rx_24_fifo_pulled_data[2] -.sym 8764 w_rx_24_fifo_pulled_data[10] -.sym 8767 smi_ctrl_ins.int_cnt_09[3] -.sym 8768 smi_ctrl_ins.int_cnt_09[4] -.sym 8769 w_rx_09_fifo_pulled_data[29] -.sym 8770 w_rx_09_fifo_pulled_data[13] -.sym 8771 smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_E -.sym 8772 smi_ctrl_ins.soe_and_reset_$glb_clk -.sym 8773 w_soft_reset_$glb_sr -.sym 8774 w_rx_24_fifo_data[18] -.sym 8775 w_rx_24_fifo_data[23] -.sym 8777 w_rx_24_fifo_data[24] -.sym 8778 w_rx_24_fifo_data[15] -.sym 8779 w_rx_24_fifo_data[14] -.sym 8780 w_rx_24_fifo_data[17] -.sym 8788 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 8790 i_smi_a2_SB_LUT4_I1_O[0] -.sym 8793 w_rx_09_fifo_pulled_data[31] -.sym 8796 rx_24_fifo.wr_addr[6] -.sym 8798 w_rx_09_fifo_full -.sym 8800 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 8809 w_rx_24_fifo_data[23] -.sym 8832 lvds_rx_24_inst.r_data[14] -.sym 8835 lvds_rx_24_inst.r_data[16] -.sym 8869 lvds_rx_24_inst.r_data[16] -.sym 8873 lvds_rx_24_inst.r_data[14] -.sym 8894 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E_$glb_ce +.sym 8405 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[8] +.sym 8406 rx_09_fifo.wr_addr[6] +.sym 8407 rx_09_fifo.wr_addr[5] +.sym 8408 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I3[3] +.sym 8409 rx_09_fifo.wr_addr[4] +.sym 8410 rx_09_fifo.wr_addr[2] +.sym 8411 rx_09_fifo.wr_addr[8] +.sym 8412 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[3] +.sym 8415 rx_24_fifo.wr_addr_SB_DFFESR_Q_E +.sym 8417 spi_if_ins.w_rx_data[3] +.sym 8429 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[2] +.sym 8430 rx_09_fifo.wr_addr[4] +.sym 8433 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[2] +.sym 8440 rx_09_fifo.wr_addr[6] +.sym 8448 lvds_rx_09_inst.r_data[6] +.sym 8456 lvds_rx_09_inst.r_data[21] +.sym 8459 lvds_rx_09_inst.r_data[4] +.sym 8460 lvds_rx_09_inst.r_data[22] +.sym 8463 lvds_rx_09_inst.r_data[18] +.sym 8467 lvds_rx_09_inst.r_data[23] +.sym 8471 lvds_rx_09_inst.r_data[24] +.sym 8477 lvds_rx_09_inst.r_data[26] +.sym 8480 lvds_rx_09_inst.r_data[18] +.sym 8487 lvds_rx_09_inst.r_data[22] +.sym 8491 lvds_rx_09_inst.r_data[4] +.sym 8498 lvds_rx_09_inst.r_data[6] +.sym 8505 lvds_rx_09_inst.r_data[26] +.sym 8511 lvds_rx_09_inst.r_data[21] +.sym 8516 lvds_rx_09_inst.r_data[23] +.sym 8522 lvds_rx_09_inst.r_data[24] +.sym 8525 lvds_rx_09_inst.r_state_if_SB_LUT4_I3_O_$glb_ce +.sym 8526 lvds_clock_$glb_clk +.sym 8527 w_soft_reset_$glb_sr +.sym 8528 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2[2] +.sym 8529 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2[1] +.sym 8530 rx_09_fifo.empty_o_SB_LUT4_I0_I1[1] +.sym 8531 rx_09_fifo.empty_o_SB_LUT4_I0_I1[2] +.sym 8532 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 8533 rx_09_fifo.empty_o_SB_LUT4_I0_O[2] +.sym 8534 lvds_rx_09_inst.r_data[19] +.sym 8535 rx_09_fifo.empty_o_SB_LUT4_I0_I1[3] +.sym 8540 rx_09_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 8541 rx_09_fifo.wr_addr[8] +.sym 8544 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 8545 rx_09_fifo.full_o_SB_LUT4_I3_I2[8] +.sym 8548 rx_09_fifo.rd_addr[7] +.sym 8549 rx_09_fifo.wr_addr[6] +.sym 8551 rx_09_fifo.wr_addr[5] +.sym 8561 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[1] +.sym 8562 w_rx_09_fifo_push +.sym 8573 lvds_rx_09_inst.r_data[27] +.sym 8577 lvds_rx_09_inst.r_data[20] +.sym 8586 lvds_rx_09_inst.r_data[13] +.sym 8587 w_lvds_rx_09_d0 +.sym 8591 lvds_rx_09_inst.r_data[19] +.sym 8596 lvds_rx_09_inst.r_data[2] +.sym 8597 lvds_rx_09_inst.r_data[0] +.sym 8599 lvds_rx_09_inst.r_data[11] +.sym 8603 lvds_rx_09_inst.r_data[13] +.sym 8608 lvds_rx_09_inst.r_data[11] +.sym 8614 lvds_rx_09_inst.r_data[19] +.sym 8620 lvds_rx_09_inst.r_data[0] +.sym 8626 w_lvds_rx_09_d0 +.sym 8635 lvds_rx_09_inst.r_data[2] +.sym 8641 lvds_rx_09_inst.r_data[20] +.sym 8645 lvds_rx_09_inst.r_data[27] +.sym 8648 lvds_rx_09_inst.r_state_if_SB_LUT4_I3_O_$glb_ce +.sym 8649 lvds_clock_$glb_clk +.sym 8650 w_soft_reset_$glb_sr +.sym 8651 lvds_rx_09_inst.r_data[5] +.sym 8652 lvds_rx_09_inst.r_data[17] +.sym 8653 lvds_rx_09_inst.r_data[3] +.sym 8654 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[1] +.sym 8655 lvds_rx_09_inst.r_data[9] +.sym 8656 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[0] +.sym 8657 lvds_rx_09_inst.r_data[11] +.sym 8658 lvds_rx_09_inst.r_data[7] +.sym 8664 lvds_rx_09_inst.r_data[19] +.sym 8675 smi_ctrl_ins.soe_and_reset +.sym 8676 smi_ctrl_ins.int_cnt_09[3] +.sym 8677 rx_09_fifo.wr_addr_SB_DFFESR_Q_E +.sym 8678 lvds_rx_09_inst.r_data[2] +.sym 8679 w_rx_09_fifo_data[19] +.sym 8682 smi_ctrl_ins.int_cnt_09[4] +.sym 8692 lvds_rx_09_inst.r_data[15] +.sym 8693 lvds_rx_09_inst.r_data[27] +.sym 8696 lvds_rx_09_inst.r_data[0] +.sym 8700 lvds_rx_09_inst.r_data[20] +.sym 8708 lvds_rx_09_inst.r_data[5] +.sym 8710 lvds_rx_09_inst.r_data[3] +.sym 8717 lvds_rx_09_inst.r_data[17] +.sym 8723 lvds_rx_09_inst.r_data[7] +.sym 8725 lvds_rx_09_inst.r_data[7] +.sym 8731 lvds_rx_09_inst.r_data[27] +.sym 8739 lvds_rx_09_inst.r_data[3] +.sym 8744 lvds_rx_09_inst.r_data[15] +.sym 8749 lvds_rx_09_inst.r_data[0] +.sym 8756 lvds_rx_09_inst.r_data[5] +.sym 8761 lvds_rx_09_inst.r_data[17] +.sym 8767 lvds_rx_09_inst.r_data[20] +.sym 8771 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O_$glb_ce +.sym 8772 lvds_clock_$glb_clk +.sym 8775 io_smi_data[2]$SB_IO_OUT +.sym 8777 w_rx_09_fifo_full +.sym 8781 rx_09_fifo.wr_addr_SB_DFFESR_Q_E +.sym 8787 w_smi_data_output[4] +.sym 8793 i_smi_a2_SB_LUT4_I1_1_O[0] +.sym 8795 w_smi_data_output[3] +.sym 8796 w_rx_09_fifo_data[2] +.sym 8798 w_soft_reset +.sym 8800 w_rx_09_fifo_pulled_data[15] +.sym 8802 smi_ctrl_ins.int_cnt_09[3] +.sym 8807 smi_ctrl_ins.soe_and_reset +.sym 8819 lvds_rx_09_inst.r_data[21] +.sym 8825 lvds_rx_09_inst.r_data[4] +.sym 8827 lvds_rx_09_inst.r_data[9] +.sym 8838 lvds_rx_09_inst.r_data[2] +.sym 8854 lvds_rx_09_inst.r_data[2] +.sym 8860 lvds_rx_09_inst.r_data[21] +.sym 8873 lvds_rx_09_inst.r_data[4] +.sym 8878 lvds_rx_09_inst.r_data[9] +.sym 8894 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O_$glb_ce .sym 8895 lvds_clock_$glb_clk -.sym 8896 w_soft_reset_$glb_sr -.sym 8898 lvds_rx_24_inst.r_data[14] -.sym 8899 lvds_rx_24_inst.r_data[12] -.sym 8901 lvds_rx_24_inst.r_data[15] -.sym 8902 lvds_rx_24_inst.r_data[13] -.sym 8909 $PACKER_VCC_NET -.sym 8913 rx_24_fifo.wr_addr[7] -.sym 8914 rx_24_fifo.mem_i.0.0.0_RCLKE -.sym 8917 lvds_rx_24_inst.r_data[18] -.sym 8919 rx_24_fifo.wr_addr[3] -.sym 8921 i_smi_a2$SB_IO_IN -.sym 8923 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 8924 w_rx_09_fifo_push -.sym 8925 lvds_rx_24_inst.r_data[2] -.sym 8927 lvds_rx_24_inst.r_data[7] -.sym 8943 i_smi_a3$SB_IO_IN -.sym 8945 i_smi_a2$SB_IO_IN -.sym 8950 w_rx_24_fifo_data[15] -.sym 8953 i_smi_a1$SB_IO_IN -.sym 8954 lvds_rx_09_inst.r_data[0] -.sym 8960 w_soft_reset -.sym 8961 i_smi_a3$SB_IO_IN -.sym 8964 lvds_rx_09_inst.r_data[1] -.sym 8969 lvds_rx_09_inst.r_data[4] -.sym 8978 lvds_rx_09_inst.r_data[4] -.sym 8983 w_soft_reset -.sym 8984 i_smi_a2$SB_IO_IN -.sym 8985 i_smi_a1$SB_IO_IN -.sym 8986 i_smi_a3$SB_IO_IN -.sym 8991 w_rx_24_fifo_data[15] -.sym 8995 lvds_rx_09_inst.r_data[0] -.sym 9001 i_smi_a3$SB_IO_IN -.sym 9002 i_smi_a1$SB_IO_IN -.sym 9003 i_smi_a2$SB_IO_IN -.sym 9008 lvds_rx_09_inst.r_data[1] -.sym 9017 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce +.sym 8897 smi_ctrl_ins.int_cnt_09[3] +.sym 8898 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 8899 smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_E +.sym 8900 smi_ctrl_ins.int_cnt_09[4] +.sym 8903 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0[0] +.sym 8913 w_rx_09_fifo_data[4] +.sym 8914 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[0] +.sym 8919 w_rx_09_fifo_data[6] +.sym 8921 rx_24_fifo.wr_addr[6] +.sym 8922 i_smi_a1$SB_IO_IN +.sym 8923 lvds_rx_09_inst.r_data[1] +.sym 8926 i_smi_a1$SB_IO_IN +.sym 8927 i_smi_a2$SB_IO_IN +.sym 8929 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 8930 smi_ctrl_ins.int_cnt_09[3] +.sym 8931 i_smi_a2$SB_IO_IN +.sym 8932 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 8939 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 8941 lvds_rx_09_inst.r_data[1] +.sym 8945 w_lvds_rx_09_d1 +.sym 8951 w_rx_24_fifo_pulled_data[23] +.sym 8953 w_lvds_rx_09_d0 +.sym 8962 w_rx_24_fifo_pulled_data[31] +.sym 8965 smi_ctrl_ins.int_cnt_24[3] +.sym 8977 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 8978 smi_ctrl_ins.int_cnt_24[3] +.sym 8979 w_rx_24_fifo_pulled_data[31] +.sym 8980 w_rx_24_fifo_pulled_data[23] +.sym 8992 w_lvds_rx_09_d0 +.sym 8996 lvds_rx_09_inst.r_data[1] +.sym 9013 w_lvds_rx_09_d1 +.sym 9017 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O_$glb_ce .sym 9018 lvds_clock_$glb_clk -.sym 9021 w_rx_24_fifo_data[12] -.sym 9022 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 9023 w_rx_24_fifo_data[13] -.sym 9024 w_rx_24_fifo_data[11] -.sym 9026 w_rx_24_fifo_data[4] -.sym 9033 $PACKER_VCC_NET -.sym 9034 i_smi_a2_SB_LUT4_I1_O[3] -.sym 9037 w_rx_24_fifo_pulled_data[10] -.sym 9038 i_smi_a1_SB_LUT4_I1_O -.sym 9041 lvds_rx_24_inst.r_data[14] -.sym 9047 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 9049 rx_09_fifo.wr_addr[6] -.sym 9063 i_smi_a3$SB_IO_IN -.sym 9072 lvds_rx_24_inst.r_data[8] -.sym 9073 i_smi_a1$SB_IO_IN -.sym 9075 lvds_rx_24_inst.r_data[9] -.sym 9080 w_soft_reset -.sym 9081 i_smi_a2$SB_IO_IN -.sym 9087 lvds_rx_24_inst.r_data[7] -.sym 9094 i_smi_a2$SB_IO_IN -.sym 9095 w_soft_reset -.sym 9096 i_smi_a3$SB_IO_IN -.sym 9097 i_smi_a1$SB_IO_IN -.sym 9114 lvds_rx_24_inst.r_data[9] -.sym 9118 lvds_rx_24_inst.r_data[8] -.sym 9133 lvds_rx_24_inst.r_data[7] -.sym 9140 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E_$glb_ce -.sym 9141 lvds_clock_$glb_clk -.sym 9142 w_soft_reset_$glb_sr -.sym 9144 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[1] -.sym 9145 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[2] -.sym 9146 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[3] -.sym 9147 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[4] -.sym 9148 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[5] -.sym 9149 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[6] -.sym 9150 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[7] -.sym 9155 smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_E -.sym 9157 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 9175 rx_09_fifo.wr_addr[4] -.sym 9177 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O -.sym 9186 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 9190 w_soft_reset -.sym 9194 w_rx_09_fifo_push -.sym 9214 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[6] -.sym 9218 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[6] -.sym 9223 w_rx_09_fifo_push -.sym 9226 w_soft_reset -.sym 9261 w_rx_09_fifo_push -.sym 9262 w_soft_reset -.sym 9263 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O +.sym 9020 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] +.sym 9021 w_rx_24_fifo_empty +.sym 9022 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 9023 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[3] +.sym 9025 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[1] +.sym 9026 smi_ctrl_ins.r_fifo_24_pull_1 +.sym 9027 smi_ctrl_ins.r_fifo_09_pull +.sym 9039 w_rx_24_fifo_pulled_data[23] +.sym 9041 w_lvds_rx_09_d1 +.sym 9045 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 9046 rx_24_fifo.rd_addr[2] +.sym 9048 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 9050 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 9051 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 9052 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[3] +.sym 9053 rx_24_fifo.rd_addr[7] +.sym 9054 w_rx_09_fifo_push +.sym 9061 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0[2] +.sym 9062 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 9063 i_smi_a2_SB_LUT4_I1_O +.sym 9064 rx_24_fifo.wr_addr[5] +.sym 9065 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0[1] +.sym 9066 w_rx_24_fifo_pulled_data[15] +.sym 9067 w_rx_24_fifo_pulled_data[7] +.sym 9068 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[2] +.sym 9069 rx_24_fifo.wr_addr[6] +.sym 9070 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[2] +.sym 9071 i_smi_a3$SB_IO_IN +.sym 9072 w_soft_reset +.sym 9074 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[7] +.sym 9075 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0[0] +.sym 9076 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[1] +.sym 9077 smi_ctrl_ins.soe_and_reset +.sym 9078 rx_24_fifo.wr_addr[2] +.sym 9079 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[5] +.sym 9080 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[0] +.sym 9081 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[9] +.sym 9082 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[1] +.sym 9085 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] +.sym 9086 i_smi_a1$SB_IO_IN +.sym 9087 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 9088 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 9089 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[6] +.sym 9090 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 9091 i_smi_a2$SB_IO_IN +.sym 9092 rx_24_fifo.wr_addr[7] +.sym 9094 w_rx_24_fifo_pulled_data[7] +.sym 9095 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 9096 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 9097 w_rx_24_fifo_pulled_data[15] +.sym 9101 w_soft_reset +.sym 9102 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] +.sym 9106 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[9] +.sym 9107 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[2] +.sym 9109 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[1] +.sym 9112 rx_24_fifo.wr_addr[2] +.sym 9113 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 9114 rx_24_fifo.wr_addr[5] +.sym 9115 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[5] +.sym 9118 w_soft_reset +.sym 9119 i_smi_a2$SB_IO_IN +.sym 9120 i_smi_a1$SB_IO_IN +.sym 9121 i_smi_a3$SB_IO_IN +.sym 9124 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 9125 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0[2] +.sym 9126 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0[0] +.sym 9127 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0[1] +.sym 9130 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[2] +.sym 9131 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[0] +.sym 9132 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[1] +.sym 9133 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 9136 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[6] +.sym 9137 rx_24_fifo.wr_addr[7] +.sym 9138 rx_24_fifo.wr_addr[6] +.sym 9139 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[7] +.sym 9140 i_smi_a2_SB_LUT4_I1_O +.sym 9141 smi_ctrl_ins.soe_and_reset +.sym 9143 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[1] +.sym 9144 smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_E +.sym 9145 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[0] +.sym 9146 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 9147 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[2] +.sym 9148 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 9149 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[3] +.sym 9150 smi_ctrl_ins.int_cnt_24[3] +.sym 9159 i_smi_a2_SB_LUT4_I1_O +.sym 9169 rx_24_fifo.wr_addr[3] +.sym 9171 rx_24_fifo.wr_addr[2] +.sym 9172 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[3] +.sym 9174 lvds_rx_09_inst.r_push +.sym 9177 rx_24_fifo.wr_addr[4] +.sym 9184 w_rx_24_fifo_pulled_data[16] +.sym 9190 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 9191 rx_24_fifo.rd_addr[8] +.sym 9192 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 9196 w_rx_24_fifo_pulled_data[12] +.sym 9198 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_O_I1[1] +.sym 9199 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[8] +.sym 9200 w_rx_24_fifo_pulled_data[4] +.sym 9201 w_rx_24_fifo_pulled_data[24] +.sym 9202 rx_24_fifo.wr_addr_SB_DFFESR_Q_E +.sym 9207 smi_ctrl_ins.int_cnt_24[3] +.sym 9211 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 9213 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 9215 rx_24_fifo.wr_addr[8] +.sym 9217 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 9223 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 9224 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 9225 w_rx_24_fifo_pulled_data[12] +.sym 9226 w_rx_24_fifo_pulled_data[4] +.sym 9236 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 9253 w_rx_24_fifo_pulled_data[16] +.sym 9254 w_rx_24_fifo_pulled_data[24] +.sym 9255 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 9256 smi_ctrl_ins.int_cnt_24[3] +.sym 9259 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_O_I1[1] +.sym 9260 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[8] +.sym 9261 rx_24_fifo.rd_addr[8] +.sym 9262 rx_24_fifo.wr_addr[8] +.sym 9263 rx_24_fifo.wr_addr_SB_DFFESR_Q_E .sym 9264 lvds_clock_$glb_clk .sym 9265 w_soft_reset_$glb_sr -.sym 9266 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[8] -.sym 9267 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 9268 rx_09_fifo.wr_addr[4] -.sym 9269 rx_09_fifo.wr_addr[2] -.sym 9270 rx_09_fifo.wr_addr[5] -.sym 9271 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1[0] -.sym 9272 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[3] -.sym 9273 rx_09_fifo.wr_addr[3] -.sym 9278 rx_09_fifo.wr_addr[7] -.sym 9288 $PACKER_VCC_NET -.sym 9290 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[2] -.sym 9294 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[6] -.sym 9296 rx_09_fifo.rd_addr[1] -.sym 9299 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[8] -.sym 9301 w_rx_09_fifo_full -.sym 9307 rx_09_fifo.wr_addr[6] -.sym 9319 rx_09_fifo.wr_addr[8] -.sym 9325 rx_09_fifo.wr_addr[4] -.sym 9326 rx_09_fifo.wr_addr[7] -.sym 9330 rx_09_fifo.wr_addr[3] -.sym 9332 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 9334 rx_09_fifo.wr_addr[2] -.sym 9335 rx_09_fifo.wr_addr[5] -.sym 9339 $nextpnr_ICESTORM_LC_4$O -.sym 9342 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 9345 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[2] -.sym 9347 rx_09_fifo.wr_addr[2] -.sym 9349 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 9351 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[3] -.sym 9353 rx_09_fifo.wr_addr[3] -.sym 9355 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[2] -.sym 9357 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[4] -.sym 9359 rx_09_fifo.wr_addr[4] -.sym 9361 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[3] -.sym 9363 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[5] -.sym 9365 rx_09_fifo.wr_addr[5] -.sym 9367 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[4] -.sym 9369 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[6] -.sym 9371 rx_09_fifo.wr_addr[6] -.sym 9373 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[5] -.sym 9375 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[7] -.sym 9377 rx_09_fifo.wr_addr[7] -.sym 9379 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[6] -.sym 9381 $nextpnr_ICESTORM_LC_5$I3 -.sym 9384 rx_09_fifo.wr_addr[8] -.sym 9385 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[7] -.sym 9390 rx_09_fifo.rd_addr[1] -.sym 9391 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] -.sym 9392 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[3] -.sym 9393 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[4] -.sym 9394 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[5] -.sym 9395 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[6] -.sym 9396 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[7] -.sym 9404 w_soft_reset -.sym 9407 rx_09_fifo.wr_addr[8] -.sym 9413 rx_09_fifo.rd_addr[8] -.sym 9416 rx_09_fifo.rd_addr[7] -.sym 9420 w_rx_09_fifo_push -.sym 9424 rx_09_fifo.rd_addr[3] -.sym 9425 $nextpnr_ICESTORM_LC_5$I3 -.sym 9431 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[1] -.sym 9432 rx_09_fifo.rd_addr[2] -.sym 9433 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[3] -.sym 9434 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 9435 rx_09_fifo.rd_addr[5] -.sym 9436 rx_09_fifo.rd_addr[6] -.sym 9437 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[7] -.sym 9439 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 9440 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[2] -.sym 9441 rx_09_fifo.rd_addr[3] -.sym 9442 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[4] -.sym 9443 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[5] -.sym 9444 w_rx_09_fifo_push -.sym 9445 rx_09_fifo.rd_addr[7] -.sym 9446 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[8] -.sym 9447 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[3] -.sym 9448 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[1] -.sym 9449 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 9450 rx_09_fifo.rd_addr[8] -.sym 9451 rx_09_fifo.full_o_SB_LUT4_I2_O[2] -.sym 9452 rx_09_fifo.full_o_SB_LUT4_I2_O[0] -.sym 9454 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[6] -.sym 9455 rx_09_fifo.full_o_SB_LUT4_I2_O[1] -.sym 9456 rx_09_fifo.rd_addr[1] -.sym 9458 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[3] -.sym 9459 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 9460 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[2] -.sym 9461 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[0] -.sym 9466 $nextpnr_ICESTORM_LC_5$I3 -.sym 9469 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[2] -.sym 9470 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[0] -.sym 9471 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[3] -.sym 9472 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[1] -.sym 9475 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 9476 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 9477 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 9478 rx_09_fifo.rd_addr[1] -.sym 9481 w_rx_09_fifo_push -.sym 9482 rx_09_fifo.full_o_SB_LUT4_I2_O[0] -.sym 9483 rx_09_fifo.full_o_SB_LUT4_I2_O[2] -.sym 9484 rx_09_fifo.full_o_SB_LUT4_I2_O[1] -.sym 9487 rx_09_fifo.rd_addr[6] -.sym 9488 rx_09_fifo.rd_addr[5] -.sym 9489 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[5] -.sym 9490 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[4] -.sym 9493 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[3] -.sym 9494 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 9495 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[3] -.sym 9496 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[8] -.sym 9499 rx_09_fifo.rd_addr[8] -.sym 9500 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[7] -.sym 9501 rx_09_fifo.rd_addr[7] -.sym 9502 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[6] -.sym 9505 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[1] -.sym 9506 rx_09_fifo.rd_addr[2] -.sym 9507 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[2] -.sym 9508 rx_09_fifo.rd_addr[3] +.sym 9267 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[1] +.sym 9268 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[2] +.sym 9269 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[3] +.sym 9270 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[4] +.sym 9271 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[5] +.sym 9272 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[6] +.sym 9273 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[7] +.sym 9278 rx_24_fifo.wr_addr[6] +.sym 9283 smi_ctrl_ins.int_cnt_24[3] +.sym 9286 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 9287 smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_E +.sym 9291 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 9292 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 9293 rx_24_fifo.wr_addr[5] +.sym 9294 w_rx_24_fifo_push +.sym 9295 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 9299 w_soft_reset +.sym 9300 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 9301 rx_24_fifo.wr_addr[8] +.sym 9307 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 9311 w_rx_24_fifo_pulled_data[22] +.sym 9313 rx_24_fifo.rd_addr[1] +.sym 9314 smi_ctrl_ins.int_cnt_24[3] +.sym 9315 w_rx_24_fifo_pulled_data[20] +.sym 9317 w_rx_24_fifo_pulled_data[21] +.sym 9320 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 9321 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 9322 smi_ctrl_ins.int_cnt_24[3] +.sym 9324 w_rx_24_fifo_pulled_data[30] +.sym 9325 w_rx_24_fifo_pulled_data[17] +.sym 9326 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 9327 w_rx_24_fifo_pulled_data[26] +.sym 9328 w_rx_24_fifo_pulled_data[29] +.sym 9329 w_rx_24_fifo_pulled_data[27] +.sym 9332 w_rx_24_fifo_pulled_data[28] +.sym 9333 w_rx_24_fifo_pulled_data[25] +.sym 9334 lvds_rx_09_inst.r_push +.sym 9335 w_rx_24_fifo_pulled_data[18] +.sym 9337 w_rx_24_fifo_pulled_data[19] +.sym 9340 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 9341 w_rx_24_fifo_pulled_data[20] +.sym 9342 w_rx_24_fifo_pulled_data[28] +.sym 9343 smi_ctrl_ins.int_cnt_24[3] +.sym 9346 w_rx_24_fifo_pulled_data[29] +.sym 9347 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 9348 w_rx_24_fifo_pulled_data[21] +.sym 9349 smi_ctrl_ins.int_cnt_24[3] +.sym 9352 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 9353 smi_ctrl_ins.int_cnt_24[3] +.sym 9354 w_rx_24_fifo_pulled_data[26] +.sym 9355 w_rx_24_fifo_pulled_data[18] +.sym 9358 smi_ctrl_ins.int_cnt_24[3] +.sym 9359 w_rx_24_fifo_pulled_data[27] +.sym 9360 w_rx_24_fifo_pulled_data[19] +.sym 9361 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 9364 w_rx_24_fifo_pulled_data[22] +.sym 9365 smi_ctrl_ins.int_cnt_24[3] +.sym 9366 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 9367 w_rx_24_fifo_pulled_data[30] +.sym 9373 lvds_rx_09_inst.r_push +.sym 9376 rx_24_fifo.rd_addr[1] +.sym 9377 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 9378 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 9379 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 9382 w_rx_24_fifo_pulled_data[25] +.sym 9383 w_rx_24_fifo_pulled_data[17] +.sym 9384 smi_ctrl_ins.int_cnt_24[3] +.sym 9385 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 9387 lvds_clock_$glb_clk +.sym 9388 w_soft_reset_$glb_sr +.sym 9389 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[8] +.sym 9390 w_rx_24_fifo_full +.sym 9392 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] +.sym 9393 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 9394 rx_24_fifo.full_o_SB_LUT4_I3_O[3] +.sym 9395 rx_24_fifo.full_o_SB_LUT4_I3_O[0] +.sym 9396 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 9418 i_smi_a1$SB_IO_IN +.sym 9419 lvds_rx_09_inst.r_data[1] +.sym 9424 w_rx_24_fifo_full +.sym 9431 rx_24_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 9432 rx_24_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 9433 rx_24_fifo.full_o_SB_LUT4_I3_I2[3] +.sym 9434 w_soft_reset +.sym 9435 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 9436 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 9442 rx_24_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 9444 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 9445 rx_24_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 9447 rx_24_fifo.rd_addr[6] +.sym 9449 rx_24_fifo.rd_addr[7] +.sym 9454 w_rx_24_fifo_push +.sym 9457 rx_24_fifo.wr_addr_SB_DFFESR_Q_E +.sym 9459 rx_24_fifo.rd_addr[3] +.sym 9461 rx_24_fifo.rd_addr[5] +.sym 9464 rx_24_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 9471 rx_24_fifo.full_o_SB_LUT4_I3_I2[3] +.sym 9475 rx_24_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 9481 w_rx_24_fifo_push +.sym 9484 w_soft_reset +.sym 9487 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 9488 rx_24_fifo.full_o_SB_LUT4_I3_I2[3] +.sym 9489 rx_24_fifo.rd_addr[3] +.sym 9490 rx_24_fifo.rd_addr[6] +.sym 9495 rx_24_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 9500 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 9505 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 9506 rx_24_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 9507 rx_24_fifo.rd_addr[7] +.sym 9508 rx_24_fifo.rd_addr[5] +.sym 9509 rx_24_fifo.wr_addr_SB_DFFESR_Q_E .sym 9510 lvds_clock_$glb_clk .sym 9511 w_soft_reset_$glb_sr -.sym 9512 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[8] -.sym 9514 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[9] -.sym 9515 rx_09_fifo.rd_addr_SB_DFFESR_Q_E -.sym 9516 w_rx_09_fifo_full -.sym 9517 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 9518 rx_09_fifo.full_o_SB_LUT4_I2_O[0] -.sym 9519 rx_09_fifo.full_o_SB_LUT4_I2_I3[3] -.sym 9535 $PACKER_VCC_NET -.sym 9542 rx_09_fifo.rd_addr[7] -.sym 9554 rx_09_fifo.rd_addr[1] -.sym 9555 rx_09_fifo.rd_addr[2] -.sym 9558 rx_09_fifo.rd_addr[5] -.sym 9565 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 9572 rx_09_fifo.rd_addr[3] -.sym 9574 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 9575 rx_09_fifo.rd_addr[6] -.sym 9580 rx_09_fifo.rd_addr_SB_DFFESR_Q_E -.sym 9584 rx_09_fifo.rd_addr[7] +.sym 9512 rx_24_fifo.wr_addr[7] +.sym 9513 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] +.sym 9514 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 9516 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 9517 rx_24_fifo.wr_addr[8] +.sym 9518 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 9519 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 9538 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 9544 rx_24_fifo.rd_addr[2] +.sym 9555 rx_24_fifo.wr_addr[2] +.sym 9559 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 9561 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 9562 rx_24_fifo.wr_addr[3] +.sym 9563 rx_24_fifo.wr_addr[5] +.sym 9564 rx_24_fifo.wr_addr[6] +.sym 9566 rx_24_fifo.wr_addr[4] +.sym 9577 rx_24_fifo.wr_addr[7] .sym 9585 $nextpnr_ICESTORM_LC_6$O -.sym 9587 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 9591 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 9594 rx_09_fifo.rd_addr[1] -.sym 9597 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 9600 rx_09_fifo.rd_addr[2] -.sym 9601 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 9603 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] -.sym 9606 rx_09_fifo.rd_addr[3] -.sym 9607 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 9609 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] -.sym 9611 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 9613 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] -.sym 9615 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] -.sym 9618 rx_09_fifo.rd_addr[5] -.sym 9619 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] -.sym 9621 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] -.sym 9624 rx_09_fifo.rd_addr[6] -.sym 9625 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] -.sym 9627 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] -.sym 9629 rx_09_fifo.rd_addr[7] -.sym 9631 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] -.sym 9632 rx_09_fifo.rd_addr_SB_DFFESR_Q_E -.sym 9633 w_clock_sys -.sym 9634 w_soft_reset_$glb_sr -.sym 9638 w_rx_09_fifo_push -.sym 9647 w_soft_reset -.sym 9667 rx_09_fifo.rd_addr[8] -.sym 9671 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] -.sym 9684 rx_09_fifo.rd_addr[8] -.sym 9687 rx_09_fifo.rd_addr_SB_DFFESR_Q_E -.sym 9710 rx_09_fifo.rd_addr[8] -.sym 9712 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] -.sym 9755 rx_09_fifo.rd_addr_SB_DFFESR_Q_E -.sym 9756 w_clock_sys +.sym 9588 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 9591 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[2] +.sym 9594 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 9595 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 9597 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[3] +.sym 9600 rx_24_fifo.wr_addr[2] +.sym 9601 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[2] +.sym 9603 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[4] +.sym 9605 rx_24_fifo.wr_addr[3] +.sym 9607 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[3] +.sym 9609 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[5] +.sym 9612 rx_24_fifo.wr_addr[4] +.sym 9613 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[4] +.sym 9615 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[6] +.sym 9618 rx_24_fifo.wr_addr[5] +.sym 9619 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[5] +.sym 9621 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[7] +.sym 9624 rx_24_fifo.wr_addr[6] +.sym 9625 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[6] +.sym 9627 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[8] +.sym 9630 rx_24_fifo.wr_addr[7] +.sym 9631 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[7] +.sym 9659 lvds_rx_09_inst.r_push +.sym 9671 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[8] +.sym 9689 rx_24_fifo.wr_addr[8] +.sym 9694 w_lvds_rx_09_d1 +.sym 9711 rx_24_fifo.wr_addr[8] +.sym 9712 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[8] +.sym 9730 w_lvds_rx_09_d1 +.sym 9755 lvds_rx_09_inst.r_state_if_SB_LUT4_I3_O_$glb_ce +.sym 9756 lvds_clock_$glb_clk .sym 9757 w_soft_reset_$glb_sr -.sym 10141 o_shdn_rx_lna$SB_IO_OUT -.sym 10164 o_shdn_rx_lna$SB_IO_OUT +.sym 9764 lvds_rx_09_inst.r_push +.sym 9898 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 9904 o_shdn_rx_lna$SB_IO_OUT +.sym 9905 i_smi_a1$SB_IO_IN .sym 10172 o_shdn_rx_lna$SB_IO_OUT -.sym 10190 o_shdn_rx_lna$SB_IO_OUT -.sym 10197 i_smi_a1$SB_IO_IN +.sym 10187 o_shdn_rx_lna$SB_IO_OUT .sym 10201 io_smi_data[2]$SB_IO_OUT .sym 10204 io_smi_data[1]$SB_IO_OUT -.sym 10214 io_smi_data[2]$SB_IO_OUT -.sym 10215 io_smi_data[1]$SB_IO_OUT -.sym 10226 rx_24_fifo.rd_addr[1] -.sym 10229 io_smi_data[7]$SB_IO_OUT -.sym 10233 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 10259 io_smi_data[5]$SB_IO_OUT -.sym 10280 i_smi_a3$SB_IO_IN -.sym 10290 w_smi_data_output[3] -.sym 10331 i_smi_a3$SB_IO_IN -.sym 10334 w_smi_data_output[3] -.sym 10356 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 10357 rx_24_fifo.rd_addr[3] -.sym 10358 rx_24_fifo.rd_addr[4] -.sym 10359 rx_24_fifo.rd_addr[5] -.sym 10360 rx_24_fifo.rd_addr[6] -.sym 10361 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 10364 rx_09_fifo.wr_addr[2] -.sym 10369 smi_ctrl_ins.int_cnt_09[3] -.sym 10370 smi_ctrl_ins.int_cnt_09[4] -.sym 10371 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 10380 lvds_rx_09_inst.r_data_SB_DFFESR_Q_E -.sym 10384 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 10397 rx_24_fifo.rd_addr_SB_DFFESR_Q_E -.sym 10398 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 10403 rx_24_fifo.rd_addr_SB_DFFESR_Q_E -.sym 10404 rx_24_fifo.rd_addr[1] -.sym 10408 w_rx_24_fifo_pulled_data[4] -.sym 10409 w_rx_24_fifo_pulled_data[12] -.sym 10410 w_rx_24_fifo_pulled_data[9] -.sym 10414 rx_24_fifo.rd_addr[6] -.sym 10419 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 10420 io_smi_data[0]$SB_IO_OUT -.sym 10444 w_smi_data_output[1] -.sym 10451 i_smi_a3$SB_IO_IN -.sym 10452 w_smi_data_output[5] -.sym 10464 i_smi_a3$SB_IO_IN -.sym 10466 w_smi_data_output[1] -.sym 10470 w_smi_data_output[5] -.sym 10471 i_smi_a3$SB_IO_IN -.sym 10513 rx_24_fifo.rd_addr[8] -.sym 10514 rx_24_fifo.rd_addr_SB_DFFESR_Q_E -.sym 10515 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[1] -.sym 10516 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] -.sym 10517 i_smi_a3$SB_IO_IN -.sym 10519 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[1] -.sym 10520 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[3] -.sym 10526 rx_24_fifo.rd_addr[6] -.sym 10528 rx_24_fifo.rd_addr[3] -.sym 10530 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 10536 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 10537 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 10538 smi_ctrl_ins.int_cnt_24[3] -.sym 10539 w_rx_24_fifo_pulled_data[0] -.sym 10542 $PACKER_VCC_NET -.sym 10543 w_rx_24_fifo_pulled_data[1] -.sym 10545 rx_24_fifo.rd_addr[6] -.sym 10546 rx_09_fifo.wr_addr[4] -.sym 10547 rx_09_fifo.wr_addr[7] -.sym 10548 lvds_rx_09_inst.r_data_SB_DFFESR_Q_E -.sym 10554 w_soft_reset -.sym 10556 i_smi_a1_SB_LUT4_I1_O -.sym 10557 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] -.sym 10560 i_smi_a2_SB_LUT4_I1_O[3] -.sym 10562 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[2] -.sym 10563 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[1] -.sym 10565 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[0] -.sym 10566 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[1] -.sym 10568 i_smi_a2_SB_LUT4_I1_O[3] -.sym 10572 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[0] -.sym 10573 i_smi_a3$SB_IO_IN -.sym 10574 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[1] -.sym 10575 w_smi_data_output[2] -.sym 10578 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[0] -.sym 10580 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[2] -.sym 10581 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[2] -.sym 10600 w_smi_data_output[2] -.sym 10602 i_smi_a3$SB_IO_IN -.sym 10605 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[1] -.sym 10606 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[2] -.sym 10607 i_smi_a2_SB_LUT4_I1_O[3] -.sym 10608 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[0] -.sym 10612 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] -.sym 10613 w_soft_reset -.sym 10617 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[0] -.sym 10618 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[1] -.sym 10619 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[2] -.sym 10620 i_smi_a2_SB_LUT4_I1_O[3] -.sym 10629 i_smi_a2_SB_LUT4_I1_O[3] -.sym 10630 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[1] -.sym 10631 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[2] -.sym 10632 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[0] -.sym 10633 i_smi_a1_SB_LUT4_I1_O -.sym 10634 smi_ctrl_ins.soe_and_reset_$glb_clk -.sym 10637 w_rx_24_fifo_data[31] -.sym 10638 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[2] -.sym 10639 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[2] -.sym 10640 w_rx_24_fifo_data[29] -.sym 10641 w_rx_24_fifo_data[25] -.sym 10642 w_rx_24_fifo_data[30] -.sym 10643 w_rx_24_fifo_data[27] -.sym 10650 i_smi_a1_SB_LUT4_I1_O -.sym 10651 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] -.sym 10656 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] -.sym 10661 w_rx_24_fifo_pulled_data[14] -.sym 10662 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 10665 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 10667 rx_24_fifo.rd_addr[3] -.sym 10670 w_rx_24_fifo_pulled_data[6] -.sym 10671 rx_24_fifo.wr_addr[7] -.sym 10679 lvds_rx_24_inst.r_data[23] -.sym 10680 lvds_rx_24_inst.r_data[26] -.sym 10682 lvds_rx_24_inst.r_data[19] -.sym 10683 lvds_rx_24_inst.r_data[25] -.sym 10684 lvds_rx_24_inst.r_data[21] -.sym 10685 w_rx_24_fifo_pulled_data[12] -.sym 10686 w_rx_24_fifo_pulled_data[4] -.sym 10688 w_rx_24_fifo_pulled_data[9] -.sym 10693 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 10698 lvds_rx_24_inst.r_data[27] -.sym 10699 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 10701 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 10703 w_rx_24_fifo_pulled_data[1] -.sym 10707 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 10710 w_rx_24_fifo_pulled_data[9] -.sym 10711 w_rx_24_fifo_pulled_data[1] -.sym 10712 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 10713 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 10716 w_rx_24_fifo_pulled_data[12] -.sym 10717 w_rx_24_fifo_pulled_data[4] -.sym 10718 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 10719 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 10723 lvds_rx_24_inst.r_data[21] -.sym 10728 lvds_rx_24_inst.r_data[26] -.sym 10737 lvds_rx_24_inst.r_data[27] -.sym 10741 lvds_rx_24_inst.r_data[25] -.sym 10748 lvds_rx_24_inst.r_data[23] -.sym 10754 lvds_rx_24_inst.r_data[19] -.sym 10756 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E_$glb_ce +.sym 10220 io_smi_data[1]$SB_IO_OUT +.sym 10223 io_smi_data[2]$SB_IO_OUT +.sym 10228 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[2] +.sym 10229 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[3] +.sym 10230 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[4] +.sym 10231 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[5] +.sym 10232 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[6] +.sym 10233 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[7] +.sym 10236 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 10239 io_smi_data[2]$SB_IO_OUT +.sym 10246 io_smi_data[1]$SB_IO_OUT +.sym 10273 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[5] +.sym 10275 rx_09_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 10277 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[0] +.sym 10281 rx_09_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 10282 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[6] +.sym 10288 rx_09_fifo.rd_addr[6] +.sym 10290 rx_09_fifo.rd_addr[7] +.sym 10294 io_smi_data[7]$SB_IO_OUT +.sym 10295 rx_09_fifo.wr_addr_SB_DFFESR_Q_E +.sym 10297 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 10303 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[0] +.sym 10307 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[5] +.sym 10308 rx_09_fifo.rd_addr[6] +.sym 10309 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[6] +.sym 10310 rx_09_fifo.rd_addr[7] +.sym 10320 rx_09_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 10326 rx_09_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 10332 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 10343 io_smi_data[7]$SB_IO_OUT +.sym 10347 rx_09_fifo.wr_addr_SB_DFFESR_Q_E +.sym 10348 lvds_clock_$glb_clk +.sym 10349 w_soft_reset_$glb_sr +.sym 10354 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[8] +.sym 10355 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[9] +.sym 10356 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[0] +.sym 10357 spi_if_ins.w_rx_data[6] +.sym 10358 spi_if_ins.w_rx_data[3] +.sym 10359 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[3] +.sym 10360 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] +.sym 10361 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 10366 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 10374 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 10376 rx_09_fifo.wr_addr[7] +.sym 10380 io_smi_data[0]$SB_IO_OUT +.sym 10385 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 10387 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 10398 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 10399 rx_09_fifo.rd_addr[2] +.sym 10402 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 10404 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 10405 rx_09_fifo.wr_addr[4] +.sym 10406 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 10408 rx_09_fifo.rd_addr[6] +.sym 10409 rx_09_fifo.rd_addr[8] +.sym 10410 rx_09_fifo.rd_addr[7] +.sym 10411 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 10414 rx_09_fifo.wr_addr[7] +.sym 10415 rx_09_fifo.rd_addr_SB_DFFESR_Q_E +.sym 10416 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 10417 rx_09_fifo.rd_addr[2] +.sym 10418 rx_09_fifo.wr_addr[5] +.sym 10425 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O +.sym 10431 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 10432 rx_09_fifo.wr_addr[6] +.sym 10433 rx_09_fifo.wr_addr[5] +.sym 10435 rx_09_fifo.wr_addr[4] +.sym 10436 rx_09_fifo.wr_addr[2] +.sym 10437 rx_09_fifo.wr_addr[8] +.sym 10442 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 10443 rx_09_fifo.wr_addr[7] +.sym 10463 $nextpnr_ICESTORM_LC_0$O +.sym 10466 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 10469 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[2] +.sym 10472 rx_09_fifo.wr_addr[2] +.sym 10473 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 10475 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[3] +.sym 10478 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 10479 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[2] +.sym 10481 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[4] +.sym 10483 rx_09_fifo.wr_addr[4] +.sym 10485 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[3] +.sym 10487 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[5] +.sym 10490 rx_09_fifo.wr_addr[5] +.sym 10491 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[4] +.sym 10493 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[6] +.sym 10496 rx_09_fifo.wr_addr[6] +.sym 10497 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[5] +.sym 10499 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[7] +.sym 10501 rx_09_fifo.wr_addr[7] +.sym 10503 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[6] +.sym 10505 $nextpnr_ICESTORM_LC_1$I3 +.sym 10507 rx_09_fifo.wr_addr[8] +.sym 10509 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[7] +.sym 10515 rx_09_fifo.rd_addr[2] +.sym 10516 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 10517 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 10518 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 10519 rx_09_fifo.rd_addr[6] +.sym 10520 rx_09_fifo.rd_addr[7] +.sym 10529 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[1] +.sym 10537 rx_09_fifo.rd_addr[1] +.sym 10539 rx_09_fifo.wr_addr[2] +.sym 10540 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[3] +.sym 10541 rx_09_fifo.wr_addr[8] +.sym 10542 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[4] +.sym 10547 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 10548 io_smi_data[0]$SB_IO_OUT +.sym 10549 $nextpnr_ICESTORM_LC_1$I3 +.sym 10554 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[8] +.sym 10558 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 10559 rx_09_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 10560 rx_09_fifo.full_o_SB_LUT4_I3_I2[8] +.sym 10561 rx_09_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 10563 rx_09_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 10565 rx_09_fifo.wr_addr_SB_DFFESR_Q_E +.sym 10567 rx_09_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 10568 rx_09_fifo.wr_addr[8] +.sym 10569 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[7] +.sym 10570 rx_09_fifo.rd_addr[8] +.sym 10577 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 10584 rx_09_fifo.rd_addr[1] +.sym 10590 $nextpnr_ICESTORM_LC_1$I3 +.sym 10594 rx_09_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 10602 rx_09_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 10605 rx_09_fifo.rd_addr[1] +.sym 10606 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[8] +.sym 10607 rx_09_fifo.wr_addr[8] +.sym 10608 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 10613 rx_09_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 10619 rx_09_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 10623 rx_09_fifo.full_o_SB_LUT4_I3_I2[8] +.sym 10629 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 10630 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[7] +.sym 10631 rx_09_fifo.rd_addr[1] +.sym 10632 rx_09_fifo.rd_addr[8] +.sym 10633 rx_09_fifo.wr_addr_SB_DFFESR_Q_E +.sym 10634 lvds_clock_$glb_clk +.sym 10635 w_soft_reset_$glb_sr +.sym 10636 rx_09_fifo.rd_addr[8] +.sym 10637 rx_09_fifo.rd_addr_SB_DFFESR_Q_E +.sym 10638 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 10639 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 10640 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[2] +.sym 10641 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[3] +.sym 10642 rx_09_fifo.rd_addr[1] +.sym 10643 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 10644 rx_09_fifo.wr_addr[4] +.sym 10650 rx_09_fifo.wr_addr[2] +.sym 10652 rx_09_fifo.wr_addr[6] +.sym 10653 o_miso_$_TBUF__Y_E +.sym 10654 rx_09_fifo.wr_addr[5] +.sym 10655 w_rx_09_fifo_data[19] +.sym 10657 smi_ctrl_ins.soe_and_reset +.sym 10658 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 10660 rx_09_fifo.rd_addr[2] +.sym 10661 rx_09_fifo.wr_addr[5] +.sym 10662 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 10665 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 10677 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[8] +.sym 10678 rx_09_fifo.wr_addr[6] +.sym 10680 rx_09_fifo.empty_o_SB_LUT4_I0_I1[2] +.sym 10681 rx_09_fifo.wr_addr[4] +.sym 10682 rx_09_fifo.wr_addr[7] +.sym 10683 rx_09_fifo.rd_addr[6] +.sym 10684 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[3] +.sym 10685 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[2] +.sym 10686 lvds_rx_09_inst.r_data[17] +.sym 10687 rx_09_fifo.wr_addr[5] +.sym 10689 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 10690 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 10691 rx_09_fifo.wr_addr[8] +.sym 10692 rx_09_fifo.rd_addr[7] +.sym 10693 rx_09_fifo.rd_addr[8] +.sym 10694 rx_09_fifo.rd_addr[2] +.sym 10695 rx_09_fifo.empty_o_SB_LUT4_I0_I1[1] +.sym 10696 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 10697 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 10698 w_rx_09_fifo_empty +.sym 10699 rx_09_fifo.wr_addr[2] +.sym 10700 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[3] +.sym 10702 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[4] +.sym 10706 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 10708 rx_09_fifo.empty_o_SB_LUT4_I0_I1[3] +.sym 10710 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[8] +.sym 10711 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[3] +.sym 10712 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 10713 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 10716 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[2] +.sym 10717 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 10718 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[3] +.sym 10719 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[4] +.sym 10722 rx_09_fifo.wr_addr[4] +.sym 10723 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 10724 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 10725 rx_09_fifo.wr_addr[5] +.sym 10728 rx_09_fifo.wr_addr[6] +.sym 10729 rx_09_fifo.rd_addr[6] +.sym 10730 rx_09_fifo.rd_addr[2] +.sym 10731 rx_09_fifo.wr_addr[2] +.sym 10734 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 10737 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 10740 rx_09_fifo.empty_o_SB_LUT4_I0_I1[2] +.sym 10741 rx_09_fifo.empty_o_SB_LUT4_I0_I1[1] +.sym 10742 w_rx_09_fifo_empty +.sym 10743 rx_09_fifo.empty_o_SB_LUT4_I0_I1[3] +.sym 10748 lvds_rx_09_inst.r_data[17] +.sym 10752 rx_09_fifo.wr_addr[7] +.sym 10753 rx_09_fifo.rd_addr[8] +.sym 10754 rx_09_fifo.wr_addr[8] +.sym 10755 rx_09_fifo.rd_addr[7] +.sym 10756 lvds_rx_09_inst.r_state_if_SB_LUT4_I3_O_$glb_ce .sym 10757 lvds_clock_$glb_clk .sym 10758 w_soft_reset_$glb_sr -.sym 10759 rx_24_fifo.wr_addr[6] -.sym 10760 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 10761 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 10762 rx_24_fifo.wr_addr_SB_DFFESR_Q_E -.sym 10764 rx_24_fifo.wr_addr[5] -.sym 10772 w_rx_09_fifo_full -.sym 10781 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 10783 rx_09_fifo.wr_addr[2] -.sym 10785 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 10786 rx_24_fifo.full_o_SB_LUT4_I3_I2[1] -.sym 10788 rx_24_fifo.full_o_SB_LUT4_I3_I2[2] -.sym 10791 rx_09_fifo.wr_addr[8] -.sym 10792 rx_24_fifo.rd_addr[1] -.sym 10793 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 10794 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] -.sym 10808 lvds_rx_24_inst.r_data[22] -.sym 10809 lvds_rx_24_inst.r_data[20] -.sym 10812 lvds_rx_24_inst.r_data[24] -.sym 10814 lvds_rx_24_inst.r_data[17] -.sym 10816 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 10821 w_rx_24_fifo_pulled_data[14] -.sym 10824 lvds_rx_24_inst.r_data[15] -.sym 10827 lvds_rx_24_inst.r_data[18] -.sym 10830 w_rx_24_fifo_pulled_data[6] -.sym 10831 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 10833 lvds_rx_24_inst.r_data[20] -.sym 10842 lvds_rx_24_inst.r_data[18] -.sym 10845 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 10846 w_rx_24_fifo_pulled_data[14] -.sym 10847 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 10848 w_rx_24_fifo_pulled_data[6] -.sym 10853 lvds_rx_24_inst.r_data[24] -.sym 10858 lvds_rx_24_inst.r_data[22] -.sym 10863 lvds_rx_24_inst.r_data[17] -.sym 10870 lvds_rx_24_inst.r_data[15] -.sym 10879 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E_$glb_ce +.sym 10759 io_smi_data[3]$SB_IO_OUT +.sym 10760 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[2] +.sym 10761 smi_ctrl_ins.r_fifo_24_pull +.sym 10762 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[1] +.sym 10763 smi_ctrl_ins.r_fifo_09_pull_1 +.sym 10764 w_rx_09_fifo_empty +.sym 10765 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 10766 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[3] +.sym 10771 rx_09_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 10772 rx_09_fifo.rd_addr[1] +.sym 10775 rx_09_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 10777 rx_09_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 10780 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 10782 w_rx_09_fifo_pulled_data[15] +.sym 10783 smi_ctrl_ins.int_cnt_09[3] +.sym 10786 w_rx_09_fifo_empty +.sym 10789 smi_ctrl_ins.int_cnt_09[4] +.sym 10793 w_smi_read_req +.sym 10794 w_rx_09_fifo_full +.sym 10800 lvds_rx_09_inst.r_data[5] +.sym 10801 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[2] +.sym 10803 lvds_rx_09_inst.r_data[1] +.sym 10805 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[1] +.sym 10808 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2[2] +.sym 10809 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2[1] +.sym 10810 lvds_rx_09_inst.r_data[3] +.sym 10812 lvds_rx_09_inst.r_data[9] +.sym 10814 w_rx_09_fifo_push +.sym 10820 rx_09_fifo.rd_addr[2] +.sym 10822 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 10824 lvds_rx_09_inst.r_data[15] +.sym 10831 lvds_rx_09_inst.r_data[7] +.sym 10836 lvds_rx_09_inst.r_data[3] +.sym 10841 lvds_rx_09_inst.r_data[15] +.sym 10848 lvds_rx_09_inst.r_data[1] +.sym 10851 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2[2] +.sym 10853 w_rx_09_fifo_push +.sym 10854 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2[1] +.sym 10859 lvds_rx_09_inst.r_data[7] +.sym 10863 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[1] +.sym 10864 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 10865 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[2] +.sym 10866 rx_09_fifo.rd_addr[2] +.sym 10872 lvds_rx_09_inst.r_data[9] +.sym 10876 lvds_rx_09_inst.r_data[5] +.sym 10879 lvds_rx_09_inst.r_state_if_SB_LUT4_I3_O_$glb_ce .sym 10880 lvds_clock_$glb_clk .sym 10881 w_soft_reset_$glb_sr -.sym 10882 rx_24_fifo.wr_addr[3] -.sym 10883 rx_24_fifo.full_o_SB_LUT4_I3_O[0] -.sym 10884 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 10885 rx_24_fifo.full_o_SB_LUT4_I3_O[1] -.sym 10886 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[2] -.sym 10887 rx_24_fifo.wr_addr[7] -.sym 10888 rx_24_fifo.wr_addr[4] -.sym 10889 rx_24_fifo.wr_addr[8] -.sym 10898 lvds_rx_24_inst.r_data[20] -.sym 10902 lvds_rx_24_inst.r_data[26] -.sym 10903 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 10904 lvds_rx_24_inst.r_data[24] -.sym 10906 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] -.sym 10907 rx_24_fifo.full_o_SB_LUT4_I3_I2[8] -.sym 10908 w_rx_24_fifo_data[14] -.sym 10909 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 10912 rx_24_fifo.rd_addr[6] -.sym 10913 rx_24_fifo.wr_addr[8] -.sym 10914 w_rx_24_fifo_data[18] -.sym 10916 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 10917 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 10927 lvds_rx_24_inst.r_data[15] -.sym 10929 lvds_rx_24_inst.r_data[21] -.sym 10931 lvds_rx_24_inst.r_data[22] -.sym 10933 lvds_rx_24_inst.r_data[12] -.sym 10935 lvds_rx_24_inst.r_data[16] -.sym 10936 lvds_rx_24_inst.r_data[13] -.sym 10957 lvds_rx_24_inst.r_data[16] -.sym 10963 lvds_rx_24_inst.r_data[21] -.sym 10976 lvds_rx_24_inst.r_data[22] -.sym 10982 lvds_rx_24_inst.r_data[13] -.sym 10988 lvds_rx_24_inst.r_data[12] -.sym 10992 lvds_rx_24_inst.r_data[15] -.sym 11002 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce +.sym 10883 smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_E +.sym 10884 smi_ctrl_ins.w_fifo_09_pull_trigger +.sym 10885 w_smi_read_req +.sym 10886 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 10887 smi_ctrl_ins.w_fifo_24_pull_trigger +.sym 10888 rx_09_fifo.full_o_SB_LUT4_I3_O[3] +.sym 10889 smi_ctrl_ins.int_cnt_09[4] +.sym 10892 w_rx_09_fifo_full +.sym 10894 rx_09_fifo.wr_addr[4] +.sym 10899 lvds_rx_09_inst.r_data[1] +.sym 10900 rx_09_fifo.wr_addr[6] +.sym 10903 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[0] +.sym 10904 smi_ctrl_ins.int_cnt_09[3] +.sym 10905 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 10906 smi_ctrl_ins.r_fifo_24_pull +.sym 10908 w_rx_24_fifo_empty +.sym 10909 w_rx_09_fifo_pulled_data[31] +.sym 10910 spi_if_ins.state_if_SB_DFFE_Q_D[0] +.sym 10911 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 10917 smi_ctrl_ins.int_cnt_09[4] +.sym 10924 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[2] +.sym 10926 w_rx_09_fifo_push +.sym 10930 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[3] +.sym 10934 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[1] +.sym 10936 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[0] +.sym 10947 w_smi_data_output[2] +.sym 10948 w_soft_reset +.sym 10951 i_smi_a3$SB_IO_IN +.sym 10962 w_smi_data_output[2] +.sym 10964 i_smi_a3$SB_IO_IN +.sym 10974 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[3] +.sym 10975 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[0] +.sym 10976 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[2] +.sym 10977 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[1] +.sym 11000 w_rx_09_fifo_push +.sym 11001 w_soft_reset .sym 11003 lvds_clock_$glb_clk -.sym 11006 rx_24_fifo.full_o_SB_LUT4_I3_I2[1] -.sym 11007 rx_24_fifo.full_o_SB_LUT4_I3_I2[2] -.sym 11008 rx_24_fifo.full_o_SB_LUT4_I3_I2[3] -.sym 11009 rx_24_fifo.full_o_SB_LUT4_I3_I2[4] -.sym 11010 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] -.sym 11011 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] -.sym 11012 rx_24_fifo.full_o_SB_LUT4_I3_I2[7] -.sym 11018 lvds_rx_24_inst.r_data[17] -.sym 11028 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 11029 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 11030 rx_09_fifo.wr_addr[4] -.sym 11032 w_rx_24_fifo_data[24] -.sym 11033 rx_09_fifo.wr_addr[2] -.sym 11035 $PACKER_VCC_NET -.sym 11036 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] -.sym 11037 w_cs[0] -.sym 11038 rx_09_fifo.wr_addr[7] -.sym 11039 rx_24_fifo.wr_addr[8] -.sym 11040 lvds_rx_09_inst.r_data_SB_DFFESR_Q_E -.sym 11048 lvds_rx_24_inst.r_data[12] -.sym 11067 lvds_rx_24_inst.r_data[13] -.sym 11073 lvds_rx_24_inst.r_data[11] -.sym 11074 lvds_rx_24_inst.r_data[10] -.sym 11086 lvds_rx_24_inst.r_data[12] -.sym 11092 lvds_rx_24_inst.r_data[10] -.sym 11106 lvds_rx_24_inst.r_data[13] -.sym 11112 lvds_rx_24_inst.r_data[11] -.sym 11125 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E_$glb_ce -.sym 11126 lvds_clock_$glb_clk +.sym 11004 w_soft_reset_$glb_sr +.sym 11005 spi_if_ins.state_if_SB_DFFE_Q_D[0] +.sym 11006 w_fetch +.sym 11008 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E +.sym 11009 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 11020 w_rx_09_fifo_push +.sym 11026 smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_E +.sym 11029 smi_ctrl_ins.w_fifo_09_pull_trigger +.sym 11030 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 11031 $PACKER_VCC_NET +.sym 11032 smi_ctrl_ins.r_fifo_09_pull +.sym 11035 rx_24_fifo.wr_addr[7] +.sym 11037 i_smi_a3$SB_IO_IN +.sym 11038 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 11039 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 11040 w_fetch +.sym 11046 smi_ctrl_ins.int_cnt_09[3] +.sym 11048 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 11050 w_soft_reset +.sym 11055 smi_ctrl_ins.soe_and_reset +.sym 11057 rx_24_fifo.wr_addr[3] +.sym 11060 w_rx_09_fifo_pulled_data[15] +.sym 11063 rx_24_fifo.rd_addr[3] +.sym 11064 smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_E +.sym 11069 w_rx_09_fifo_pulled_data[31] +.sym 11071 rx_24_fifo.wr_addr[6] +.sym 11073 smi_ctrl_ins.int_cnt_09[4] +.sym 11074 rx_24_fifo.rd_addr[6] +.sym 11079 smi_ctrl_ins.int_cnt_09[3] +.sym 11085 rx_24_fifo.rd_addr[6] +.sym 11086 rx_24_fifo.wr_addr[3] +.sym 11087 rx_24_fifo.rd_addr[3] +.sym 11088 rx_24_fifo.wr_addr[6] +.sym 11091 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 11093 w_soft_reset +.sym 11098 smi_ctrl_ins.int_cnt_09[3] +.sym 11100 smi_ctrl_ins.int_cnt_09[4] +.sym 11115 smi_ctrl_ins.int_cnt_09[4] +.sym 11116 w_rx_09_fifo_pulled_data[15] +.sym 11117 smi_ctrl_ins.int_cnt_09[3] +.sym 11118 w_rx_09_fifo_pulled_data[31] +.sym 11125 smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_E +.sym 11126 smi_ctrl_ins.soe_and_reset .sym 11127 w_soft_reset_$glb_sr -.sym 11128 rx_24_fifo.full_o_SB_LUT4_I3_I2[8] -.sym 11130 w_cs[0] -.sym 11133 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 11140 r_tx_data[3] -.sym 11149 w_rx_24_fifo_data[17] -.sym 11154 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 11156 w_rx_24_fifo_data[4] -.sym 11172 lvds_rx_24_inst.r_data[11] -.sym 11173 lvds_rx_24_inst.r_data[10] -.sym 11177 lvds_rx_24_inst.r_data[2] -.sym 11183 lvds_rx_24_inst.r_data[9] -.sym 11192 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 11209 lvds_rx_24_inst.r_data[10] -.sym 11215 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 11220 lvds_rx_24_inst.r_data[11] -.sym 11229 lvds_rx_24_inst.r_data[9] -.sym 11239 lvds_rx_24_inst.r_data[2] -.sym 11248 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce -.sym 11249 lvds_clock_$glb_clk -.sym 11251 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[3] -.sym 11252 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] -.sym 11255 rx_09_fifo.wr_addr[7] -.sym 11256 lvds_rx_09_inst.r_data_SB_DFFESR_Q_E -.sym 11257 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1[2] -.sym 11269 w_rx_24_fifo_data[23] -.sym 11275 rx_09_fifo.wr_addr[8] -.sym 11276 rx_09_fifo.wr_addr[7] -.sym 11278 rx_09_fifo.wr_addr[3] -.sym 11280 lvds_rx_09_inst.o_debug_state[0] -.sym 11282 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 11283 rx_09_fifo.full_o_SB_LUT4_I2_O[1] -.sym 11284 rx_09_fifo.wr_addr[4] -.sym 11285 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 11286 rx_09_fifo.wr_addr[2] -.sym 11295 rx_09_fifo.wr_addr[2] -.sym 11296 rx_09_fifo.wr_addr[5] -.sym 11300 rx_09_fifo.wr_addr[6] -.sym 11301 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 11302 rx_09_fifo.wr_addr[4] -.sym 11307 rx_09_fifo.wr_addr[3] -.sym 11319 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 11320 rx_09_fifo.wr_addr[7] -.sym 11324 $nextpnr_ICESTORM_LC_10$O -.sym 11327 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 11330 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 11332 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 11334 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 11336 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 11338 rx_09_fifo.wr_addr[2] -.sym 11340 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 11342 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] -.sym 11344 rx_09_fifo.wr_addr[3] -.sym 11346 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 11348 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] -.sym 11350 rx_09_fifo.wr_addr[4] -.sym 11352 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] -.sym 11354 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] -.sym 11356 rx_09_fifo.wr_addr[5] -.sym 11358 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] -.sym 11360 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] -.sym 11362 rx_09_fifo.wr_addr[6] -.sym 11364 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] -.sym 11366 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] -.sym 11369 rx_09_fifo.wr_addr[7] -.sym 11370 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] -.sym 11374 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] -.sym 11375 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 11376 rx_09_fifo.full_o_SB_LUT4_I2_O[1] -.sym 11377 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 11378 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1[1] -.sym 11379 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] -.sym 11380 rx_09_fifo.wr_addr[8] -.sym 11381 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[1] -.sym 11383 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 11391 rx_09_fifo.rd_addr[3] -.sym 11394 rx_09_fifo.rd_addr[8] -.sym 11395 rx_09_fifo.rd_addr[7] -.sym 11399 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 11403 rx_09_fifo.rd_addr[6] -.sym 11405 rx_09_fifo.rd_addr[1] -.sym 11407 rx_09_fifo.rd_addr[5] -.sym 11408 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 11410 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] -.sym 11416 rx_09_fifo.rd_addr[1] -.sym 11417 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 11419 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[4] -.sym 11424 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[1] -.sym 11425 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[2] -.sym 11426 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[3] -.sym 11427 rx_09_fifo.wr_addr[7] -.sym 11428 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[5] -.sym 11429 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[6] -.sym 11430 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[7] -.sym 11431 rx_09_fifo.wr_addr[6] -.sym 11437 rx_09_fifo.wr_addr[8] -.sym 11438 rx_09_fifo.rd_addr[3] -.sym 11448 rx_09_fifo.wr_addr[8] -.sym 11451 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] -.sym 11457 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[1] -.sym 11462 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[4] -.sym 11466 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[2] -.sym 11472 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[5] -.sym 11478 rx_09_fifo.rd_addr[3] -.sym 11479 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[3] -.sym 11480 rx_09_fifo.rd_addr[1] -.sym 11481 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[1] -.sym 11484 rx_09_fifo.wr_addr[6] -.sym 11485 rx_09_fifo.wr_addr[7] -.sym 11486 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[7] -.sym 11487 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[6] -.sym 11493 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[3] -.sym 11494 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 11495 lvds_clock_$glb_clk -.sym 11496 w_soft_reset_$glb_sr -.sym 11497 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 11498 w_rx_09_fifo_empty -.sym 11499 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[1] -.sym 11500 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[1] -.sym 11501 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[0] -.sym 11502 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_O_I1[1] -.sym 11503 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O -.sym 11504 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[2] -.sym 11511 rx_09_fifo.wr_addr[6] -.sym 11512 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 11518 rx_09_fifo.rd_addr[7] -.sym 11522 rx_09_fifo.wr_addr[4] -.sym 11523 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 11524 rx_09_fifo.wr_addr[2] -.sym 11528 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 11529 w_cs[0] -.sym 11530 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 11532 $PACKER_VCC_NET -.sym 11543 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 11549 rx_09_fifo.rd_addr_SB_DFFESR_Q_E -.sym 11551 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 11555 rx_09_fifo.rd_addr[1] -.sym 11556 rx_09_fifo.rd_addr[2] -.sym 11558 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 11560 rx_09_fifo.rd_addr[6] -.sym 11561 rx_09_fifo.rd_addr[7] -.sym 11565 rx_09_fifo.rd_addr[3] -.sym 11567 rx_09_fifo.rd_addr[5] -.sym 11570 $nextpnr_ICESTORM_LC_7$O -.sym 11572 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 11576 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[2] -.sym 11579 rx_09_fifo.rd_addr[1] -.sym 11580 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 11582 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[3] -.sym 11585 rx_09_fifo.rd_addr[2] -.sym 11586 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[2] -.sym 11588 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[4] -.sym 11590 rx_09_fifo.rd_addr[3] -.sym 11592 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[3] -.sym 11594 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[5] -.sym 11597 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 11598 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[4] -.sym 11600 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[6] -.sym 11602 rx_09_fifo.rd_addr[5] -.sym 11604 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[5] -.sym 11606 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[7] -.sym 11609 rx_09_fifo.rd_addr[6] -.sym 11610 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[6] -.sym 11612 $nextpnr_ICESTORM_LC_8$I3 -.sym 11615 rx_09_fifo.rd_addr[7] -.sym 11616 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[7] -.sym 11617 rx_09_fifo.rd_addr_SB_DFFESR_Q_E -.sym 11618 w_clock_sys +.sym 11128 r_tx_data[0] +.sym 11130 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 11134 spi_if_ins.o_ioc_SB_DFFE_Q_E +.sym 11140 smi_ctrl_ins.int_cnt_09[3] +.sym 11145 rx_24_fifo.wr_addr[3] +.sym 11148 smi_ctrl_ins.int_cnt_09[4] +.sym 11152 rx_24_fifo.rd_addr[4] +.sym 11155 smi_ctrl_ins.int_cnt_24[3] +.sym 11160 spi_if_ins.w_rx_data[5] +.sym 11162 w_rx_24_fifo_empty +.sym 11170 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 11171 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 11172 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[3] +.sym 11178 smi_ctrl_ins.r_fifo_24_pull +.sym 11179 i_smi_a2$SB_IO_IN +.sym 11180 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] +.sym 11182 i_smi_a1$SB_IO_IN +.sym 11183 smi_ctrl_ins.r_fifo_24_pull_1 +.sym 11184 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[1] +.sym 11186 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[3] +.sym 11189 smi_ctrl_ins.w_fifo_09_pull_trigger +.sym 11190 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 11191 rx_24_fifo.wr_addr[3] +.sym 11193 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] +.sym 11194 w_rx_24_fifo_empty +.sym 11197 i_smi_a3$SB_IO_IN +.sym 11198 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[4] +.sym 11199 rx_24_fifo.wr_addr[4] +.sym 11202 w_rx_24_fifo_empty +.sym 11203 smi_ctrl_ins.r_fifo_24_pull_1 +.sym 11204 smi_ctrl_ins.r_fifo_24_pull +.sym 11208 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 11209 w_rx_24_fifo_empty +.sym 11210 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 11211 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 11214 i_smi_a1$SB_IO_IN +.sym 11215 i_smi_a2$SB_IO_IN +.sym 11217 i_smi_a3$SB_IO_IN +.sym 11220 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[3] +.sym 11221 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[4] +.sym 11222 rx_24_fifo.wr_addr[4] +.sym 11223 rx_24_fifo.wr_addr[3] +.sym 11232 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[3] +.sym 11233 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[1] +.sym 11234 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] +.sym 11235 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] +.sym 11238 smi_ctrl_ins.r_fifo_24_pull +.sym 11247 smi_ctrl_ins.w_fifo_09_pull_trigger +.sym 11249 r_counter_$glb_clk +.sym 11250 w_soft_reset_$glb_sr +.sym 11251 spi_if_ins.o_cs_SB_LUT4_I3_O[3] +.sym 11252 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 11253 w_cs[3] +.sym 11254 w_cs[2] +.sym 11255 spi_if_ins.o_cs_SB_LUT4_I3_O[1] +.sym 11256 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 11257 w_cs[1] +.sym 11263 spi_if_ins.r_tx_byte[0] +.sym 11266 spi_if_ins.state_if[2] +.sym 11268 smi_ctrl_ins.soe_and_reset +.sym 11273 w_soft_reset +.sym 11275 w_rx_09_fifo_full +.sym 11278 w_rx_09_fifo_empty +.sym 11284 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[4] +.sym 11286 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E +.sym 11292 i_smi_a2$SB_IO_IN +.sym 11293 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[1] +.sym 11294 smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_E +.sym 11296 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[4] +.sym 11297 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 11298 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[6] +.sym 11299 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[7] +.sym 11300 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[1] +.sym 11301 smi_ctrl_ins.soe_and_reset +.sym 11302 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[2] +.sym 11303 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[3] +.sym 11304 i_smi_a3$SB_IO_IN +.sym 11305 rx_24_fifo.rd_addr[7] +.sym 11306 rx_24_fifo.rd_addr[2] +.sym 11307 smi_ctrl_ins.int_cnt_24[3] +.sym 11310 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[0] +.sym 11311 i_smi_a1$SB_IO_IN +.sym 11312 rx_24_fifo.rd_addr[4] +.sym 11313 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 11314 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[3] +.sym 11315 rx_24_fifo.rd_addr[3] +.sym 11316 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 11317 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 11318 rx_24_fifo.rd_addr[8] +.sym 11319 rx_24_fifo.rd_addr[5] +.sym 11320 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[2] +.sym 11321 w_soft_reset +.sym 11323 rx_24_fifo.rd_addr[1] +.sym 11325 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 11326 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 11327 rx_24_fifo.rd_addr[1] +.sym 11328 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 11331 i_smi_a1$SB_IO_IN +.sym 11332 i_smi_a2$SB_IO_IN +.sym 11333 i_smi_a3$SB_IO_IN +.sym 11334 w_soft_reset +.sym 11337 rx_24_fifo.rd_addr[4] +.sym 11338 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[1] +.sym 11339 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[3] +.sym 11340 rx_24_fifo.rd_addr[2] +.sym 11343 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[2] +.sym 11344 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[3] +.sym 11345 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[1] +.sym 11346 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[0] +.sym 11349 rx_24_fifo.rd_addr[5] +.sym 11350 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[2] +.sym 11351 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[4] +.sym 11352 rx_24_fifo.rd_addr[3] +.sym 11357 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 11358 smi_ctrl_ins.int_cnt_24[3] +.sym 11361 rx_24_fifo.rd_addr[7] +.sym 11362 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[7] +.sym 11363 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[6] +.sym 11364 rx_24_fifo.rd_addr[8] +.sym 11368 smi_ctrl_ins.int_cnt_24[3] +.sym 11371 smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_E +.sym 11372 smi_ctrl_ins.soe_and_reset +.sym 11373 w_soft_reset_$glb_sr +.sym 11374 w_tx_data_smi[0] +.sym 11375 w_tx_data_smi[1] +.sym 11377 w_tx_data_smi[2] +.sym 11378 w_tx_data_smi[3] +.sym 11380 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[1] +.sym 11387 smi_ctrl_ins.soe_and_reset +.sym 11391 w_tx_data_io[0] +.sym 11396 i_smi_a2$SB_IO_IN +.sym 11398 rx_24_fifo.wr_addr[7] +.sym 11400 w_cs[2] +.sym 11402 spi_if_ins.state_if_SB_DFFE_Q_D[0] +.sym 11404 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 11405 w_tx_data_sys[0] +.sym 11409 rx_24_fifo.rd_addr[1] +.sym 11424 rx_24_fifo.wr_addr[7] +.sym 11431 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 11433 rx_24_fifo.wr_addr[2] +.sym 11434 rx_24_fifo.wr_addr[5] +.sym 11436 rx_24_fifo.wr_addr[4] +.sym 11439 rx_24_fifo.wr_addr[6] +.sym 11440 rx_24_fifo.wr_addr[3] +.sym 11441 rx_24_fifo.wr_addr[8] +.sym 11447 $nextpnr_ICESTORM_LC_7$O +.sym 11450 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 11453 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[2] +.sym 11455 rx_24_fifo.wr_addr[2] +.sym 11457 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 11459 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[3] +.sym 11462 rx_24_fifo.wr_addr[3] +.sym 11463 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[2] +.sym 11465 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[4] +.sym 11468 rx_24_fifo.wr_addr[4] +.sym 11469 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[3] +.sym 11471 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[5] +.sym 11473 rx_24_fifo.wr_addr[5] +.sym 11475 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[4] +.sym 11477 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[6] +.sym 11480 rx_24_fifo.wr_addr[6] +.sym 11481 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[5] +.sym 11483 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[7] +.sym 11486 rx_24_fifo.wr_addr[7] +.sym 11487 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[6] +.sym 11489 $nextpnr_ICESTORM_LC_8$I3 +.sym 11492 rx_24_fifo.wr_addr[8] +.sym 11493 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[7] +.sym 11497 smi_ctrl_ins.i_cs_SB_LUT4_I2_O[1] +.sym 11499 w_load +.sym 11502 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E +.sym 11514 rx_24_fifo.rd_addr[7] +.sym 11521 w_fetch +.sym 11522 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 11524 w_tx_data_io[2] +.sym 11526 rx_24_fifo.wr_addr[7] +.sym 11529 i_smi_a3$SB_IO_IN +.sym 11533 $nextpnr_ICESTORM_LC_8$I3 +.sym 11538 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[8] +.sym 11540 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 11542 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 11543 rx_24_fifo.wr_addr[4] +.sym 11544 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 11545 rx_24_fifo.wr_addr[5] +.sym 11546 w_rx_24_fifo_push +.sym 11550 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 11551 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[5] +.sym 11552 rx_24_fifo.full_o_SB_LUT4_I3_O[0] +.sym 11553 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 11555 w_rx_24_fifo_full +.sym 11558 rx_24_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 11559 rx_24_fifo.full_o_SB_LUT4_I3_O[3] +.sym 11560 rx_24_fifo.rd_addr[1] +.sym 11561 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 11562 rx_24_fifo.rd_addr[6] +.sym 11563 rx_24_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 11564 rx_24_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 11566 rx_24_fifo.rd_addr[2] +.sym 11567 rx_24_fifo.rd_addr[4] +.sym 11569 rx_24_fifo.rd_addr[5] +.sym 11574 $nextpnr_ICESTORM_LC_8$I3 +.sym 11577 rx_24_fifo.full_o_SB_LUT4_I3_O[3] +.sym 11578 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 11579 rx_24_fifo.full_o_SB_LUT4_I3_O[0] +.sym 11580 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 11589 rx_24_fifo.wr_addr[4] +.sym 11590 rx_24_fifo.rd_addr[4] +.sym 11591 rx_24_fifo.wr_addr[5] +.sym 11592 rx_24_fifo.rd_addr[5] +.sym 11595 rx_24_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 11596 w_rx_24_fifo_push +.sym 11597 rx_24_fifo.rd_addr[4] +.sym 11598 w_rx_24_fifo_full +.sym 11601 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 11602 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 11603 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 11604 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 11607 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[8] +.sym 11608 rx_24_fifo.rd_addr[6] +.sym 11609 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[5] +.sym 11610 w_rx_24_fifo_push +.sym 11613 rx_24_fifo.rd_addr[2] +.sym 11614 rx_24_fifo.rd_addr[1] +.sym 11615 rx_24_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 11616 rx_24_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 11618 lvds_clock_$glb_clk .sym 11619 w_soft_reset_$glb_sr -.sym 11621 sys_ctrl_ins.reset_cmd -.sym 11622 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[1] -.sym 11627 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R -.sym 11636 rx_09_fifo.rd_addr[8] -.sym 11637 lvds_rx_09_inst.o_debug_state[1] -.sym 11638 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] -.sym 11646 w_soft_reset -.sym 11656 $nextpnr_ICESTORM_LC_8$I3 -.sym 11666 w_soft_reset -.sym 11668 rx_09_fifo.full_o_SB_LUT4_I2_I3[3] -.sym 11669 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[8] -.sym 11670 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[2] -.sym 11671 rx_09_fifo.rd_addr[2] -.sym 11674 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 11677 rx_09_fifo.rd_addr[8] -.sym 11680 w_rx_09_fifo_full -.sym 11681 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] -.sym 11683 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 11688 rx_09_fifo.rd_addr_SB_DFFESR_Q_E -.sym 11692 $PACKER_VCC_NET -.sym 11693 $nextpnr_ICESTORM_LC_8$COUT -.sym 11696 $PACKER_VCC_NET -.sym 11697 $nextpnr_ICESTORM_LC_8$I3 -.sym 11699 $nextpnr_ICESTORM_LC_9$I3 -.sym 11701 rx_09_fifo.rd_addr[8] -.sym 11709 $nextpnr_ICESTORM_LC_9$I3 -.sym 11712 w_soft_reset -.sym 11713 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] -.sym 11719 w_rx_09_fifo_full -.sym 11727 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 11730 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 11731 w_rx_09_fifo_full -.sym 11732 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 11733 rx_09_fifo.full_o_SB_LUT4_I2_I3[3] -.sym 11736 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[8] -.sym 11737 rx_09_fifo.rd_addr[8] -.sym 11738 rx_09_fifo.rd_addr[2] -.sym 11739 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[2] -.sym 11740 rx_09_fifo.rd_addr_SB_DFFESR_Q_E -.sym 11741 w_clock_sys +.sym 11623 w_tx_data_sys[0] +.sym 11625 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 11633 lvds_rx_09_inst.r_push +.sym 11640 io_ctrl_ins.o_data_out_SB_DFFESS_Q_E[2] +.sym 11644 w_load +.sym 11647 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 11648 io_ctrl_ins.led1_state_SB_DFFESR_Q_E +.sym 11649 w_rx_data[1] +.sym 11651 w_rx_data[0] +.sym 11653 rx_24_fifo.rd_addr[4] +.sym 11655 rx_24_fifo.rd_addr[5] +.sym 11661 rx_24_fifo.wr_addr[7] +.sym 11664 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] +.sym 11668 rx_24_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 11670 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] +.sym 11672 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 11673 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 11674 rx_24_fifo.wr_addr[8] +.sym 11677 rx_24_fifo.full_o_SB_LUT4_I3_I2[8] +.sym 11679 rx_24_fifo.rd_addr[1] +.sym 11684 rx_24_fifo.rd_addr[7] +.sym 11685 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 11686 rx_24_fifo.rd_addr[2] +.sym 11687 rx_24_fifo.wr_addr[2] +.sym 11688 rx_24_fifo.wr_addr_SB_DFFESR_Q_E +.sym 11689 rx_24_fifo.rd_addr[8] +.sym 11691 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 11692 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 11697 rx_24_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 11700 rx_24_fifo.rd_addr[8] +.sym 11701 rx_24_fifo.wr_addr[8] +.sym 11702 rx_24_fifo.wr_addr[2] +.sym 11703 rx_24_fifo.rd_addr[2] +.sym 11706 rx_24_fifo.full_o_SB_LUT4_I3_I2[8] +.sym 11707 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 11708 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 11709 rx_24_fifo.rd_addr[8] +.sym 11718 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 11719 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 11720 rx_24_fifo.rd_addr[1] +.sym 11721 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 11725 rx_24_fifo.full_o_SB_LUT4_I3_I2[8] +.sym 11730 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 11731 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 11732 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] +.sym 11733 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] +.sym 11736 rx_24_fifo.rd_addr[7] +.sym 11737 rx_24_fifo.wr_addr[7] +.sym 11738 rx_24_fifo.rd_addr[8] +.sym 11739 rx_24_fifo.wr_addr[8] +.sym 11740 rx_24_fifo.wr_addr_SB_DFFESR_Q_E +.sym 11741 lvds_clock_$glb_clk .sym 11742 w_soft_reset_$glb_sr -.sym 11748 lvds_rx_09_inst.r_push_SB_DFFESR_Q_E -.sym 11749 lvds_rx_09_inst.r_push -.sym 11764 sys_ctrl_ins.reset_cmd -.sym 11767 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] -.sym 11775 lvds_rx_09_inst.o_debug_state[0] -.sym 11814 lvds_rx_09_inst.r_push -.sym 11835 lvds_rx_09_inst.r_push -.sym 11864 lvds_clock_$glb_clk -.sym 11865 w_soft_reset_$glb_sr -.sym 11899 lvds_rx_24_inst.o_debug_state[0] -.sym 12006 o_shdn_tx_lna$SB_IO_OUT -.sym 12143 w_soft_reset -.sym 12309 lvds_rx_09_inst.r_data_SB_DFFESR_Q_E +.sym 11743 io_ctrl_ins.led1_state_SB_DFFESR_Q_E +.sym 11744 w_tx_data_io[2] +.sym 11749 io_ctrl_ins.led1_state_SB_DFFESR_Q_E_SB_LUT4_O_I2[0] +.sym 11774 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E +.sym 11776 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 11868 o_led1$SB_IO_OUT +.sym 11873 o_led0$SB_IO_OUT +.sym 11883 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 11885 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 11890 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R +.sym 11918 lvds_rx_09_inst.r_push_SB_DFFESR_Q_E +.sym 11929 w_rx_09_fifo_full +.sym 11930 lvds_rx_09_inst.o_debug_state[0] +.sym 11936 lvds_rx_09_inst.o_debug_state[1] +.sym 11976 lvds_rx_09_inst.o_debug_state[1] +.sym 11978 w_rx_09_fifo_full +.sym 11979 lvds_rx_09_inst.o_debug_state[0] +.sym 11986 lvds_rx_09_inst.r_push_SB_DFFESR_Q_E +.sym 11987 lvds_clock_$glb_clk +.sym 11988 w_soft_reset_$glb_sr +.sym 12006 o_led0$SB_IO_OUT +.sym 12012 o_led1$SB_IO_OUT +.sym 12013 i_smi_a3$SB_IO_IN +.sym 12305 i_smi_a1$SB_IO_IN +.sym 12307 w_soft_reset +.sym 12309 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O .sym 12310 io_smi_data[0]$SB_IO_OUT .sym 12313 io_smi_data[7]$SB_IO_OUT -.sym 12322 io_smi_data[7]$SB_IO_OUT -.sym 12329 lvds_rx_09_inst.r_data_SB_DFFESR_Q_E -.sym 12334 io_smi_data[0]$SB_IO_OUT -.sym 12338 smi_ctrl_ins.w_fifo_24_pull_trigger -.sym 12340 smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_E -.sym 12342 smi_ctrl_ins.w_fifo_09_pull_trigger -.sym 12346 rx_24_fifo.rd_addr[4] -.sym 12349 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 12358 w_rx_09_fifo_empty -.sym 12388 i_smi_a3$SB_IO_IN -.sym 12395 rx_24_fifo.rd_addr_SB_DFFESR_Q_E -.sym 12401 rx_24_fifo.rd_addr[1] -.sym 12405 w_smi_data_output[7] -.sym 12408 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 12410 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 12413 rx_24_fifo.rd_addr[1] -.sym 12430 w_smi_data_output[7] -.sym 12431 i_smi_a3$SB_IO_IN -.sym 12455 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 12456 rx_24_fifo.rd_addr_SB_DFFESR_Q_E -.sym 12457 w_clock_sys -.sym 12458 w_soft_reset_$glb_sr -.sym 12465 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] -.sym 12466 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[3] -.sym 12467 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[4] -.sym 12468 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[5] -.sym 12469 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[6] -.sym 12470 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[7] -.sym 12473 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 12475 rx_24_fifo.rd_addr[1] -.sym 12477 $PACKER_VCC_NET -.sym 12482 smi_ctrl_ins.int_cnt_24[3] -.sym 12500 w_smi_data_output[7] -.sym 12502 rx_24_fifo.rd_addr[5] -.sym 12506 rx_24_fifo.wr_addr[8] -.sym 12507 i_smi_a3$SB_IO_IN -.sym 12511 w_rx_09_fifo_empty -.sym 12512 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 12514 rx_24_fifo.rd_addr[1] -.sym 12517 w_rx_24_fifo_empty -.sym 12518 w_tx_data_smi[2] -.sym 12519 rx_24_fifo.rd_addr[5] -.sym 12520 w_rx_24_fifo_pulled_data[3] -.sym 12522 rx_24_fifo.rd_addr[6] -.sym 12523 rx_24_fifo.rd_addr[8] -.sym 12526 w_rx_24_fifo_pulled_data[8] -.sym 12528 w_rx_24_fifo_pulled_data[11] -.sym 12533 io_smi_data[3]$SB_IO_OUT -.sym 12540 rx_24_fifo.rd_addr[1] -.sym 12542 rx_24_fifo.rd_addr_SB_DFFESR_Q_E -.sym 12544 rx_24_fifo.rd_addr[4] -.sym 12551 rx_24_fifo.rd_addr[3] -.sym 12555 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 12566 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 12569 rx_24_fifo.rd_addr[5] -.sym 12570 rx_24_fifo.rd_addr[6] -.sym 12571 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 12572 $nextpnr_ICESTORM_LC_12$O -.sym 12575 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 12578 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 12580 rx_24_fifo.rd_addr[1] -.sym 12584 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 12586 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 12588 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 12590 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] -.sym 12592 rx_24_fifo.rd_addr[3] -.sym 12594 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 12596 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] -.sym 12599 rx_24_fifo.rd_addr[4] -.sym 12600 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] -.sym 12602 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] -.sym 12604 rx_24_fifo.rd_addr[5] -.sym 12606 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] -.sym 12608 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] -.sym 12610 rx_24_fifo.rd_addr[6] -.sym 12612 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] -.sym 12614 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] -.sym 12616 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 12618 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] -.sym 12619 rx_24_fifo.rd_addr_SB_DFFESR_Q_E -.sym 12620 w_clock_sys -.sym 12621 w_soft_reset_$glb_sr -.sym 12622 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[8] -.sym 12623 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[9] -.sym 12624 w_smi_read_req -.sym 12625 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1[1] -.sym 12626 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] -.sym 12627 smi_ctrl_ins.r_fifo_09_pull_1 -.sym 12628 w_rx_24_fifo_empty -.sym 12629 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1[0] -.sym 12632 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] -.sym 12636 rx_24_fifo.rd_addr[5] -.sym 12640 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 12642 rx_24_fifo.rd_addr[3] -.sym 12644 rx_24_fifo.rd_addr[4] -.sym 12646 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] -.sym 12647 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 12648 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[3] -.sym 12649 rx_24_fifo.rd_addr[3] -.sym 12650 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[4] -.sym 12651 rx_24_fifo.rd_addr[4] -.sym 12653 rx_24_fifo.rd_addr[5] -.sym 12654 rx_24_fifo.rd_addr[8] -.sym 12655 rx_24_fifo.rd_addr[6] -.sym 12656 rx_24_fifo.wr_addr[5] -.sym 12657 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 12658 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] -.sym 12663 rx_24_fifo.wr_addr[5] -.sym 12665 rx_24_fifo.rd_addr_SB_DFFESR_Q_E -.sym 12666 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] -.sym 12668 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[5] -.sym 12669 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 12670 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[7] -.sym 12673 rx_24_fifo.wr_addr[8] -.sym 12677 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[6] -.sym 12679 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[8] -.sym 12680 rx_24_fifo.rd_addr[1] -.sym 12681 w_rx_09_fifo_empty -.sym 12682 smi_ctrl_ins.r_fifo_09_pull -.sym 12684 smi_ctrl_ins.r_fifo_09_pull_1 -.sym 12685 i_smi_a3$SB_IO_IN -.sym 12687 rx_24_fifo.rd_addr[8] -.sym 12688 rx_24_fifo.wr_addr[6] -.sym 12692 w_soft_reset -.sym 12694 rx_24_fifo.wr_addr[7] -.sym 12697 rx_24_fifo.rd_addr[8] -.sym 12699 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] -.sym 12704 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] -.sym 12705 w_soft_reset -.sym 12708 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 12709 rx_24_fifo.rd_addr[1] -.sym 12714 smi_ctrl_ins.r_fifo_09_pull -.sym 12716 smi_ctrl_ins.r_fifo_09_pull_1 -.sym 12717 w_rx_09_fifo_empty -.sym 12720 i_smi_a3$SB_IO_IN -.sym 12732 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[8] -.sym 12733 rx_24_fifo.wr_addr[8] -.sym 12734 rx_24_fifo.wr_addr[7] -.sym 12735 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[7] -.sym 12738 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[5] -.sym 12739 rx_24_fifo.wr_addr[5] -.sym 12740 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[6] -.sym 12741 rx_24_fifo.wr_addr[6] -.sym 12742 rx_24_fifo.rd_addr_SB_DFFESR_Q_E -.sym 12743 w_clock_sys +.sym 12320 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O +.sym 12321 io_smi_data[0]$SB_IO_OUT +.sym 12326 io_smi_data[7]$SB_IO_OUT +.sym 12339 w_rx_09_fifo_data[16] +.sym 12345 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 12367 i_sck$SB_IO_IN +.sym 12383 rx_09_fifo.rd_addr[1] +.sym 12393 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 12395 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 12396 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 12397 rx_09_fifo.rd_addr[2] +.sym 12399 rx_09_fifo.rd_addr[7] +.sym 12405 rx_09_fifo.rd_addr[6] +.sym 12407 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 12409 $nextpnr_ICESTORM_LC_10$O +.sym 12411 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 12415 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 12417 rx_09_fifo.rd_addr[1] +.sym 12421 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 12424 rx_09_fifo.rd_addr[2] +.sym 12425 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 12427 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[4] +.sym 12430 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 12431 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 12433 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[5] +.sym 12436 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 12437 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[4] +.sym 12439 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[6] +.sym 12441 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 12443 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[5] +.sym 12445 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[7] +.sym 12447 rx_09_fifo.rd_addr[6] +.sym 12449 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[6] +.sym 12451 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[8] +.sym 12453 rx_09_fifo.rd_addr[7] +.sym 12455 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[7] +.sym 12463 io_smi_data[5]$SB_IO_OUT +.sym 12467 spi_if_ins.spi.r_rx_byte[6] +.sym 12468 spi_if_ins.spi.r_rx_byte[0] +.sym 12469 spi_if_ins.spi.r_rx_byte[3] +.sym 12473 spi_if_ins.w_rx_data[6] +.sym 12478 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 12483 rx_09_fifo.rd_addr[1] +.sym 12504 w_smi_data_output[5] +.sym 12515 i_smi_a3$SB_IO_IN +.sym 12517 w_soft_reset +.sym 12518 rx_09_fifo.rd_addr[6] +.sym 12519 rx_09_fifo.rd_addr_SB_DFFESR_Q_E +.sym 12534 io_smi_data[3]$SB_IO_OUT +.sym 12535 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[8] +.sym 12542 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 12543 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[3] +.sym 12544 rx_09_fifo.wr_addr[4] +.sym 12546 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[6] +.sym 12547 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[7] +.sym 12550 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[2] +.sym 12552 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[4] +.sym 12553 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[5] +.sym 12555 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[7] +.sym 12556 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 12557 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[9] +.sym 12558 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[3] +.sym 12560 spi_if_ins.spi.r_rx_byte[6] +.sym 12562 spi_if_ins.spi.r_rx_byte[3] +.sym 12564 rx_09_fifo.rd_addr[8] +.sym 12565 rx_09_fifo.wr_addr[6] +.sym 12566 rx_09_fifo.wr_addr[5] +.sym 12568 rx_09_fifo.wr_addr[7] +.sym 12569 rx_09_fifo.wr_addr[2] +.sym 12572 $nextpnr_ICESTORM_LC_11$I3 +.sym 12574 rx_09_fifo.rd_addr[8] +.sym 12576 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[8] +.sym 12582 $nextpnr_ICESTORM_LC_11$I3 +.sym 12585 rx_09_fifo.wr_addr[6] +.sym 12586 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[7] +.sym 12587 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[6] +.sym 12588 rx_09_fifo.wr_addr[7] +.sym 12592 spi_if_ins.spi.r_rx_byte[6] +.sym 12599 spi_if_ins.spi.r_rx_byte[3] +.sym 12603 rx_09_fifo.wr_addr[5] +.sym 12604 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[5] +.sym 12605 rx_09_fifo.wr_addr[7] +.sym 12606 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[7] +.sym 12609 rx_09_fifo.wr_addr[4] +.sym 12610 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[3] +.sym 12611 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 12612 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[4] +.sym 12615 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[2] +.sym 12616 rx_09_fifo.wr_addr[2] +.sym 12617 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[9] +.sym 12618 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[3] +.sym 12619 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 12620 r_counter_$glb_clk +.sym 12623 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 12624 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[3] +.sym 12627 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 12633 spi_if_ins.o_ioc_SB_DFFE_Q_E +.sym 12641 io_smi_data[5]$SB_IO_OUT +.sym 12645 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 12646 io_smi_data[3]$SB_IO_OUT +.sym 12649 spi_if_ins.w_rx_data[6] +.sym 12652 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[1] +.sym 12665 rx_09_fifo.rd_addr_SB_DFFESR_Q_E +.sym 12666 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 12669 rx_09_fifo.rd_addr[6] +.sym 12673 rx_09_fifo.rd_addr[2] +.sym 12677 rx_09_fifo.rd_addr[1] +.sym 12683 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 12690 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 12692 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 12694 rx_09_fifo.rd_addr[7] +.sym 12695 $nextpnr_ICESTORM_LC_5$O +.sym 12697 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 12701 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 12704 rx_09_fifo.rd_addr[1] +.sym 12707 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] +.sym 12709 rx_09_fifo.rd_addr[2] +.sym 12711 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 12713 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] +.sym 12715 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 12717 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] +.sym 12719 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] +.sym 12722 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 12723 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] +.sym 12725 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] +.sym 12727 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 12729 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] +.sym 12731 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] +.sym 12734 rx_09_fifo.rd_addr[6] +.sym 12735 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] +.sym 12737 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] +.sym 12739 rx_09_fifo.rd_addr[7] +.sym 12741 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] +.sym 12742 rx_09_fifo.rd_addr_SB_DFFESR_Q_E +.sym 12743 r_counter_$glb_clk .sym 12744 w_soft_reset_$glb_sr -.sym 12745 w_tx_data_smi[2] -.sym 12746 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 12747 w_tx_data_smi[1] -.sym 12748 rx_24_fifo.wr_addr[5] -.sym 12749 w_tx_data_smi[0] -.sym 12750 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1[2] -.sym 12751 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 12752 w_tx_data_smi[3] -.sym 12757 rx_24_fifo.rd_addr[8] -.sym 12761 rx_24_fifo.rd_addr_SB_DFFESR_Q_E -.sym 12765 w_smi_data_output[4] -.sym 12769 w_rx_24_fifo_data[29] -.sym 12771 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] -.sym 12772 rx_24_fifo.rd_addr[5] -.sym 12773 w_rx_24_fifo_data[30] -.sym 12774 rx_24_fifo.wr_addr[6] -.sym 12775 w_rx_24_fifo_data[27] -.sym 12777 w_smi_data_output[7] -.sym 12788 lvds_rx_24_inst.r_data[23] -.sym 12789 lvds_rx_24_inst.r_data[28] -.sym 12790 lvds_rx_24_inst.r_data[29] -.sym 12791 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 12792 w_rx_24_fifo_pulled_data[0] -.sym 12796 w_rx_24_fifo_pulled_data[3] -.sym 12797 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 12799 lvds_rx_24_inst.r_data[27] -.sym 12800 lvds_rx_24_inst.r_data[25] -.sym 12803 w_rx_24_fifo_pulled_data[8] -.sym 12805 w_rx_24_fifo_pulled_data[11] -.sym 12813 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 12828 lvds_rx_24_inst.r_data[29] -.sym 12831 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 12832 w_rx_24_fifo_pulled_data[11] -.sym 12833 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 12834 w_rx_24_fifo_pulled_data[3] -.sym 12837 w_rx_24_fifo_pulled_data[8] -.sym 12838 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 12839 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 12840 w_rx_24_fifo_pulled_data[0] -.sym 12843 lvds_rx_24_inst.r_data[27] -.sym 12850 lvds_rx_24_inst.r_data[23] -.sym 12856 lvds_rx_24_inst.r_data[28] -.sym 12861 lvds_rx_24_inst.r_data[25] -.sym 12865 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce -.sym 12866 lvds_clock_$glb_clk -.sym 12868 rx_24_fifo.wr_addr_SB_DFFESR_Q_E -.sym 12869 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[2] -.sym 12870 w_smi_data_output[7] -.sym 12871 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[0] -.sym 12872 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[1] -.sym 12873 rx_24_fifo.empty_o_SB_LUT4_I2_I3[3] -.sym 12874 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[3] -.sym 12875 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] -.sym 12880 w_rx_24_fifo_pulled_data[12] -.sym 12882 w_rx_24_fifo_data[25] -.sym 12883 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 12884 w_rx_24_fifo_data[31] -.sym 12885 w_rx_24_fifo_pulled_data[9] -.sym 12887 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 12890 w_rx_24_fifo_pulled_data[4] -.sym 12892 w_tx_data_smi[1] -.sym 12893 rx_24_fifo.wr_addr[4] -.sym 12894 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 12895 rx_24_fifo.wr_addr[8] -.sym 12897 rx_24_fifo.wr_addr[3] -.sym 12898 w_rx_24_fifo_data[16] -.sym 12899 w_rx_09_fifo_empty -.sym 12900 rx_24_fifo.wr_addr[6] -.sym 12901 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 12902 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 12903 i_smi_a1$SB_IO_IN -.sym 12927 rx_24_fifo.full_o_SB_LUT4_I3_I2[1] -.sym 12929 rx_24_fifo.full_o_SB_LUT4_I3_I2[2] -.sym 12933 rx_24_fifo.wr_addr_SB_DFFESR_Q_E -.sym 12935 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] -.sym 12936 rx_24_fifo.wr_addr_SB_DFFESR_Q_E -.sym 12937 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] -.sym 12945 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] -.sym 12949 rx_24_fifo.full_o_SB_LUT4_I3_I2[1] -.sym 12956 rx_24_fifo.full_o_SB_LUT4_I3_I2[2] -.sym 12960 rx_24_fifo.wr_addr_SB_DFFESR_Q_E -.sym 12974 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] -.sym 12988 rx_24_fifo.wr_addr_SB_DFFESR_Q_E -.sym 12989 lvds_clock_$glb_clk +.sym 12746 rx_09_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 12747 rx_09_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 12748 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[0] +.sym 12749 rx_09_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 12750 rx_09_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 12751 rx_09_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 12752 rx_09_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 12757 smi_ctrl_ins.int_cnt_09[4] +.sym 12758 smi_ctrl_ins.int_cnt_09[3] +.sym 12759 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 12760 w_smi_read_req +.sym 12762 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 12763 rx_09_fifo.rd_addr[2] +.sym 12765 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 12767 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 12772 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 12773 rx_09_fifo.rd_addr[1] +.sym 12774 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 12776 w_soft_reset +.sym 12777 rx_09_fifo.rd_addr[8] +.sym 12778 rx_09_fifo.rd_addr[6] +.sym 12780 rx_09_fifo.rd_addr[7] +.sym 12781 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] +.sym 12786 rx_09_fifo.rd_addr[8] +.sym 12788 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 12789 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[1] +.sym 12790 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 12791 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 12792 rx_09_fifo.rd_addr[6] +.sym 12795 w_soft_reset +.sym 12796 rx_09_fifo.rd_addr[2] +.sym 12797 rx_09_fifo.rd_addr_SB_DFFESR_Q_E +.sym 12798 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 12801 rx_09_fifo.rd_addr[7] +.sym 12804 rx_09_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 12805 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I3[3] +.sym 12807 rx_09_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 12808 rx_09_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 12809 rx_09_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 12813 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 12814 rx_09_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 12816 rx_09_fifo.rd_addr[1] +.sym 12817 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 12821 rx_09_fifo.rd_addr[8] +.sym 12822 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] +.sym 12826 w_soft_reset +.sym 12827 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[1] +.sym 12831 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 12832 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 12833 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 12834 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I3[3] +.sym 12838 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 12843 rx_09_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 12844 rx_09_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 12845 rx_09_fifo.rd_addr[6] +.sym 12846 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 12849 rx_09_fifo.rd_addr[2] +.sym 12850 rx_09_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 12851 rx_09_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 12852 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 12856 rx_09_fifo.rd_addr[1] +.sym 12857 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 12861 rx_09_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 12864 rx_09_fifo.rd_addr[7] +.sym 12865 rx_09_fifo.rd_addr_SB_DFFESR_Q_E +.sym 12866 r_counter_$glb_clk +.sym 12867 w_soft_reset_$glb_sr +.sym 12868 rx_09_fifo.full_o_SB_LUT4_I3_I2[8] +.sym 12870 spi_if_ins.state_if[1] +.sym 12872 i_smi_a2_SB_LUT4_I1_1_O[0] +.sym 12873 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 12874 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 12875 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 12880 rx_09_fifo.rd_addr[8] +.sym 12882 smi_ctrl_ins.int_cnt_09[4] +.sym 12884 rx_09_fifo.rd_addr_SB_DFFESR_Q_E +.sym 12885 rx_09_fifo.rd_addr[7] +.sym 12886 rx_09_fifo.wr_addr[7] +.sym 12887 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[0] +.sym 12888 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 12890 rx_09_fifo.wr_addr[5] +.sym 12891 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[0] +.sym 12893 rx_09_fifo.wr_addr[4] +.sym 12894 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 12897 smi_ctrl_ins.soe_and_reset +.sym 12898 rx_09_fifo.wr_addr[2] +.sym 12901 smi_ctrl_ins.int_cnt_09[3] +.sym 12903 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 12911 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 12912 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 12913 smi_ctrl_ins.r_fifo_09_pull_1 +.sym 12914 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[3] +.sym 12915 rx_09_fifo.full_o_SB_LUT4_I3_O[3] +.sym 12916 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 12918 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 12919 smi_ctrl_ins.r_fifo_09_pull +.sym 12920 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[0] +.sym 12921 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[2] +.sym 12922 smi_ctrl_ins.w_fifo_24_pull_trigger +.sym 12923 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 12924 i_smi_a3$SB_IO_IN +.sym 12927 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 12929 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 12930 rx_09_fifo.empty_o_SB_LUT4_I0_O[2] +.sym 12931 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 12932 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 12937 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 12938 w_rx_09_fifo_empty +.sym 12940 w_smi_data_output[3] +.sym 12942 w_smi_data_output[3] +.sym 12944 i_smi_a3$SB_IO_IN +.sym 12948 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[3] +.sym 12949 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[0] +.sym 12950 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[2] +.sym 12951 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 12954 smi_ctrl_ins.w_fifo_24_pull_trigger +.sym 12960 smi_ctrl_ins.r_fifo_09_pull +.sym 12961 smi_ctrl_ins.r_fifo_09_pull_1 +.sym 12963 w_rx_09_fifo_empty +.sym 12969 smi_ctrl_ins.r_fifo_09_pull +.sym 12972 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 12973 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 12974 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 12975 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 12978 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 12979 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 12981 rx_09_fifo.empty_o_SB_LUT4_I0_O[2] +.sym 12984 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 12985 rx_09_fifo.full_o_SB_LUT4_I3_O[3] +.sym 12986 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 12987 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 12989 r_counter_$glb_clk .sym 12990 w_soft_reset_$glb_sr -.sym 12991 w_rx_24_fifo_data[20] -.sym 12992 w_rx_24_fifo_data[16] -.sym 12993 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[3] -.sym 12994 w_rx_24_fifo_data[21] -.sym 12995 rx_24_fifo.full_o_SB_LUT4_I3_O[3] -.sym 12996 i_smi_a2_SB_LUT4_I1_O[2] -.sym 12997 w_rx_24_fifo_data[19] -.sym 12998 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 13003 rx_24_fifo.wr_addr[6] -.sym 13004 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 13005 rx_24_fifo.wr_addr[5] -.sym 13006 rx_24_fifo.wr_addr[8] -.sym 13007 w_rx_24_fifo_data[24] -.sym 13008 w_rx_24_fifo_pulled_data[0] -.sym 13009 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 13010 i_smi_a3$SB_IO_IN -.sym 13011 rx_24_fifo.mem_i.0.0.0_RCLKE -.sym 13012 w_rx_24_fifo_pulled_data[1] -.sym 13013 w_rx_24_fifo_data[22] -.sym 13014 rx_24_fifo.rd_addr[6] -.sym 13016 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 13018 w_tx_data_smi[0] -.sym 13019 rx_24_fifo.rd_addr[6] -.sym 13020 rx_24_fifo.rd_addr[8] -.sym 13022 rx_24_fifo.wr_addr[5] -.sym 13023 w_soft_reset -.sym 13024 w_tx_data_smi[2] -.sym 13034 rx_24_fifo.full_o_SB_LUT4_I3_I2[2] -.sym 13035 rx_24_fifo.full_o_SB_LUT4_I3_I2[3] -.sym 13036 rx_24_fifo.full_o_SB_LUT4_I3_I2[4] -.sym 13037 rx_24_fifo.rd_addr[1] -.sym 13038 rx_24_fifo.rd_addr[3] -.sym 13039 rx_24_fifo.full_o_SB_LUT4_I3_I2[7] -.sym 13041 rx_24_fifo.full_o_SB_LUT4_I3_I2[1] -.sym 13043 rx_24_fifo.wr_addr_SB_DFFESR_Q_E -.sym 13044 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 13046 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 13048 rx_24_fifo.rd_addr[4] -.sym 13050 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 13053 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 13056 rx_24_fifo.full_o_SB_LUT4_I3_I2[8] -.sym 13068 rx_24_fifo.full_o_SB_LUT4_I3_I2[3] -.sym 13071 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 13072 rx_24_fifo.rd_addr[4] -.sym 13073 rx_24_fifo.full_o_SB_LUT4_I3_I2[7] -.sym 13074 rx_24_fifo.full_o_SB_LUT4_I3_I2[4] -.sym 13077 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 13083 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 13084 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 13085 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 13086 rx_24_fifo.full_o_SB_LUT4_I3_I2[2] -.sym 13089 rx_24_fifo.rd_addr[3] -.sym 13090 rx_24_fifo.rd_addr[1] -.sym 13091 rx_24_fifo.full_o_SB_LUT4_I3_I2[1] -.sym 13092 rx_24_fifo.full_o_SB_LUT4_I3_I2[3] -.sym 13097 rx_24_fifo.full_o_SB_LUT4_I3_I2[7] -.sym 13101 rx_24_fifo.full_o_SB_LUT4_I3_I2[4] -.sym 13107 rx_24_fifo.full_o_SB_LUT4_I3_I2[8] -.sym 13111 rx_24_fifo.wr_addr_SB_DFFESR_Q_E -.sym 13112 lvds_clock_$glb_clk -.sym 13113 w_soft_reset_$glb_sr -.sym 13114 r_tx_data[4] -.sym 13115 rx_24_fifo.full_o_SB_LUT4_I3_O[2] -.sym 13116 r_tx_data[2] -.sym 13118 r_tx_data[3] -.sym 13119 r_tx_data[1] -.sym 13122 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[2] -.sym 13126 w_rx_24_fifo_pulled_data[14] -.sym 13127 w_rx_24_fifo_data[19] -.sym 13128 rx_24_fifo.wr_addr[7] -.sym 13129 w_rx_24_fifo_pulled_data[6] -.sym 13130 rx_24_fifo.full_o_SB_LUT4_I3_O[0] -.sym 13131 lvds_rx_24_inst.r_push -.sym 13132 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 13133 w_rx_24_fifo_data[20] -.sym 13136 w_rx_24_fifo_full -.sym 13139 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 13140 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 13141 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 13142 r_tx_data_SB_DFFE_Q_E -.sym 13144 lvds_rx_24_inst.r_data[19] -.sym 13145 rx_24_fifo.wr_addr[7] -.sym 13146 rx_09_fifo.wr_addr[7] -.sym 13147 rx_24_fifo.wr_addr[4] -.sym 13148 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 13155 rx_24_fifo.wr_addr[3] -.sym 13157 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 13165 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 13168 rx_24_fifo.wr_addr[7] -.sym 13169 rx_24_fifo.wr_addr[4] -.sym 13172 rx_24_fifo.wr_addr[6] -.sym 13174 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 13176 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 13182 rx_24_fifo.wr_addr[5] -.sym 13187 $nextpnr_ICESTORM_LC_11$O -.sym 13189 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 13193 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[2] -.sym 13196 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 13197 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 13199 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[3] -.sym 13201 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 13203 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[2] -.sym 13205 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[4] -.sym 13207 rx_24_fifo.wr_addr[3] -.sym 13209 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[3] -.sym 13211 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[5] -.sym 13213 rx_24_fifo.wr_addr[4] -.sym 13215 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[4] -.sym 13217 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[6] -.sym 13219 rx_24_fifo.wr_addr[5] -.sym 13221 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[5] -.sym 13223 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[7] -.sym 13225 rx_24_fifo.wr_addr[6] -.sym 13227 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[6] -.sym 13229 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[8] -.sym 13231 rx_24_fifo.wr_addr[7] -.sym 13233 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[7] -.sym 13237 r_tx_data_SB_DFFE_Q_E -.sym 13238 w_cs[1] -.sym 13239 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 13240 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 13241 w_cs[2] -.sym 13242 w_cs[3] -.sym 13243 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 13244 spi_if_ins.o_cs_SB_LUT4_I3_O[3] -.sym 13256 r_tx_data[4] -.sym 13260 w_rx_24_fifo_pulled_data[5] -.sym 13263 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[2] -.sym 13265 w_tx_data_sys[0] -.sym 13266 w_tx_data_io[0] -.sym 13267 w_tx_data_io[1] -.sym 13268 w_tx_data_io[3] -.sym 13270 r_tx_data_SB_DFFE_Q_E -.sym 13272 w_tx_data_io[4] -.sym 13273 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[8] -.sym 13289 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] -.sym 13292 rx_24_fifo.wr_addr[8] -.sym 13304 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 13306 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 13312 rx_24_fifo.wr_addr[8] -.sym 13314 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[8] -.sym 13324 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 13341 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 13357 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] -.sym 13358 w_clock_sys -.sym 13361 spi_if_ins.o_cs_SB_LUT4_I3_O[2] -.sym 13363 r_tx_data[0] -.sym 13364 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 13365 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E -.sym 13367 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[2] -.sym 13377 w_rx_24_fifo_data[18] -.sym 13383 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 13385 w_cs[0] -.sym 13386 w_rx_09_fifo_empty -.sym 13388 $PACKER_GND_NET -.sym 13390 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E -.sym 13403 rx_09_fifo.rd_addr[7] -.sym 13404 rx_09_fifo.rd_addr[8] -.sym 13406 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[5] -.sym 13407 rx_09_fifo.wr_addr[8] -.sym 13408 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[7] -.sym 13412 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 13413 rx_09_fifo.wr_addr[7] -.sym 13415 rx_09_fifo.rd_addr[3] -.sym 13421 rx_09_fifo.wr_addr[5] -.sym 13424 rx_09_fifo.wr_addr[3] -.sym 13429 lvds_rx_09_inst.o_debug_state[0] -.sym 13430 rx_09_fifo.rd_addr[5] -.sym 13432 w_soft_reset -.sym 13434 rx_09_fifo.rd_addr[5] -.sym 13435 rx_09_fifo.wr_addr[3] -.sym 13436 rx_09_fifo.wr_addr[5] -.sym 13437 rx_09_fifo.rd_addr[3] -.sym 13440 rx_09_fifo.wr_addr[7] -.sym 13441 rx_09_fifo.rd_addr[7] -.sym 13442 rx_09_fifo.rd_addr[8] -.sym 13443 rx_09_fifo.wr_addr[8] -.sym 13461 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[7] -.sym 13466 lvds_rx_09_inst.o_debug_state[0] -.sym 13467 w_soft_reset -.sym 13470 rx_09_fifo.rd_addr[5] -.sym 13471 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[5] -.sym 13472 rx_09_fifo.rd_addr[7] -.sym 13473 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[7] -.sym 13480 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 13481 lvds_clock_$glb_clk -.sym 13482 w_soft_reset_$glb_sr -.sym 13484 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[1] -.sym 13485 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E -.sym 13490 w_soft_reset -.sym 13503 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] -.sym 13507 w_tx_data_io[2] -.sym 13508 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O -.sym 13509 rx_09_fifo.rd_addr[2] -.sym 13511 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R -.sym 13514 w_soft_reset -.sym 13524 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[8] -.sym 13525 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] -.sym 13526 rx_09_fifo.wr_addr[4] -.sym 13527 rx_09_fifo.wr_addr[2] -.sym 13528 rx_09_fifo.wr_addr[7] -.sym 13530 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 13531 rx_09_fifo.wr_addr[6] -.sym 13532 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[3] -.sym 13533 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 13534 rx_09_fifo.rd_addr[7] -.sym 13535 rx_09_fifo.rd_addr[2] -.sym 13536 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1[1] -.sym 13537 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1[0] -.sym 13538 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1[2] -.sym 13539 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[1] -.sym 13541 rx_09_fifo.rd_addr[1] -.sym 13543 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 13544 rx_09_fifo.rd_addr[6] -.sym 13546 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[6] -.sym 13548 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 13549 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 13551 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 13552 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[4] -.sym 13557 rx_09_fifo.rd_addr[2] -.sym 13558 rx_09_fifo.wr_addr[6] -.sym 13559 rx_09_fifo.rd_addr[6] -.sym 13560 rx_09_fifo.wr_addr[2] -.sym 13563 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 13564 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 13565 rx_09_fifo.rd_addr[1] -.sym 13566 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 13570 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1[1] -.sym 13571 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1[0] -.sym 13572 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1[2] -.sym 13578 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 13581 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[6] -.sym 13582 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 13583 rx_09_fifo.rd_addr[6] -.sym 13584 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[4] -.sym 13587 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] -.sym 13588 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 13589 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[3] -.sym 13590 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[1] -.sym 13595 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[8] -.sym 13599 rx_09_fifo.rd_addr[7] -.sym 13600 rx_09_fifo.wr_addr[4] -.sym 13601 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 13602 rx_09_fifo.wr_addr[7] -.sym 13603 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 13604 lvds_clock_$glb_clk -.sym 13605 w_soft_reset_$glb_sr -.sym 13607 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E -.sym 13608 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] -.sym 13609 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E -.sym 13612 w_tx_data_io[2] -.sym 13623 w_soft_reset -.sym 13633 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 13639 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 13640 w_soft_reset -.sym 13647 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 13648 w_rx_09_fifo_empty -.sym 13649 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[1] -.sym 13650 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[3] -.sym 13651 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[4] -.sym 13652 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[5] -.sym 13653 rx_09_fifo.wr_addr[8] -.sym 13654 rx_09_fifo.rd_addr[8] -.sym 13655 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] -.sym 13656 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] -.sym 13657 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[1] -.sym 13658 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 13659 lvds_rx_09_inst.o_debug_state[0] -.sym 13660 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] -.sym 13661 lvds_rx_09_inst.o_debug_state[1] -.sym 13662 w_soft_reset -.sym 13663 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 13664 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 13665 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[9] -.sym 13666 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[1] -.sym 13667 rx_09_fifo.wr_addr[5] -.sym 13668 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 13669 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[3] -.sym 13670 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[2] -.sym 13671 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[8] -.sym 13673 rx_09_fifo.wr_addr[4] -.sym 13674 rx_09_fifo.wr_addr[2] -.sym 13675 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[0] -.sym 13676 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_O_I1[1] -.sym 13677 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] -.sym 13678 rx_09_fifo.wr_addr[3] -.sym 13681 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[2] -.sym 13682 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[9] -.sym 13683 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[1] -.sym 13686 w_rx_09_fifo_empty -.sym 13687 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 13688 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] -.sym 13689 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] -.sym 13692 rx_09_fifo.wr_addr[5] -.sym 13693 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[3] -.sym 13694 rx_09_fifo.wr_addr[3] -.sym 13695 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[5] -.sym 13698 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[0] -.sym 13699 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[1] -.sym 13700 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] -.sym 13701 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[3] -.sym 13704 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] -.sym 13705 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 13706 rx_09_fifo.wr_addr[2] -.sym 13707 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[1] -.sym 13710 rx_09_fifo.wr_addr[4] -.sym 13711 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[4] -.sym 13712 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 13713 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 13716 w_soft_reset -.sym 13717 lvds_rx_09_inst.o_debug_state[0] -.sym 13718 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 13719 lvds_rx_09_inst.o_debug_state[1] -.sym 13722 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[8] -.sym 13723 rx_09_fifo.wr_addr[8] -.sym 13724 rx_09_fifo.rd_addr[8] -.sym 13725 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_O_I1[1] -.sym 13727 w_clock_sys -.sym 13728 w_soft_reset_$glb_sr -.sym 13731 io_ctrl_ins.o_pmod[0] -.sym 13733 io_ctrl_ins.o_pmod[1] -.sym 13735 io_ctrl_ins.o_pmod[2] -.sym 13737 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 13747 lvds_rx_09_inst.o_debug_state[0] -.sym 13753 w_ioc[1] -.sym 13757 w_ioc[0] -.sym 13758 w_tx_data_io[1] -.sym 13760 w_tx_data_io[3] -.sym 13762 w_tx_data_io[0] -.sym 13764 w_tx_data_io[4] -.sym 13775 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 13781 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E -.sym 13782 w_cs[0] -.sym 13783 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R -.sym 13785 $PACKER_VCC_NET -.sym 13787 rx_09_fifo.rd_addr[1] -.sym 13812 $PACKER_VCC_NET -.sym 13816 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 13818 rx_09_fifo.rd_addr[1] -.sym 13847 w_cs[0] -.sym 13849 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E -.sym 13850 w_clock_sys -.sym 13851 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R -.sym 13854 io_ctrl_ins.rf_pin_state[2] -.sym 13856 io_ctrl_ins.rf_pin_state[1] -.sym 13857 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 13859 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 13871 w_rx_data[0] -.sym 13872 w_rx_data[2] -.sym 13876 io_ctrl_ins.o_pmod[0] -.sym 13880 io_ctrl_ins.o_pmod[1] -.sym 13882 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 13886 o_led1$SB_IO_OUT -.sym 13895 lvds_rx_09_inst.r_push_SB_DFFESR_Q_E -.sym 13901 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 13909 lvds_rx_09_inst.o_debug_state[1] -.sym 13912 w_soft_reset -.sym 13918 lvds_rx_09_inst.o_debug_state[0] -.sym 13921 w_rx_09_fifo_full -.sym 13956 w_soft_reset -.sym 13957 lvds_rx_09_inst.o_debug_state[0] -.sym 13958 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 13959 lvds_rx_09_inst.o_debug_state[1] -.sym 13962 lvds_rx_09_inst.o_debug_state[0] -.sym 13963 w_rx_09_fifo_full -.sym 13964 lvds_rx_09_inst.o_debug_state[1] -.sym 13972 lvds_rx_09_inst.r_push_SB_DFFESR_Q_E -.sym 13973 lvds_clock_$glb_clk -.sym 13974 w_soft_reset_$glb_sr -.sym 13975 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[2] -.sym 13976 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 13977 w_tx_data_io[1] -.sym 13978 w_tx_data_io[3] -.sym 13979 w_tx_data_io[0] -.sym 13980 w_tx_data_io[4] -.sym 13989 lvds_rx_09_inst.r_push_SB_DFFESR_Q_E -.sym 13993 w_rx_data[2] -.sym 13997 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 14116 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] -.sym 14121 i_config[0]$SB_IO_IN -.sym 14223 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E +.sym 12992 spi_if_ins.spi.SCKr[2] +.sym 12994 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[0] +.sym 12998 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13003 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R +.sym 13005 w_rx_09_fifo_data[7] +.sym 13006 rx_09_fifo.wr_addr[8] +.sym 13007 smi_ctrl_ins.r_fifo_09_pull +.sym 13009 smi_ctrl_ins.soe_and_reset +.sym 13010 w_rx_09_fifo_pulled_data[10] +.sym 13013 $PACKER_VCC_NET +.sym 13014 rx_09_fifo.wr_addr[2] +.sym 13015 spi_if_ins.state_if[1] +.sym 13021 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 13022 w_fetch +.sym 13034 smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_E +.sym 13038 w_rx_09_fifo_push +.sym 13040 rx_09_fifo.full_o_SB_LUT4_I3_I2[8] +.sym 13043 w_rx_09_fifo_full +.sym 13045 w_rx_09_fifo_empty +.sym 13046 w_soft_reset +.sym 13047 smi_ctrl_ins.int_cnt_24[3] +.sym 13049 rx_09_fifo.rd_addr[8] +.sym 13051 w_rx_24_fifo_empty +.sym 13056 smi_ctrl_ins.int_cnt_09[3] +.sym 13057 smi_ctrl_ins.soe_and_reset +.sym 13059 smi_ctrl_ins.int_cnt_09[4] +.sym 13062 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 13071 w_soft_reset +.sym 13077 smi_ctrl_ins.int_cnt_09[4] +.sym 13079 w_rx_09_fifo_empty +.sym 13080 smi_ctrl_ins.int_cnt_09[3] +.sym 13083 w_rx_24_fifo_empty +.sym 13086 w_rx_09_fifo_empty +.sym 13089 w_rx_09_fifo_push +.sym 13092 w_soft_reset +.sym 13095 w_rx_24_fifo_empty +.sym 13097 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 13098 smi_ctrl_ins.int_cnt_24[3] +.sym 13101 w_rx_09_fifo_push +.sym 13102 rx_09_fifo.full_o_SB_LUT4_I3_I2[8] +.sym 13103 w_rx_09_fifo_full +.sym 13104 rx_09_fifo.rd_addr[8] +.sym 13110 smi_ctrl_ins.int_cnt_09[4] +.sym 13111 smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_E +.sym 13112 smi_ctrl_ins.soe_and_reset +.sym 13114 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 13115 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 13117 spi_if_ins.state_if_SB_DFFE_Q_D[1] +.sym 13118 spi_if_ins.state_if[0] +.sym 13119 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 13122 w_rx_09_fifo_pulled_data[28] +.sym 13127 w_rx_09_fifo_pulled_data[12] +.sym 13134 rx_09_fifo.wr_addr[5] +.sym 13135 smi_ctrl_ins.int_cnt_24[3] +.sym 13137 spi_if_ins.w_rx_data[5] +.sym 13138 spi_if_ins.o_cs_SB_LUT4_I3_O[3] +.sym 13140 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 13142 spi_if_ins.w_rx_data[6] +.sym 13143 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 13148 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13157 spi_if_ins.state_if[2] +.sym 13159 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 13162 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13166 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E +.sym 13175 spi_if_ins.state_if[1] +.sym 13179 spi_if_ins.state_if_SB_DFFE_Q_D[0] +.sym 13182 spi_if_ins.state_if_SB_DFFE_Q_D[1] +.sym 13183 spi_if_ins.state_if[0] +.sym 13189 spi_if_ins.state_if[0] +.sym 13190 spi_if_ins.state_if[1] +.sym 13194 spi_if_ins.state_if_SB_DFFE_Q_D[0] +.sym 13197 spi_if_ins.state_if[2] +.sym 13206 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13208 spi_if_ins.state_if_SB_DFFE_Q_D[0] +.sym 13209 spi_if_ins.state_if_SB_DFFE_Q_D[1] +.sym 13213 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13234 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E +.sym 13235 r_counter_$glb_clk +.sym 13236 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 13240 spi_if_ins.r_tx_byte[6] +.sym 13241 spi_if_ins.r_tx_byte[0] +.sym 13249 w_rx_09_fifo_data[3] +.sym 13251 spi_if_ins.state_if[2] +.sym 13260 w_rx_09_fifo_data[1] +.sym 13262 w_cs[1] +.sym 13265 spi_if_ins.o_ioc_SB_DFFE_Q_E +.sym 13278 i_glob_clock$SB_IO_IN +.sym 13281 w_tx_data_sys[0] +.sym 13282 spi_if_ins.state_if[0] +.sym 13284 spi_if_ins.state_if[2] +.sym 13286 spi_if_ins.state_if_SB_DFFE_Q_D[0] +.sym 13287 spi_if_ins.state_if[1] +.sym 13290 spi_if_ins.o_cs_SB_LUT4_I3_O[1] +.sym 13292 spi_if_ins.state_if[2] +.sym 13298 spi_if_ins.o_cs_SB_LUT4_I3_O[3] +.sym 13305 r_tx_data_SB_DFFE_Q_E +.sym 13308 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13309 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 13311 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 13312 spi_if_ins.o_cs_SB_LUT4_I3_O[1] +.sym 13313 spi_if_ins.o_cs_SB_LUT4_I3_O[3] +.sym 13314 w_tx_data_sys[0] +.sym 13323 spi_if_ins.state_if[1] +.sym 13324 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13325 spi_if_ins.state_if[0] +.sym 13326 spi_if_ins.state_if[2] +.sym 13348 spi_if_ins.state_if_SB_DFFE_Q_D[0] +.sym 13349 spi_if_ins.state_if[2] +.sym 13350 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 13357 r_tx_data_SB_DFFE_Q_E +.sym 13358 i_glob_clock$SB_IO_IN +.sym 13360 r_tx_data[1] +.sym 13361 r_tx_data[2] +.sym 13363 r_tx_data_SB_DFFE_Q_E +.sym 13364 r_tx_data[3] +.sym 13365 r_tx_data[5] +.sym 13366 r_tx_data[6] +.sym 13367 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 13368 i_glob_clock$SB_IO_IN +.sym 13375 w_tx_data_sys[0] +.sym 13383 w_rx_09_fifo_pulled_data[31] +.sym 13388 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 13395 w_soft_reset +.sym 13401 w_tx_data_smi[0] +.sym 13405 spi_if_ins.w_rx_data[5] +.sym 13411 w_cs[3] +.sym 13412 w_cs[2] +.sym 13415 w_tx_data_io[0] +.sym 13418 spi_if_ins.w_rx_data[6] +.sym 13420 w_cs[0] +.sym 13422 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 13423 w_cs[1] +.sym 13426 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 13428 spi_if_ins.o_ioc_SB_DFFE_Q_E +.sym 13430 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 13434 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 13435 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 13436 w_tx_data_smi[0] +.sym 13437 w_tx_data_io[0] +.sym 13440 spi_if_ins.w_rx_data[6] +.sym 13443 spi_if_ins.w_rx_data[5] +.sym 13448 spi_if_ins.w_rx_data[5] +.sym 13449 spi_if_ins.w_rx_data[6] +.sym 13452 spi_if_ins.w_rx_data[6] +.sym 13455 spi_if_ins.w_rx_data[5] +.sym 13458 w_cs[2] +.sym 13459 w_cs[0] +.sym 13460 w_cs[1] +.sym 13461 w_cs[3] +.sym 13464 w_cs[3] +.sym 13465 w_cs[1] +.sym 13466 w_cs[0] +.sym 13467 w_cs[2] +.sym 13471 spi_if_ins.w_rx_data[6] +.sym 13472 spi_if_ins.w_rx_data[5] +.sym 13480 spi_if_ins.o_ioc_SB_DFFE_Q_E +.sym 13481 r_counter_$glb_clk +.sym 13482 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 13483 w_ioc[0] +.sym 13484 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 13485 w_ioc[2] +.sym 13486 w_cs[0] +.sym 13487 w_ioc[1] +.sym 13489 w_ioc[3] +.sym 13490 w_ioc[4] +.sym 13498 $PACKER_VCC_NET +.sym 13500 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 13503 $PACKER_VCC_NET +.sym 13505 spi_if_ins.o_cs_SB_LUT4_I3_O[1] +.sym 13510 w_fetch +.sym 13514 w_fetch +.sym 13516 w_cs[1] +.sym 13526 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 13527 w_rx_24_fifo_empty +.sym 13528 w_rx_09_fifo_full +.sym 13529 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 13531 w_rx_09_fifo_empty +.sym 13541 w_rx_24_fifo_full +.sym 13543 w_tx_data_smi[2] +.sym 13544 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 13549 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 13555 w_tx_data_io[2] +.sym 13560 w_rx_09_fifo_empty +.sym 13566 w_rx_09_fifo_full +.sym 13575 w_rx_24_fifo_empty +.sym 13584 w_rx_24_fifo_full +.sym 13593 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 13594 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 13595 w_tx_data_io[2] +.sym 13596 w_tx_data_smi[2] +.sym 13603 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 13604 r_counter_$glb_clk +.sym 13605 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 13606 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E +.sym 13608 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 13609 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] +.sym 13610 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 13611 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 13613 io_ctrl_ins.o_data_out_SB_DFFESS_Q_E[2] +.sym 13620 w_rx_data[1] +.sym 13622 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 13623 spi_if_ins.w_rx_data[2] +.sym 13624 spi_if_ins.w_rx_data[4] +.sym 13626 w_rx_data[0] +.sym 13627 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 13628 rx_24_fifo.rd_addr[4] +.sym 13632 i_smi_a1$SB_IO_IN +.sym 13639 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E +.sym 13647 spi_if_ins.state_if_SB_DFFE_Q_D[0] +.sym 13649 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 13651 w_ioc[1] +.sym 13658 w_cs[0] +.sym 13660 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 13661 w_cs[2] +.sym 13665 w_soft_reset +.sym 13670 w_fetch +.sym 13673 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 13680 w_ioc[1] +.sym 13681 w_fetch +.sym 13682 w_soft_reset +.sym 13683 w_cs[2] +.sym 13692 spi_if_ins.state_if_SB_DFFE_Q_D[0] +.sym 13710 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 13711 w_cs[0] +.sym 13712 w_fetch +.sym 13726 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 13727 r_counter_$glb_clk +.sym 13728 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 13729 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 13730 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 13731 io_ctrl_ins.o_pmod[1] +.sym 13732 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 13733 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 13734 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] +.sym 13735 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R +.sym 13736 io_ctrl_ins.o_pmod[2] +.sym 13745 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 13754 w_load +.sym 13755 w_cs[1] +.sym 13757 w_soft_reset +.sym 13759 w_rx_data[1] +.sym 13760 w_ioc[0] +.sym 13762 w_cs[1] +.sym 13764 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 13772 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 13778 smi_ctrl_ins.i_cs_SB_LUT4_I2_O[1] +.sym 13791 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 13797 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E +.sym 13823 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 13835 smi_ctrl_ins.i_cs_SB_LUT4_I2_O[1] +.sym 13836 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 13849 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E +.sym 13850 r_counter_$glb_clk +.sym 13852 spi_if_ins.o_load_cmd_SB_LUT4_I3_1_O[0] +.sym 13853 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[2] +.sym 13854 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 13855 io_ctrl_ins.rf_pin_state[2] +.sym 13856 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 13858 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[3] +.sym 13864 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 13865 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R +.sym 13881 w_soft_reset +.sym 13894 w_fetch +.sym 13897 w_load +.sym 13899 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 13901 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 13904 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 13910 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[2] +.sym 13913 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R +.sym 13915 io_ctrl_ins.led1_state_SB_DFFESR_Q_E_SB_LUT4_O_I2[0] +.sym 13917 w_soft_reset +.sym 13918 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[0] +.sym 13922 w_cs[1] +.sym 13923 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[3] +.sym 13928 io_ctrl_ins.led1_state_SB_DFFESR_Q_E_SB_LUT4_O_I2[0] +.sym 13929 w_soft_reset +.sym 13932 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[3] +.sym 13933 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 13934 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[2] +.sym 13935 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[0] +.sym 13962 w_load +.sym 13963 w_fetch +.sym 13964 w_cs[1] +.sym 13965 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 13972 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 13973 r_counter_$glb_clk +.sym 13974 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R +.sym 13975 io_ctrl_ins.rf_mode_SB_DFFESR_Q_E +.sym 13976 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[0] +.sym 13977 o_shdn_tx_lna$SB_IO_OUT +.sym 13978 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 13981 o_shdn_rx_lna$SB_IO_OUT +.sym 13982 io_ctrl_ins.mixer_en_state +.sym 13987 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 13988 w_fetch +.sym 14005 o_led0$SB_IO_OUT +.sym 14027 io_ctrl_ins.led1_state_SB_DFFESR_Q_E +.sym 14028 w_rx_data[1] +.sym 14030 w_rx_data[0] +.sym 14064 w_rx_data[1] +.sym 14093 w_rx_data[0] +.sym 14095 io_ctrl_ins.led1_state_SB_DFFESR_Q_E +.sym 14096 r_counter_$glb_clk +.sym 14097 w_soft_reset_$glb_sr +.sym 14113 io_ctrl_ins.led1_state_SB_DFFESR_Q_E +.sym 14116 o_led1$SB_IO_OUT +.sym 14121 o_shdn_tx_lna$SB_IO_OUT +.sym 14123 i_smi_a1$SB_IO_IN +.sym 14226 lvds_rx_09_inst.r_state_if_SB_LUT4_I3_O .sym 14344 i_smi_a1$SB_IO_IN -.sym 14356 lvds_rx_24_inst.o_debug_state[0] .sym 14388 w_soft_reset -.sym 14401 w_soft_reset -.sym 14418 smi_ctrl_ins.soe_and_reset +.sym 14412 w_soft_reset +.sym 14418 i_sck$SB_IO_IN .sym 14419 io_smi_data[3]$SB_IO_OUT -.sym 14428 io_smi_data[3]$SB_IO_OUT -.sym 14431 smi_ctrl_ins.soe_and_reset -.sym 14445 $PACKER_VCC_NET -.sym 14447 smi_ctrl_ins.soe_and_reset -.sym 14454 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 14459 r_tx_data_SB_DFFE_Q_E -.sym 14466 w_tx_data_smi[3] +.sym 14437 io_smi_data[3]$SB_IO_OUT +.sym 14440 i_sck$SB_IO_IN +.sym 14450 w_rx_09_fifo_data[18] .sym 14476 i_smi_a3$SB_IO_IN -.sym 14486 smi_ctrl_ins.int_cnt_24[3] -.sym 14487 w_soft_reset -.sym 14488 smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_E -.sym 14496 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 14508 w_rx_09_fifo_empty -.sym 14512 smi_ctrl_ins.int_cnt_09[4] -.sym 14513 smi_ctrl_ins.int_cnt_09[3] -.sym 14514 w_rx_24_fifo_empty -.sym 14537 w_rx_24_fifo_empty -.sym 14538 smi_ctrl_ins.int_cnt_24[3] -.sym 14539 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 14551 w_soft_reset -.sym 14561 smi_ctrl_ins.int_cnt_09[4] -.sym 14562 smi_ctrl_ins.int_cnt_09[3] -.sym 14564 w_rx_09_fifo_empty -.sym 14565 smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_E -.sym 14566 smi_ctrl_ins.soe_and_reset_$glb_clk -.sym 14572 smi_ctrl_ins.r_fifo_24_pull_1 -.sym 14573 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] -.sym 14575 smi_ctrl_ins.r_fifo_09_pull -.sym 14577 smi_ctrl_ins.r_fifo_24_pull -.sym 14586 smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_E -.sym 14593 $PACKER_VCC_NET -.sym 14597 i_smi_soe_se$SB_IO_IN -.sym 14603 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] -.sym 14606 $PACKER_VCC_NET -.sym 14614 w_soft_reset -.sym 14624 $PACKER_VCC_NET -.sym 14625 smi_ctrl_ins.r_fifo_09_pull -.sym 14651 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 14654 rx_24_fifo.rd_addr[5] -.sym 14655 rx_24_fifo.rd_addr[6] -.sym 14660 rx_24_fifo.rd_addr[3] -.sym 14661 rx_24_fifo.rd_addr[4] -.sym 14664 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 14665 rx_24_fifo.rd_addr[1] -.sym 14672 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 14681 $nextpnr_ICESTORM_LC_13$O -.sym 14683 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 14687 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[2] -.sym 14689 rx_24_fifo.rd_addr[1] -.sym 14693 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 14696 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 14697 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[2] -.sym 14699 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[4] -.sym 14701 rx_24_fifo.rd_addr[3] -.sym 14703 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 14705 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[5] -.sym 14707 rx_24_fifo.rd_addr[4] -.sym 14709 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[4] -.sym 14711 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[6] -.sym 14714 rx_24_fifo.rd_addr[5] -.sym 14715 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[5] -.sym 14717 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[7] -.sym 14720 rx_24_fifo.rd_addr[6] -.sym 14721 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[6] -.sym 14723 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[8] -.sym 14725 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 14727 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[7] -.sym 14732 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[1] -.sym 14733 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[2] -.sym 14734 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[3] -.sym 14735 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[4] -.sym 14736 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[5] -.sym 14737 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[6] -.sym 14738 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[7] -.sym 14743 w_rx_24_fifo_data[27] -.sym 14763 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[1] -.sym 14764 rx_24_fifo.rd_addr[1] -.sym 14765 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 14767 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[8] -.sym 14772 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 14773 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 14775 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1[1] -.sym 14777 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1[2] -.sym 14778 w_rx_09_fifo_empty -.sym 14779 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 14780 rx_24_fifo.rd_addr[8] -.sym 14781 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] -.sym 14783 smi_ctrl_ins.r_fifo_09_pull -.sym 14786 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[1] -.sym 14787 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[3] -.sym 14791 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[3] -.sym 14792 rx_24_fifo.rd_addr[4] -.sym 14794 w_rx_24_fifo_empty -.sym 14797 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[9] -.sym 14798 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[2] -.sym 14799 rx_24_fifo.rd_addr[3] -.sym 14802 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] -.sym 14803 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1[0] -.sym 14804 $nextpnr_ICESTORM_LC_14$I3 -.sym 14806 rx_24_fifo.rd_addr[8] -.sym 14808 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[8] -.sym 14814 $nextpnr_ICESTORM_LC_14$I3 -.sym 14817 w_rx_09_fifo_empty -.sym 14819 w_rx_24_fifo_empty -.sym 14823 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[1] -.sym 14824 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[3] -.sym 14825 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] -.sym 14826 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] -.sym 14829 rx_24_fifo.rd_addr[4] -.sym 14830 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[3] -.sym 14831 rx_24_fifo.rd_addr[3] -.sym 14832 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[2] -.sym 14838 smi_ctrl_ins.r_fifo_09_pull -.sym 14841 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1[0] -.sym 14842 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1[2] -.sym 14844 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1[1] -.sym 14847 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 14848 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 14849 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 14850 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[9] -.sym 14852 w_clock_sys -.sym 14853 w_soft_reset_$glb_sr -.sym 14854 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[8] -.sym 14855 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[1] -.sym 14856 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[2] -.sym 14857 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 14858 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[3] -.sym 14859 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[3] -.sym 14861 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[1] -.sym 14866 rx_24_fifo.wr_addr[4] -.sym 14867 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 14869 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 14870 rx_24_fifo.wr_addr[6] -.sym 14871 i_smi_a3$SB_IO_IN -.sym 14872 w_smi_read_req -.sym 14874 w_rx_09_fifo_empty -.sym 14875 rx_24_fifo.wr_addr[8] -.sym 14876 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 14877 rx_24_fifo.wr_addr[3] -.sym 14879 w_rx_24_fifo_full -.sym 14880 rx_24_fifo.mem_i.0.0.0_RCLKE -.sym 14881 rx_24_fifo.wr_addr[7] -.sym 14882 rx_24_fifo.rd_addr[4] -.sym 14884 $PACKER_VCC_NET -.sym 14886 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[6] -.sym 14887 w_rx_24_fifo_push -.sym 14888 i_smi_a2_SB_LUT4_I1_O[2] -.sym 14889 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] -.sym 14899 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] -.sym 14900 rx_24_fifo.empty_o_SB_LUT4_I2_I3[3] -.sym 14903 w_rx_24_fifo_full -.sym 14908 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 14909 w_rx_24_fifo_empty -.sym 14912 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 14913 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 14914 w_rx_09_fifo_empty -.sym 14916 rx_24_fifo.wr_addr[5] -.sym 14920 w_rx_09_fifo_full -.sym 14921 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[1] -.sym 14922 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E -.sym 14924 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 14929 w_rx_24_fifo_empty -.sym 14934 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 14935 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] -.sym 14936 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[1] -.sym 14937 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 14942 w_rx_09_fifo_full -.sym 14948 rx_24_fifo.wr_addr[5] -.sym 14953 w_rx_09_fifo_empty -.sym 14958 w_rx_24_fifo_empty -.sym 14959 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 14960 rx_24_fifo.empty_o_SB_LUT4_I2_I3[3] -.sym 14961 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 14964 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 14970 w_rx_24_fifo_full -.sym 14974 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E -.sym 14975 w_clock_sys -.sym 14976 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 14977 w_rx_24_fifo_data[22] -.sym 14978 w_rx_24_fifo_data[26] -.sym 14981 w_rx_24_fifo_data[28] -.sym 14982 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[0] -.sym 14984 rx_24_fifo.mem_i.0.0.0_RCLKE -.sym 14991 rx_24_fifo.rd_addr[8] -.sym 14993 w_rx_24_fifo_pulled_data[3] -.sym 14994 rx_24_fifo.rd_addr[5] -.sym 14995 w_rx_24_fifo_pulled_data[8] -.sym 14996 rx_24_fifo.rd_addr[6] -.sym 14997 w_rx_24_fifo_pulled_data[11] -.sym 14999 w_tx_data_smi[0] -.sym 15001 $PACKER_VCC_NET -.sym 15004 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 15005 w_soft_reset -.sym 15006 i_smi_a2_SB_LUT4_I1_O[3] -.sym 15007 lvds_rx_24_inst.r_data[14] -.sym 15008 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E -.sym 15012 i_smi_a1_SB_LUT4_I1_O -.sym 15018 rx_24_fifo.rd_addr[6] -.sym 15019 rx_24_fifo.rd_addr[8] -.sym 15020 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 15021 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[0] -.sym 15022 rx_24_fifo.rd_addr[4] -.sym 15023 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[4] -.sym 15024 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[3] -.sym 15026 rx_24_fifo.wr_addr[6] -.sym 15027 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 15028 rx_24_fifo.rd_addr[3] -.sym 15029 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[3] -.sym 15030 i_smi_a2_SB_LUT4_I1_O[3] -.sym 15031 w_soft_reset -.sym 15032 rx_24_fifo.rd_addr[5] -.sym 15033 rx_24_fifo.wr_addr[5] -.sym 15034 rx_24_fifo.rd_addr[1] -.sym 15035 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[1] -.sym 15036 i_smi_a1_SB_LUT4_I1_O -.sym 15037 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 15038 i_smi_a2_SB_LUT4_I1_O[1] -.sym 15040 rx_24_fifo.wr_addr[4] -.sym 15041 rx_24_fifo.wr_addr[8] -.sym 15042 rx_24_fifo.wr_addr[3] -.sym 15043 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[2] -.sym 15044 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 15046 w_rx_24_fifo_push -.sym 15047 rx_24_fifo.wr_addr[7] -.sym 15048 i_smi_a2_SB_LUT4_I1_O[2] -.sym 15049 i_smi_a2_SB_LUT4_I1_O[0] -.sym 15051 w_soft_reset -.sym 15054 w_rx_24_fifo_push -.sym 15057 rx_24_fifo.rd_addr[8] -.sym 15058 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 15059 rx_24_fifo.wr_addr[8] -.sym 15060 rx_24_fifo.wr_addr[7] -.sym 15063 i_smi_a2_SB_LUT4_I1_O[0] -.sym 15064 i_smi_a2_SB_LUT4_I1_O[2] -.sym 15065 i_smi_a2_SB_LUT4_I1_O[1] -.sym 15066 i_smi_a2_SB_LUT4_I1_O[3] -.sym 15069 rx_24_fifo.wr_addr[3] -.sym 15070 rx_24_fifo.wr_addr[4] -.sym 15071 rx_24_fifo.rd_addr[3] -.sym 15072 rx_24_fifo.rd_addr[4] -.sym 15075 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 15076 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 15077 rx_24_fifo.rd_addr[1] -.sym 15078 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 15081 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[1] -.sym 15082 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[3] -.sym 15083 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[0] -.sym 15084 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[2] -.sym 15087 rx_24_fifo.wr_addr[5] -.sym 15088 rx_24_fifo.wr_addr[6] -.sym 15089 rx_24_fifo.rd_addr[6] -.sym 15090 rx_24_fifo.rd_addr[5] -.sym 15093 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[4] -.sym 15094 rx_24_fifo.wr_addr[4] -.sym 15095 rx_24_fifo.wr_addr[3] -.sym 15096 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[3] -.sym 15097 i_smi_a1_SB_LUT4_I1_O -.sym 15098 smi_ctrl_ins.soe_and_reset_$glb_clk -.sym 15100 w_rx_24_fifo_full -.sym 15104 w_rx_24_fifo_push -.sym 15110 w_soft_reset -.sym 15111 i_smi_a1$SB_IO_IN -.sym 15112 rx_24_fifo.rd_addr[5] -.sym 15113 rx_24_fifo.wr_addr[4] -.sym 15114 rx_24_fifo.rd_addr[4] -.sym 15116 rx_24_fifo.rd_addr[3] -.sym 15117 rx_24_fifo.mem_i.0.0.0_RCLKE -.sym 15118 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 15119 w_rx_24_fifo_pulled_data[2] -.sym 15120 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 15124 i_smi_a2_SB_LUT4_I1_O[1] -.sym 15129 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 15130 spi_if_ins.w_rx_data[6] -.sym 15142 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[0] -.sym 15143 rx_24_fifo.rd_addr[5] -.sym 15145 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 15148 rx_24_fifo.full_o_SB_LUT4_I3_O[0] -.sym 15150 rx_24_fifo.full_o_SB_LUT4_I3_O[2] -.sym 15151 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[3] -.sym 15152 rx_24_fifo.full_o_SB_LUT4_I3_O[1] -.sym 15153 rx_24_fifo.full_o_SB_LUT4_I3_O[3] -.sym 15154 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[1] -.sym 15158 w_soft_reset -.sym 15159 lvds_rx_24_inst.r_data[19] -.sym 15165 w_rx_24_fifo_full -.sym 15166 lvds_rx_24_inst.r_data[17] -.sym 15167 lvds_rx_24_inst.r_data[14] -.sym 15168 lvds_rx_24_inst.r_data[18] -.sym 15169 w_rx_24_fifo_push -.sym 15170 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] -.sym 15174 lvds_rx_24_inst.r_data[18] -.sym 15182 lvds_rx_24_inst.r_data[14] -.sym 15186 rx_24_fifo.full_o_SB_LUT4_I3_O[2] -.sym 15187 rx_24_fifo.full_o_SB_LUT4_I3_O[3] -.sym 15188 rx_24_fifo.full_o_SB_LUT4_I3_O[1] -.sym 15189 rx_24_fifo.full_o_SB_LUT4_I3_O[0] -.sym 15195 lvds_rx_24_inst.r_data[19] -.sym 15198 rx_24_fifo.rd_addr[5] -.sym 15199 w_rx_24_fifo_full -.sym 15200 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] -.sym 15201 w_rx_24_fifo_push -.sym 15204 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[3] -.sym 15205 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[1] -.sym 15206 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[0] -.sym 15207 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 15212 lvds_rx_24_inst.r_data[17] -.sym 15216 w_soft_reset -.sym 15218 w_rx_24_fifo_push -.sym 15220 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce -.sym 15221 lvds_clock_$glb_clk -.sym 15225 spi_if_ins.r_tx_byte[2] -.sym 15226 spi_if_ins.r_tx_byte[0] -.sym 15230 spi_if_ins.r_tx_byte[1] -.sym 15233 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 15236 w_rx_24_fifo_data[29] -.sym 15238 w_rx_24_fifo_data[30] -.sym 15239 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[3] -.sym 15242 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[1] -.sym 15243 w_rx_24_fifo_data[21] -.sym 15246 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[0] -.sym 15249 $PACKER_VCC_NET -.sym 15251 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 15253 r_tx_data[0] -.sym 15258 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 15265 rx_24_fifo.rd_addr[8] -.sym 15270 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] -.sym 15272 rx_24_fifo.rd_addr[6] -.sym 15273 w_tx_data_smi[1] -.sym 15275 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 15277 w_tx_data_smi[2] -.sym 15278 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 15280 rx_24_fifo.full_o_SB_LUT4_I3_I2[8] -.sym 15283 w_tx_data_io[3] -.sym 15289 w_tx_data_smi[3] -.sym 15290 w_tx_data_io[1] -.sym 15291 r_tx_data_SB_DFFE_Q_E -.sym 15293 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 15294 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[2] -.sym 15295 w_tx_data_io[4] -.sym 15297 w_tx_data_io[4] -.sym 15298 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 15303 rx_24_fifo.rd_addr[6] -.sym 15304 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] -.sym 15305 rx_24_fifo.rd_addr[8] -.sym 15306 rx_24_fifo.full_o_SB_LUT4_I3_I2[8] -.sym 15309 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 15310 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[2] -.sym 15311 w_tx_data_smi[2] -.sym 15321 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 15322 w_tx_data_io[3] -.sym 15323 w_tx_data_smi[3] -.sym 15324 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 15327 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 15328 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 15329 w_tx_data_io[1] -.sym 15330 w_tx_data_smi[1] -.sym 15343 r_tx_data_SB_DFFE_Q_E -.sym 15344 w_clock_sys -.sym 15345 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 15348 w_fetch -.sym 15349 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 15353 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 15358 rx_24_fifo.wr_addr[4] -.sym 15359 w_rx_24_fifo_data[16] -.sym 15361 spi_if_ins.r_tx_byte[0] -.sym 15362 rx_24_fifo.wr_addr[8] -.sym 15363 rx_24_fifo.wr_addr[6] -.sym 15364 rx_24_fifo.wr_addr[3] -.sym 15365 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 15366 spi_if_ins.r_tx_byte[7] -.sym 15369 spi_if_ins.r_tx_byte[2] -.sym 15372 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] -.sym 15374 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 15377 spi_if_ins.o_cs_SB_LUT4_I3_O[2] -.sym 15387 spi_if_ins.w_rx_data[5] -.sym 15389 w_tx_data_smi[0] -.sym 15391 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 15392 w_cs[3] -.sym 15395 spi_if_ins.w_rx_data[5] -.sym 15396 w_cs[1] -.sym 15397 w_cs[0] -.sym 15398 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] -.sym 15401 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 15402 spi_if_ins.w_rx_data[6] -.sym 15407 w_cs[2] -.sym 15414 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 15415 w_tx_data_io[0] -.sym 15420 w_cs[2] -.sym 15421 w_cs[3] -.sym 15422 w_cs[1] -.sym 15423 w_cs[0] -.sym 15427 spi_if_ins.w_rx_data[6] -.sym 15428 spi_if_ins.w_rx_data[5] -.sym 15432 spi_if_ins.w_rx_data[6] -.sym 15434 spi_if_ins.w_rx_data[5] -.sym 15438 w_cs[3] -.sym 15439 w_cs[2] -.sym 15440 w_cs[0] -.sym 15441 w_cs[1] -.sym 15444 spi_if_ins.w_rx_data[6] -.sym 15446 spi_if_ins.w_rx_data[5] -.sym 15451 spi_if_ins.w_rx_data[5] -.sym 15453 spi_if_ins.w_rx_data[6] -.sym 15456 w_cs[2] -.sym 15457 w_cs[0] -.sym 15458 w_cs[1] -.sym 15459 w_cs[3] -.sym 15462 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 15463 w_tx_data_smi[0] -.sym 15464 w_tx_data_io[0] -.sym 15465 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 15466 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] -.sym 15467 w_clock_sys -.sym 15468 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 15469 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 15470 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[3] -.sym 15471 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 15472 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E -.sym 15473 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 15474 spi_if_ins.state_if[0] -.sym 15475 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[3] -.sym 15476 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] -.sym 15477 spi_if_ins.w_rx_data[5] -.sym 15479 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 15481 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 15482 w_rx_24_fifo_pulled_data[13] -.sym 15488 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O -.sym 15489 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 15493 w_fetch -.sym 15495 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E -.sym 15496 w_soft_reset -.sym 15497 spi_if_ins.w_rx_data[4] -.sym 15498 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[3] -.sym 15501 $PACKER_VCC_NET -.sym 15502 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15503 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 15504 w_load -.sym 15511 w_cs[1] -.sym 15514 w_cs[2] -.sym 15516 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 15517 w_soft_reset -.sym 15518 w_tx_data_sys[0] -.sym 15519 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[1] -.sym 15520 w_fetch -.sym 15521 r_tx_data_SB_DFFE_Q_E -.sym 15523 w_cs[3] -.sym 15525 spi_if_ins.o_cs_SB_LUT4_I3_O[3] -.sym 15527 spi_if_ins.o_cs_SB_LUT4_I3_O[2] -.sym 15530 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 15536 w_cs[0] -.sym 15538 w_tx_data_io[2] -.sym 15549 w_cs[0] -.sym 15550 w_cs[3] -.sym 15551 w_cs[1] -.sym 15552 w_cs[2] -.sym 15561 w_tx_data_sys[0] -.sym 15562 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 15563 spi_if_ins.o_cs_SB_LUT4_I3_O[2] -.sym 15564 spi_if_ins.o_cs_SB_LUT4_I3_O[3] -.sym 15567 w_cs[2] -.sym 15568 w_cs[1] -.sym 15569 w_cs[3] -.sym 15570 w_cs[0] -.sym 15573 w_soft_reset -.sym 15574 w_cs[2] -.sym 15575 w_fetch -.sym 15576 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[1] -.sym 15585 w_tx_data_io[2] -.sym 15587 spi_if_ins.o_cs_SB_LUT4_I3_O[2] -.sym 15588 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 14492 lvds_rx_09_inst.r_data[14] +.sym 14545 lvds_rx_09_inst.r_data[14] +.sym 14565 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O_$glb_ce +.sym 14566 lvds_clock_$glb_clk +.sym 14573 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 14574 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 14575 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 14577 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 14579 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 14588 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 14592 lvds_rx_09_inst.r_data[14] +.sym 14601 i_mosi$SB_IO_IN +.sym 14611 spi_if_ins.spi.r_rx_byte[0] +.sym 14614 w_rx_09_fifo_data[16] +.sym 14628 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 14650 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 14654 w_smi_data_output[5] +.sym 14657 i_mosi$SB_IO_IN +.sym 14660 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 14664 i_smi_a3$SB_IO_IN +.sym 14666 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 14683 w_smi_data_output[5] +.sym 14684 i_smi_a3$SB_IO_IN +.sym 14707 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 14714 i_mosi$SB_IO_IN +.sym 14719 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 14728 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 14729 i_sck$SB_IO_IN_$glb_clk +.sym 14732 spi_if_ins.spi.r_rx_byte[7] +.sym 14733 spi_if_ins.spi.r_rx_byte[1] +.sym 14734 spi_if_ins.spi.r_rx_byte[2] +.sym 14735 spi_if_ins.spi.r_rx_byte[5] +.sym 14736 io_smi_data[4]$SB_IO_OUT +.sym 14737 spi_if_ins.spi.r_rx_byte[4] +.sym 14738 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 14749 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 14758 rx_09_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 14759 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 14760 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 14761 w_smi_data_output[4] +.sym 14762 rx_09_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 14766 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[0] +.sym 14773 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 14785 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 14790 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[0] +.sym 14795 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[1] +.sym 14799 o_miso_$_TBUF__Y_E +.sym 14801 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[3] +.sym 14802 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] +.sym 14812 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 14817 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[3] +.sym 14818 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] +.sym 14819 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[0] +.sym 14820 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[1] +.sym 14835 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 14851 o_miso_$_TBUF__Y_E +.sym 14852 i_sck$SB_IO_IN_$glb_clk +.sym 14856 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 14859 w_rx_09_fifo_data[21] +.sym 14868 smi_ctrl_ins.soe_and_reset +.sym 14874 i_smi_a3$SB_IO_IN +.sym 14879 w_rx_09_fifo_pulled_data[30] +.sym 14880 spi_if_ins.spi.SCKr[2] +.sym 14882 spi_if_ins.w_rx_data[3] +.sym 14884 spi_if_ins.spi.r_rx_byte[0] +.sym 14887 spi_if_ins.spi.SCKr[1] +.sym 14900 rx_09_fifo.wr_addr[5] +.sym 14904 rx_09_fifo.wr_addr[7] +.sym 14913 rx_09_fifo.wr_addr[2] +.sym 14918 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 14919 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 14920 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 14921 rx_09_fifo.wr_addr[6] +.sym 14924 rx_09_fifo.wr_addr[4] +.sym 14926 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 14927 $nextpnr_ICESTORM_LC_4$O +.sym 14929 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 14933 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[2] +.sym 14935 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 14937 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 14939 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[3] +.sym 14942 rx_09_fifo.wr_addr[2] +.sym 14943 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[2] +.sym 14945 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[4] +.sym 14948 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 14949 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[3] +.sym 14951 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[5] +.sym 14954 rx_09_fifo.wr_addr[4] +.sym 14955 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[4] +.sym 14957 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[6] +.sym 14960 rx_09_fifo.wr_addr[5] +.sym 14961 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[5] +.sym 14963 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[7] +.sym 14965 rx_09_fifo.wr_addr[6] +.sym 14967 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[6] +.sym 14969 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[8] +.sym 14971 rx_09_fifo.wr_addr[7] +.sym 14973 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[7] +.sym 14979 sys_ctrl_ins.reset_count[2] +.sym 14980 sys_ctrl_ins.reset_count[3] +.sym 14981 sys_ctrl_ins.reset_count[0] +.sym 14982 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 14983 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 14984 sys_ctrl_ins.reset_count[1] +.sym 14989 rx_09_fifo.rd_addr[6] +.sym 14995 w_rx_09_fifo_data[20] +.sym 14998 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 14999 w_soft_reset +.sym 15002 rx_09_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 15004 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 15007 rx_09_fifo.wr_addr[6] +.sym 15009 rx_09_fifo.full_o_SB_LUT4_I3_I2[8] +.sym 15010 spi_if_ins.spi.r_rx_byte[7] +.sym 15011 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 15013 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[8] +.sym 15021 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[0] +.sym 15024 rx_09_fifo.wr_addr[8] +.sym 15025 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 15027 rx_09_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 15029 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[1] +.sym 15034 smi_ctrl_ins.int_cnt_09[3] +.sym 15036 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 15037 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 15039 w_rx_09_fifo_pulled_data[30] +.sym 15040 rx_09_fifo.rd_addr[1] +.sym 15041 smi_ctrl_ins.int_cnt_09[4] +.sym 15042 w_soft_reset +.sym 15045 w_rx_09_fifo_pulled_data[14] +.sym 15048 rx_09_fifo.rd_addr[1] +.sym 15049 spi_if_ins.state_if_SB_DFFE_Q_D[1] +.sym 15051 rx_09_fifo.wr_addr[8] +.sym 15054 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[8] +.sym 15063 spi_if_ins.state_if_SB_DFFE_Q_D[1] +.sym 15075 smi_ctrl_ins.int_cnt_09[3] +.sym 15076 w_rx_09_fifo_pulled_data[30] +.sym 15077 w_rx_09_fifo_pulled_data[14] +.sym 15078 smi_ctrl_ins.int_cnt_09[4] +.sym 15081 w_soft_reset +.sym 15084 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[1] +.sym 15087 rx_09_fifo.rd_addr[1] +.sym 15088 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 15089 rx_09_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 15090 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[0] +.sym 15093 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 15095 rx_09_fifo.rd_addr[1] +.sym 15097 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 15098 r_counter_$glb_clk +.sym 15102 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 15104 spi_if_ins.w_rx_data[0] +.sym 15105 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 15112 sys_ctrl_ins.reset_cmd +.sym 15114 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 15115 w_rx_09_fifo_data[17] +.sym 15119 w_rx_09_fifo_data[5] +.sym 15120 w_rx_09_fifo_data[22] +.sym 15123 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 15125 spi_if_ins.state_if[1] +.sym 15128 w_soft_reset +.sym 15130 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 15131 w_rx_09_fifo_pulled_data[14] +.sym 15134 spi_if_ins.w_rx_data[1] +.sym 15135 spi_if_ins.state_if_SB_DFFE_Q_D[1] +.sym 15144 w_rx_09_fifo_pulled_data[28] +.sym 15145 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 15153 w_rx_09_fifo_pulled_data[12] +.sym 15154 smi_ctrl_ins.int_cnt_09[3] +.sym 15156 smi_ctrl_ins.int_cnt_09[4] +.sym 15157 spi_if_ins.spi.SCKr[1] +.sym 15181 spi_if_ins.spi.SCKr[1] +.sym 15192 w_rx_09_fifo_pulled_data[28] +.sym 15193 smi_ctrl_ins.int_cnt_09[4] +.sym 15194 w_rx_09_fifo_pulled_data[12] +.sym 15195 smi_ctrl_ins.int_cnt_09[3] +.sym 15219 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 15221 r_counter_$glb_clk +.sym 15224 spi_if_ins.state_if[2] +.sym 15228 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 15229 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 15230 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 15235 w_rx_09_fifo_data[23] +.sym 15238 rx_09_fifo.rd_addr[1] +.sym 15239 rx_09_fifo.rd_addr[6] +.sym 15241 rx_09_fifo.rd_addr[7] +.sym 15243 w_soft_reset +.sym 15246 rx_09_fifo.rd_addr[8] +.sym 15253 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 15258 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 15266 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 15268 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 15269 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 15272 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 15273 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 15279 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 15285 spi_if_ins.state_if[1] +.sym 15289 spi_if_ins.state_if[2] +.sym 15292 spi_if_ins.state_if[0] +.sym 15297 spi_if_ins.state_if[2] +.sym 15298 spi_if_ins.state_if[0] +.sym 15300 spi_if_ins.state_if[1] +.sym 15303 spi_if_ins.state_if[0] +.sym 15304 spi_if_ins.state_if[2] +.sym 15305 spi_if_ins.state_if[1] +.sym 15315 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 15316 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 15317 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 15318 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 15323 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 15327 spi_if_ins.state_if[1] +.sym 15328 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 15329 spi_if_ins.state_if[0] +.sym 15330 spi_if_ins.state_if[2] +.sym 15343 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 15344 r_counter_$glb_clk +.sym 15345 spi_if_ins.state_if_SB_DFFESR_Q_R +.sym 15346 spi_if_ins.r_tx_byte[5] +.sym 15347 spi_if_ins.r_tx_byte[7] +.sym 15348 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 15349 spi_if_ins.r_tx_byte[4] +.sym 15350 spi_if_ins.r_tx_byte[1] +.sym 15351 spi_if_ins.r_tx_byte[2] +.sym 15352 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 15353 spi_if_ins.r_tx_byte[3] +.sym 15359 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 15360 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 15362 w_rx_09_fifo_data[0] +.sym 15363 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 15369 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 15370 spi_if_ins.w_rx_data[3] +.sym 15374 w_rx_data[4] +.sym 15375 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 15379 spi_if_ins.w_rx_data[0] +.sym 15393 r_tx_data[6] +.sym 15395 r_tx_data[0] +.sym 15405 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 15439 r_tx_data[6] +.sym 15447 r_tx_data[0] +.sym 15466 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 15467 r_counter_$glb_clk +.sym 15469 w_rx_data[4] +.sym 15470 w_rx_data[7] +.sym 15472 w_rx_data[5] +.sym 15475 w_rx_data[6] +.sym 15489 spi_if_ins.r_tx_byte[6] +.sym 15496 w_tx_data_io[1] +.sym 15499 w_rx_data[1] +.sym 15502 w_rx_data[4] +.sym 15503 w_rx_data[3] +.sym 15510 i_glob_clock$SB_IO_IN +.sym 15511 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 15512 w_tx_data_io[1] +.sym 15513 w_cs[2] +.sym 15514 spi_if_ins.o_cs_SB_LUT4_I3_O[1] +.sym 15516 w_cs[1] +.sym 15517 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[1] +.sym 15520 w_cs[3] +.sym 15521 w_cs[0] +.sym 15523 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 15525 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 15527 w_tx_data_smi[1] +.sym 15532 w_tx_data_io[3] +.sym 15534 w_tx_data_io[5] +.sym 15537 r_tx_data_SB_DFFE_Q_E +.sym 15538 w_tx_data_smi[3] +.sym 15540 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[1] +.sym 15543 w_tx_data_io[1] +.sym 15544 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 15545 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 15546 w_tx_data_smi[1] +.sym 15549 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[1] +.sym 15550 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 15561 w_cs[2] +.sym 15562 w_cs[1] +.sym 15563 w_cs[3] +.sym 15564 w_cs[0] +.sym 15567 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 15568 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 15569 w_tx_data_io[3] +.sym 15570 w_tx_data_smi[3] +.sym 15573 w_tx_data_io[5] +.sym 15575 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 15576 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 15580 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 15582 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[1] +.sym 15585 w_cs[2] +.sym 15586 w_cs[1] +.sym 15587 w_cs[3] +.sym 15588 w_cs[0] .sym 15589 r_tx_data_SB_DFFE_Q_E -.sym 15590 w_clock_sys -.sym 15592 w_ioc[0] -.sym 15593 w_ioc[1] -.sym 15594 w_ioc[2] -.sym 15596 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 15597 w_ioc[4] -.sym 15598 w_ioc[3] -.sym 15600 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 15607 r_tx_data_SB_DFFE_Q_E -.sym 15616 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 15619 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I3[1] -.sym 15622 w_soft_reset -.sym 15624 spi_if_ins.w_rx_data[0] -.sym 15625 w_ioc[0] -.sym 15633 $PACKER_GND_NET -.sym 15635 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E -.sym 15638 w_cs[0] -.sym 15653 w_fetch -.sym 15657 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 15658 w_ioc[1] -.sym 15662 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 15672 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 15675 w_ioc[1] -.sym 15678 w_fetch -.sym 15679 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 15681 w_cs[0] -.sym 15709 $PACKER_GND_NET -.sym 15712 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E -.sym 15713 w_clock_sys -.sym 15714 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 15715 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 15716 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 15717 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_E -.sym 15719 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[2] -.sym 15720 w_load -.sym 15721 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 15727 w_tx_data_sys[0] -.sym 15731 r_tx_data_SB_DFFE_Q_E -.sym 15733 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E -.sym 15734 w_ioc[0] -.sym 15735 spi_if_ins.w_rx_data[3] -.sym 15736 w_ioc[1] -.sym 15738 spi_if_ins.w_rx_data[2] -.sym 15748 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 15750 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 15756 w_ioc[0] -.sym 15758 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] -.sym 15764 w_cs[0] -.sym 15765 w_fetch -.sym 15767 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 15772 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15773 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 15777 w_load -.sym 15779 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I3[1] -.sym 15780 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I3[2] -.sym 15781 sys_ctrl_ins.reset_cmd -.sym 15785 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 15796 sys_ctrl_ins.reset_cmd -.sym 15801 w_ioc[0] -.sym 15804 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 15807 w_cs[0] -.sym 15808 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] -.sym 15809 w_load +.sym 15590 i_glob_clock$SB_IO_IN +.sym 15591 spi_if_ins.o_cs_SB_LUT4_I3_O[1] +.sym 15593 w_rx_data[1] +.sym 15595 w_rx_data[3] +.sym 15596 w_rx_data[2] +.sym 15599 w_rx_data[0] +.sym 15604 i_glob_clock$SB_IO_IN +.sym 15605 w_rx_data[6] +.sym 15607 w_rx_data[5] +.sym 15611 spi_if_ins.w_rx_data[6] +.sym 15613 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[1] +.sym 15614 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E +.sym 15616 w_ioc[1] +.sym 15617 w_rx_data[2] +.sym 15618 w_tx_data_io[3] +.sym 15620 w_tx_data_io[5] +.sym 15622 spi_if_ins.w_rx_data[1] +.sym 15624 w_ioc[0] +.sym 15626 w_soft_reset +.sym 15634 spi_if_ins.w_rx_data[4] +.sym 15642 spi_if_ins.w_rx_data[3] +.sym 15644 spi_if_ins.o_ioc_SB_DFFE_Q_E +.sym 15647 spi_if_ins.w_rx_data[2] +.sym 15648 spi_if_ins.w_rx_data[1] +.sym 15649 spi_if_ins.w_rx_data[0] +.sym 15650 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 15652 w_cs[0] +.sym 15655 w_cs[1] +.sym 15659 w_cs[3] +.sym 15660 w_cs[2] +.sym 15666 spi_if_ins.w_rx_data[0] +.sym 15672 w_cs[0] +.sym 15673 w_cs[1] +.sym 15674 w_cs[3] +.sym 15675 w_cs[2] +.sym 15681 spi_if_ins.w_rx_data[2] +.sym 15686 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 15690 spi_if_ins.w_rx_data[1] +.sym 15702 spi_if_ins.w_rx_data[3] +.sym 15710 spi_if_ins.w_rx_data[4] +.sym 15712 spi_if_ins.o_ioc_SB_DFFE_Q_E +.sym 15713 r_counter_$glb_clk +.sym 15715 w_tx_data_io[0] +.sym 15716 w_tx_data_io[1] +.sym 15717 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[3] +.sym 15718 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[2] +.sym 15719 i_button_SB_LUT4_I3_O[1] +.sym 15720 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 15722 w_tx_data_io[3] +.sym 15727 w_ioc[0] +.sym 15730 spi_if_ins.o_ioc_SB_DFFE_Q_E +.sym 15732 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 15734 w_soft_reset +.sym 15735 w_cs[0] +.sym 15736 w_rx_data[1] +.sym 15743 w_rx_data[2] +.sym 15744 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 15745 io_ctrl_ins.o_data_out_SB_DFFESS_Q_E[2] +.sym 15746 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 15748 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 15749 w_rx_data[0] +.sym 15758 w_ioc[2] +.sym 15759 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] +.sym 15762 w_ioc[3] +.sym 15763 w_ioc[4] +.sym 15764 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 15766 w_load +.sym 15767 w_cs[0] +.sym 15768 w_ioc[1] +.sym 15769 w_cs[1] +.sym 15771 w_fetch +.sym 15782 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 15784 i_button_SB_LUT4_I3_O[1] +.sym 15785 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 15786 w_soft_reset +.sym 15789 w_fetch +.sym 15790 w_load +.sym 15791 w_cs[0] +.sym 15792 i_button_SB_LUT4_I3_O[1] +.sym 15801 w_ioc[3] +.sym 15803 w_ioc[2] +.sym 15804 w_ioc[4] +.sym 15808 w_cs[1] +.sym 15809 w_soft_reset .sym 15810 w_fetch -.sym 15825 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15826 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I3[2] -.sym 15828 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I3[1] -.sym 15835 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 15836 w_clock_sys -.sym 15837 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 15838 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I3[2] -.sym 15840 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I2_O -.sym 15841 w_rx_data[1] -.sym 15842 w_tx_data_io[7] -.sym 15843 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 15844 io_ctrl_ins.rx_h_state_SB_LUT4_I1_O[2] -.sym 15845 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[2] -.sym 15850 $PACKER_GND_NET -.sym 15854 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E -.sym 15856 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] -.sym 15859 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 15862 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_E -.sym 15863 w_ioc[0] -.sym 15864 io_ctrl_ins.mixer_en_state -.sym 15865 w_ioc[1] -.sym 15866 i_button$SB_IO_IN -.sym 15879 w_rx_data[0] -.sym 15881 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O -.sym 15890 w_rx_data[2] -.sym 15906 w_rx_data[1] -.sym 15924 w_rx_data[0] -.sym 15938 w_rx_data[1] -.sym 15950 w_rx_data[2] -.sym 15958 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O -.sym 15959 w_clock_sys -.sym 15961 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 15962 io_ctrl_ins.pmod_dir_state[1] -.sym 15963 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E -.sym 15964 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 15965 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15966 io_ctrl_ins.pmod_dir_state[4] -.sym 15967 io_ctrl_ins.pmod_dir_state[3] -.sym 15968 i_button_SB_LUT4_I0_I1[0] -.sym 15986 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 16004 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E -.sym 16005 w_rx_data[1] -.sym 16006 w_ioc[1] -.sym 16010 w_ioc[0] -.sym 16011 w_rx_data[2] -.sym 16014 w_ioc[1] -.sym 16015 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 16018 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 16047 w_rx_data[2] -.sym 16060 w_rx_data[1] -.sym 16066 w_ioc[1] -.sym 16067 w_ioc[0] -.sym 16068 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 16077 w_ioc[1] -.sym 16078 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 16080 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] +.sym 15814 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 15819 w_ioc[1] +.sym 15820 w_ioc[2] +.sym 15821 w_ioc[4] +.sym 15822 w_ioc[3] +.sym 15831 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 15832 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 15833 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] +.sym 15838 io_ctrl_ins.o_pmod[3] +.sym 15839 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 15840 io_ctrl_ins.o_pmod[0] +.sym 15841 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E +.sym 15842 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 15843 io_ctrl_ins.o_data_out_SB_DFFESS_Q_S +.sym 15844 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 15845 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] +.sym 15852 w_soft_reset +.sym 15854 io_ctrl_ins.pmod_dir_state[3] +.sym 15862 i_button$SB_IO_IN +.sym 15863 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 15865 w_rx_data[2] +.sym 15869 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 15871 w_rx_data[4] +.sym 15872 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] +.sym 15879 w_cs[1] +.sym 15881 io_ctrl_ins.o_pmod[1] +.sym 15883 i_button_SB_LUT4_I3_O[1] +.sym 15887 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 15888 w_ioc[1] +.sym 15889 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 15892 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 15893 w_fetch +.sym 15894 io_ctrl_ins.o_data_out_SB_DFFESS_Q_E[2] +.sym 15896 w_ioc[0] +.sym 15897 w_load +.sym 15898 w_soft_reset +.sym 15903 w_rx_data[2] +.sym 15905 io_ctrl_ins.debug_mode[1] +.sym 15906 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 15907 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 15910 w_rx_data[1] +.sym 15913 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 15914 w_ioc[1] +.sym 15915 w_ioc[0] +.sym 15918 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 15919 i_button_SB_LUT4_I3_O[1] +.sym 15926 w_rx_data[1] +.sym 15930 w_ioc[0] +.sym 15931 w_ioc[1] +.sym 15933 io_ctrl_ins.o_data_out_SB_DFFESS_Q_E[2] +.sym 15936 w_cs[1] +.sym 15937 w_soft_reset +.sym 15938 w_load +.sym 15939 w_fetch +.sym 15942 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 15943 i_button_SB_LUT4_I3_O[1] +.sym 15944 io_ctrl_ins.debug_mode[1] +.sym 15945 io_ctrl_ins.o_pmod[1] +.sym 15949 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 15950 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 15951 w_ioc[0] +.sym 15956 w_rx_data[2] +.sym 15958 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 15959 r_counter_$glb_clk +.sym 15961 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] +.sym 15963 io_ctrl_ins.rf_pin_state[4] +.sym 15964 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] +.sym 15965 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 15966 i_button_SB_LUT4_I3_O[2] +.sym 15967 io_ctrl_ins.rf_pin_state[6] +.sym 15968 io_ctrl_ins.rf_pin_state[0] +.sym 15977 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 15982 o_led0$SB_IO_OUT +.sym 15987 w_rx_data[1] +.sym 15988 w_rx_data[3] +.sym 15991 io_ctrl_ins.debug_mode[1] +.sym 15995 w_rx_data[4] +.sym 16004 w_rx_data[1] +.sym 16005 w_ioc[0] +.sym 16006 w_fetch +.sym 16007 w_load +.sym 16008 w_cs[1] +.sym 16010 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 16012 o_shdn_tx_lna$SB_IO_OUT +.sym 16015 w_rx_data[2] +.sym 16017 io_ctrl_ins.o_pmod[2] +.sym 16020 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 16029 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 16030 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 16032 io_ctrl_ins.rf_mode[0] +.sym 16035 w_fetch +.sym 16036 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 16037 w_cs[1] +.sym 16038 w_load +.sym 16041 io_ctrl_ins.rf_mode[0] +.sym 16043 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 16048 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 16054 w_rx_data[2] +.sym 16061 w_rx_data[1] +.sym 16071 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 16072 o_shdn_tx_lna$SB_IO_OUT +.sym 16073 io_ctrl_ins.o_pmod[2] +.sym 16074 w_ioc[0] .sym 16081 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E -.sym 16082 w_clock_sys -.sym 16084 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[3] -.sym 16085 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] -.sym 16087 o_shdn_tx_lna$SB_IO_OUT -.sym 16089 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 16090 o_shdn_rx_lna$SB_IO_OUT -.sym 16091 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] -.sym 16098 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E -.sym 16100 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 16101 i_button_SB_LUT4_I0_I1[0] -.sym 16113 o_shdn_rx_lna$SB_IO_OUT -.sym 16125 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[2] -.sym 16126 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] -.sym 16128 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 16129 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 16130 io_ctrl_ins.pmod_dir_state[4] -.sym 16131 io_ctrl_ins.pmod_dir_state[3] -.sym 16133 io_ctrl_ins.o_pmod[1] -.sym 16134 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] -.sym 16135 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 16136 io_ctrl_ins.mixer_en_state -.sym 16137 io_ctrl_ins.o_pmod[0] -.sym 16138 w_ioc[0] -.sym 16139 o_led1$SB_IO_OUT -.sym 16140 i_button_SB_LUT4_I0_I1[0] -.sym 16142 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] -.sym 16146 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 16147 o_shdn_rx_lna$SB_IO_OUT -.sym 16148 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] -.sym 16149 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[3] -.sym 16150 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 16152 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 16154 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 16158 o_shdn_rx_lna$SB_IO_OUT -.sym 16159 io_ctrl_ins.o_pmod[1] -.sym 16160 w_ioc[0] -.sym 16161 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 16164 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 16165 w_ioc[0] -.sym 16166 io_ctrl_ins.o_pmod[0] -.sym 16167 io_ctrl_ins.mixer_en_state -.sym 16170 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[2] -.sym 16171 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[3] -.sym 16172 i_button_SB_LUT4_I0_I1[0] -.sym 16173 o_led1$SB_IO_OUT -.sym 16176 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] -.sym 16177 io_ctrl_ins.pmod_dir_state[3] -.sym 16178 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] -.sym 16179 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 16182 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 16183 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 16184 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 16185 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 16188 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] -.sym 16189 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] -.sym 16190 io_ctrl_ins.pmod_dir_state[4] -.sym 16191 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 16204 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 16205 w_clock_sys -.sym 16206 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 16224 o_led0$SB_IO_OUT -.sym 16230 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] -.sym 16238 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 16350 o_led1$SB_IO_OUT -.sym 16358 i_config[3]$SB_IO_IN -.sym 16362 i_button$SB_IO_IN -.sym 16378 lvds_rx_24_inst.o_debug_state[0] -.sym 16397 w_soft_reset -.sym 16417 w_soft_reset -.sym 16419 lvds_rx_24_inst.o_debug_state[0] +.sym 16082 r_counter_$glb_clk +.sym 16084 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 16085 io_ctrl_ins.debug_mode[1] +.sym 16086 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 16087 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16088 io_ctrl_ins.debug_mode[0] +.sym 16090 io_ctrl_ins.rf_mode[0] +.sym 16091 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 16110 w_rx_data[2] +.sym 16118 w_soft_reset +.sym 16128 io_ctrl_ins.rf_pin_state[2] +.sym 16133 spi_if_ins.o_load_cmd_SB_LUT4_I3_1_O[0] +.sym 16134 w_soft_reset +.sym 16136 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 16137 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 16140 io_ctrl_ins.rf_pin_state[0] +.sym 16141 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 16144 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16145 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[0] +.sym 16148 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 16150 io_ctrl_ins.debug_mode[1] +.sym 16152 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16153 io_ctrl_ins.debug_mode[0] +.sym 16160 w_soft_reset +.sym 16161 spi_if_ins.o_load_cmd_SB_LUT4_I3_1_O[0] +.sym 16165 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[0] +.sym 16170 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16171 io_ctrl_ins.rf_pin_state[2] +.sym 16172 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 16173 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 16176 io_ctrl_ins.debug_mode[0] +.sym 16177 io_ctrl_ins.debug_mode[1] +.sym 16178 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 16179 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16194 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16196 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 16197 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 16200 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 16201 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 16202 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16203 io_ctrl_ins.rf_pin_state[0] +.sym 16204 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 16205 r_counter_$glb_clk +.sym 16211 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[0] +.sym 16219 o_rx_h_tx_l_b$SB_IO_OUT +.sym 16240 i_config[3]$SB_IO_IN +.sym 16354 i_button$SB_IO_IN +.sym 16386 lvds_rx_09_inst.o_debug_state[0] +.sym 16390 w_soft_reset +.sym 16435 lvds_rx_09_inst.o_debug_state[0] +.sym 16436 w_soft_reset .sym 16453 i_config[3]$SB_IO_IN .sym 16455 i_button$SB_IO_IN -.sym 16497 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E -.sym 16517 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E -.sym 16571 $PACKER_VCC_NET -.sym 16576 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E -.sym 16585 i_smi_soe_se$SB_IO_IN -.sym 16604 i_smi_soe_se$SB_IO_IN -.sym 16620 w_soft_reset -.sym 16647 i_smi_soe_se$SB_IO_IN -.sym 16649 w_soft_reset -.sym 16681 io_smi_data[4]$SB_IO_OUT -.sym 16697 $PACKER_VCC_NET -.sym 16710 io_smi_data[4]$SB_IO_OUT -.sym 16729 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] -.sym 16734 $PACKER_VCC_NET -.sym 16737 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 16771 smi_ctrl_ins.r_fifo_24_pull -.sym 16774 smi_ctrl_ins.r_fifo_24_pull_1 -.sym 16777 smi_ctrl_ins.w_fifo_24_pull_trigger -.sym 16788 w_rx_24_fifo_empty -.sym 16789 smi_ctrl_ins.w_fifo_09_pull_trigger -.sym 16793 smi_ctrl_ins.r_fifo_24_pull -.sym 16797 w_rx_24_fifo_empty -.sym 16798 smi_ctrl_ins.r_fifo_24_pull -.sym 16800 smi_ctrl_ins.r_fifo_24_pull_1 -.sym 16810 smi_ctrl_ins.w_fifo_09_pull_trigger -.sym 16821 smi_ctrl_ins.w_fifo_24_pull_trigger -.sym 16838 w_clock_sys -.sym 16839 w_soft_reset_$glb_sr -.sym 16866 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 16870 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 16873 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E -.sym 16883 rx_24_fifo.wr_addr[8] -.sym 16887 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 16888 rx_24_fifo.wr_addr[6] -.sym 16893 rx_24_fifo.wr_addr[3] -.sym 16894 rx_24_fifo.wr_addr[4] -.sym 16895 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 16900 rx_24_fifo.wr_addr[5] -.sym 16903 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 16904 rx_24_fifo.wr_addr[7] -.sym 16913 $nextpnr_ICESTORM_LC_1$O -.sym 16915 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 16919 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[2] -.sym 16921 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 16923 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 16925 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[3] -.sym 16927 rx_24_fifo.wr_addr[3] -.sym 16929 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[2] -.sym 16931 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[4] -.sym 16933 rx_24_fifo.wr_addr[4] -.sym 16935 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[3] -.sym 16937 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[5] -.sym 16939 rx_24_fifo.wr_addr[5] -.sym 16941 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[4] -.sym 16943 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[6] -.sym 16946 rx_24_fifo.wr_addr[6] -.sym 16947 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[5] -.sym 16949 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[7] -.sym 16951 rx_24_fifo.wr_addr[7] -.sym 16953 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[6] -.sym 16955 $nextpnr_ICESTORM_LC_2$I3 -.sym 16957 rx_24_fifo.wr_addr[8] -.sym 16959 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[7] -.sym 16968 spi_if_ins.r_tx_byte[3] -.sym 16974 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[3] -.sym 16975 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 16990 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 16992 rx_24_fifo.rd_addr[3] -.sym 16994 rx_24_fifo.rd_addr[6] -.sym 16995 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 16996 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 16997 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[1] -.sym 16999 $nextpnr_ICESTORM_LC_2$I3 -.sym 17004 rx_24_fifo.rd_addr[6] -.sym 17006 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 17007 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[3] -.sym 17008 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[4] -.sym 17009 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[5] -.sym 17010 rx_24_fifo.rd_addr[5] -.sym 17011 rx_24_fifo.rd_addr[8] -.sym 17012 rx_24_fifo.rd_addr[6] -.sym 17013 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[1] -.sym 17014 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[2] -.sym 17016 rx_24_fifo.rd_addr[3] -.sym 17017 rx_24_fifo.rd_addr[1] -.sym 17019 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[7] -.sym 17020 w_rx_24_fifo_push -.sym 17021 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 17023 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 17024 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[3] -.sym 17026 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 17028 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[8] -.sym 17030 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 17032 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] -.sym 17033 rx_24_fifo.rd_addr[4] -.sym 17035 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[1] -.sym 17040 $nextpnr_ICESTORM_LC_2$I3 -.sym 17043 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 17044 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[1] -.sym 17045 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] -.sym 17046 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[3] -.sym 17049 w_rx_24_fifo_push -.sym 17050 rx_24_fifo.rd_addr[8] -.sym 17051 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[7] -.sym 17052 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[8] -.sym 17055 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[2] -.sym 17056 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[1] -.sym 17057 rx_24_fifo.rd_addr[3] -.sym 17058 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 17061 rx_24_fifo.rd_addr[5] -.sym 17062 rx_24_fifo.rd_addr[6] -.sym 17063 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[4] -.sym 17064 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[5] -.sym 17067 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[3] -.sym 17068 rx_24_fifo.rd_addr[6] -.sym 17069 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[5] -.sym 17070 rx_24_fifo.rd_addr[4] -.sym 17079 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 17080 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 17081 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 17082 rx_24_fifo.rd_addr[1] -.sym 17088 sys_ctrl_ins.reset_count[2] -.sym 17089 sys_ctrl_ins.reset_count[3] -.sym 17090 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E -.sym 17091 sys_ctrl_ins.reset_count[0] -.sym 17092 sys_ctrl_ins.reset_count[1] -.sym 17093 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 17111 r_tx_data[3] -.sym 17116 $PACKER_VCC_NET -.sym 17128 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 17129 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[2] -.sym 17131 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[6] -.sym 17132 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[3] -.sym 17134 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] -.sym 17146 lvds_rx_24_inst.r_data[26] -.sym 17148 lvds_rx_24_inst.r_data[24] -.sym 17156 w_soft_reset -.sym 17158 lvds_rx_24_inst.r_data[20] -.sym 17162 lvds_rx_24_inst.r_data[20] -.sym 17166 lvds_rx_24_inst.r_data[24] -.sym 17187 lvds_rx_24_inst.r_data[26] -.sym 17190 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[3] -.sym 17191 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[2] -.sym 17192 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 17193 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[6] -.sym 17202 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] -.sym 17205 w_soft_reset -.sym 17206 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_$glb_ce -.sym 17207 lvds_clock_$glb_clk -.sym 17209 spi_if_ins.spi.r3_rx_done -.sym 17210 w_rx_24_fifo_data[28] -.sym 17213 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 17214 spi_if_ins.spi.r2_rx_done -.sym 17220 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 17223 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 17225 w_rx_24_fifo_data[26] -.sym 17229 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 17236 spi_if_ins.r_tx_byte[1] -.sym 17239 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 17241 sys_ctrl_ins.reset_cmd -.sym 17252 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[3] -.sym 17254 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[2] -.sym 17255 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[0] -.sym 17269 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[1] -.sym 17277 lvds_rx_24_inst.r_push -.sym 17283 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[3] -.sym 17284 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[1] -.sym 17285 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[2] -.sym 17286 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[0] -.sym 17309 lvds_rx_24_inst.r_push -.sym 17330 lvds_clock_$glb_clk -.sym 17331 w_soft_reset_$glb_sr -.sym 17332 spi_if_ins.r_tx_byte[4] -.sym 17333 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 17335 spi_if_ins.r_tx_byte[5] -.sym 17338 spi_if_ins.r_tx_byte[6] -.sym 17339 spi_if_ins.r_tx_byte[7] -.sym 17349 spi_if_ins.spi.r_rx_done -.sym 17356 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 17358 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 17360 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 17365 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 17367 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 17375 r_tx_data[2] -.sym 17378 r_tx_data[1] -.sym 17391 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 17396 r_tx_data[0] -.sym 17418 r_tx_data[2] -.sym 17424 r_tx_data[0] -.sym 17448 r_tx_data[1] -.sym 17452 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 17453 w_clock_sys -.sym 17456 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 17457 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 17459 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 17460 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 17461 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[2] -.sym 17462 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 17467 w_rx_24_fifo_pulled_data[10] -.sym 17470 spi_if_ins.r_tx_byte[5] -.sym 17471 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 17480 r_tx_data[5] -.sym 17488 r_tx_data[7] -.sym 17501 spi_if_ins.state_if[0] -.sym 17507 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 17516 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 17518 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 17519 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 17524 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 17525 spi_if_ins.state_if[1] -.sym 17542 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 17544 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 17550 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 17571 spi_if_ins.state_if[0] -.sym 17574 spi_if_ins.state_if[1] -.sym 17575 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 17576 w_clock_sys -.sym 17577 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 17580 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 17583 spi_if_ins.state_if[1] -.sym 17584 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 17595 spi_if_ins.w_rx_data[0] -.sym 17599 spi_if_ins.w_rx_data[6] -.sym 17601 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 17604 r_tx_data[6] -.sym 17623 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 17624 spi_if_ins.state_if[0] -.sym 17626 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 17629 w_fetch -.sym 17630 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 17631 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 17632 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 17635 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 17636 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[3] -.sym 17637 w_load -.sym 17639 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 17642 w_soft_reset -.sym 17644 w_cs[1] -.sym 17648 spi_if_ins.state_if[1] -.sym 17654 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 17660 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 17661 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 17664 w_cs[1] -.sym 17665 w_fetch -.sym 17666 w_load -.sym 17670 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 17671 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 17672 spi_if_ins.state_if[0] -.sym 17673 spi_if_ins.state_if[1] -.sym 17676 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 17678 spi_if_ins.state_if[1] -.sym 17679 spi_if_ins.state_if[0] -.sym 17683 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 17689 w_soft_reset -.sym 17690 w_cs[1] -.sym 17691 w_fetch -.sym 17694 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[3] -.sym 17696 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 17698 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 17699 w_clock_sys -.sym 17700 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 17701 r_tx_data[5] -.sym 17705 r_tx_data[7] -.sym 17706 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 17708 r_tx_data[6] -.sym 17713 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 17719 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 17720 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[1] -.sym 17725 sys_ctrl_ins.reset_cmd -.sym 17728 spi_if_ins.w_rx_data[5] -.sym 17730 spi_if_ins.w_rx_data[1] -.sym 17732 spi_if_ins.w_rx_data[3] -.sym 17733 w_tx_data_io[7] -.sym 17736 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[1] -.sym 17742 spi_if_ins.w_rx_data[4] -.sym 17745 spi_if_ins.w_rx_data[3] -.sym 17746 spi_if_ins.w_rx_data[2] -.sym 17753 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] -.sym 17754 spi_if_ins.w_rx_data[1] -.sym 17762 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 17767 spi_if_ins.w_rx_data[0] -.sym 17775 spi_if_ins.w_rx_data[0] -.sym 17781 spi_if_ins.w_rx_data[1] -.sym 17787 spi_if_ins.w_rx_data[2] -.sym 17801 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 17806 spi_if_ins.w_rx_data[4] -.sym 17814 spi_if_ins.w_rx_data[3] -.sym 17821 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] -.sym 17822 w_clock_sys -.sym 17824 w_rx_data[4] -.sym 17825 w_rx_data[2] -.sym 17826 w_rx_data[7] -.sym 17827 w_rx_data[1] -.sym 17828 w_rx_data[3] -.sym 17829 w_rx_data[5] -.sym 17830 w_rx_data[0] -.sym 17831 w_rx_data[6] -.sym 17836 w_ioc[0] -.sym 17840 w_ioc[1] -.sym 17842 spi_if_ins.w_rx_data[1] -.sym 17843 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 17844 spi_if_ins.o_cs_SB_LUT4_I3_O[2] -.sym 17851 w_rx_data[5] -.sym 17853 w_rx_data[0] -.sym 17857 w_rx_data[4] -.sym 17859 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 17866 w_ioc[1] -.sym 17867 w_ioc[2] -.sym 17868 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 17869 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[3] -.sym 17870 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 17873 w_ioc[0] -.sym 17874 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 17877 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[2] -.sym 17878 w_ioc[4] -.sym 17879 w_ioc[3] -.sym 17881 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 17883 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E -.sym 17885 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 17890 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[1] -.sym 17898 w_ioc[4] -.sym 17899 w_ioc[3] -.sym 17900 w_ioc[2] -.sym 17904 w_ioc[3] -.sym 17905 w_ioc[2] -.sym 17906 w_ioc[1] -.sym 17907 w_ioc[4] -.sym 17910 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[1] -.sym 17911 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[2] -.sym 17912 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[3] -.sym 17913 w_ioc[0] -.sym 17922 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 17923 w_ioc[1] -.sym 17924 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 17930 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 17934 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[1] -.sym 17935 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 17936 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[3] -.sym 17944 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E -.sym 17945 w_clock_sys -.sym 17946 spi_if_ins.state_if_SB_DFFESR_Q_R -.sym 17948 io_ctrl_ins.pmod_dir_state[6] -.sym 17949 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I3[1] -.sym 17950 io_ctrl_ins.pmod_dir_state[5] -.sym 17953 io_ctrl_ins.pmod_dir_state[7] -.sym 17954 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E -.sym 17962 spi_if_ins.w_rx_data[6] -.sym 17963 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 17964 w_rx_data[6] -.sym 17966 spi_if_ins.w_rx_data[4] -.sym 17968 spi_if_ins.w_rx_data[0] -.sym 17970 w_rx_data[7] -.sym 17972 w_tx_data_io[5] -.sym 17973 w_rx_data[1] -.sym 17974 w_tx_data_io[6] -.sym 17975 w_rx_data[3] -.sym 17976 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[2] -.sym 17978 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E -.sym 17982 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 17988 w_ioc[0] -.sym 17989 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 17990 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_E -.sym 17991 w_rx_data[1] -.sym 17992 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[2] -.sym 17995 i_button_SB_LUT4_I0_I1[0] -.sym 17996 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 17997 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 18000 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 18001 io_ctrl_ins.rx_h_state_SB_LUT4_I1_O[3] -.sym 18002 io_ctrl_ins.o_pmod[2] -.sym 18003 w_soft_reset -.sym 18006 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] -.sym 18009 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 18010 io_ctrl_ins.pmod_dir_state[7] -.sym 18011 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[2] -.sym 18017 i_button$SB_IO_IN -.sym 18018 io_ctrl_ins.rx_h_state_SB_LUT4_I1_O[2] -.sym 18019 o_shdn_tx_lna$SB_IO_OUT -.sym 18022 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 18023 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] -.sym 18024 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[2] -.sym 18033 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 18034 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 18035 w_soft_reset -.sym 18039 w_rx_data[1] -.sym 18045 io_ctrl_ins.rx_h_state_SB_LUT4_I1_O[3] -.sym 18046 io_ctrl_ins.rx_h_state_SB_LUT4_I1_O[2] -.sym 18047 i_button$SB_IO_IN -.sym 18048 i_button_SB_LUT4_I0_I1[0] -.sym 18051 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 18052 w_ioc[0] -.sym 18053 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 18057 io_ctrl_ins.pmod_dir_state[7] -.sym 18060 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 18063 io_ctrl_ins.o_pmod[2] -.sym 18064 w_ioc[0] -.sym 18065 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 18066 o_shdn_tx_lna$SB_IO_OUT -.sym 18067 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_E -.sym 18068 w_clock_sys -.sym 18069 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[2] -.sym 18071 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[2] -.sym 18072 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] -.sym 18073 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 18074 io_ctrl_ins.debug_mode[0] -.sym 18075 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 18077 io_ctrl_ins.debug_mode[1] -.sym 18083 w_soft_reset -.sym 18089 io_ctrl_ins.rx_h_state_SB_LUT4_I1_O[3] -.sym 18093 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I3[1] -.sym 18096 io_ctrl_ins.pmod_dir_state[5] -.sym 18103 i_config[1]$SB_IO_IN -.sym 18105 o_shdn_tx_lna$SB_IO_OUT -.sym 18116 w_ioc[0] -.sym 18118 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E -.sym 18119 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 18121 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 18123 w_rx_data[0] -.sym 18126 w_ioc[1] -.sym 18127 w_rx_data[4] -.sym 18131 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[3] -.sym 18133 w_rx_data[1] -.sym 18135 w_rx_data[3] -.sym 18138 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E -.sym 18145 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 18146 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[3] -.sym 18147 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 18153 w_rx_data[1] -.sym 18159 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E -.sym 18162 w_rx_data[0] -.sym 18169 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 18170 w_ioc[1] -.sym 18171 w_ioc[0] -.sym 18175 w_rx_data[4] -.sym 18183 w_rx_data[3] -.sym 18186 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 18187 w_ioc[1] -.sym 18188 w_ioc[0] -.sym 18190 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E -.sym 18191 w_clock_sys -.sym 18193 w_tx_data_io[5] -.sym 18194 w_tx_data_io[6] -.sym 18196 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[2] -.sym 18197 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 18198 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 18205 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 18215 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 18238 io_ctrl_ins.debug_mode[0] -.sym 18240 o_led0$SB_IO_OUT -.sym 18241 i_button_SB_LUT4_I0_I1[0] -.sym 18243 io_ctrl_ins.pmod_dir_state[1] -.sym 18245 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 18246 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 18247 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 18249 io_ctrl_ins.debug_mode[1] -.sym 18252 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 18254 io_ctrl_ins.rf_pin_state[1] -.sym 18255 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 18259 i_config[0]$SB_IO_IN -.sym 18260 io_ctrl_ins.rf_pin_state[2] -.sym 18262 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 18263 i_config[1]$SB_IO_IN -.sym 18267 io_ctrl_ins.debug_mode[1] -.sym 18268 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 18269 io_ctrl_ins.pmod_dir_state[1] -.sym 18270 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 18273 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 18274 i_config[1]$SB_IO_IN -.sym 18275 i_button_SB_LUT4_I0_I1[0] -.sym 18276 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 18285 io_ctrl_ins.rf_pin_state[2] -.sym 18286 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 18287 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 18288 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 18297 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 18298 io_ctrl_ins.debug_mode[0] -.sym 18299 i_button_SB_LUT4_I0_I1[0] -.sym 18300 o_led0$SB_IO_OUT -.sym 18303 io_ctrl_ins.rf_pin_state[1] -.sym 18304 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 18305 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 18309 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 18310 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 18311 i_button_SB_LUT4_I0_I1[0] -.sym 18312 i_config[0]$SB_IO_IN -.sym 18313 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 18314 w_clock_sys -.sym 18333 io_ctrl_ins.mixer_en_state -.sym 18335 i_config[3]$SB_IO_IN -.sym 18337 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_E -.sym 18463 i_button_SB_LUT4_I0_I1[1] +.sym 16470 lvds_rx_09_inst.o_debug_state[0] +.sym 16497 lvds_rx_09_inst.r_state_if_SB_LUT4_I3_O +.sym 16512 lvds_rx_09_inst.r_state_if_SB_LUT4_I3_O +.sym 16555 spi_if_ins.spi.r_rx_bit_count[2] +.sym 16556 spi_if_ins.spi.r_rx_bit_count[0] +.sym 16559 spi_if_ins.spi.r_rx_bit_count[1] +.sym 16572 spi_if_ins.w_rx_data[0] +.sym 16587 i_mosi$SB_IO_IN +.sym 16603 lvds_rx_09_inst.r_data[16] +.sym 16665 lvds_rx_09_inst.r_data[16] +.sym 16674 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O_$glb_ce +.sym 16675 lvds_clock_$glb_clk +.sym 16681 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E +.sym 16684 spi_if_ins.spi.r3_rx_done +.sym 16685 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D[1] +.sym 16686 w_rx_09_fifo_data[18] +.sym 16687 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 16688 spi_if_ins.spi.r2_rx_done +.sym 16703 lvds_rx_09_inst.r_data[16] +.sym 16710 i_ss$SB_IO_IN +.sym 16724 smi_ctrl_ins.soe_and_reset +.sym 16727 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E +.sym 16734 io_smi_data[4]$SB_IO_OUT +.sym 16735 w_soft_reset +.sym 16736 i_ss$SB_IO_IN +.sym 16741 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 16742 smi_ctrl_ins.soe_and_reset +.sym 16758 i_mosi$SB_IO_IN +.sym 16760 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 16769 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 16781 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 16783 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 16785 o_miso_$_TBUF__Y_E +.sym 16797 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 16803 i_mosi$SB_IO_IN +.sym 16810 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 16822 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 16836 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 16837 o_miso_$_TBUF__Y_E +.sym 16838 i_sck$SB_IO_IN_$glb_clk +.sym 16840 o_miso_$_TBUF__Y_E +.sym 16841 smi_ctrl_ins.soe_and_reset +.sym 16843 o_miso_$_TBUF__Y_E +.sym 16844 spi_if_ins.spi.r_rx_done +.sym 16845 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[0] +.sym 16851 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 16853 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 16856 i_ss$SB_IO_IN +.sym 16866 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 16867 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[0] +.sym 16868 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 16873 rx_09_fifo.wr_addr[7] +.sym 16874 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 16875 smi_ctrl_ins.soe_and_reset +.sym 16883 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 16884 i_smi_a3$SB_IO_IN +.sym 16885 i_ss$SB_IO_IN +.sym 16886 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 16888 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 16891 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 16892 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 16893 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D[1] +.sym 16894 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 16912 w_smi_data_output[4] +.sym 16920 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 16927 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 16935 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 16941 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 16946 i_smi_a3$SB_IO_IN +.sym 16947 w_smi_data_output[4] +.sym 16950 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 16958 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D[1] +.sym 16959 i_ss$SB_IO_IN +.sym 16960 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 16961 i_sck$SB_IO_IN_$glb_clk +.sym 16963 spi_if_ins.w_rx_data[5] +.sym 16964 spi_if_ins.w_rx_data[4] +.sym 16966 spi_if_ins.w_rx_data[1] +.sym 16967 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[0] +.sym 16969 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[0] +.sym 16970 spi_if_ins.w_rx_data[2] +.sym 16974 w_rx_data[6] +.sym 16976 rx_09_fifo.wr_addr[8] +.sym 16979 spi_if_ins.spi.r_rx_byte[7] +.sym 16981 i_ss$SB_IO_IN +.sym 16984 w_rx_09_fifo_data[16] +.sym 16987 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 16996 spi_if_ins.w_rx_data[5] +.sym 16998 spi_if_ins.w_rx_data[4] +.sym 17016 lvds_rx_09_inst.r_data[19] +.sym 17019 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 17049 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 17067 lvds_rx_09_inst.r_data[19] +.sym 17083 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O_$glb_ce +.sym 17084 lvds_clock_$glb_clk +.sym 17088 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17089 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R +.sym 17090 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[0] +.sym 17091 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 17092 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 17097 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 17098 w_rx_09_fifo_pulled_data[14] +.sym 17100 w_rx_09_fifo_data[21] +.sym 17101 spi_if_ins.w_rx_data[1] +.sym 17104 lvds_rx_09_inst.r_data[19] +.sym 17110 int_miso +.sym 17111 smi_ctrl_ins.int_cnt_09[3] +.sym 17112 spi_if_ins.w_rx_data[1] +.sym 17114 spi_if_ins.r_tx_data_valid +.sym 17117 smi_ctrl_ins.int_cnt_09[4] +.sym 17120 spi_if_ins.w_rx_data[2] +.sym 17130 sys_ctrl_ins.reset_count[3] +.sym 17138 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 17139 sys_ctrl_ins.reset_count[0] +.sym 17140 sys_ctrl_ins.reset_cmd +.sym 17153 sys_ctrl_ins.reset_count[2] +.sym 17154 sys_ctrl_ins.reset_count[3] +.sym 17156 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17158 sys_ctrl_ins.reset_count[1] +.sym 17159 $nextpnr_ICESTORM_LC_17$O +.sym 17161 sys_ctrl_ins.reset_count[0] +.sym 17165 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 17167 sys_ctrl_ins.reset_count[1] +.sym 17171 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] +.sym 17172 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17173 sys_ctrl_ins.reset_count[2] +.sym 17175 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 17179 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17180 sys_ctrl_ins.reset_count[3] +.sym 17181 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] +.sym 17185 sys_ctrl_ins.reset_count[0] +.sym 17190 sys_ctrl_ins.reset_count[2] +.sym 17191 sys_ctrl_ins.reset_count[1] +.sym 17192 sys_ctrl_ins.reset_count[0] +.sym 17193 sys_ctrl_ins.reset_count[3] +.sym 17196 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17198 sys_ctrl_ins.reset_cmd +.sym 17203 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17204 sys_ctrl_ins.reset_count[0] +.sym 17205 sys_ctrl_ins.reset_count[1] +.sym 17206 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 17207 r_counter_$glb_clk +.sym 17208 sys_ctrl_ins.reset_cmd +.sym 17209 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] +.sym 17210 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1[1] +.sym 17211 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 17212 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 17213 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 17214 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 17215 int_miso +.sym 17216 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1[3] +.sym 17219 w_rx_data[0] +.sym 17227 w_rx_09_fifo_data[2] +.sym 17234 spi_if_ins.r_tx_byte[0] +.sym 17235 spi_if_ins.r_tx_byte[7] +.sym 17237 w_soft_reset +.sym 17238 spi_if_ins.spi.r_tx_byte[5] +.sym 17240 spi_if_ins.state_if[2] +.sym 17244 smi_ctrl_ins.soe_and_reset +.sym 17252 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17253 spi_if_ins.spi.SCKr[1] +.sym 17255 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 17257 spi_if_ins.spi.r_rx_byte[0] +.sym 17259 spi_if_ins.spi.SCKr[2] +.sym 17261 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 17263 spi_if_ins.spi.r_rx_byte[7] +.sym 17295 spi_if_ins.spi.r_tx_bit_count[2] +.sym 17296 spi_if_ins.spi.SCKr[1] +.sym 17297 spi_if_ins.spi.SCKr[2] +.sym 17298 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 17310 spi_if_ins.spi.r_rx_byte[0] +.sym 17316 spi_if_ins.spi.r_rx_byte[7] +.sym 17329 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 17330 r_counter_$glb_clk +.sym 17332 spi_if_ins.spi.r_tx_byte[2] +.sym 17333 spi_if_ins.spi.r_tx_byte[3] +.sym 17334 spi_if_ins.spi.r_tx_byte[7] +.sym 17335 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[0] +.sym 17336 spi_if_ins.spi.r_tx_byte[0] +.sym 17337 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[1] +.sym 17338 spi_if_ins.spi.r_tx_byte[4] +.sym 17339 spi_if_ins.spi.r_tx_byte[1] +.sym 17344 w_rx_09_fifo_pulled_data[30] +.sym 17347 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 17348 w_rx_09_fifo_data[4] +.sym 17349 spi_if_ins.spi.SCKr[1] +.sym 17350 w_rx_09_fifo_data[6] +.sym 17351 i_ss$SB_IO_IN +.sym 17354 spi_if_ins.w_rx_data[0] +.sym 17355 spi_if_ins.spi.SCKr[2] +.sym 17356 smi_ctrl_ins.soe_and_reset +.sym 17358 w_rx_data[7] +.sym 17365 r_tx_data[7] +.sym 17377 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 17381 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 17382 spi_if_ins.state_if[2] +.sym 17384 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 17385 spi_if_ins.state_if[0] +.sym 17386 spi_if_ins.state_if[1] +.sym 17387 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 17390 spi_if_ins.state_if[2] +.sym 17404 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 17412 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 17437 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 17438 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 17442 spi_if_ins.state_if[2] +.sym 17444 spi_if_ins.state_if[1] +.sym 17445 spi_if_ins.state_if[0] +.sym 17448 spi_if_ins.state_if[2] +.sym 17449 spi_if_ins.state_if[1] +.sym 17450 spi_if_ins.state_if[0] +.sym 17451 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 17452 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 17453 r_counter_$glb_clk +.sym 17454 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 17455 spi_if_ins.spi.r_tx_byte[6] +.sym 17457 spi_if_ins.spi.r_tx_byte[5] +.sym 17477 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 17479 spi_if_ins.w_rx_data[4] +.sym 17489 spi_if_ins.w_rx_data[5] +.sym 17490 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 17496 spi_if_ins.state_if[1] +.sym 17497 spi_if_ins.state_if[2] +.sym 17498 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 17501 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 17511 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 17514 r_tx_data[4] +.sym 17516 r_tx_data[3] +.sym 17517 r_tx_data[5] +.sym 17520 r_tx_data[1] +.sym 17521 r_tx_data[2] +.sym 17524 spi_if_ins.state_if[0] +.sym 17525 r_tx_data[7] +.sym 17530 r_tx_data[5] +.sym 17536 r_tx_data[7] +.sym 17544 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 17548 r_tx_data[4] +.sym 17556 r_tx_data[1] +.sym 17560 r_tx_data[2] +.sym 17565 spi_if_ins.state_if[1] +.sym 17566 spi_if_ins.state_if[2] +.sym 17567 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 17568 spi_if_ins.state_if[0] +.sym 17572 r_tx_data[3] +.sym 17575 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 17576 r_counter_$glb_clk +.sym 17580 r_tx_data[4] +.sym 17582 r_tx_data[7] +.sym 17591 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17597 w_soft_reset +.sym 17604 spi_if_ins.w_rx_data[1] +.sym 17605 spi_if_ins.w_rx_data[2] +.sym 17609 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[2] +.sym 17610 w_rx_data[4] +.sym 17612 w_rx_data[7] +.sym 17619 spi_if_ins.w_rx_data[6] +.sym 17634 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 17639 spi_if_ins.w_rx_data[4] +.sym 17646 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 17649 spi_if_ins.w_rx_data[5] +.sym 17652 spi_if_ins.w_rx_data[4] +.sym 17661 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 17672 spi_if_ins.w_rx_data[5] +.sym 17690 spi_if_ins.w_rx_data[6] +.sym 17698 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 17699 r_counter_$glb_clk +.sym 17701 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] +.sym 17706 i_button_SB_LUT4_I3_O[0] +.sym 17713 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R +.sym 17717 w_tx_data_io[7] +.sym 17728 w_rx_data[5] +.sym 17736 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[2] +.sym 17743 spi_if_ins.w_rx_data[3] +.sym 17744 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 17758 spi_if_ins.w_rx_data[0] +.sym 17764 spi_if_ins.w_rx_data[1] +.sym 17765 spi_if_ins.w_rx_data[2] +.sym 17782 spi_if_ins.w_rx_data[1] +.sym 17793 spi_if_ins.w_rx_data[3] +.sym 17802 spi_if_ins.w_rx_data[2] +.sym 17818 spi_if_ins.w_rx_data[0] +.sym 17821 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 17822 r_counter_$glb_clk +.sym 17825 io_ctrl_ins.led1_state_SB_LUT4_I3_O[0] +.sym 17827 io_ctrl_ins.pmod_dir_state[5] +.sym 17828 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] +.sym 17829 io_ctrl_ins.pmod_dir_state[3] +.sym 17830 io_ctrl_ins.pmod_dir_state[4] +.sym 17831 io_ctrl_ins.pmod_dir_state[7] +.sym 17838 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 17846 w_rx_data[2] +.sym 17848 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] +.sym 17849 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 17850 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 17851 w_rx_data[3] +.sym 17854 i_config[2]$SB_IO_IN +.sym 17855 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 17856 w_tx_data_io[0] +.sym 17857 i_config[1]$SB_IO_IN +.sym 17858 w_rx_data[7] +.sym 17865 io_ctrl_ins.o_pmod[3] +.sym 17869 i_button_SB_LUT4_I3_O[1] +.sym 17870 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 17872 io_ctrl_ins.pmod_dir_state[3] +.sym 17874 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] +.sym 17875 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 17878 io_ctrl_ins.o_data_out_SB_DFFESS_Q_S +.sym 17879 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[2] +.sym 17880 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] +.sym 17881 w_ioc[0] +.sym 17882 io_ctrl_ins.led1_state_SB_LUT4_I3_O[0] +.sym 17883 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[3] +.sym 17885 w_ioc[1] +.sym 17886 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] +.sym 17889 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 17891 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 17892 io_ctrl_ins.o_data_out_SB_DFFESS_Q_E[2] +.sym 17893 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] +.sym 17894 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 17895 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] +.sym 17898 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] +.sym 17899 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] +.sym 17900 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 17901 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] +.sym 17904 io_ctrl_ins.led1_state_SB_LUT4_I3_O[0] +.sym 17905 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] +.sym 17906 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] +.sym 17907 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 17910 i_button_SB_LUT4_I3_O[1] +.sym 17911 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 17912 io_ctrl_ins.o_pmod[3] +.sym 17913 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 17916 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 17917 w_ioc[1] +.sym 17918 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 17923 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 17924 w_ioc[0] +.sym 17928 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 17929 w_ioc[1] +.sym 17931 w_ioc[0] +.sym 17940 io_ctrl_ins.pmod_dir_state[3] +.sym 17941 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 17942 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[2] +.sym 17943 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[3] +.sym 17944 io_ctrl_ins.o_data_out_SB_DFFESS_Q_E[2] +.sym 17945 r_counter_$glb_clk +.sym 17946 io_ctrl_ins.o_data_out_SB_DFFESS_Q_S +.sym 17947 io_ctrl_ins.rf_pin_state[5] +.sym 17948 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[2] +.sym 17950 i_button_SB_LUT4_I3_O[3] +.sym 17951 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[3] +.sym 17952 io_ctrl_ins.rf_pin_state[3] +.sym 17953 io_ctrl_ins.rf_pin_state[7] +.sym 17969 i_button_SB_LUT4_I3_O[1] +.sym 17973 o_led1$SB_IO_OUT +.sym 17974 o_tr_vc1$SB_IO_OUT +.sym 17976 i_button_SB_LUT4_I3_O[1] +.sym 17977 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 17979 io_ctrl_ins.pmod_dir_state[4] +.sym 17989 w_ioc[0] +.sym 17990 io_ctrl_ins.o_pmod[0] +.sym 17991 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[2] +.sym 17992 i_button_SB_LUT4_I3_O[1] +.sym 17994 w_rx_data[0] +.sym 17997 w_ioc[1] +.sym 17998 o_led0$SB_IO_OUT +.sym 17999 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 18000 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 18001 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 18002 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 18006 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 18009 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 18011 w_rx_data[3] +.sym 18017 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 18019 io_ctrl_ins.o_data_out_SB_DFFESS_Q_E[2] +.sym 18022 w_rx_data[3] +.sym 18027 w_ioc[0] +.sym 18028 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 18035 w_rx_data[0] +.sym 18039 w_ioc[0] +.sym 18040 w_ioc[1] +.sym 18041 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 18042 io_ctrl_ins.o_data_out_SB_DFFESS_Q_E[2] +.sym 18046 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 18047 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 18051 w_ioc[0] +.sym 18052 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 18053 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[2] +.sym 18057 w_ioc[1] +.sym 18058 w_ioc[0] +.sym 18059 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 18063 o_led0$SB_IO_OUT +.sym 18064 i_button_SB_LUT4_I3_O[1] +.sym 18065 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 18066 io_ctrl_ins.o_pmod[0] +.sym 18067 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 18068 r_counter_$glb_clk +.sym 18071 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[2] +.sym 18072 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 18073 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[2] +.sym 18075 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] +.sym 18077 w_tx_data_io[4] +.sym 18089 w_tx_data_io[5] +.sym 18090 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E +.sym 18094 o_rx_h_tx_l$SB_IO_OUT +.sym 18100 io_ctrl_ins.rf_pin_state[3] +.sym 18102 io_ctrl_ins.rf_pin_state[7] +.sym 18105 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[2] +.sym 18113 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 18114 w_rx_data[0] +.sym 18115 i_button$SB_IO_IN +.sym 18120 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 18123 io_ctrl_ins.debug_mode[0] +.sym 18124 w_rx_data[4] +.sym 18125 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 18127 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 18131 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 18133 o_led1$SB_IO_OUT +.sym 18134 io_ctrl_ins.mixer_en_state +.sym 18139 w_rx_data[6] +.sym 18141 o_shdn_rx_lna$SB_IO_OUT +.sym 18144 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 18145 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 18146 o_led1$SB_IO_OUT +.sym 18147 o_shdn_rx_lna$SB_IO_OUT +.sym 18156 w_rx_data[4] +.sym 18162 io_ctrl_ins.mixer_en_state +.sym 18163 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 18164 io_ctrl_ins.debug_mode[0] +.sym 18165 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 18168 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 18170 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 18175 i_button$SB_IO_IN +.sym 18176 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 18181 w_rx_data[6] +.sym 18186 w_rx_data[0] +.sym 18190 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 18191 r_counter_$glb_clk +.sym 18193 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 18194 o_tr_vc1$SB_IO_OUT +.sym 18195 o_tr_vc1_b$SB_IO_OUT +.sym 18197 o_rx_h_tx_l_b$SB_IO_OUT +.sym 18198 o_tr_vc2$SB_IO_OUT +.sym 18199 o_rx_h_tx_l$SB_IO_OUT +.sym 18206 i_config[3]$SB_IO_IN +.sym 18207 i_button_SB_LUT4_I3_O[2] +.sym 18208 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[2] +.sym 18209 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 18211 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 18214 io_ctrl_ins.o_data_out_SB_DFFESS_Q_E[2] +.sym 18237 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 18240 w_rx_data[4] +.sym 18241 w_rx_data[3] +.sym 18243 io_ctrl_ins.debug_mode[1] +.sym 18244 w_rx_data[2] +.sym 18245 io_ctrl_ins.rf_mode_SB_DFFESR_Q_E +.sym 18248 w_rx_data[1] +.sym 18256 io_ctrl_ins.rf_mode[0] +.sym 18258 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 18262 io_ctrl_ins.debug_mode[0] +.sym 18264 w_rx_data[0] +.sym 18265 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 18268 io_ctrl_ins.debug_mode[0] +.sym 18269 io_ctrl_ins.debug_mode[1] +.sym 18275 w_rx_data[1] +.sym 18279 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 18280 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 18281 io_ctrl_ins.rf_mode[0] +.sym 18282 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 18285 w_rx_data[3] +.sym 18292 w_rx_data[0] +.sym 18304 w_rx_data[2] +.sym 18312 w_rx_data[4] +.sym 18313 io_ctrl_ins.rf_mode_SB_DFFESR_Q_E +.sym 18314 r_counter_$glb_clk +.sym 18315 w_soft_reset_$glb_sr +.sym 18328 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 18329 o_rx_h_tx_l$SB_IO_OUT +.sym 18336 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 18345 i_config[2]$SB_IO_IN +.sym 18349 i_config[1]$SB_IO_IN +.sym 18371 w_rx_data[2] +.sym 18384 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 18415 w_rx_data[2] +.sym 18436 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 18437 r_counter_$glb_clk .sym 18562 i_config[1]$SB_IO_IN -.sym 18564 i_button_SB_LUT4_I0_I1[1] -.sym 18591 i_config[1]$SB_IO_IN +.sym 18564 i_config[2]$SB_IO_IN .sym 18636 io_smi_data[4]$SB_IO_OUT .sym 18641 i_smi_a3$SB_IO_IN .sym 18645 i_smi_a3$SB_IO_IN -.sym 18658 io_smi_data[4]$SB_IO_OUT -.sym 18694 io_smi_data[5]$SB_IO_OUT +.sym 18656 io_smi_data[4]$SB_IO_OUT +.sym 18680 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 18695 i_ss$SB_IO_IN +.sym 18714 spi_if_ins.spi.r_rx_bit_count[0] +.sym 18716 i_ss$SB_IO_IN +.sym 18725 spi_if_ins.spi.r_rx_bit_count[1] +.sym 18729 spi_if_ins.spi.r_rx_bit_count[2] +.sym 18735 $nextpnr_ICESTORM_LC_15$O +.sym 18738 spi_if_ins.spi.r_rx_bit_count[0] +.sym 18741 spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_I3[2] +.sym 18743 spi_if_ins.spi.r_rx_bit_count[1] +.sym 18749 spi_if_ins.spi.r_rx_bit_count[2] +.sym 18751 spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_I3[2] +.sym 18757 spi_if_ins.spi.r_rx_bit_count[0] +.sym 18772 spi_if_ins.spi.r_rx_bit_count[1] +.sym 18774 spi_if_ins.spi.r_rx_bit_count[0] +.sym 18783 i_sck$SB_IO_IN_$glb_clk +.sym 18784 i_ss$SB_IO_IN .sym 18785 i_smi_soe_se$SB_IO_IN -.sym 18830 w_smi_data_output[4] -.sym 18887 w_smi_data_output[4] -.sym 18890 i_smi_a3$SB_IO_IN -.sym 18900 w_smi_data_output[4] -.sym 18902 i_smi_a3$SB_IO_IN -.sym 18973 rx_24_fifo.rd_addr[1] -.sym 18976 i_smi_a3$SB_IO_IN -.sym 18977 $PACKER_VCC_NET -.sym 18983 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 19097 rx_24_fifo.rd_addr[5] -.sym 19100 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 19102 rx_24_fifo.rd_addr[3] -.sym 19103 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 19104 rx_24_fifo.rd_addr[4] -.sym 19106 rx_24_fifo.wr_addr[7] -.sym 19123 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 19141 r_tx_data[3] -.sym 19176 r_tx_data[3] -.sym 19191 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 19192 w_clock_sys -.sym 19194 w_rx_24_fifo_pulled_data[0] -.sym 19196 w_rx_24_fifo_pulled_data[1] -.sym 19198 w_rx_24_fifo_pulled_data[2] -.sym 19200 w_rx_24_fifo_pulled_data[3] -.sym 19208 spi_if_ins.r_tx_byte[3] -.sym 19215 spi_if_ins.r_tx_byte[1] -.sym 19219 rx_24_fifo.rd_addr[8] -.sym 19226 w_rx_24_fifo_pulled_data[5] -.sym 19237 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E -.sym 19240 sys_ctrl_ins.reset_count[0] -.sym 19245 sys_ctrl_ins.reset_count[2] -.sym 19248 sys_ctrl_ins.reset_count[0] -.sym 19254 sys_ctrl_ins.reset_count[3] -.sym 19255 sys_ctrl_ins.reset_cmd -.sym 19257 sys_ctrl_ins.reset_count[1] -.sym 19258 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 19266 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 19267 $nextpnr_ICESTORM_LC_17$O -.sym 19270 sys_ctrl_ins.reset_count[0] -.sym 19273 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 19275 sys_ctrl_ins.reset_count[1] -.sym 19279 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 19280 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 19281 sys_ctrl_ins.reset_count[2] -.sym 19283 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 19286 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 19288 sys_ctrl_ins.reset_count[3] -.sym 19289 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 19293 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 19294 sys_ctrl_ins.reset_cmd -.sym 19299 sys_ctrl_ins.reset_count[0] -.sym 19304 sys_ctrl_ins.reset_count[1] -.sym 19306 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 19307 sys_ctrl_ins.reset_count[0] -.sym 19310 sys_ctrl_ins.reset_count[3] -.sym 19311 sys_ctrl_ins.reset_count[1] -.sym 19312 sys_ctrl_ins.reset_count[2] -.sym 19313 sys_ctrl_ins.reset_count[0] -.sym 19314 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E -.sym 19315 w_clock_sys -.sym 19316 sys_ctrl_ins.reset_cmd -.sym 19317 w_rx_24_fifo_pulled_data[4] -.sym 19319 w_rx_24_fifo_pulled_data[5] -.sym 19321 w_rx_24_fifo_pulled_data[6] -.sym 19323 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[0] -.sym 19333 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E -.sym 19334 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 19341 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 19342 w_rx_24_fifo_pulled_data[12] -.sym 19343 w_rx_24_fifo_data[18] -.sym 19345 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 19347 w_rx_24_fifo_data[31] -.sym 19349 w_rx_24_fifo_pulled_data[9] -.sym 19350 w_rx_24_fifo_pulled_data[4] -.sym 19352 w_rx_24_fifo_data[25] -.sym 19363 spi_if_ins.spi.r2_rx_done -.sym 19364 spi_if_ins.spi.r_rx_done -.sym 19378 w_rx_24_fifo_data[28] -.sym 19382 spi_if_ins.spi.r3_rx_done -.sym 19394 spi_if_ins.spi.r2_rx_done -.sym 19400 w_rx_24_fifo_data[28] -.sym 19416 spi_if_ins.spi.r2_rx_done -.sym 19418 spi_if_ins.spi.r3_rx_done -.sym 19422 spi_if_ins.spi.r_rx_done -.sym 19438 w_clock_sys -.sym 19440 w_rx_24_fifo_pulled_data[8] -.sym 19442 w_rx_24_fifo_pulled_data[9] -.sym 19444 w_rx_24_fifo_pulled_data[10] -.sym 19446 w_rx_24_fifo_pulled_data[11] -.sym 19460 rx_24_fifo.rd_addr[6] -.sym 19462 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 19464 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 19465 rx_24_fifo.rd_addr[1] -.sym 19466 rx_24_fifo.rd_addr[1] -.sym 19467 rx_24_fifo.rd_addr[6] -.sym 19468 spi_if_ins.r_tx_byte[6] -.sym 19469 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 19471 rx_24_fifo.mem_i.0.0.0_RCLKE -.sym 19472 spi_if_ins.r_tx_byte[4] -.sym 19473 w_rx_24_fifo_data[22] -.sym 19475 rx_24_fifo.wr_addr[5] -.sym 19481 r_tx_data[6] -.sym 19483 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 19491 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 19497 r_tx_data[4] -.sym 19502 r_tx_data[7] -.sym 19508 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19510 r_tx_data[5] -.sym 19516 r_tx_data[4] -.sym 19520 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 19523 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19533 r_tx_data[5] -.sym 19552 r_tx_data[6] -.sym 19556 r_tx_data[7] -.sym 19560 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 19561 w_clock_sys -.sym 19563 w_rx_24_fifo_pulled_data[12] -.sym 19565 w_rx_24_fifo_pulled_data[13] -.sym 19567 w_rx_24_fifo_pulled_data[14] -.sym 19569 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[1] -.sym 19576 $PACKER_VCC_NET -.sym 19577 w_rx_24_fifo_data[17] -.sym 19579 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 19585 r_tx_data[6] -.sym 19588 w_rx_24_fifo_pulled_data[14] -.sym 19589 rx_24_fifo.wr_addr[7] -.sym 19592 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 19594 w_rx_24_fifo_data[19] -.sym 19598 w_rx_24_fifo_data[20] -.sym 19608 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[1] -.sym 19609 spi_if_ins.state_if[1] -.sym 19610 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19615 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 19617 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19618 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[2] -.sym 19621 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[3] -.sym 19624 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 19625 spi_if_ins.state_if[0] -.sym 19627 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] -.sym 19629 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 19643 spi_if_ins.state_if[0] -.sym 19645 spi_if_ins.state_if[1] -.sym 19646 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 19649 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 19650 spi_if_ins.state_if[0] -.sym 19652 spi_if_ins.state_if[1] -.sym 19661 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 19667 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] -.sym 19668 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 19670 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19673 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19675 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 19679 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[2] -.sym 19680 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[1] -.sym 19681 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[3] -.sym 19682 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 19683 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 19684 w_clock_sys -.sym 19685 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19700 spi_if_ins.w_rx_data[1] -.sym 19704 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[1] -.sym 19706 spi_if_ins.w_rx_data[3] -.sym 19707 w_rx_24_fifo_data[23] -.sym 19708 spi_if_ins.w_rx_data[5] -.sym 19717 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 19727 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[1] -.sym 19728 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 19730 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19731 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 19732 spi_if_ins.state_if[0] -.sym 19736 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19739 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 19740 spi_if_ins.state_if[1] -.sym 19754 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 19772 spi_if_ins.state_if[1] -.sym 19773 spi_if_ins.state_if[0] -.sym 19774 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 19775 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19790 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 19791 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[1] -.sym 19792 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 19793 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19796 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 19797 spi_if_ins.state_if[0] -.sym 19798 spi_if_ins.state_if[1] -.sym 19799 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19806 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 19807 w_clock_sys -.sym 19824 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 19826 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 19827 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 19834 w_rx_data[0] -.sym 19835 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 19838 w_rx_data[4] -.sym 19840 w_rx_data[2] -.sym 19844 w_rx_data[1] -.sym 19854 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 19858 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 19860 w_tx_data_io[6] -.sym 19861 spi_if_ins.o_cs_SB_LUT4_I3_O[2] -.sym 19863 w_tx_data_io[5] -.sym 19867 w_tx_data_io[7] -.sym 19868 r_tx_data_SB_DFFE_Q_E -.sym 19872 w_soft_reset -.sym 19876 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 19883 spi_if_ins.o_cs_SB_LUT4_I3_O[2] -.sym 19885 w_tx_data_io[5] -.sym 19886 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 19908 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 19909 spi_if_ins.o_cs_SB_LUT4_I3_O[2] -.sym 19910 w_tx_data_io[7] -.sym 19915 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 19916 w_soft_reset -.sym 19925 w_tx_data_io[6] -.sym 19927 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 19929 r_tx_data_SB_DFFE_Q_E -.sym 19930 w_clock_sys -.sym 19931 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 19948 w_tx_data_io[6] -.sym 19951 w_tx_data_io[5] -.sym 19956 w_rx_data[3] -.sym 19958 spi_if_ins.w_rx_data[2] -.sym 19960 w_rx_data[0] -.sym 19963 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 19964 w_rx_data[4] -.sym 19966 w_rx_data[2] -.sym 19974 spi_if_ins.w_rx_data[1] -.sym 19975 spi_if_ins.w_rx_data[0] -.sym 19976 spi_if_ins.w_rx_data[3] -.sym 19979 spi_if_ins.w_rx_data[6] -.sym 19980 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[1] -.sym 19981 spi_if_ins.w_rx_data[4] -.sym 19984 spi_if_ins.w_rx_data[2] -.sym 19988 spi_if_ins.w_rx_data[5] -.sym 19991 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 20007 spi_if_ins.w_rx_data[4] -.sym 20013 spi_if_ins.w_rx_data[2] -.sym 20021 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[1] -.sym 20024 spi_if_ins.w_rx_data[1] -.sym 20031 spi_if_ins.w_rx_data[3] -.sym 20037 spi_if_ins.w_rx_data[5] -.sym 20044 spi_if_ins.w_rx_data[0] -.sym 20049 spi_if_ins.w_rx_data[6] -.sym 20052 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 20053 w_clock_sys -.sym 20069 w_rx_data[5] -.sym 20077 w_rx_data[3] -.sym 20088 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] -.sym 20089 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 20090 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20098 w_rx_data[7] -.sym 20105 w_rx_data[2] -.sym 20109 w_rx_data[5] -.sym 20111 w_rx_data[6] -.sym 20114 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E -.sym 20116 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 20123 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 20136 w_rx_data[6] -.sym 20141 w_rx_data[2] -.sym 20148 w_rx_data[5] -.sym 20167 w_rx_data[7] -.sym 20172 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 20174 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 20175 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E -.sym 20176 w_clock_sys -.sym 20220 io_ctrl_ins.pmod_dir_state[6] -.sym 20223 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 20227 w_rx_data[3] -.sym 20232 w_rx_data[0] -.sym 20233 w_rx_data[1] -.sym 20236 w_rx_data[4] -.sym 20237 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I2_O -.sym 20238 w_rx_data[2] -.sym 20259 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 20260 io_ctrl_ins.pmod_dir_state[6] -.sym 20265 w_rx_data[2] -.sym 20270 w_rx_data[3] -.sym 20276 w_rx_data[0] -.sym 20282 w_rx_data[4] -.sym 20294 w_rx_data[1] -.sym 20298 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I2_O -.sym 20299 w_clock_sys -.sym 20300 w_soft_reset_$glb_sr -.sym 20317 w_rx_data[5] -.sym 20327 o_led1$SB_IO_OUT -.sym 20343 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[2] -.sym 20344 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_E -.sym 20345 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20346 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[2] -.sym 20348 io_ctrl_ins.pmod_dir_state[5] -.sym 20349 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[3] -.sym 20350 i_config[3]$SB_IO_IN -.sym 20353 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[3] -.sym 20354 io_ctrl_ins.debug_mode[0] -.sym 20355 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20356 i_button_SB_LUT4_I0_I1[1] -.sym 20357 io_ctrl_ins.debug_mode[1] -.sym 20361 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[2] -.sym 20362 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 20373 i_button_SB_LUT4_I0_I1[0] -.sym 20375 io_ctrl_ins.pmod_dir_state[5] -.sym 20376 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[3] -.sym 20377 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 20378 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[2] -.sym 20381 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[2] -.sym 20382 i_button_SB_LUT4_I0_I1[0] -.sym 20383 i_config[3]$SB_IO_IN -.sym 20384 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[3] -.sym 20394 i_button_SB_LUT4_I0_I1[0] -.sym 20395 i_button_SB_LUT4_I0_I1[1] -.sym 20401 io_ctrl_ins.debug_mode[1] -.sym 20402 io_ctrl_ins.debug_mode[0] -.sym 20405 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20406 io_ctrl_ins.debug_mode[1] -.sym 20407 io_ctrl_ins.debug_mode[0] -.sym 20408 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20421 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_E -.sym 20422 w_clock_sys -.sym 20423 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[2] -.sym 20438 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 20439 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[3] -.sym 20444 i_button_SB_LUT4_I0_I1[1] -.sym 20445 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[3] -.sym 20446 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 18821 io_smi_data[5]$SB_IO_OUT +.sym 18839 i_smi_soe_se$SB_IO_IN +.sym 18849 rx_09_fifo.wr_addr[7] +.sym 18868 spi_if_ins.spi.r_rx_bit_count[2] +.sym 18873 i_ss$SB_IO_IN +.sym 18876 spi_if_ins.spi.r_rx_bit_count[2] +.sym 18877 spi_if_ins.spi.r_rx_bit_count[0] +.sym 18878 spi_if_ins.spi.r_rx_done +.sym 18880 spi_if_ins.spi.r_rx_bit_count[1] +.sym 18881 spi_if_ins.spi.r2_rx_done +.sym 18888 w_rx_09_fifo_data[18] +.sym 18893 spi_if_ins.spi.r3_rx_done +.sym 18899 spi_if_ins.spi.r_rx_bit_count[2] +.sym 18900 spi_if_ins.spi.r_rx_bit_count[1] +.sym 18901 spi_if_ins.spi.r_rx_bit_count[0] +.sym 18902 i_ss$SB_IO_IN +.sym 18918 spi_if_ins.spi.r2_rx_done +.sym 18924 spi_if_ins.spi.r_rx_bit_count[1] +.sym 18925 spi_if_ins.spi.r_rx_bit_count[0] +.sym 18926 spi_if_ins.spi.r_rx_bit_count[2] +.sym 18930 w_rx_09_fifo_data[18] +.sym 18935 spi_if_ins.spi.r2_rx_done +.sym 18937 spi_if_ins.spi.r3_rx_done +.sym 18941 spi_if_ins.spi.r_rx_done +.sym 18946 r_counter_$glb_clk +.sym 18948 w_rx_09_fifo_pulled_data[8] +.sym 18950 w_rx_09_fifo_pulled_data[9] +.sym 18952 w_rx_09_fifo_pulled_data[10] +.sym 18954 w_rx_09_fifo_pulled_data[11] +.sym 18972 $PACKER_VCC_NET +.sym 18973 w_rx_09_fifo_pulled_data[10] +.sym 18976 $PACKER_VCC_NET +.sym 18977 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 18978 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R +.sym 18981 w_rx_09_fifo_pulled_data[8] +.sym 18982 smi_ctrl_ins.soe_and_reset +.sym 18989 o_miso_$_TBUF__Y_E +.sym 18990 i_ss$SB_IO_IN +.sym 18993 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D[1] +.sym 19000 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E +.sym 19001 w_soft_reset +.sym 19002 i_ss$SB_IO_IN +.sym 19005 i_smi_soe_se$SB_IO_IN +.sym 19009 smi_ctrl_ins.int_cnt_09[3] +.sym 19010 smi_ctrl_ins.int_cnt_09[4] +.sym 19014 w_rx_09_fifo_pulled_data[29] +.sym 19015 w_rx_09_fifo_pulled_data[13] +.sym 19023 i_ss$SB_IO_IN +.sym 19029 i_smi_soe_se$SB_IO_IN +.sym 19030 w_soft_reset +.sym 19041 o_miso_$_TBUF__Y_E +.sym 19046 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D[1] +.sym 19052 w_rx_09_fifo_pulled_data[13] +.sym 19053 smi_ctrl_ins.int_cnt_09[3] +.sym 19054 smi_ctrl_ins.int_cnt_09[4] +.sym 19055 w_rx_09_fifo_pulled_data[29] +.sym 19068 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E +.sym 19069 i_sck$SB_IO_IN_$glb_clk +.sym 19070 i_ss$SB_IO_IN +.sym 19071 w_rx_09_fifo_pulled_data[12] +.sym 19073 w_rx_09_fifo_pulled_data[13] +.sym 19075 w_rx_09_fifo_pulled_data[14] +.sym 19077 w_rx_09_fifo_pulled_data[15] +.sym 19083 o_miso_$_TBUF__Y_E +.sym 19084 rx_09_fifo.wr_addr[2] +.sym 19086 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E +.sym 19087 rx_09_fifo.wr_addr[6] +.sym 19090 w_rx_09_fifo_data[19] +.sym 19091 int_miso +.sym 19092 rx_09_fifo.wr_addr[5] +.sym 19093 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 19096 rx_09_fifo.wr_addr[5] +.sym 19100 w_rx_09_fifo_pulled_data[29] +.sym 19101 spi_if_ins.w_rx_data[2] +.sym 19103 spi_if_ins.w_rx_data[5] +.sym 19104 w_rx_09_fifo_pulled_data[12] +.sym 19105 spi_if_ins.w_rx_data[4] +.sym 19114 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 19118 w_rx_09_fifo_pulled_data[11] +.sym 19122 w_rx_09_fifo_pulled_data[9] +.sym 19130 spi_if_ins.spi.r_rx_byte[1] +.sym 19133 smi_ctrl_ins.int_cnt_09[3] +.sym 19134 spi_if_ins.spi.r_rx_byte[4] +.sym 19138 w_rx_09_fifo_pulled_data[25] +.sym 19139 spi_if_ins.spi.r_rx_byte[2] +.sym 19140 spi_if_ins.spi.r_rx_byte[5] +.sym 19141 smi_ctrl_ins.int_cnt_09[3] +.sym 19142 w_rx_09_fifo_pulled_data[27] +.sym 19143 smi_ctrl_ins.int_cnt_09[4] +.sym 19148 spi_if_ins.spi.r_rx_byte[5] +.sym 19154 spi_if_ins.spi.r_rx_byte[4] +.sym 19166 spi_if_ins.spi.r_rx_byte[1] +.sym 19169 smi_ctrl_ins.int_cnt_09[3] +.sym 19170 w_rx_09_fifo_pulled_data[9] +.sym 19171 smi_ctrl_ins.int_cnt_09[4] +.sym 19172 w_rx_09_fifo_pulled_data[25] +.sym 19181 smi_ctrl_ins.int_cnt_09[4] +.sym 19182 smi_ctrl_ins.int_cnt_09[3] +.sym 19183 w_rx_09_fifo_pulled_data[11] +.sym 19184 w_rx_09_fifo_pulled_data[27] +.sym 19188 spi_if_ins.spi.r_rx_byte[2] +.sym 19191 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 19192 r_counter_$glb_clk +.sym 19194 w_rx_09_fifo_pulled_data[24] +.sym 19196 w_rx_09_fifo_pulled_data[25] +.sym 19198 w_rx_09_fifo_pulled_data[26] +.sym 19200 w_rx_09_fifo_pulled_data[27] +.sym 19207 w_rx_09_fifo_pulled_data[15] +.sym 19208 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 19212 rx_09_fifo.rd_addr[1] +.sym 19218 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 19219 w_rx_09_fifo_data[3] +.sym 19220 w_rx_09_fifo_data[1] +.sym 19223 rx_09_fifo.rd_addr[2] +.sym 19228 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 19229 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 19235 smi_ctrl_ins.int_cnt_09[3] +.sym 19241 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 19244 $PACKER_VCC_NET +.sym 19246 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 19247 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 19248 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 19249 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 19251 w_rx_09_fifo_pulled_data[8] +.sym 19253 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19256 $PACKER_VCC_NET +.sym 19259 w_rx_09_fifo_pulled_data[24] +.sym 19264 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R +.sym 19265 smi_ctrl_ins.int_cnt_09[4] +.sym 19267 $nextpnr_ICESTORM_LC_16$O +.sym 19270 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 19273 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 19275 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 19276 $PACKER_VCC_NET +.sym 19280 $PACKER_VCC_NET +.sym 19282 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19283 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 19288 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 19292 w_rx_09_fifo_pulled_data[8] +.sym 19293 w_rx_09_fifo_pulled_data[24] +.sym 19294 smi_ctrl_ins.int_cnt_09[3] +.sym 19295 smi_ctrl_ins.int_cnt_09[4] +.sym 19301 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 19304 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 19306 $PACKER_VCC_NET +.sym 19307 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 19314 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 19315 r_counter_$glb_clk +.sym 19316 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R +.sym 19317 w_rx_09_fifo_pulled_data[28] +.sym 19319 w_rx_09_fifo_pulled_data[29] +.sym 19321 w_rx_09_fifo_pulled_data[30] +.sym 19323 w_rx_09_fifo_pulled_data[31] +.sym 19332 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 19333 rx_09_fifo.wr_addr[7] +.sym 19334 rx_09_fifo.wr_addr[4] +.sym 19335 rx_09_fifo.wr_addr[6] +.sym 19336 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 19339 smi_ctrl_ins.int_cnt_09[3] +.sym 19342 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19346 w_rx_09_fifo_pulled_data[31] +.sym 19348 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 19358 i_ss$SB_IO_IN +.sym 19359 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 19360 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19362 spi_if_ins.spi.SCKr[2] +.sym 19363 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 19364 spi_if_ins.spi.r_tx_byte[4] +.sym 19366 spi_if_ins.r_tx_data_valid +.sym 19367 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 19368 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 19369 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[0] +.sym 19370 spi_if_ins.spi.r_tx_byte[0] +.sym 19371 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[1] +.sym 19372 spi_if_ins.spi.SCKr[1] +.sym 19373 spi_if_ins.spi.r_tx_byte[1] +.sym 19374 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] +.sym 19375 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1[1] +.sym 19377 spi_if_ins.r_tx_byte[7] +.sym 19378 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 19381 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1[3] +.sym 19385 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 19386 spi_if_ins.spi.r_tx_byte[5] +.sym 19387 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 19391 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 19392 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1[1] +.sym 19393 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 19394 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1[3] +.sym 19397 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 19398 spi_if_ins.spi.r_tx_byte[4] +.sym 19399 spi_if_ins.spi.r_tx_byte[0] +.sym 19400 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19403 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 19404 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 19405 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 19409 spi_if_ins.spi.SCKr[1] +.sym 19410 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 19412 spi_if_ins.spi.SCKr[2] +.sym 19415 i_ss$SB_IO_IN +.sym 19416 spi_if_ins.r_tx_data_valid +.sym 19421 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 19422 spi_if_ins.spi.r_tx_byte[1] +.sym 19423 spi_if_ins.spi.r_tx_byte[5] +.sym 19424 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19427 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] +.sym 19429 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 19430 spi_if_ins.r_tx_byte[7] +.sym 19433 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 19434 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[0] +.sym 19435 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 19436 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[1] +.sym 19437 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 19438 r_counter_$glb_clk +.sym 19451 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] +.sym 19458 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 19465 $PACKER_VCC_NET +.sym 19468 $PACKER_VCC_NET +.sym 19474 $PACKER_VCC_NET +.sym 19475 w_rx_09_fifo_data[7] +.sym 19481 spi_if_ins.spi.r_tx_byte[6] +.sym 19483 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 19485 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 19494 spi_if_ins.r_tx_byte[0] +.sym 19498 spi_if_ins.spi.r_tx_byte[3] +.sym 19499 spi_if_ins.spi.r_tx_byte[7] +.sym 19500 spi_if_ins.r_tx_byte[4] +.sym 19501 spi_if_ins.r_tx_byte[1] +.sym 19502 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19505 spi_if_ins.spi.r_tx_byte[2] +.sym 19506 spi_if_ins.r_tx_byte[7] +.sym 19510 spi_if_ins.r_tx_byte[2] +.sym 19512 spi_if_ins.r_tx_byte[3] +.sym 19516 spi_if_ins.r_tx_byte[2] +.sym 19523 spi_if_ins.r_tx_byte[3] +.sym 19526 spi_if_ins.r_tx_byte[7] +.sym 19532 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19533 spi_if_ins.spi.r_tx_byte[6] +.sym 19534 spi_if_ins.spi.r_tx_byte[2] +.sym 19538 spi_if_ins.r_tx_byte[0] +.sym 19544 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19546 spi_if_ins.spi.r_tx_byte[3] +.sym 19547 spi_if_ins.spi.r_tx_byte[7] +.sym 19553 spi_if_ins.r_tx_byte[4] +.sym 19557 spi_if_ins.r_tx_byte[1] +.sym 19560 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 19561 r_counter_$glb_clk +.sym 19562 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 19575 spi_if_ins.r_tx_data_valid +.sym 19587 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 19589 spi_if_ins.w_rx_data[2] +.sym 19591 w_tx_data_io[4] +.sym 19597 spi_if_ins.w_rx_data[4] +.sym 19604 spi_if_ins.r_tx_byte[5] +.sym 19622 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 19624 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 19634 spi_if_ins.r_tx_byte[6] +.sym 19640 spi_if_ins.r_tx_byte[6] +.sym 19649 spi_if_ins.r_tx_byte[5] +.sym 19683 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 19684 r_counter_$glb_clk +.sym 19685 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 19698 w_soft_reset +.sym 19727 i_glob_clock$SB_IO_IN +.sym 19729 r_tx_data_SB_DFFE_Q_E +.sym 19734 w_tx_data_io[7] +.sym 19747 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 19749 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 19751 w_tx_data_io[4] +.sym 19756 spi_if_ins.o_cs_SB_LUT4_I3_O[1] +.sym 19773 w_tx_data_io[4] +.sym 19774 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 19784 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 19785 w_tx_data_io[7] +.sym 19786 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 19806 r_tx_data_SB_DFFE_Q_E +.sym 19807 i_glob_clock$SB_IO_IN +.sym 19808 spi_if_ins.o_cs_SB_LUT4_I3_O[1] +.sym 19821 i_glob_clock$SB_IO_IN +.sym 19835 i_button_SB_LUT4_I3_O[0] +.sym 19840 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 19866 w_rx_data[4] +.sym 19867 w_rx_data[7] +.sym 19877 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 19883 w_rx_data[4] +.sym 19913 w_rx_data[7] +.sym 19929 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 19930 r_counter_$glb_clk +.sym 19963 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 19974 w_rx_data[4] +.sym 19976 w_rx_data[7] +.sym 19988 w_rx_data[5] +.sym 19992 w_rx_data[3] +.sym 19998 w_rx_data[1] +.sym 20000 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 20004 w_rx_data[0] +.sym 20013 w_rx_data[1] +.sym 20025 w_rx_data[5] +.sym 20032 w_rx_data[0] +.sym 20038 w_rx_data[3] +.sym 20043 w_rx_data[4] +.sym 20048 w_rx_data[7] +.sym 20052 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 20053 r_counter_$glb_clk +.sym 20082 w_tx_data_io[4] +.sym 20083 i_config[0]$SB_IO_IN +.sym 20087 io_ctrl_ins.rf_pin_state[5] +.sym 20098 i_config[2]$SB_IO_IN +.sym 20099 io_ctrl_ins.pmod_dir_state[5] +.sym 20102 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 20103 io_ctrl_ins.pmod_dir_state[7] +.sym 20105 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 20106 w_rx_data[5] +.sym 20110 w_rx_data[7] +.sym 20111 w_rx_data[3] +.sym 20122 o_tr_vc1$SB_IO_OUT +.sym 20123 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 20124 o_rx_h_tx_l$SB_IO_OUT +.sym 20125 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 20132 w_rx_data[5] +.sym 20136 i_config[2]$SB_IO_IN +.sym 20138 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 20147 o_rx_h_tx_l$SB_IO_OUT +.sym 20148 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 20149 io_ctrl_ins.pmod_dir_state[7] +.sym 20150 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 20153 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 20154 io_ctrl_ins.pmod_dir_state[5] +.sym 20155 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 20156 o_tr_vc1$SB_IO_OUT +.sym 20160 w_rx_data[3] +.sym 20168 w_rx_data[7] +.sym 20175 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 20176 r_counter_$glb_clk +.sym 20194 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[2] +.sym 20196 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[2] +.sym 20198 i_button_SB_LUT4_I3_O[3] +.sym 20200 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[3] +.sym 20211 o_tr_vc1_b$SB_IO_OUT +.sym 20220 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 20221 io_ctrl_ins.o_data_out_SB_DFFESS_Q_E[2] +.sym 20223 io_ctrl_ins.pmod_dir_state[4] +.sym 20224 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] +.sym 20227 i_config[1]$SB_IO_IN +.sym 20228 i_button_SB_LUT4_I3_O[1] +.sym 20229 o_tr_vc1_b$SB_IO_OUT +.sym 20230 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 20231 i_config[3]$SB_IO_IN +.sym 20232 o_tr_vc2$SB_IO_OUT +.sym 20243 i_config[0]$SB_IO_IN +.sym 20244 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 20245 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 20246 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] +.sym 20248 io_ctrl_ins.o_data_out_SB_DFFESS_Q_S +.sym 20249 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 20250 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 20258 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 20259 o_tr_vc2$SB_IO_OUT +.sym 20260 i_config[0]$SB_IO_IN +.sym 20261 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 20264 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] +.sym 20265 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 20266 i_button_SB_LUT4_I3_O[1] +.sym 20267 i_config[1]$SB_IO_IN +.sym 20270 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 20272 i_config[3]$SB_IO_IN +.sym 20282 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 20283 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 20284 o_tr_vc1_b$SB_IO_OUT +.sym 20285 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 20294 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 20295 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 20296 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] +.sym 20297 io_ctrl_ins.pmod_dir_state[4] +.sym 20298 io_ctrl_ins.o_data_out_SB_DFFESS_Q_E[2] +.sym 20299 r_counter_$glb_clk +.sym 20300 io_ctrl_ins.o_data_out_SB_DFFESS_Q_S +.sym 20313 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 20324 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 20325 o_rx_h_tx_l_b$SB_IO_OUT +.sym 20342 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 20344 io_ctrl_ins.rf_pin_state[3] +.sym 20345 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20346 io_ctrl_ins.rf_pin_state[7] +.sym 20348 io_ctrl_ins.rf_mode[0] +.sym 20349 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 20350 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 20352 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 20353 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 20359 io_ctrl_ins.rf_pin_state[5] +.sym 20360 io_ctrl_ins.rf_pin_state[4] +.sym 20364 io_ctrl_ins.rf_pin_state[6] +.sym 20375 io_ctrl_ins.rf_mode[0] +.sym 20376 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20377 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 20378 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 20381 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 20382 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 20383 io_ctrl_ins.rf_pin_state[5] +.sym 20387 io_ctrl_ins.rf_pin_state[4] +.sym 20388 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 20389 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 20399 io_ctrl_ins.rf_pin_state[6] +.sym 20400 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 20401 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 20405 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 20406 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 20407 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20408 io_ctrl_ins.rf_pin_state[3] +.sym 20411 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 20412 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 20413 io_ctrl_ins.rf_pin_state[7] +.sym 20421 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 20422 r_counter_$glb_clk +.sym 20438 o_tr_vc2$SB_IO_OUT +.sym 20440 o_tr_vc1$SB_IO_OUT +.sym 20444 o_led0$SB_IO_OUT +.sym 20576 o_led1$SB_IO_OUT .sym 20579 i_config[0]$SB_IO_IN .sym 20672 i_config[0]$SB_IO_IN +.sym 20742 w_soft_reset .sym 20748 io_smi_data[5]$SB_IO_OUT -.sym 20759 io_smi_data[5]$SB_IO_OUT -.sym 20772 o_miso_$_TBUF__Y_E -.sym 20775 i_ss$SB_IO_IN -.sym 20777 spi_if_ins.spi.r_rx_done +.sym 20762 io_smi_data[5]$SB_IO_OUT +.sym 20802 i_sck$SB_IO_IN +.sym 20804 o_miso_$_TBUF__Y_E +.sym 20805 int_miso .sym 20844 i_mosi$SB_IO_IN -.sym 20846 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 20849 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 20851 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_I2[2] -.sym 20852 int_miso -.sym 20853 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E -.sym 20890 $PACKER_VCC_NET -.sym 20918 int_miso -.sym 20919 spi_if_ins.r_tx_data_valid -.sym 20920 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E -.sym 20924 spi_if_ins.r_tx_byte[7] -.sym 20986 spi_if_ins.spi.r_tx_bit_count[2] -.sym 20987 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 20988 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[1] -.sym 20989 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E_SB_LUT4_O_I2[1] -.sym 20990 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[3] -.sym 20991 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 21039 $PACKER_VCC_NET -.sym 21086 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 21087 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 21088 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[0] -.sym 21089 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[2] -.sym 21090 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[1] -.sym 21091 spi_if_ins.spi.r_tx_byte[7] -.sym 21092 spi_if_ins.spi.r_tx_byte[1] -.sym 21093 spi_if_ins.spi.r_tx_byte[3] -.sym 21141 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 21144 w_rx_24_fifo_data[27] -.sym 21188 spi_if_ins.spi.r_tx_byte[6] -.sym 21190 spi_if_ins.spi.r_tx_byte[5] -.sym 21192 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[0] -.sym 21194 spi_if_ins.spi.r_tx_byte[2] -.sym 21195 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[1] -.sym 21242 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 21245 spi_if_ins.r_tx_data_valid -.sym 21247 rx_24_fifo.wr_addr[3] -.sym 21249 spi_if_ins.r_tx_byte[7] -.sym 21250 spi_if_ins.r_tx_byte[2] -.sym 21252 spi_if_ins.r_tx_byte[0] -.sym 21259 rx_24_fifo.wr_addr[5] -.sym 21260 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] +.sym 21039 w_rx_09_fifo_data[22] +.sym 21040 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 21044 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 21045 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 21046 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 21048 w_rx_09_fifo_data[17] +.sym 21055 rx_09_fifo.wr_addr[7] +.sym 21056 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 21058 rx_09_fifo.wr_addr[2] +.sym 21059 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 21060 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 21062 w_rx_09_fifo_data[19] +.sym 21064 rx_09_fifo.wr_addr[5] +.sym 21065 rx_09_fifo.wr_addr[4] +.sym 21069 rx_09_fifo.wr_addr[6] +.sym 21073 w_rx_09_fifo_data[17] +.sym 21075 w_rx_09_fifo_data[18] +.sym 21077 w_rx_09_fifo_data[16] +.sym 21079 rx_09_fifo.wr_addr[8] +.sym 21082 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 21083 $PACKER_VCC_NET +.sym 21102 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 21103 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 21105 rx_09_fifo.wr_addr[2] +.sym 21106 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 21107 rx_09_fifo.wr_addr[4] +.sym 21108 rx_09_fifo.wr_addr[5] +.sym 21109 rx_09_fifo.wr_addr[6] +.sym 21110 rx_09_fifo.wr_addr[7] +.sym 21111 rx_09_fifo.wr_addr[8] +.sym 21113 lvds_clock_$glb_clk +.sym 21114 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 21115 w_rx_09_fifo_data[16] +.sym 21117 w_rx_09_fifo_data[17] +.sym 21119 w_rx_09_fifo_data[18] +.sym 21121 w_rx_09_fifo_data[19] +.sym 21123 $PACKER_VCC_NET +.sym 21131 w_smi_read_req +.sym 21136 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 21141 w_rx_09_fifo_data[23] +.sym 21156 rx_09_fifo.rd_addr[8] +.sym 21157 rx_09_fifo.rd_addr[1] +.sym 21160 $PACKER_VCC_NET +.sym 21164 w_rx_09_fifo_data[23] +.sym 21165 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 21170 rx_09_fifo.rd_addr[7] +.sym 21173 w_rx_09_fifo_data[20] +.sym 21176 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 21177 w_rx_09_fifo_data[22] +.sym 21179 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 21181 rx_09_fifo.rd_addr[2] +.sym 21182 w_rx_09_fifo_data[21] +.sym 21183 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 21185 rx_09_fifo.rd_addr[6] +.sym 21186 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 21204 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 21205 rx_09_fifo.rd_addr[1] +.sym 21207 rx_09_fifo.rd_addr[2] +.sym 21208 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 21209 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 21210 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 21211 rx_09_fifo.rd_addr[6] +.sym 21212 rx_09_fifo.rd_addr[7] +.sym 21213 rx_09_fifo.rd_addr[8] +.sym 21215 r_counter_$glb_clk +.sym 21216 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 21217 $PACKER_VCC_NET +.sym 21218 w_rx_09_fifo_data[21] +.sym 21220 w_rx_09_fifo_data[22] +.sym 21222 w_rx_09_fifo_data[23] +.sym 21224 w_rx_09_fifo_data[20] +.sym 21238 rx_09_fifo.rd_addr[7] +.sym 21240 rx_09_fifo.rd_addr[8] +.sym 21241 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 21251 w_rx_09_fifo_data[0] +.sym 21260 rx_09_fifo.wr_addr[8] +.sym 21261 w_rx_09_fifo_data[0] .sym 21262 $PACKER_VCC_NET -.sym 21265 rx_24_fifo.wr_addr[7] -.sym 21267 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 21268 w_rx_24_fifo_data[24] -.sym 21269 rx_24_fifo.wr_addr[6] -.sym 21270 rx_24_fifo.wr_addr[3] -.sym 21272 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 21273 rx_24_fifo.wr_addr[8] -.sym 21276 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 21278 rx_24_fifo.wr_addr[4] -.sym 21281 w_rx_24_fifo_data[25] -.sym 21282 w_rx_24_fifo_data[27] -.sym 21284 w_rx_24_fifo_data[26] -.sym 21290 spi_if_ins.spi.r_rx_byte[5] -.sym 21291 spi_if_ins.spi.r_rx_byte[6] -.sym 21292 spi_if_ins.spi.r_rx_byte[0] -.sym 21293 spi_if_ins.spi.r_rx_byte[4] -.sym 21294 spi_if_ins.spi.r_rx_byte[1] -.sym 21295 spi_if_ins.spi.r_rx_byte[7] -.sym 21296 spi_if_ins.spi.r_rx_byte[3] -.sym 21297 spi_if_ins.spi.r_rx_byte[2] -.sym 21306 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 21307 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 21309 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 21310 rx_24_fifo.wr_addr[3] -.sym 21311 rx_24_fifo.wr_addr[4] -.sym 21312 rx_24_fifo.wr_addr[5] -.sym 21313 rx_24_fifo.wr_addr[6] -.sym 21314 rx_24_fifo.wr_addr[7] -.sym 21315 rx_24_fifo.wr_addr[8] +.sym 21263 rx_09_fifo.wr_addr[5] +.sym 21264 rx_09_fifo.wr_addr[4] +.sym 21265 rx_09_fifo.wr_addr[7] +.sym 21266 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 21267 rx_09_fifo.wr_addr[6] +.sym 21269 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 21271 rx_09_fifo.wr_addr[2] +.sym 21272 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 21273 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 21277 w_rx_09_fifo_data[1] +.sym 21282 w_rx_09_fifo_data[3] +.sym 21286 w_rx_09_fifo_data[2] +.sym 21291 spi_if_ins.spi.SCKr[0] +.sym 21293 spi_if_ins.spi.SCKr[1] +.sym 21306 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 21307 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 21309 rx_09_fifo.wr_addr[2] +.sym 21310 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 21311 rx_09_fifo.wr_addr[4] +.sym 21312 rx_09_fifo.wr_addr[5] +.sym 21313 rx_09_fifo.wr_addr[6] +.sym 21314 rx_09_fifo.wr_addr[7] +.sym 21315 rx_09_fifo.wr_addr[8] .sym 21317 lvds_clock_$glb_clk -.sym 21318 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 21319 w_rx_24_fifo_data[24] -.sym 21321 w_rx_24_fifo_data[25] -.sym 21323 w_rx_24_fifo_data[26] -.sym 21325 w_rx_24_fifo_data[27] +.sym 21318 rx_09_fifo.mem_i.0.0.0_WCLKE +.sym 21319 w_rx_09_fifo_data[0] +.sym 21321 w_rx_09_fifo_data[1] +.sym 21323 w_rx_09_fifo_data[2] +.sym 21325 w_rx_09_fifo_data[3] .sym 21327 $PACKER_VCC_NET -.sym 21332 w_rx_24_fifo_pulled_data[0] -.sym 21333 rx_24_fifo.wr_addr[5] -.sym 21334 spi_if_ins.r_tx_byte[6] -.sym 21336 w_rx_24_fifo_data[24] -.sym 21337 rx_24_fifo.wr_addr[6] -.sym 21338 w_rx_24_fifo_pulled_data[1] -.sym 21341 rx_24_fifo.wr_addr[8] -.sym 21343 spi_if_ins.r_tx_byte[4] -.sym 21345 w_rx_24_fifo_pulled_data[11] -.sym 21349 w_rx_24_fifo_pulled_data[8] -.sym 21351 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 21353 w_rx_24_fifo_pulled_data[3] -.sym 21355 rx_24_fifo.rd_addr[8] -.sym 21360 rx_24_fifo.rd_addr[4] -.sym 21361 w_rx_24_fifo_data[28] -.sym 21363 rx_24_fifo.rd_addr[5] -.sym 21365 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 21369 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 21371 rx_24_fifo.rd_addr[6] -.sym 21373 rx_24_fifo.rd_addr[8] -.sym 21374 rx_24_fifo.rd_addr[3] -.sym 21380 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 21381 rx_24_fifo.rd_addr[1] -.sym 21383 w_rx_24_fifo_data[31] -.sym 21387 rx_24_fifo.mem_i.0.0.0_RCLKE -.sym 21388 w_rx_24_fifo_data[29] +.sym 21336 rx_09_fifo.wr_addr[8] +.sym 21338 $PACKER_VCC_NET +.sym 21339 rx_09_fifo.wr_addr[2] +.sym 21353 w_soft_reset +.sym 21364 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 21367 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 21369 rx_09_fifo.rd_addr[2] +.sym 21374 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 21377 rx_09_fifo.rd_addr[7] +.sym 21380 rx_09_fifo.rd_addr[8] +.sym 21382 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 21383 w_rx_09_fifo_data[7] +.sym 21384 w_rx_09_fifo_data[5] +.sym 21386 w_rx_09_fifo_data[4] +.sym 21387 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 21388 w_rx_09_fifo_data[6] .sym 21389 $PACKER_VCC_NET -.sym 21390 w_rx_24_fifo_data[30] -.sym 21393 spi_if_ins.r_tx_data_valid -.sym 21408 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 21409 rx_24_fifo.rd_addr[1] -.sym 21411 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 21412 rx_24_fifo.rd_addr[3] -.sym 21413 rx_24_fifo.rd_addr[4] -.sym 21414 rx_24_fifo.rd_addr[5] -.sym 21415 rx_24_fifo.rd_addr[6] -.sym 21416 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 21417 rx_24_fifo.rd_addr[8] -.sym 21419 w_clock_sys -.sym 21420 rx_24_fifo.mem_i.0.0.0_RCLKE +.sym 21390 rx_09_fifo.rd_addr[1] +.sym 21391 rx_09_fifo.rd_addr[6] +.sym 21396 spi_if_ins.r_tx_data_valid +.sym 21408 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 21409 rx_09_fifo.rd_addr[1] +.sym 21411 rx_09_fifo.rd_addr[2] +.sym 21412 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 21413 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 21414 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 21415 rx_09_fifo.rd_addr[6] +.sym 21416 rx_09_fifo.rd_addr[7] +.sym 21417 rx_09_fifo.rd_addr[8] +.sym 21419 r_counter_$glb_clk +.sym 21420 rx_09_fifo.mem_i.0.0.0_RCLKE .sym 21421 $PACKER_VCC_NET -.sym 21422 w_rx_24_fifo_data[29] -.sym 21424 w_rx_24_fifo_data[30] -.sym 21426 w_rx_24_fifo_data[31] -.sym 21428 w_rx_24_fifo_data[28] -.sym 21444 w_rx_24_fifo_pulled_data[6] -.sym 21446 spi_if_ins.spi.r_rx_byte[0] -.sym 21449 rx_24_fifo.rd_addr[3] -.sym 21450 rx_24_fifo.rd_addr[5] -.sym 21452 spi_if_ins.spi.r_rx_byte[7] -.sym 21454 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 21455 $PACKER_VCC_NET -.sym 21457 rx_24_fifo.rd_addr[4] -.sym 21466 $PACKER_VCC_NET -.sym 21469 w_rx_24_fifo_data[17] -.sym 21476 w_rx_24_fifo_data[18] -.sym 21479 rx_24_fifo.wr_addr[3] -.sym 21480 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 21481 w_rx_24_fifo_data[19] -.sym 21484 rx_24_fifo.wr_addr[6] -.sym 21486 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 21487 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 21488 rx_24_fifo.wr_addr[5] -.sym 21489 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 21490 w_rx_24_fifo_data[16] -.sym 21491 rx_24_fifo.wr_addr[4] -.sym 21492 rx_24_fifo.wr_addr[7] -.sym 21493 rx_24_fifo.wr_addr[8] -.sym 21494 spi_if_ins.w_rx_data[5] -.sym 21495 spi_if_ins.w_rx_data[1] -.sym 21496 spi_if_ins.w_rx_data[4] -.sym 21497 spi_if_ins.w_rx_data[0] -.sym 21499 spi_if_ins.w_rx_data[6] -.sym 21500 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[1] -.sym 21501 spi_if_ins.w_rx_data[3] -.sym 21510 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 21511 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 21513 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 21514 rx_24_fifo.wr_addr[3] -.sym 21515 rx_24_fifo.wr_addr[4] -.sym 21516 rx_24_fifo.wr_addr[5] -.sym 21517 rx_24_fifo.wr_addr[6] -.sym 21518 rx_24_fifo.wr_addr[7] -.sym 21519 rx_24_fifo.wr_addr[8] -.sym 21521 lvds_clock_$glb_clk -.sym 21522 rx_24_fifo.mem_i.0.0.0_WCLKE -.sym 21523 w_rx_24_fifo_data[16] -.sym 21525 w_rx_24_fifo_data[17] -.sym 21527 w_rx_24_fifo_data[18] -.sym 21529 w_rx_24_fifo_data[19] -.sym 21531 $PACKER_VCC_NET -.sym 21544 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 21552 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[1] -.sym 21555 spi_if_ins.w_rx_data[3] -.sym 21556 spi_if_ins.w_rx_data[2] -.sym 21558 w_rx_24_fifo_data[21] -.sym 21559 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E -.sym 21568 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 21569 w_rx_24_fifo_data[22] -.sym 21574 w_rx_24_fifo_data[23] -.sym 21575 rx_24_fifo.mem_i.0.0.0_RCLKE -.sym 21577 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 21578 rx_24_fifo.rd_addr[1] -.sym 21579 rx_24_fifo.rd_addr[6] -.sym 21582 rx_24_fifo.rd_addr[8] -.sym 21583 w_rx_24_fifo_data[21] -.sym 21587 rx_24_fifo.rd_addr[3] -.sym 21588 rx_24_fifo.rd_addr[5] -.sym 21590 w_rx_24_fifo_data[20] -.sym 21592 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 21593 $PACKER_VCC_NET -.sym 21595 rx_24_fifo.rd_addr[4] -.sym 21598 spi_if_ins.w_rx_data[2] -.sym 21612 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 21613 rx_24_fifo.rd_addr[1] -.sym 21615 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 21616 rx_24_fifo.rd_addr[3] -.sym 21617 rx_24_fifo.rd_addr[4] -.sym 21618 rx_24_fifo.rd_addr[5] -.sym 21619 rx_24_fifo.rd_addr[6] -.sym 21620 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 21621 rx_24_fifo.rd_addr[8] -.sym 21623 w_clock_sys -.sym 21624 rx_24_fifo.mem_i.0.0.0_RCLKE -.sym 21625 $PACKER_VCC_NET -.sym 21626 w_rx_24_fifo_data[21] -.sym 21628 w_rx_24_fifo_data[22] -.sym 21630 w_rx_24_fifo_data[23] -.sym 21632 w_rx_24_fifo_data[20] -.sym 21647 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 21661 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O -.sym 21700 w_tx_data_sys[0] -.sym 21746 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 21751 spi_if_ins.w_rx_data[2] -.sym 21800 $PACKER_GND_NET -.sym 21802 io_ctrl_ins.o_pmod[7] -.sym 21803 io_ctrl_ins.led1_state_SB_DFFESR_Q_E -.sym 21807 io_ctrl_ins.o_pmod[3] -.sym 21856 i_button_SB_LUT4_I0_I1[0] -.sym 21903 o_led0$SB_IO_OUT -.sym 21904 o_led1$SB_IO_OUT -.sym 21906 io_ctrl_ins.rx_h_state_SB_LUT4_I1_O[3] -.sym 21907 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O -.sym 21908 io_ctrl_ins.led1_state_SB_DFFESR_Q_E -.sym 21961 o_rx_h_tx_l$SB_IO_OUT -.sym 21966 io_ctrl_ins.o_pmod[3] -.sym 21967 o_led0$SB_IO_OUT -.sym 22006 io_ctrl_ins.rf_pin_state[0] -.sym 22008 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 22009 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E -.sym 22011 io_ctrl_ins.rf_pin_state[7] -.sym 22048 w_rx_data[4] -.sym 22051 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 22052 w_rx_data[1] -.sym 22054 w_rx_data[0] -.sym 22057 o_led1$SB_IO_OUT -.sym 22058 o_led1$SB_IO_OUT -.sym 22063 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] -.sym 22064 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O -.sym 22108 o_rx_h_tx_l$SB_IO_OUT -.sym 22109 io_ctrl_ins.mixer_en_state -.sym 22110 o_tr_vc2$SB_IO_OUT -.sym 22111 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 22112 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] -.sym 22113 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 22148 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 22149 w_rx_data[3] -.sym 22155 w_rx_data[0] -.sym 22159 w_rx_data[4] -.sym 22251 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] -.sym 22253 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 22256 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 22260 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] -.sym 22268 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 22481 o_led1$SB_IO_OUT +.sym 21422 w_rx_09_fifo_data[5] +.sym 21424 w_rx_09_fifo_data[6] +.sym 21426 w_rx_09_fifo_data[7] +.sym 21428 w_rx_09_fifo_data[4] +.sym 21447 sys_ctrl_ins.reset_cmd +.sym 21450 w_rx_09_fifo_data[5] +.sym 21453 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 21498 w_soft_reset +.sym 21499 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E +.sym 21549 w_soft_reset +.sym 21553 $PACKER_GND_NET +.sym 21555 w_cs[0] +.sym 21596 sys_ctrl_ins.reset_cmd +.sym 21599 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R +.sym 21654 w_soft_reset +.sym 21700 $PACKER_GND_NET +.sym 21744 $PACKER_VCC_NET +.sym 21751 $PACKER_VCC_NET +.sym 21758 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 21800 io_ctrl_ins.o_pmod[5] +.sym 21807 io_ctrl_ins.o_pmod[6] +.sym 21854 w_rx_data[6] +.sym 21859 w_rx_data[5] +.sym 21860 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[1] +.sym 21903 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[1] +.sym 21904 w_tx_data_io[7] +.sym 21906 w_tx_data_io[5] +.sym 21957 o_rx_h_tx_l_b$SB_IO_OUT +.sym 22004 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[3] +.sym 22010 io_ctrl_ins.pmod_dir_state[6] +.sym 22051 i_button_SB_LUT4_I3_O[0] +.sym 22362 o_tr_vc1_b$SB_IO_OUT +.sym 22451 o_rx_h_tx_l_b$SB_IO_OUT .sym 22487 o_led1$SB_IO_OUT -.sym 22507 o_led1$SB_IO_OUT +.sym 22505 o_led1$SB_IO_OUT .sym 22517 int_miso .sym 22519 o_miso_$_TBUF__Y_E -.sym 22535 int_miso -.sym 22536 o_miso_$_TBUF__Y_E -.sym 22542 spi_if_ins.spi.SCKr[1] -.sym 22546 spi_if_ins.spi.SCKr[0] -.sym 22554 i_mosi$SB_IO_IN -.sym 22561 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 22576 i_mosi$SB_IO_IN -.sym 22586 i_ss$SB_IO_IN -.sym 22603 i_ss_SB_LUT4_I1_O[0] -.sym 22604 i_ss$SB_IO_IN -.sym 22611 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E -.sym 22629 i_ss$SB_IO_IN -.sym 22648 i_ss$SB_IO_IN -.sym 22661 i_ss_SB_LUT4_I1_O[0] -.sym 22663 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E -.sym 22664 w_clock_sys -.sym 22665 i_ss$SB_IO_IN +.sym 22540 o_miso_$_TBUF__Y_E +.sym 22541 int_miso +.sym 22566 w_soft_reset .sym 22666 i_sck$SB_IO_IN .sym 22668 i_ss$SB_IO_IN -.sym 22672 spi_if_ins.spi.r_rx_bit_count[2] -.sym 22673 i_ss_SB_LUT4_I1_O[0] -.sym 22674 i_ss_SB_LUT4_I1_O[1] -.sym 22675 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_I2[1] -.sym 22676 spi_if_ins.spi.r_rx_bit_count[1] -.sym 22677 spi_if_ins.spi.r_rx_bit_count[0] -.sym 22698 i_ss$SB_IO_IN -.sym 22701 spi_if_ins.spi.r_rx_done .sym 22707 i_ss$SB_IO_IN -.sym 22709 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_I2[2] -.sym 22719 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 22720 spi_if_ins.spi.SCKr[1] -.sym 22733 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 22747 spi_if_ins.spi.SCKr[1] -.sym 22750 spi_if_ins.r_tx_byte[7] -.sym 22759 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[1] -.sym 22760 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_I2[2] -.sym 22762 spi_if_ins.r_tx_data_valid -.sym 22763 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 22764 i_ss$SB_IO_IN -.sym 22765 spi_if_ins.spi.SCKr[2] -.sym 22768 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_I2[1] -.sym 22774 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 22780 spi_if_ins.r_tx_data_valid -.sym 22781 i_ss$SB_IO_IN -.sym 22799 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_I2[2] -.sym 22801 spi_if_ins.r_tx_data_valid -.sym 22811 spi_if_ins.spi.SCKr[1] -.sym 22812 i_ss$SB_IO_IN -.sym 22813 spi_if_ins.spi.SCKr[2] -.sym 22816 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 22817 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[1] -.sym 22819 spi_if_ins.r_tx_byte[7] -.sym 22822 i_ss$SB_IO_IN -.sym 22823 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_I2[2] -.sym 22824 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_I2[1] -.sym 22826 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 22827 w_clock_sys -.sym 22831 spi_if_ins.spi.SCKr[2] -.sym 22841 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 22855 i_ss_SB_LUT4_I1_O[0] -.sym 22857 i_ss_SB_LUT4_I1_O[1] -.sym 22859 $PACKER_VCC_NET -.sym 22860 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 22872 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[0] -.sym 22873 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[2] -.sym 22876 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[3] -.sym 22878 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 22880 spi_if_ins.spi.r_tx_bit_count[2] -.sym 22881 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 22882 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[1] -.sym 22885 $PACKER_VCC_NET -.sym 22886 spi_if_ins.spi.SCKr[1] -.sym 22888 spi_if_ins.spi.SCKr[2] -.sym 22893 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 22894 $PACKER_VCC_NET -.sym 22899 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 22902 $nextpnr_ICESTORM_LC_16$O -.sym 22904 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 22908 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 22910 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[3] -.sym 22911 $PACKER_VCC_NET -.sym 22915 $PACKER_VCC_NET -.sym 22916 spi_if_ins.spi.r_tx_bit_count[2] -.sym 22918 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 22921 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 22927 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[3] -.sym 22928 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[1] -.sym 22929 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[0] -.sym 22930 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[2] -.sym 22933 spi_if_ins.spi.r_tx_bit_count[2] -.sym 22934 spi_if_ins.spi.SCKr[1] -.sym 22935 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 22936 spi_if_ins.spi.SCKr[2] -.sym 22940 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 22941 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[3] -.sym 22942 $PACKER_VCC_NET -.sym 22945 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 22949 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 22950 w_clock_sys -.sym 22951 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 22964 w_smi_read_req -.sym 22972 i_smi_a3$SB_IO_IN -.sym 22979 i_ss_SB_LUT4_I1_O[1] -.sym 22987 spi_if_ins.spi.r_rx_done -.sym 22995 spi_if_ins.spi.r_tx_bit_count[2] -.sym 22997 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[0] -.sym 22998 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E_SB_LUT4_O_I2[1] -.sym 22999 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[3] -.sym 23000 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[1] -.sym 23001 spi_if_ins.spi.r_tx_byte[6] -.sym 23003 spi_if_ins.spi.r_tx_byte[5] -.sym 23007 spi_if_ins.spi.r_tx_byte[2] -.sym 23008 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 23010 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 23011 spi_if_ins.r_tx_byte[3] -.sym 23012 spi_if_ins.r_tx_byte[7] -.sym 23013 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 23014 spi_if_ins.spi.r_tx_byte[7] -.sym 23016 spi_if_ins.spi.r_tx_byte[3] -.sym 23017 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 23020 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 23023 spi_if_ins.spi.r_tx_byte[1] -.sym 23024 spi_if_ins.r_tx_byte[1] -.sym 23026 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 23027 spi_if_ins.spi.r_tx_byte[1] -.sym 23028 spi_if_ins.spi.r_tx_bit_count[2] -.sym 23029 spi_if_ins.spi.r_tx_byte[5] -.sym 23032 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 23034 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E_SB_LUT4_O_I2[1] -.sym 23035 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[3] -.sym 23038 spi_if_ins.spi.r_tx_bit_count[2] -.sym 23039 spi_if_ins.spi.r_tx_byte[3] -.sym 23040 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 23041 spi_if_ins.spi.r_tx_byte[7] -.sym 23044 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[1] -.sym 23045 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 23046 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 23047 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[0] -.sym 23050 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 23051 spi_if_ins.spi.r_tx_byte[2] -.sym 23052 spi_if_ins.spi.r_tx_bit_count[2] -.sym 23053 spi_if_ins.spi.r_tx_byte[6] -.sym 23058 spi_if_ins.r_tx_byte[7] -.sym 23062 spi_if_ins.r_tx_byte[1] -.sym 23071 spi_if_ins.r_tx_byte[3] -.sym 23072 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 23073 w_clock_sys -.sym 23074 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 23077 spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_E -.sym 23078 r_counter -.sym 23079 spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_E -.sym 23109 spi_if_ins.r_tx_byte[5] -.sym 23116 spi_if_ins.r_tx_byte[5] -.sym 23128 spi_if_ins.r_tx_byte[4] -.sym 23129 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 23131 spi_if_ins.r_tx_byte[6] -.sym 23135 spi_if_ins.r_tx_byte[0] -.sym 23141 spi_if_ins.r_tx_byte[2] -.sym 23143 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 23149 spi_if_ins.r_tx_byte[6] -.sym 23163 spi_if_ins.r_tx_byte[5] -.sym 23174 spi_if_ins.r_tx_byte[0] -.sym 23187 spi_if_ins.r_tx_byte[2] -.sym 23191 spi_if_ins.r_tx_byte[4] -.sym 23195 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 23196 w_clock_sys -.sym 23197 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 23199 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 23200 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 23201 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 23202 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 23203 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 23204 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 23205 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 23222 spi_if_ins.spi.r_rx_byte[1] -.sym 23224 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 23227 i_glob_clock$SB_IO_IN -.sym 23230 spi_if_ins.spi.r_rx_byte[5] -.sym 23232 spi_if_ins.spi.r_rx_byte[6] -.sym 23241 spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_E -.sym 23258 i_mosi$SB_IO_IN -.sym 23262 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 23264 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 23265 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 23266 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 23267 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 23268 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 23269 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 23274 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 23280 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 23287 i_mosi$SB_IO_IN -.sym 23292 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 23298 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 23303 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 23311 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 23316 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 23318 spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_E -.sym 23319 w_clock_sys -.sym 23333 i_mosi$SB_IO_IN -.sym 23346 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[1] -.sym 23347 $PACKER_VCC_NET -.sym 23348 spi_if_ins.spi.r_rx_byte[4] -.sym 23352 r_counter -.sym 23354 spi_if_ins.spi.r_rx_byte[3] -.sym 23356 spi_if_ins.spi.r_rx_byte[2] +.sym 22715 i_ss$SB_IO_IN +.sym 22720 i_sck$SB_IO_IN +.sym 22975 i_smi_a3$SB_IO_IN +.sym 22977 i_ss$SB_IO_IN +.sym 22983 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 23109 i_sck$SB_IO_IN +.sym 23218 rx_09_fifo.mem_i.0.0.0_RCLKE +.sym 23248 spi_if_ins.spi.SCKr[0] +.sym 23269 i_sck$SB_IO_IN +.sym 23278 i_sck$SB_IO_IN +.sym 23293 spi_if_ins.spi.SCKr[0] +.sym 23319 r_counter_$glb_clk +.sym 23351 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R .sym 23373 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 23382 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 23384 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 23404 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 23374 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 23391 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 23422 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] .sym 23441 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 23442 w_clock_sys -.sym 23443 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 23448 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 23478 spi_if_ins.w_rx_data[1] -.sym 23487 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 23489 spi_if_ins.spi.r_rx_byte[0] -.sym 23494 spi_if_ins.spi.r_rx_byte[1] -.sym 23495 spi_if_ins.spi.r_rx_byte[7] -.sym 23502 spi_if_ins.spi.r_rx_byte[5] -.sym 23504 spi_if_ins.spi.r_rx_byte[6] -.sym 23508 spi_if_ins.spi.r_rx_byte[4] -.sym 23514 spi_if_ins.spi.r_rx_byte[3] -.sym 23521 spi_if_ins.spi.r_rx_byte[5] -.sym 23527 spi_if_ins.spi.r_rx_byte[1] -.sym 23531 spi_if_ins.spi.r_rx_byte[4] -.sym 23539 spi_if_ins.spi.r_rx_byte[0] -.sym 23548 spi_if_ins.spi.r_rx_byte[6] -.sym 23555 spi_if_ins.spi.r_rx_byte[7] -.sym 23563 spi_if_ins.spi.r_rx_byte[3] -.sym 23564 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 23565 w_clock_sys -.sym 23570 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 23586 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O -.sym 23592 spi_if_ins.w_rx_data[4] -.sym 23594 spi_if_ins.w_rx_data[0] -.sym 23598 spi_if_ins.w_rx_data[6] -.sym 23626 spi_if_ins.spi.r_rx_byte[2] -.sym 23635 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 23655 spi_if_ins.spi.r_rx_byte[2] -.sym 23687 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 23688 w_clock_sys -.sym 23700 o_led0$SB_IO_OUT -.sym 23719 $PACKER_GND_NET -.sym 23724 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O -.sym 23733 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E -.sym 23760 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 23776 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 23810 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E -.sym 23811 w_clock_sys -.sym 23818 w_rx_data[5] -.sym 23831 w_tx_data_sys[0] -.sym 23842 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 23846 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 23847 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E -.sym 23856 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O -.sym 23860 io_ctrl_ins.led1_state_SB_DFFESR_Q_E -.sym 23878 w_rx_data[3] -.sym 23882 w_rx_data[7] -.sym 23902 w_rx_data[7] -.sym 23908 io_ctrl_ins.led1_state_SB_DFFESR_Q_E -.sym 23929 w_rx_data[3] -.sym 23933 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O -.sym 23934 w_clock_sys -.sym 23937 io_ctrl_ins.o_pmod[4] -.sym 23938 io_ctrl_ins.o_pmod[5] -.sym 23941 io_ctrl_ins.o_pmod[6] -.sym 23948 $PACKER_GND_NET -.sym 23961 w_ioc[0] -.sym 23964 w_ioc[0] -.sym 23971 io_ctrl_ins.o_pmod[4] -.sym 23978 w_rx_data[1] -.sym 23980 w_rx_data[0] -.sym 23983 i_button_SB_LUT4_I0_I1[0] -.sym 23987 io_ctrl_ins.o_pmod[7] -.sym 23988 io_ctrl_ins.led1_state_SB_DFFESR_Q_E -.sym 23990 w_ioc[0] -.sym 23991 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 23994 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] -.sym 23997 o_rx_h_tx_l$SB_IO_OUT -.sym 24000 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 24002 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 24005 w_soft_reset -.sym 24018 w_rx_data[0] -.sym 24023 w_rx_data[1] -.sym 24034 w_ioc[0] -.sym 24035 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 24036 o_rx_h_tx_l$SB_IO_OUT -.sym 24037 io_ctrl_ins.o_pmod[7] -.sym 24040 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] -.sym 24042 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 24046 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 24048 i_button_SB_LUT4_I0_I1[0] -.sym 24049 w_soft_reset -.sym 24056 io_ctrl_ins.led1_state_SB_DFFESR_Q_E -.sym 24057 w_clock_sys -.sym 24058 w_soft_reset_$glb_sr -.sym 24062 io_ctrl_ins.rf_pin_state[6] -.sym 24063 io_ctrl_ins.rf_pin_state[5] -.sym 24064 io_ctrl_ins.rf_pin_state[4] -.sym 24083 io_ctrl_ins.o_pmod[5] -.sym 24085 w_rx_data[6] -.sym 24086 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 24089 io_ctrl_ins.o_pmod[6] -.sym 24091 w_rx_data[7] -.sym 24102 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E -.sym 24104 w_rx_data[3] -.sym 24108 w_rx_data[0] -.sym 24110 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 24113 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 24117 w_rx_data[7] -.sym 24124 w_ioc[0] -.sym 24148 w_rx_data[0] -.sym 24159 w_rx_data[3] -.sym 24163 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 24164 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 24165 w_ioc[0] -.sym 24177 w_rx_data[7] -.sym 24179 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E -.sym 24180 w_clock_sys -.sym 24182 o_tr_vc1_b$SB_IO_OUT -.sym 24184 o_rx_h_tx_l_b$SB_IO_OUT -.sym 24186 o_tr_vc1$SB_IO_OUT -.sym 24187 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[3] -.sym 24188 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] -.sym 24189 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[3] -.sym 24196 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E -.sym 24225 io_ctrl_ins.rf_pin_state[0] -.sym 24227 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 24230 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 24231 w_ioc[0] -.sym 24232 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 24234 io_ctrl_ins.o_pmod[3] -.sym 24236 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] -.sym 24238 io_ctrl_ins.rf_pin_state[7] -.sym 24241 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 24243 o_tr_vc2$SB_IO_OUT -.sym 24246 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 24247 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 24249 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 24269 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 24270 io_ctrl_ins.rf_pin_state[7] -.sym 24271 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 24274 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 24275 io_ctrl_ins.rf_pin_state[0] -.sym 24276 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 24277 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 24280 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 24281 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 24282 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 24283 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 24286 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 24287 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 24288 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 24289 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] -.sym 24292 io_ctrl_ins.o_pmod[3] -.sym 24293 w_ioc[0] -.sym 24294 o_tr_vc2$SB_IO_OUT -.sym 24295 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 24298 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 24299 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] -.sym 24300 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 24301 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 24302 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 24303 w_clock_sys -.sym 24318 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] -.sym 24327 o_tr_vc2$SB_IO_OUT -.sym 24330 o_rx_h_tx_l$SB_IO_OUT +.sym 23442 r_counter_$glb_clk +.sym 23443 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 23461 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 23462 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 23487 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E +.sym 23493 sys_ctrl_ins.reset_cmd +.sym 23505 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 23513 $PACKER_GND_NET +.sym 23543 $PACKER_GND_NET +.sym 23548 sys_ctrl_ins.reset_cmd +.sym 23564 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E +.sym 23565 r_counter_$glb_clk +.sym 23566 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 23570 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E +.sym 23574 r_counter +.sym 23581 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E +.sym 23611 w_cs[0] +.sym 23615 $PACKER_VCC_NET +.sym 23619 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E +.sym 23637 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R +.sym 23644 $PACKER_VCC_NET +.sym 23659 w_cs[0] +.sym 23687 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E +.sym 23688 r_counter_$glb_clk +.sym 23689 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R +.sym 23702 i_glob_clock$SB_IO_IN +.sym 23705 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E +.sym 23719 i_glob_clock$SB_IO_IN +.sym 23817 $PACKER_GND_NET +.sym 23841 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[2] +.sym 23842 i_button_SB_LUT4_I3_O[2] +.sym 23846 w_tx_data_io[7] +.sym 23856 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 23871 w_rx_data[6] +.sym 23874 w_rx_data[5] +.sym 23887 w_rx_data[5] +.sym 23929 w_rx_data[6] +.sym 23933 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 23934 r_counter_$glb_clk +.sym 23967 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 23977 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[3] +.sym 23984 io_ctrl_ins.o_pmod[6] +.sym 23985 io_ctrl_ins.o_pmod[5] +.sym 23991 i_button_SB_LUT4_I3_O[0] +.sym 23993 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[3] +.sym 23997 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[2] +.sym 24001 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[2] +.sym 24002 i_button_SB_LUT4_I3_O[2] +.sym 24003 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[2] +.sym 24004 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E +.sym 24006 i_button_SB_LUT4_I3_O[1] +.sym 24007 i_button_SB_LUT4_I3_O[3] +.sym 24016 io_ctrl_ins.o_pmod[6] +.sym 24017 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[3] +.sym 24018 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[2] +.sym 24019 i_button_SB_LUT4_I3_O[1] +.sym 24022 i_button_SB_LUT4_I3_O[2] +.sym 24023 i_button_SB_LUT4_I3_O[3] +.sym 24024 i_button_SB_LUT4_I3_O[1] +.sym 24025 i_button_SB_LUT4_I3_O[0] +.sym 24034 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[3] +.sym 24035 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[2] +.sym 24036 i_button_SB_LUT4_I3_O[1] +.sym 24037 io_ctrl_ins.o_pmod[5] +.sym 24056 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E +.sym 24057 r_counter_$glb_clk +.sym 24058 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[2] +.sym 24075 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 24092 i_button_SB_LUT4_I3_O[1] +.sym 24105 o_rx_h_tx_l_b$SB_IO_OUT +.sym 24106 io_ctrl_ins.pmod_dir_state[6] +.sym 24109 w_rx_data[6] +.sym 24124 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 24125 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 24127 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 24133 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 24134 o_rx_h_tx_l_b$SB_IO_OUT +.sym 24135 io_ctrl_ins.pmod_dir_state[6] +.sym 24136 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 24171 w_rx_data[6] +.sym 24179 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 24180 r_counter_$glb_clk .sym 24596 o_led0$SB_IO_OUT -.sym 24614 o_led0$SB_IO_OUT -.sym 24689 i_sck$SB_IO_IN -.sym 24697 spi_if_ins.spi.SCKr[0] -.sym 24721 spi_if_ins.spi.SCKr[0] -.sym 24744 i_sck$SB_IO_IN -.sym 24765 w_clock_sys -.sym 24858 spi_if_ins.spi.r_rx_bit_count[1] -.sym 24859 spi_if_ins.spi.r_rx_bit_count[0] -.sym 24860 spi_if_ins.spi.SCKr[1] -.sym 24861 i_ss$SB_IO_IN -.sym 24862 spi_if_ins.spi.SCKr[2] -.sym 24863 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_I2[2] -.sym 24870 spi_if_ins.spi.r_rx_bit_count[2] -.sym 24881 i_ss$SB_IO_IN -.sym 24884 $nextpnr_ICESTORM_LC_15$O -.sym 24886 spi_if_ins.spi.r_rx_bit_count[0] -.sym 24890 spi_if_ins.spi.r_rx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 24892 spi_if_ins.spi.r_rx_bit_count[1] -.sym 24897 spi_if_ins.spi.r_rx_bit_count[2] -.sym 24900 spi_if_ins.spi.r_rx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 24903 spi_if_ins.spi.r_rx_bit_count[0] -.sym 24904 spi_if_ins.spi.r_rx_bit_count[1] -.sym 24906 spi_if_ins.spi.r_rx_bit_count[2] -.sym 24910 spi_if_ins.spi.SCKr[2] -.sym 24911 i_ss$SB_IO_IN -.sym 24912 spi_if_ins.spi.SCKr[1] -.sym 24916 spi_if_ins.spi.r_rx_bit_count[2] -.sym 24917 spi_if_ins.spi.r_rx_bit_count[0] -.sym 24918 spi_if_ins.spi.r_rx_bit_count[1] -.sym 24923 spi_if_ins.spi.r_rx_bit_count[1] -.sym 24924 spi_if_ins.spi.r_rx_bit_count[0] -.sym 24929 spi_if_ins.spi.r_rx_bit_count[0] -.sym 24931 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_I2[2] -.sym 24932 w_clock_sys -.sym 24933 i_ss$SB_IO_IN -.sym 24952 i_ss_SB_LUT4_I1_O[1] -.sym 25015 spi_if_ins.spi.SCKr[1] -.sym 25055 spi_if_ins.spi.SCKr[1] -.sym 25087 w_clock_sys -.sym 25320 r_counter -.sym 25322 i_ss_SB_LUT4_I1_O[1] -.sym 25328 i_ss_SB_LUT4_I1_O[0] -.sym 25337 spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_E -.sym 25342 i_glob_clock$SB_IO_IN -.sym 25364 spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_E -.sym 25370 r_counter -.sym 25375 i_ss_SB_LUT4_I1_O[1] -.sym 25376 i_ss_SB_LUT4_I1_O[0] -.sym 25397 i_glob_clock$SB_IO_IN -.sym 25415 r_counter -.sym 25474 i_ss_SB_LUT4_I1_O[1] -.sym 25477 i_mosi$SB_IO_IN -.sym 25478 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 25482 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 25485 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 25491 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 25492 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 25503 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 25512 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 25519 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 25523 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 25529 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 25535 i_mosi$SB_IO_IN -.sym 25544 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 25550 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 25551 i_ss_SB_LUT4_I1_O[1] -.sym 25552 w_clock_sys +.sym 24616 o_led0$SB_IO_OUT +.sym 24624 o_led0$SB_IO_OUT .sym 25711 i_glob_clock$SB_IO_IN -.sym 25785 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 25842 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] .sym 25878 i_glob_clock$SB_IO_IN -.sym 25957 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 25989 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 26017 w_clock_sys -.sym 26027 r_counter -.sym 26038 $PACKER_VCC_NET -.sym 26270 w_rx_data[5] -.sym 26312 w_rx_data[5] -.sym 26413 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O -.sym 26415 w_rx_data[5] -.sym 26420 w_rx_data[4] -.sym 26424 w_rx_data[6] -.sym 26442 w_rx_data[4] -.sym 26449 w_rx_data[5] -.sym 26468 w_rx_data[6] -.sym 26481 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O -.sym 26482 w_clock_sys -.sym 26498 $PACKER_GND_NET -.sym 26568 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E -.sym 26574 w_rx_data[4] -.sym 26584 w_rx_data[6] -.sym 26588 w_rx_data[5] -.sym 26609 w_rx_data[6] -.sym 26614 w_rx_data[5] -.sym 26620 w_rx_data[4] -.sym 26636 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E -.sym 26637 w_clock_sys -.sym 26654 o_rx_h_tx_l$SB_IO_OUT -.sym 26714 io_ctrl_ins.o_pmod[4] -.sym 26716 io_ctrl_ins.rf_pin_state[5] -.sym 26717 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 26719 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 26720 w_ioc[0] -.sym 26722 io_ctrl_ins.o_pmod[6] -.sym 26723 io_ctrl_ins.rf_pin_state[6] -.sym 26724 io_ctrl_ins.o_pmod[5] -.sym 26725 io_ctrl_ins.rf_pin_state[4] -.sym 26727 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 26730 o_rx_h_tx_l_b$SB_IO_OUT -.sym 26732 o_tr_vc1$SB_IO_OUT -.sym 26733 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 26736 o_tr_vc1_b$SB_IO_OUT -.sym 26739 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 26746 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 26747 io_ctrl_ins.rf_pin_state[4] -.sym 26748 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 26757 io_ctrl_ins.rf_pin_state[6] -.sym 26758 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 26760 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 26770 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 26771 io_ctrl_ins.rf_pin_state[5] -.sym 26772 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 26775 w_ioc[0] -.sym 26776 o_tr_vc1$SB_IO_OUT -.sym 26777 io_ctrl_ins.o_pmod[5] -.sym 26778 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 26781 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 26782 o_tr_vc1_b$SB_IO_OUT -.sym 26783 io_ctrl_ins.o_pmod[4] -.sym 26784 w_ioc[0] -.sym 26787 w_ioc[0] -.sym 26788 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 26789 io_ctrl_ins.o_pmod[6] -.sym 26790 o_rx_h_tx_l_b$SB_IO_OUT -.sym 26791 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E -.sym 26792 w_clock_sys -.sym 27277 i_mosi$SB_IO_IN +.sym 25943 lvds_rx_24_inst.o_debug_state[0] +.sym 25952 r_counter +.sym 25957 w_soft_reset +.sym 25962 i_glob_clock$SB_IO_IN +.sym 25989 lvds_rx_24_inst.o_debug_state[0] +.sym 25991 w_soft_reset +.sym 26015 r_counter +.sym 26017 i_glob_clock$SB_IO_IN +.sym 26265 $PACKER_GND_NET +.sym 26304 $PACKER_GND_NET +.sym 26803 o_rx_h_tx_l$SB_IO_OUT .sym 27283 w_smi_read_req .sym 27285 i_smi_a3$SB_IO_IN -.sym 27294 w_smi_read_req -.sym 27300 i_smi_a3$SB_IO_IN -.sym 27429 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O -.sym 27447 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O +.sym 27292 w_smi_read_req +.sym 27293 i_smi_a3$SB_IO_IN +.sym 27367 i_glob_clock$SB_IO_IN +.sym 27429 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E +.sym 27442 lvds_rx_24_inst.r_data_SB_DFFESR_Q_E +.sym 27457 w_soft_reset .sym 27459 r_counter .sym 27460 $PACKER_VCC_NET -.sym 27477 r_counter +.sym 27476 r_counter .sym 27478 $PACKER_VCC_NET +.sym 27514 o_rx_h_tx_l$SB_IO_OUT .sym 27552 $PACKER_GND_NET .sym 27570 $PACKER_GND_NET +.sym 27574 o_rx_h_tx_l_b$SB_IO_OUT +.sym 27576 w_soft_reset .sym 27582 o_rx_h_tx_l$SB_IO_OUT -.sym 27593 o_rx_h_tx_l$SB_IO_OUT +.sym 27600 o_rx_h_tx_l$SB_IO_OUT .sym 27605 o_tr_vc1$SB_IO_OUT .sym 27608 o_tr_vc2$SB_IO_OUT -.sym 27618 o_tr_vc1$SB_IO_OUT -.sym 27619 o_tr_vc2$SB_IO_OUT +.sym 27621 o_tr_vc2$SB_IO_OUT +.sym 27629 o_tr_vc1$SB_IO_OUT .sym 27631 o_rx_h_tx_l_b$SB_IO_OUT .sym 27634 o_tr_vc1_b$SB_IO_OUT -.sym 27642 o_rx_h_tx_l_b$SB_IO_OUT +.sym 27644 o_rx_h_tx_l_b$SB_IO_OUT .sym 27648 o_tr_vc1_b$SB_IO_OUT -.sym 27746 lvds_rx_09_inst.r_data[9] -.sym 27750 lvds_rx_09_inst.r_data[13] -.sym 27754 lvds_rx_09_inst.r_data[10] -.sym 27758 lvds_rx_09_inst.r_data[4] -.sym 27762 lvds_rx_09_inst.r_data[7] -.sym 27766 lvds_rx_09_inst.r_data[8] -.sym 27770 lvds_rx_09_inst.r_data[6] -.sym 27774 lvds_rx_09_inst.r_data[11] -.sym 27778 lvds_rx_09_inst.r_data[12] -.sym 27782 lvds_rx_09_inst.r_data[20] -.sym 27790 lvds_rx_09_inst.r_data[15] -.sym 27794 lvds_rx_09_inst.r_data[5] -.sym 27798 lvds_rx_09_inst.r_data[19] -.sym 27802 lvds_rx_09_inst.r_data[17] -.sym 27810 lvds_rx_09_inst.r_data[18] -.sym 27814 lvds_rx_09_inst.r_data[3] -.sym 27822 lvds_rx_09_inst.r_data[14] -.sym 27830 lvds_rx_09_inst.r_data[16] -.sym 27838 lvds_rx_09_inst.r_data[2] -.sym 27846 lvds_rx_09_inst.r_data[1] -.sym 27854 lvds_rx_09_inst.r_data[0] -.sym 27882 lvds_rx_24_inst.r_data[1] -.sym 27886 lvds_rx_24_inst.r_data[4] -.sym 27890 lvds_rx_24_inst.r_data[6] -.sym 27918 lvds_rx_24_inst.r_data[2] -.sym 27926 lvds_rx_24_inst.r_data[4] -.sym 27934 lvds_rx_24_inst.r_data[6] -.sym 27938 lvds_rx_24_inst.r_data[5] -.sym 27946 w_soft_reset -.sym 27947 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 27948 lvds_rx_24_inst.o_debug_state[1] -.sym 27949 lvds_rx_24_inst.o_debug_state[0] -.sym 27958 lvds_rx_24_inst.r_data[7] -.sym 27962 lvds_rx_24_inst.r_data[3] -.sym 27982 w_lvds_rx_09_d1 -.sym 27994 w_lvds_rx_09_d0 -.sym 28002 w_lvds_rx_09_d1 -.sym 28003 w_lvds_rx_09_d0 -.sym 28004 lvds_rx_09_inst.o_debug_state[0] -.sym 28005 lvds_rx_09_inst.o_debug_state[1] -.sym 28006 w_lvds_rx_09_d1 -.sym 28028 w_lvds_rx_09_d0 -.sym 28029 w_lvds_rx_09_d1 -.sym 28030 w_lvds_rx_09_d0 -.sym 28034 lvds_rx_24_inst.o_debug_state[1] -.sym 28035 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 28036 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[1] -.sym 28037 lvds_rx_24_inst.o_debug_state[0] -.sym 28039 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 28040 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3 -.sym 28041 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[0] -.sym 28042 lvds_rx_24_inst.o_debug_state[1] -.sym 28043 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 28044 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[2] -.sym 28045 lvds_rx_24_inst.o_debug_state[0] -.sym 28046 lvds_rx_09_inst.o_debug_state[0] -.sym 28047 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 27698 lvds_rx_09_inst.r_data[12] +.sym 27714 lvds_rx_24_inst.r_data[18] +.sym 27718 lvds_rx_24_inst.r_data[23] +.sym 27722 lvds_rx_24_inst.r_data[20] +.sym 27738 lvds_rx_24_inst.r_data[16] +.sym 27742 lvds_rx_24_inst.r_data[14] +.sym 27746 lvds_rx_24_inst.r_data[26] +.sym 27750 lvds_rx_24_inst.r_data[19] +.sym 27754 lvds_rx_24_inst.r_data[24] +.sym 27758 lvds_rx_24_inst.r_data[21] +.sym 27762 lvds_rx_24_inst.r_data[22] +.sym 27766 lvds_rx_24_inst.r_data[17] +.sym 27770 lvds_rx_24_inst.r_data[13] +.sym 27774 lvds_rx_24_inst.r_data[15] +.sym 27778 lvds_rx_24_inst.r_data[26] +.sym 27782 lvds_rx_24_inst.r_data[16] +.sym 27786 lvds_rx_24_inst.r_data[21] +.sym 27790 lvds_rx_24_inst.r_data[22] +.sym 27794 lvds_rx_24_inst.r_data[25] +.sym 27798 lvds_rx_24_inst.r_data[24] +.sym 27802 lvds_rx_24_inst.r_data[17] +.sym 27806 lvds_rx_24_inst.r_data[23] +.sym 27818 lvds_rx_24_inst.r_data[19] +.sym 27822 lvds_rx_24_inst.r_data[14] +.sym 27830 w_rx_09_fifo_pulled_data[10] +.sym 27831 w_rx_09_fifo_pulled_data[26] +.sym 27832 smi_ctrl_ins.int_cnt_09[4] +.sym 27833 smi_ctrl_ins.int_cnt_09[3] +.sym 27834 lvds_rx_24_inst.r_data[15] +.sym 27838 lvds_rx_24_inst.r_data[18] +.sym 27842 lvds_rx_24_inst.r_data[28] +.sym 27850 lvds_rx_24_inst.r_data[5] +.sym 27858 lvds_rx_24_inst.r_data[0] +.sym 27862 lvds_rx_24_inst.r_data[12] +.sym 27898 lvds_rx_24_inst.r_data[12] +.sym 27906 lvds_rx_24_inst.r_data[11] +.sym 27917 rx_24_fifo.rd_addr_SB_DFFESR_Q_E +.sym 27922 lvds_rx_24_inst.r_data[3] +.sym 27926 lvds_rx_24_inst.r_data[5] +.sym 27934 lvds_rx_24_inst.r_data[10] +.sym 27938 lvds_rx_24_inst.r_data[9] +.sym 27942 lvds_rx_24_inst.r_data[6] +.sym 27946 lvds_rx_24_inst.r_data[7] +.sym 27966 lvds_rx_24_inst.r_data[8] +.sym 27970 lvds_rx_24_inst.r_data[1] +.sym 27974 lvds_rx_24_inst.r_data[4] +.sym 27978 w_lvds_rx_24_d0 +.sym 27982 lvds_rx_24_inst.r_data[0] +.sym 27990 w_lvds_rx_24_d1 +.sym 27994 lvds_rx_24_inst.r_data[2] +.sym 28002 lvds_rx_24_inst.r_data[4] +.sym 28006 lvds_rx_24_inst.r_data[3] +.sym 28010 w_lvds_rx_24_d1 +.sym 28014 w_lvds_rx_24_d0 +.sym 28018 lvds_rx_24_inst.r_data[2] +.sym 28022 lvds_rx_24_inst.r_data[1] +.sym 28038 lvds_rx_24_inst.o_debug_state[1] +.sym 28039 w_lvds_rx_24_d1 +.sym 28040 w_lvds_rx_24_d0 +.sym 28041 lvds_rx_24_inst.o_debug_state[0] +.sym 28044 w_lvds_rx_24_d0 +.sym 28045 w_lvds_rx_24_d1 +.sym 28046 lvds_rx_24_inst.o_debug_state[1] +.sym 28047 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] .sym 28048 w_soft_reset -.sym 28049 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] +.sym 28049 lvds_rx_24_inst.o_debug_state[0] .sym 28050 lvds_rx_24_inst.o_debug_state[1] -.sym 28051 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 28052 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 28053 lvds_rx_24_inst.o_debug_state[0] +.sym 28051 w_lvds_rx_24_d1 +.sym 28052 lvds_rx_24_inst.o_debug_state[0] +.sym 28053 w_lvds_rx_24_d0 .sym 28054 lvds_rx_24_inst.o_debug_state[1] -.sym 28055 lvds_rx_24_inst.o_debug_state[0] +.sym 28055 w_lvds_rx_24_d1 .sym 28056 w_lvds_rx_24_d0 -.sym 28057 w_lvds_rx_24_d1 -.sym 28058 lvds_rx_24_inst.o_debug_state[1] -.sym 28059 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 28060 w_soft_reset +.sym 28057 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] +.sym 28058 w_soft_reset +.sym 28059 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 28060 lvds_rx_24_inst.o_debug_state[1] .sym 28061 lvds_rx_24_inst.o_debug_state[0] -.sym 28062 lvds_rx_24_inst.o_debug_state[1] -.sym 28063 w_lvds_rx_24_d1 -.sym 28064 w_lvds_rx_24_d0 -.sym 28065 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] .sym 28067 lvds_rx_24_inst.r_phase_count[0] .sym 28071 lvds_rx_24_inst.r_phase_count[1] .sym 28072 $PACKER_VCC_NET .sym 28073 lvds_rx_24_inst.r_phase_count[0] -.sym 28074 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[0] +.sym 28074 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[2] .sym 28076 $PACKER_VCC_NET .sym 28077 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O_I3[2] -.sym 28081 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 28086 lvds_rx_09_inst.o_debug_state[1] -.sym 28087 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 28088 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 28089 lvds_rx_09_inst.o_debug_state[0] -.sym 28097 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3 -.sym 28194 lvds_rx_09_inst.r_data[23] -.sym 28198 lvds_rx_09_inst.r_data[22] -.sym 28202 lvds_rx_09_inst.r_data[28] -.sym 28206 lvds_rx_09_inst.r_data[24] -.sym 28214 lvds_rx_09_inst.r_data[25] -.sym 28218 lvds_rx_09_inst.r_data[26] -.sym 28230 lvds_rx_09_inst.r_data[21] -.sym 28234 lvds_rx_09_inst.r_data[24] -.sym 28238 lvds_rx_09_inst.r_data[23] -.sym 28242 lvds_rx_09_inst.r_data[26] -.sym 28246 lvds_rx_09_inst.r_data[22] -.sym 28250 lvds_rx_09_inst.r_data[25] -.sym 28258 lvds_rx_09_inst.r_data[12] -.sym 28262 lvds_rx_09_inst.r_data[7] -.sym 28266 lvds_rx_09_inst.r_data[9] -.sym 28270 lvds_rx_09_inst.r_data[11] -.sym 28274 lvds_rx_09_inst.r_data[6] -.sym 28278 lvds_rx_09_inst.r_data[8] -.sym 28282 lvds_rx_09_inst.r_data[13] -.sym 28286 lvds_rx_09_inst.r_data[10] -.sym 28294 lvds_rx_09_inst.r_data[19] -.sym 28298 lvds_rx_09_inst.r_data[15] -.sym 28306 lvds_rx_09_inst.r_data[21] -.sym 28310 lvds_rx_09_inst.r_data[17] -.sym 28322 lvds_rx_09_inst.r_data[14] -.sym 28326 lvds_rx_09_inst.r_data[2] -.sym 28330 lvds_rx_09_inst.r_data[3] -.sym 28334 lvds_rx_09_inst.r_data[20] -.sym 28338 lvds_rx_09_inst.r_data[16] -.sym 28342 lvds_rx_09_inst.r_data[5] -.sym 28350 lvds_rx_09_inst.r_data[18] -.sym 28355 w_rx_24_fifo_full -.sym 28356 lvds_rx_24_inst.o_debug_state[0] -.sym 28357 lvds_rx_24_inst.o_debug_state[1] -.sym 28386 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 28387 w_soft_reset -.sym 28388 lvds_rx_24_inst.o_debug_state[1] -.sym 28389 lvds_rx_24_inst.o_debug_state[0] -.sym 28390 lvds_rx_24_inst.r_data[8] -.sym 28394 lvds_rx_24_inst.r_data[0] -.sym 28398 w_rx_24_fifo_pulled_data[5] -.sym 28399 w_rx_24_fifo_pulled_data[13] -.sym 28400 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 28401 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 28402 w_rx_24_fifo_pulled_data[22] -.sym 28403 w_rx_24_fifo_pulled_data[30] -.sym 28404 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 28405 smi_ctrl_ins.int_cnt_24[3] -.sym 28406 w_rx_24_fifo_pulled_data[18] -.sym 28407 w_rx_24_fifo_pulled_data[26] -.sym 28408 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 28409 smi_ctrl_ins.int_cnt_24[3] -.sym 28418 w_rx_24_fifo_pulled_data[19] -.sym 28419 w_rx_24_fifo_pulled_data[27] -.sym 28420 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 28421 smi_ctrl_ins.int_cnt_24[3] -.sym 28422 w_rx_24_fifo_pulled_data[17] -.sym 28423 w_rx_24_fifo_pulled_data[25] -.sym 28424 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 28425 smi_ctrl_ins.int_cnt_24[3] -.sym 28426 w_rx_24_fifo_pulled_data[21] -.sym 28427 w_rx_24_fifo_pulled_data[29] -.sym 28428 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 28429 smi_ctrl_ins.int_cnt_24[3] -.sym 28430 w_rx_24_fifo_pulled_data[20] -.sym 28431 w_rx_24_fifo_pulled_data[28] -.sym 28432 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 28433 smi_ctrl_ins.int_cnt_24[3] -.sym 28434 w_rx_24_fifo_pulled_data[23] -.sym 28435 w_rx_24_fifo_pulled_data[31] -.sym 28436 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 28437 smi_ctrl_ins.int_cnt_24[3] -.sym 28440 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 28441 smi_ctrl_ins.int_cnt_24[3] -.sym 28445 smi_ctrl_ins.int_cnt_24[3] -.sym 28446 w_rx_24_fifo_pulled_data[16] -.sym 28447 w_rx_24_fifo_pulled_data[24] -.sym 28448 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 28449 smi_ctrl_ins.int_cnt_24[3] -.sym 28450 lvds_rx_24_inst.r_data[3] -.sym 28454 lvds_rx_24_inst.r_data[1] -.sym 28458 w_lvds_rx_24_d1 -.sym 28462 lvds_rx_24_inst.r_data[0] -.sym 28474 lvds_rx_24_inst.r_data[5] -.sym 28478 w_lvds_rx_24_d0 -.sym 28482 w_lvds_rx_24_d1 -.sym 28486 w_lvds_rx_24_d0 -.sym 28518 lvds_rx_09_inst.o_debug_state[1] -.sym 28519 w_lvds_rx_09_d1 -.sym 28520 w_lvds_rx_09_d0 -.sym 28521 lvds_rx_09_inst.o_debug_state[0] -.sym 28522 lvds_rx_09_inst.o_debug_state[1] -.sym 28523 w_lvds_rx_09_d1 -.sym 28524 w_lvds_rx_09_d0 -.sym 28525 lvds_rx_09_inst.o_debug_state[0] -.sym 28526 lvds_rx_09_inst.o_debug_state[1] -.sym 28527 lvds_rx_09_inst.o_debug_state[0] -.sym 28528 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I2[2] -.sym 28529 w_soft_reset -.sym 28531 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 28532 lvds_rx_09_inst.o_debug_state[0] -.sym 28533 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] -.sym 28546 lvds_rx_24_inst.o_debug_state[1] -.sym 28547 w_lvds_rx_24_d1 -.sym 28548 lvds_rx_24_inst.o_debug_state[0] -.sym 28549 w_lvds_rx_24_d0 -.sym 28554 lvds_rx_24_inst.o_debug_state[0] -.sym 28555 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[0] -.sym 28556 w_soft_reset -.sym 28557 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] -.sym 28561 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E -.sym 28562 lvds_rx_24_inst.o_debug_state[1] -.sym 28563 w_lvds_rx_24_d1 -.sym 28564 w_lvds_rx_24_d0 -.sym 28565 lvds_rx_24_inst.o_debug_state[0] -.sym 28579 lvds_rx_09_inst.r_phase_count[0] -.sym 28583 lvds_rx_09_inst.r_phase_count[1] -.sym 28584 $PACKER_VCC_NET -.sym 28585 lvds_rx_09_inst.r_phase_count[0] -.sym 28586 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[2] -.sym 28588 $PACKER_VCC_NET -.sym 28589 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O_I3[2] -.sym 28593 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 28595 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] -.sym 28596 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3 -.sym 28597 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[2] -.sym 28598 lvds_rx_09_inst.o_debug_state[1] -.sym 28599 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 28600 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[1] -.sym 28601 lvds_rx_09_inst.o_debug_state[0] -.sym 28605 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3 -.sym 28606 lvds_rx_09_inst.o_debug_state[1] -.sym 28607 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 28608 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[2] -.sym 28609 lvds_rx_09_inst.o_debug_state[0] -.sym 28708 i_smi_a3$SB_IO_IN -.sym 28709 w_smi_data_output[6] -.sym 28718 lvds_rx_09_inst.r_data[29] -.sym 28734 lvds_rx_09_inst.r_data[27] -.sym 28738 w_rx_09_fifo_pulled_data[4] -.sym 28739 w_rx_09_fifo_pulled_data[20] +.sym 28079 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 28080 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3 +.sym 28081 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3[2] +.sym 28082 lvds_rx_24_inst.o_debug_state[1] +.sym 28083 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 28084 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[1] +.sym 28085 lvds_rx_24_inst.o_debug_state[0] +.sym 28086 lvds_rx_24_inst.o_debug_state[1] +.sym 28087 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 28088 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 28089 lvds_rx_24_inst.o_debug_state[0] +.sym 28093 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3 +.sym 28094 lvds_rx_24_inst.o_debug_state[1] +.sym 28095 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 28096 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[2] +.sym 28097 lvds_rx_24_inst.o_debug_state[0] +.sym 28099 lvds_rx_09_inst.r_phase_count[0] +.sym 28103 lvds_rx_09_inst.r_phase_count[1] +.sym 28104 $PACKER_VCC_NET +.sym 28105 lvds_rx_09_inst.r_phase_count[0] +.sym 28106 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_I2[2] +.sym 28108 $PACKER_VCC_NET +.sym 28109 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O_I3[2] +.sym 28110 lvds_rx_09_inst.o_debug_state[1] +.sym 28111 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 28112 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[2] +.sym 28113 lvds_rx_09_inst.o_debug_state[0] +.sym 28114 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 28115 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3 +.sym 28116 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_I2[2] +.sym 28117 lvds_rx_09_inst.o_debug_state[0] +.sym 28118 lvds_rx_09_inst.o_debug_state[1] +.sym 28119 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 28120 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[1] +.sym 28121 lvds_rx_09_inst.o_debug_state[0] +.sym 28122 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 28123 lvds_rx_09_inst.o_debug_state[0] +.sym 28124 lvds_rx_09_inst.o_debug_state[1] +.sym 28125 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 28129 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3 +.sym 28161 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 28197 w_rx_09_fifo_data[14] +.sym 28210 lvds_rx_24_inst.r_data[27] +.sym 28218 lvds_rx_24_inst.r_data[25] +.sym 28226 w_rx_09_fifo_pulled_data[1] +.sym 28227 w_rx_09_fifo_pulled_data[17] +.sym 28228 smi_ctrl_ins.int_cnt_09[3] +.sym 28229 smi_ctrl_ins.int_cnt_09[4] +.sym 28232 i_smi_a3$SB_IO_IN +.sym 28233 w_smi_data_output[6] +.sym 28234 lvds_rx_24_inst.r_data[27] +.sym 28242 w_rx_09_fifo_pulled_data[0] +.sym 28243 w_rx_09_fifo_pulled_data[16] +.sym 28244 smi_ctrl_ins.int_cnt_09[3] +.sym 28245 smi_ctrl_ins.int_cnt_09[4] +.sym 28248 i_smi_a3$SB_IO_IN +.sym 28249 w_smi_data_output[1] +.sym 28254 lvds_rx_24_inst.r_data[20] +.sym 28258 lvds_rx_09_inst.r_data[18] +.sym 28262 lvds_rx_09_inst.r_data[26] +.sym 28266 lvds_rx_09_inst.r_data[8] +.sym 28270 lvds_rx_09_inst.r_data[25] +.sym 28274 lvds_rx_09_inst.r_data[23] +.sym 28278 lvds_rx_09_inst.r_data[6] +.sym 28282 lvds_rx_09_inst.r_data[24] +.sym 28286 lvds_rx_09_inst.r_data[22] +.sym 28290 lvds_rx_09_inst.r_data[28] +.sym 28294 w_rx_09_fifo_pulled_data[3] +.sym 28295 w_rx_09_fifo_pulled_data[19] +.sym 28296 smi_ctrl_ins.int_cnt_09[3] +.sym 28297 smi_ctrl_ins.int_cnt_09[4] +.sym 28298 lvds_rx_09_inst.r_data[10] +.sym 28302 lvds_rx_09_inst.r_data[29] +.sym 28306 w_rx_09_fifo_pulled_data[6] +.sym 28307 w_rx_09_fifo_pulled_data[22] +.sym 28308 smi_ctrl_ins.int_cnt_09[3] +.sym 28309 smi_ctrl_ins.int_cnt_09[4] +.sym 28310 lvds_rx_09_inst.r_data[13] +.sym 28314 lvds_rx_09_inst.r_data[11] +.sym 28318 w_rx_09_fifo_pulled_data[5] +.sym 28319 w_rx_09_fifo_pulled_data[21] +.sym 28320 smi_ctrl_ins.int_cnt_09[3] +.sym 28321 smi_ctrl_ins.int_cnt_09[4] +.sym 28325 w_rx_24_fifo_data[23] +.sym 28326 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[0] +.sym 28327 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[1] +.sym 28328 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[2] +.sym 28329 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 28334 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[0] +.sym 28335 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[1] +.sym 28336 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[2] +.sym 28337 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 28338 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[0] +.sym 28339 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[1] +.sym 28340 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[2] +.sym 28341 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 28342 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[0] +.sym 28343 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[1] +.sym 28344 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[2] +.sym 28345 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 28346 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[0] +.sym 28347 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[1] +.sym 28348 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[2] +.sym 28349 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 28350 i_smi_a2_SB_LUT4_I1_1_O[0] +.sym 28351 i_smi_a2_SB_LUT4_I1_1_O[1] +.sym 28352 i_smi_a2_SB_LUT4_I1_1_O[2] +.sym 28353 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 28358 w_rx_24_fifo_pulled_data[0] +.sym 28359 w_rx_24_fifo_pulled_data[8] +.sym 28360 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 28361 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 28362 w_rx_24_fifo_pulled_data[1] +.sym 28363 w_rx_24_fifo_pulled_data[9] +.sym 28364 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 28365 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 28366 w_rx_24_fifo_pulled_data[3] +.sym 28367 w_rx_24_fifo_pulled_data[11] +.sym 28368 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 28369 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 28370 w_rx_24_fifo_pulled_data[5] +.sym 28371 w_rx_24_fifo_pulled_data[13] +.sym 28372 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 28373 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 28374 lvds_rx_24_inst.r_data[29] +.sym 28378 w_rx_24_fifo_pulled_data[2] +.sym 28379 w_rx_24_fifo_pulled_data[10] +.sym 28380 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 28381 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 28382 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[0] +.sym 28383 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[1] +.sym 28384 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 28385 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[3] +.sym 28387 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 28392 rx_24_fifo.rd_addr[1] +.sym 28396 rx_24_fifo.rd_addr[2] +.sym 28397 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[2] +.sym 28400 rx_24_fifo.rd_addr[3] +.sym 28401 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[3] +.sym 28404 rx_24_fifo.rd_addr[4] +.sym 28405 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[4] +.sym 28408 rx_24_fifo.rd_addr[5] +.sym 28409 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[5] +.sym 28412 rx_24_fifo.rd_addr[6] +.sym 28413 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[6] +.sym 28416 rx_24_fifo.rd_addr[7] +.sym 28417 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[7] +.sym 28419 $PACKER_VCC_NET +.sym 28421 $nextpnr_ICESTORM_LC_13$I3 +.sym 28424 rx_24_fifo.rd_addr[8] +.sym 28429 $nextpnr_ICESTORM_LC_14$I3 +.sym 28433 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 28440 rx_24_fifo.rd_addr[1] +.sym 28441 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 28448 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] +.sym 28449 w_soft_reset +.sym 28450 lvds_rx_24_inst.r_data[6] +.sym 28454 lvds_rx_24_inst.r_data[10] +.sym 28458 lvds_rx_24_inst.r_data[9] +.sym 28462 lvds_rx_24_inst.r_data[11] +.sym 28466 lvds_rx_24_inst.r_data[13] +.sym 28470 lvds_rx_24_inst.r_data[7] +.sym 28478 lvds_rx_24_inst.r_data[8] +.sym 28483 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 28488 rx_24_fifo.rd_addr[1] +.sym 28492 rx_24_fifo.rd_addr[2] +.sym 28493 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 28496 rx_24_fifo.rd_addr[3] +.sym 28497 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] +.sym 28500 rx_24_fifo.rd_addr[4] +.sym 28501 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] +.sym 28504 rx_24_fifo.rd_addr[5] +.sym 28505 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] +.sym 28508 rx_24_fifo.rd_addr[6] +.sym 28509 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] +.sym 28512 rx_24_fifo.rd_addr[7] +.sym 28513 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] +.sym 28516 rx_24_fifo.rd_addr[8] +.sym 28517 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] +.sym 28529 w_rx_24_fifo_data[4] +.sym 28536 w_soft_reset +.sym 28537 w_rx_24_fifo_push +.sym 28546 lvds_rx_24_inst.r_push +.sym 28554 lvds_rx_24_inst.o_debug_state[1] +.sym 28555 lvds_rx_24_inst.o_debug_state[0] +.sym 28556 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I2[2] +.sym 28557 w_soft_reset +.sym 28561 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E +.sym 28563 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 28564 lvds_rx_24_inst.o_debug_state[0] +.sym 28565 lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 28578 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O[1] +.sym 28579 w_soft_reset +.sym 28580 lvds_rx_24_inst.o_debug_state[1] +.sym 28581 lvds_rx_24_inst.o_debug_state[0] +.sym 28589 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q[0] +.sym 28591 w_soft_reset +.sym 28592 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 28593 lvds_rx_09_inst.o_debug_state[1] +.sym 28603 w_rx_24_fifo_full +.sym 28604 lvds_rx_24_inst.o_debug_state[0] +.sym 28605 lvds_rx_24_inst.o_debug_state[1] +.sym 28610 lvds_rx_09_inst.o_debug_state[0] +.sym 28611 lvds_rx_09_inst.o_debug_state[1] +.sym 28612 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 28613 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] +.sym 28614 lvds_rx_09_inst.o_debug_state[1] +.sym 28615 w_lvds_rx_09_d1 +.sym 28616 w_lvds_rx_09_d0 +.sym 28617 lvds_rx_09_inst.o_debug_state[0] +.sym 28622 lvds_rx_09_inst.o_debug_state[1] +.sym 28623 w_lvds_rx_09_d1 +.sym 28624 w_lvds_rx_09_d0 +.sym 28625 w_soft_reset +.sym 28626 lvds_rx_09_inst.o_debug_state[1] +.sym 28627 w_lvds_rx_09_d1 +.sym 28628 w_lvds_rx_09_d0 +.sym 28629 lvds_rx_09_inst.o_debug_state[0] +.sym 28631 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 28632 lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I2[1] +.sym 28633 w_soft_reset +.sym 28634 lvds_rx_09_inst.o_debug_state[0] +.sym 28635 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 28636 w_soft_reset +.sym 28637 lvds_rx_09_inst.o_debug_state[1] +.sym 28638 lvds_rx_09_inst.o_debug_state[1] +.sym 28639 lvds_rx_09_inst.o_debug_state[0] +.sym 28640 w_lvds_rx_09_d0 +.sym 28641 w_lvds_rx_09_d1 +.sym 28706 lvds_rx_09_inst.r_data[16] +.sym 28722 lvds_rx_09_inst.r_data[14] +.sym 28734 lvds_rx_09_inst.r_data[12] +.sym 28738 w_rx_09_fifo_pulled_data[7] +.sym 28739 w_rx_09_fifo_pulled_data[23] .sym 28740 smi_ctrl_ins.int_cnt_09[3] .sym 28741 smi_ctrl_ins.int_cnt_09[4] -.sym 28754 w_rx_09_fifo_pulled_data[1] -.sym 28755 w_rx_09_fifo_pulled_data[17] -.sym 28756 smi_ctrl_ins.int_cnt_09[3] -.sym 28757 smi_ctrl_ins.int_cnt_09[4] -.sym 28758 lvds_rx_09_inst.r_data[27] -.sym 28770 w_rx_09_fifo_pulled_data[2] -.sym 28771 w_rx_09_fifo_pulled_data[18] -.sym 28772 smi_ctrl_ins.int_cnt_09[3] -.sym 28773 smi_ctrl_ins.int_cnt_09[4] -.sym 28774 w_rx_09_fifo_pulled_data[3] -.sym 28775 w_rx_09_fifo_pulled_data[19] -.sym 28776 smi_ctrl_ins.int_cnt_09[3] -.sym 28777 smi_ctrl_ins.int_cnt_09[4] -.sym 28782 w_rx_09_fifo_pulled_data[8] -.sym 28783 w_rx_09_fifo_pulled_data[24] -.sym 28784 smi_ctrl_ins.int_cnt_09[4] -.sym 28785 smi_ctrl_ins.int_cnt_09[3] -.sym 28786 w_rx_09_fifo_pulled_data[0] -.sym 28787 w_rx_09_fifo_pulled_data[16] -.sym 28788 smi_ctrl_ins.int_cnt_09[3] -.sym 28789 smi_ctrl_ins.int_cnt_09[4] -.sym 28790 w_rx_09_fifo_pulled_data[6] -.sym 28791 w_rx_09_fifo_pulled_data[22] -.sym 28792 smi_ctrl_ins.int_cnt_09[3] -.sym 28793 smi_ctrl_ins.int_cnt_09[4] -.sym 28794 w_rx_09_fifo_pulled_data[5] -.sym 28795 w_rx_09_fifo_pulled_data[21] -.sym 28796 smi_ctrl_ins.int_cnt_09[3] -.sym 28797 smi_ctrl_ins.int_cnt_09[4] -.sym 28798 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[0] -.sym 28799 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[1] -.sym 28800 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[2] -.sym 28801 i_smi_a2_SB_LUT4_I1_O[3] -.sym 28802 w_rx_09_fifo_pulled_data[9] -.sym 28803 w_rx_09_fifo_pulled_data[25] -.sym 28804 smi_ctrl_ins.int_cnt_09[4] -.sym 28805 smi_ctrl_ins.int_cnt_09[3] -.sym 28810 w_rx_09_fifo_pulled_data[11] -.sym 28811 w_rx_09_fifo_pulled_data[27] -.sym 28812 smi_ctrl_ins.int_cnt_09[4] -.sym 28813 smi_ctrl_ins.int_cnt_09[3] -.sym 28814 w_rx_09_fifo_pulled_data[12] -.sym 28815 w_rx_09_fifo_pulled_data[28] -.sym 28816 smi_ctrl_ins.int_cnt_09[4] -.sym 28817 smi_ctrl_ins.int_cnt_09[3] -.sym 28818 w_rx_09_fifo_pulled_data[7] -.sym 28819 w_rx_09_fifo_pulled_data[23] -.sym 28820 smi_ctrl_ins.int_cnt_09[3] -.sym 28821 smi_ctrl_ins.int_cnt_09[4] -.sym 28822 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[0] -.sym 28823 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[1] -.sym 28824 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0[2] -.sym 28825 i_smi_a2_SB_LUT4_I1_O[3] -.sym 28826 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[0] -.sym 28827 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[1] -.sym 28828 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0[2] -.sym 28829 i_smi_a2_SB_LUT4_I1_O[3] -.sym 28830 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0[0] -.sym 28831 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0[1] -.sym 28832 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0[2] -.sym 28833 i_smi_a2_SB_LUT4_I1_O[3] -.sym 28834 w_rx_09_fifo_pulled_data[10] -.sym 28835 w_rx_09_fifo_pulled_data[26] -.sym 28836 smi_ctrl_ins.int_cnt_09[4] -.sym 28837 smi_ctrl_ins.int_cnt_09[3] -.sym 28838 w_rx_09_fifo_pulled_data[15] -.sym 28839 w_rx_09_fifo_pulled_data[31] -.sym 28840 smi_ctrl_ins.int_cnt_09[4] -.sym 28841 smi_ctrl_ins.int_cnt_09[3] -.sym 28842 w_rx_09_fifo_pulled_data[14] -.sym 28843 w_rx_09_fifo_pulled_data[30] -.sym 28844 smi_ctrl_ins.int_cnt_09[4] -.sym 28845 smi_ctrl_ins.int_cnt_09[3] -.sym 28848 i_smi_a2_SB_LUT4_I1_O[3] -.sym 28849 w_soft_reset -.sym 28852 smi_ctrl_ins.int_cnt_09[4] -.sym 28853 smi_ctrl_ins.int_cnt_09[3] -.sym 28857 smi_ctrl_ins.int_cnt_09[3] -.sym 28858 w_rx_24_fifo_pulled_data[2] -.sym 28859 w_rx_24_fifo_pulled_data[10] -.sym 28860 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 28861 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 28862 w_rx_09_fifo_pulled_data[13] -.sym 28863 w_rx_09_fifo_pulled_data[29] -.sym 28864 smi_ctrl_ins.int_cnt_09[4] -.sym 28865 smi_ctrl_ins.int_cnt_09[3] -.sym 28878 lvds_rx_24_inst.r_data[16] -.sym 28882 lvds_rx_24_inst.r_data[14] -.sym 28902 lvds_rx_09_inst.r_data[4] -.sym 28906 w_soft_reset -.sym 28907 i_smi_a1$SB_IO_IN -.sym 28908 i_smi_a2$SB_IO_IN -.sym 28909 i_smi_a3$SB_IO_IN -.sym 28913 w_rx_24_fifo_data[15] -.sym 28914 lvds_rx_09_inst.r_data[0] -.sym 28919 i_smi_a2$SB_IO_IN -.sym 28920 i_smi_a1$SB_IO_IN -.sym 28921 i_smi_a3$SB_IO_IN -.sym 28922 lvds_rx_09_inst.r_data[1] -.sym 28930 i_smi_a1$SB_IO_IN -.sym 28931 i_smi_a3$SB_IO_IN -.sym 28932 i_smi_a2$SB_IO_IN -.sym 28933 w_soft_reset -.sym 28942 lvds_rx_24_inst.r_data[9] -.sym 28946 lvds_rx_24_inst.r_data[8] -.sym 28954 lvds_rx_24_inst.r_data[7] -.sym 28962 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[6] -.sym 28968 w_soft_reset -.sym 28969 w_rx_09_fifo_push -.sym 28992 w_soft_reset -.sym 28993 w_rx_09_fifo_push -.sym 28995 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 29000 rx_09_fifo.wr_addr[2] -.sym 29001 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 29004 rx_09_fifo.wr_addr[3] -.sym 29005 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[2] -.sym 29008 rx_09_fifo.wr_addr[4] -.sym 29009 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[3] -.sym 29012 rx_09_fifo.wr_addr[5] -.sym 29013 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[4] -.sym 29016 rx_09_fifo.wr_addr[6] -.sym 29017 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[5] -.sym 29020 rx_09_fifo.wr_addr[7] -.sym 29021 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[6] -.sym 29024 rx_09_fifo.wr_addr[8] -.sym 29025 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[7] -.sym 29029 $nextpnr_ICESTORM_LC_5$I3 -.sym 29030 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[0] -.sym 29031 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[1] -.sym 29032 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[2] -.sym 29033 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0[3] -.sym 29034 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 29035 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 29036 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 29037 rx_09_fifo.rd_addr[1] -.sym 29038 rx_09_fifo.full_o_SB_LUT4_I2_O[0] -.sym 29039 rx_09_fifo.full_o_SB_LUT4_I2_O[1] -.sym 29040 rx_09_fifo.full_o_SB_LUT4_I2_O[2] -.sym 29041 w_rx_09_fifo_push -.sym 29042 rx_09_fifo.rd_addr[5] -.sym 29043 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[4] -.sym 29044 rx_09_fifo.rd_addr[6] -.sym 29045 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[5] -.sym 29046 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[8] -.sym 29047 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 29048 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[3] -.sym 29049 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[3] -.sym 29050 rx_09_fifo.rd_addr[7] -.sym 29051 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[6] -.sym 29052 rx_09_fifo.rd_addr[8] -.sym 29053 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[7] -.sym 29054 rx_09_fifo.rd_addr[2] -.sym 29055 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[1] -.sym 29056 rx_09_fifo.rd_addr[3] -.sym 29057 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2[2] -.sym 29059 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 29064 rx_09_fifo.rd_addr[1] -.sym 29068 rx_09_fifo.rd_addr[2] -.sym 29069 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 29072 rx_09_fifo.rd_addr[3] -.sym 29073 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 29076 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 29077 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] -.sym 29080 rx_09_fifo.rd_addr[5] -.sym 29081 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] -.sym 29084 rx_09_fifo.rd_addr[6] -.sym 29085 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] -.sym 29088 rx_09_fifo.rd_addr[7] -.sym 29089 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] -.sym 29092 rx_09_fifo.rd_addr[8] -.sym 29093 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] -.sym 29240 i_smi_a3$SB_IO_IN -.sym 29241 w_smi_data_output[3] -.sym 29252 i_smi_a3$SB_IO_IN -.sym 29253 w_smi_data_output[1] -.sym 29256 i_smi_a3$SB_IO_IN -.sym 29257 w_smi_data_output[5] -.sym 29292 i_smi_a3$SB_IO_IN -.sym 29293 w_smi_data_output[2] -.sym 29294 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[0] -.sym 29295 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[1] -.sym 29296 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0[2] -.sym 29297 i_smi_a2_SB_LUT4_I1_O[3] -.sym 29300 w_soft_reset -.sym 29301 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] -.sym 29302 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[0] -.sym 29303 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[1] -.sym 29304 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0[2] -.sym 29305 i_smi_a2_SB_LUT4_I1_O[3] -.sym 29310 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[0] -.sym 29311 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[1] -.sym 29312 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0[2] -.sym 29313 i_smi_a2_SB_LUT4_I1_O[3] -.sym 29314 w_rx_24_fifo_pulled_data[1] -.sym 29315 w_rx_24_fifo_pulled_data[9] -.sym 29316 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 29317 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 29318 w_rx_24_fifo_pulled_data[4] -.sym 29319 w_rx_24_fifo_pulled_data[12] -.sym 29320 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 29321 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 29322 lvds_rx_24_inst.r_data[21] -.sym 29326 lvds_rx_24_inst.r_data[26] -.sym 29330 lvds_rx_24_inst.r_data[27] -.sym 29334 lvds_rx_24_inst.r_data[25] -.sym 29338 lvds_rx_24_inst.r_data[23] -.sym 29342 lvds_rx_24_inst.r_data[19] -.sym 29346 lvds_rx_24_inst.r_data[20] -.sym 29350 lvds_rx_24_inst.r_data[18] -.sym 29354 w_rx_24_fifo_pulled_data[6] -.sym 29355 w_rx_24_fifo_pulled_data[14] -.sym 29356 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 29357 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 29358 lvds_rx_24_inst.r_data[24] -.sym 29362 lvds_rx_24_inst.r_data[22] -.sym 29366 lvds_rx_24_inst.r_data[17] -.sym 29370 lvds_rx_24_inst.r_data[15] -.sym 29378 lvds_rx_24_inst.r_data[16] -.sym 29382 lvds_rx_24_inst.r_data[21] -.sym 29390 lvds_rx_24_inst.r_data[22] -.sym 29394 lvds_rx_24_inst.r_data[13] -.sym 29398 lvds_rx_24_inst.r_data[12] -.sym 29402 lvds_rx_24_inst.r_data[15] -.sym 29414 lvds_rx_24_inst.r_data[12] -.sym 29418 lvds_rx_24_inst.r_data[10] -.sym 29426 lvds_rx_24_inst.r_data[13] -.sym 29430 lvds_rx_24_inst.r_data[11] -.sym 29446 lvds_rx_24_inst.r_data[10] -.sym 29453 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O -.sym 29454 lvds_rx_24_inst.r_data[11] -.sym 29458 lvds_rx_24_inst.r_data[9] -.sym 29466 lvds_rx_24_inst.r_data[2] -.sym 29475 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 29480 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 29481 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 29484 rx_09_fifo.wr_addr[2] -.sym 29485 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 29488 rx_09_fifo.wr_addr[3] -.sym 29489 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 29492 rx_09_fifo.wr_addr[4] -.sym 29493 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] -.sym 29496 rx_09_fifo.wr_addr[5] -.sym 29497 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] -.sym 29500 rx_09_fifo.wr_addr[6] -.sym 29501 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] -.sym 29504 rx_09_fifo.wr_addr[7] -.sym 29505 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] -.sym 29508 rx_09_fifo.wr_addr[8] -.sym 29509 rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] -.sym 29510 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[1] -.sym 29514 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[4] -.sym 29518 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[2] -.sym 29522 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[5] -.sym 29526 rx_09_fifo.rd_addr[1] -.sym 29527 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[1] -.sym 29528 rx_09_fifo.rd_addr[3] -.sym 29529 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[3] -.sym 29530 rx_09_fifo.wr_addr[6] -.sym 29531 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[6] -.sym 29532 rx_09_fifo.wr_addr[7] -.sym 29533 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[7] -.sym 29534 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[3] -.sym 29539 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 29544 rx_09_fifo.rd_addr[1] -.sym 29545 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 29548 rx_09_fifo.rd_addr[2] -.sym 29549 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[2] -.sym 29552 rx_09_fifo.rd_addr[3] -.sym 29553 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[3] -.sym 29556 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 29557 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[4] -.sym 29560 rx_09_fifo.rd_addr[5] -.sym 29561 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[5] -.sym 29564 rx_09_fifo.rd_addr[6] -.sym 29565 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[6] -.sym 29568 rx_09_fifo.rd_addr[7] -.sym 29569 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[7] -.sym 29571 $PACKER_VCC_NET -.sym 29573 $nextpnr_ICESTORM_LC_8$I3 -.sym 29576 rx_09_fifo.rd_addr[8] -.sym 29581 $nextpnr_ICESTORM_LC_9$I3 -.sym 29584 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] -.sym 29585 w_soft_reset -.sym 29589 w_rx_09_fifo_full -.sym 29593 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 29594 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 29595 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 29596 w_rx_09_fifo_full -.sym 29597 rx_09_fifo.full_o_SB_LUT4_I2_I3[3] -.sym 29598 rx_09_fifo.rd_addr[2] -.sym 29599 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[2] -.sym 29600 rx_09_fifo.rd_addr[8] -.sym 29601 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[8] -.sym 29614 lvds_rx_09_inst.r_push -.sym 29732 rx_24_fifo.rd_addr[1] -.sym 29733 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 29744 i_smi_a3$SB_IO_IN -.sym 29745 w_smi_data_output[7] -.sym 29761 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 29763 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 29768 rx_24_fifo.rd_addr[1] -.sym 29772 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 29773 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 29776 rx_24_fifo.rd_addr[3] -.sym 29777 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 29780 rx_24_fifo.rd_addr[4] -.sym 29781 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] -.sym 29784 rx_24_fifo.rd_addr[5] -.sym 29785 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] -.sym 29788 rx_24_fifo.rd_addr[6] -.sym 29789 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] -.sym 29792 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 29793 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] -.sym 29796 rx_24_fifo.rd_addr[8] -.sym 29797 rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] -.sym 29800 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] -.sym 29801 w_soft_reset -.sym 29804 rx_24_fifo.rd_addr[1] -.sym 29805 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 29807 smi_ctrl_ins.r_fifo_09_pull_1 -.sym 29808 w_rx_09_fifo_empty -.sym 29809 smi_ctrl_ins.r_fifo_09_pull -.sym 29813 i_smi_a3$SB_IO_IN -.sym 29818 rx_24_fifo.wr_addr[7] -.sym 29819 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[7] -.sym 29820 rx_24_fifo.wr_addr[8] -.sym 29821 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[8] -.sym 29822 rx_24_fifo.wr_addr[5] -.sym 29823 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[5] -.sym 29824 rx_24_fifo.wr_addr[6] -.sym 29825 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[6] -.sym 29830 lvds_rx_24_inst.r_data[29] -.sym 29834 w_rx_24_fifo_pulled_data[3] -.sym 29835 w_rx_24_fifo_pulled_data[11] -.sym 29836 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 29837 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 29838 w_rx_24_fifo_pulled_data[0] -.sym 29839 w_rx_24_fifo_pulled_data[8] -.sym 29840 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 29841 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 29842 lvds_rx_24_inst.r_data[27] -.sym 29846 lvds_rx_24_inst.r_data[23] -.sym 29850 lvds_rx_24_inst.r_data[28] -.sym 29854 lvds_rx_24_inst.r_data[25] -.sym 29858 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] -.sym 29862 rx_24_fifo.full_o_SB_LUT4_I3_I2[1] -.sym 29866 rx_24_fifo.full_o_SB_LUT4_I3_I2[2] -.sym 29873 rx_24_fifo.wr_addr_SB_DFFESR_Q_E -.sym 29878 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] -.sym 29890 rx_24_fifo.full_o_SB_LUT4_I3_I2[3] -.sym 29894 rx_24_fifo.rd_addr[4] -.sym 29895 rx_24_fifo.full_o_SB_LUT4_I3_I2[4] -.sym 29896 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 29897 rx_24_fifo.full_o_SB_LUT4_I3_I2[7] -.sym 29901 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 29902 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 29903 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 29904 rx_24_fifo.full_o_SB_LUT4_I3_I2[2] -.sym 29905 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 29906 rx_24_fifo.rd_addr[1] -.sym 29907 rx_24_fifo.full_o_SB_LUT4_I3_I2[1] -.sym 29908 rx_24_fifo.rd_addr[3] -.sym 29909 rx_24_fifo.full_o_SB_LUT4_I3_I2[3] -.sym 29910 rx_24_fifo.full_o_SB_LUT4_I3_I2[7] -.sym 29914 rx_24_fifo.full_o_SB_LUT4_I3_I2[4] -.sym 29918 rx_24_fifo.full_o_SB_LUT4_I3_I2[8] -.sym 29923 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 29928 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 29929 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 29932 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 29933 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[2] -.sym 29936 rx_24_fifo.wr_addr[3] -.sym 29937 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[3] -.sym 29940 rx_24_fifo.wr_addr[4] -.sym 29941 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[4] -.sym 29944 rx_24_fifo.wr_addr[5] -.sym 29945 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[5] -.sym 29948 rx_24_fifo.wr_addr[6] -.sym 29949 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[6] -.sym 29952 rx_24_fifo.wr_addr[7] -.sym 29953 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[7] -.sym 29956 rx_24_fifo.wr_addr[8] -.sym 29957 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[8] -.sym 29962 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 29977 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 29986 rx_09_fifo.rd_addr[3] -.sym 29987 rx_09_fifo.wr_addr[3] -.sym 29988 rx_09_fifo.rd_addr[5] -.sym 29989 rx_09_fifo.wr_addr[5] -.sym 29990 rx_09_fifo.wr_addr[7] -.sym 29991 rx_09_fifo.rd_addr[7] -.sym 29992 rx_09_fifo.rd_addr[8] -.sym 29993 rx_09_fifo.wr_addr[8] -.sym 30002 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[7] -.sym 30008 w_soft_reset -.sym 30009 lvds_rx_09_inst.o_debug_state[0] -.sym 30010 rx_09_fifo.rd_addr[5] -.sym 30011 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[5] -.sym 30012 rx_09_fifo.rd_addr[7] -.sym 30013 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[7] -.sym 30018 rx_09_fifo.rd_addr[2] -.sym 30019 rx_09_fifo.wr_addr[2] -.sym 30020 rx_09_fifo.rd_addr[6] -.sym 30021 rx_09_fifo.wr_addr[6] -.sym 30022 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 30023 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 30024 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 30025 rx_09_fifo.rd_addr[1] -.sym 30027 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1[0] -.sym 30028 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1[1] -.sym 30029 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1[2] -.sym 30033 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 30034 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 30035 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[4] -.sym 30036 rx_09_fifo.rd_addr[6] -.sym 30037 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[6] -.sym 30038 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] -.sym 30039 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[1] -.sym 30040 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 30041 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[3] -.sym 30042 rx_09_fifo.wr_addr_SB_DFFESR_Q_D[8] -.sym 30046 rx_09_fifo.rd_addr[7] -.sym 30047 rx_09_fifo.wr_addr[7] -.sym 30048 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3[1] -.sym 30049 rx_09_fifo.wr_addr[4] -.sym 30051 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[9] -.sym 30052 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[1] -.sym 30053 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[2] -.sym 30054 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] -.sym 30055 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] -.sym 30056 w_rx_09_fifo_empty -.sym 30057 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] -.sym 30058 rx_09_fifo.wr_addr[3] -.sym 30059 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[3] -.sym 30060 rx_09_fifo.wr_addr[5] -.sym 30061 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[5] -.sym 30062 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[0] -.sym 30063 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[1] -.sym 30064 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] -.sym 30065 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[3] -.sym 30066 rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] -.sym 30067 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[1] -.sym 30068 rx_09_fifo.wr_addr[2] -.sym 30069 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] -.sym 30070 rx_09_fifo.full_o_SB_LUT4_I2_I3[0] -.sym 30071 rx_09_fifo.wr_addr[4] -.sym 30072 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[4] -.sym 30073 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 30074 w_soft_reset -.sym 30075 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 30076 lvds_rx_09_inst.o_debug_state[1] -.sym 30077 lvds_rx_09_inst.o_debug_state[0] -.sym 30078 rx_09_fifo.wr_addr[8] -.sym 30079 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_O_I1[1] -.sym 30080 rx_09_fifo.rd_addr[8] -.sym 30081 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[8] -.sym 30086 $PACKER_VCC_NET -.sym 30092 rx_09_fifo.rd_addr[1] -.sym 30093 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1[1] -.sym 30113 w_cs[0] -.sym 30134 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -.sym 30135 w_soft_reset -.sym 30136 lvds_rx_09_inst.o_debug_state[1] -.sym 30137 lvds_rx_09_inst.o_debug_state[0] -.sym 30139 w_rx_09_fifo_full -.sym 30140 lvds_rx_09_inst.o_debug_state[0] -.sym 30141 lvds_rx_09_inst.o_debug_state[1] -.sym 30255 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 30256 smi_ctrl_ins.int_cnt_24[3] -.sym 30257 w_rx_24_fifo_empty -.sym 30265 w_soft_reset -.sym 30271 smi_ctrl_ins.int_cnt_09[4] -.sym 30272 smi_ctrl_ins.int_cnt_09[3] -.sym 30273 w_rx_09_fifo_empty -.sym 30275 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 30280 rx_24_fifo.rd_addr[1] -.sym 30284 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 30285 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[2] -.sym 30288 rx_24_fifo.rd_addr[3] -.sym 30289 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[3] -.sym 30292 rx_24_fifo.rd_addr[4] -.sym 30293 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[4] -.sym 30296 rx_24_fifo.rd_addr[5] -.sym 30297 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[5] -.sym 30300 rx_24_fifo.rd_addr[6] -.sym 30301 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[6] -.sym 30304 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 30305 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[7] -.sym 30308 rx_24_fifo.rd_addr[8] -.sym 30309 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[8] -.sym 30313 $nextpnr_ICESTORM_LC_14$I3 -.sym 30316 w_rx_24_fifo_empty -.sym 30317 w_rx_09_fifo_empty -.sym 30318 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] -.sym 30319 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[1] -.sym 30320 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] -.sym 30321 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[3] -.sym 30322 rx_24_fifo.rd_addr[4] -.sym 30323 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[3] -.sym 30324 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[2] -.sym 30325 rx_24_fifo.rd_addr[3] -.sym 30326 smi_ctrl_ins.r_fifo_09_pull -.sym 30331 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1[0] -.sym 30332 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1[1] -.sym 30333 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1[2] -.sym 30334 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[9] -.sym 30335 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 30336 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 30337 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] -.sym 30338 w_rx_24_fifo_empty -.sym 30342 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 30343 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[1] -.sym 30344 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 30345 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] -.sym 30346 w_rx_09_fifo_full -.sym 30353 rx_24_fifo.wr_addr[5] -.sym 30354 w_rx_09_fifo_empty -.sym 30358 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 30359 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 30360 w_rx_24_fifo_empty -.sym 30361 rx_24_fifo.empty_o_SB_LUT4_I2_I3[3] -.sym 30365 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 30366 w_rx_24_fifo_full -.sym 30372 w_soft_reset -.sym 30373 w_rx_24_fifo_push -.sym 30374 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 30375 rx_24_fifo.wr_addr[7] -.sym 30376 rx_24_fifo.rd_addr[8] -.sym 30377 rx_24_fifo.wr_addr[8] -.sym 30378 i_smi_a2_SB_LUT4_I1_O[0] -.sym 30379 i_smi_a2_SB_LUT4_I1_O[1] -.sym 30380 i_smi_a2_SB_LUT4_I1_O[2] -.sym 30381 i_smi_a2_SB_LUT4_I1_O[3] -.sym 30382 rx_24_fifo.rd_addr[3] -.sym 30383 rx_24_fifo.wr_addr[3] -.sym 30384 rx_24_fifo.rd_addr[4] -.sym 30385 rx_24_fifo.wr_addr[4] -.sym 30386 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 30387 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 30388 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 30389 rx_24_fifo.rd_addr[1] -.sym 30390 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[0] -.sym 30391 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[1] -.sym 30392 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[2] -.sym 30393 rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0[3] -.sym 30394 rx_24_fifo.rd_addr[5] -.sym 30395 rx_24_fifo.wr_addr[5] -.sym 30396 rx_24_fifo.rd_addr[6] -.sym 30397 rx_24_fifo.wr_addr[6] -.sym 30398 rx_24_fifo.wr_addr[3] -.sym 30399 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[3] -.sym 30400 rx_24_fifo.wr_addr[4] -.sym 30401 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[4] -.sym 30402 lvds_rx_24_inst.r_data[18] -.sym 30406 lvds_rx_24_inst.r_data[14] -.sym 30410 rx_24_fifo.full_o_SB_LUT4_I3_O[0] -.sym 30411 rx_24_fifo.full_o_SB_LUT4_I3_O[1] -.sym 30412 rx_24_fifo.full_o_SB_LUT4_I3_O[2] -.sym 30413 rx_24_fifo.full_o_SB_LUT4_I3_O[3] -.sym 30414 lvds_rx_24_inst.r_data[19] -.sym 30418 w_rx_24_fifo_push -.sym 30419 rx_24_fifo.rd_addr[5] -.sym 30420 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] -.sym 30421 w_rx_24_fifo_full -.sym 30422 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[0] -.sym 30423 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[1] -.sym 30424 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[2] -.sym 30425 i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3[3] -.sym 30426 lvds_rx_24_inst.r_data[17] -.sym 30432 w_soft_reset -.sym 30433 w_rx_24_fifo_push -.sym 30436 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 30437 w_tx_data_io[4] -.sym 30438 rx_24_fifo.rd_addr[6] -.sym 30439 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] -.sym 30440 rx_24_fifo.rd_addr[8] -.sym 30441 rx_24_fifo.full_o_SB_LUT4_I3_I2[8] -.sym 30443 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 30444 w_tx_data_smi[2] -.sym 30445 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[2] -.sym 30450 w_tx_data_smi[3] -.sym 30451 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 30452 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 30453 w_tx_data_io[3] -.sym 30454 w_tx_data_smi[1] -.sym 30455 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 30456 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 30457 w_tx_data_io[1] -.sym 30466 w_cs[0] -.sym 30467 w_cs[2] -.sym 30468 w_cs[1] -.sym 30469 w_cs[3] -.sym 30472 spi_if_ins.w_rx_data[6] -.sym 30473 spi_if_ins.w_rx_data[5] -.sym 30476 spi_if_ins.w_rx_data[5] -.sym 30477 spi_if_ins.w_rx_data[6] -.sym 30478 w_cs[0] -.sym 30479 w_cs[1] -.sym 30480 w_cs[3] -.sym 30481 w_cs[2] -.sym 30484 spi_if_ins.w_rx_data[5] -.sym 30485 spi_if_ins.w_rx_data[6] -.sym 30488 spi_if_ins.w_rx_data[5] -.sym 30489 spi_if_ins.w_rx_data[6] -.sym 30490 w_cs[0] -.sym 30491 w_cs[2] -.sym 30492 w_cs[3] -.sym 30493 w_cs[1] -.sym 30494 w_tx_data_smi[0] -.sym 30495 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 30496 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 30497 w_tx_data_io[0] -.sym 30502 w_cs[0] -.sym 30503 w_cs[2] -.sym 30504 w_cs[1] -.sym 30505 w_cs[3] -.sym 30510 w_tx_data_sys[0] -.sym 30511 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 30512 spi_if_ins.o_cs_SB_LUT4_I3_O[2] -.sym 30513 spi_if_ins.o_cs_SB_LUT4_I3_O[3] -.sym 30514 w_cs[2] -.sym 30515 w_cs[1] -.sym 30516 w_cs[3] -.sym 30517 w_cs[0] -.sym 30518 w_soft_reset -.sym 30519 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[1] -.sym 30520 w_cs[2] -.sym 30521 w_fetch -.sym 30527 w_tx_data_io[2] -.sym 30528 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 30529 spi_if_ins.o_cs_SB_LUT4_I3_O[2] -.sym 30536 w_ioc[1] -.sym 30537 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 30539 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 30540 w_cs[0] -.sym 30541 w_fetch -.sym 30558 $PACKER_GND_NET -.sym 30569 sys_ctrl_ins.reset_cmd -.sym 30572 w_ioc[0] -.sym 30573 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 30574 w_fetch -.sym 30575 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] -.sym 30576 w_load -.sym 30577 w_cs[0] -.sym 30587 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30588 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I3[1] -.sym 30589 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I3[2] -.sym 30602 w_rx_data[0] -.sym 30610 w_rx_data[1] -.sym 30618 w_rx_data[2] -.sym 30634 w_rx_data[2] +.sym 28742 lvds_rx_09_inst.r_data[10] +.sym 28748 i_smi_a3$SB_IO_IN +.sym 28749 w_smi_data_output[7] +.sym 28754 lvds_rx_09_inst.r_data[8] +.sym 28758 w_rx_09_fifo_pulled_data[4] +.sym 28759 w_rx_09_fifo_pulled_data[20] +.sym 28760 smi_ctrl_ins.int_cnt_09[3] +.sym 28761 smi_ctrl_ins.int_cnt_09[4] +.sym 28762 lvds_rx_09_inst.r_data[25] +.sym 28766 w_rx_09_fifo_pulled_data[2] +.sym 28767 w_rx_09_fifo_pulled_data[18] +.sym 28768 smi_ctrl_ins.int_cnt_09[3] +.sym 28769 smi_ctrl_ins.int_cnt_09[4] +.sym 28770 lvds_rx_09_inst.r_data[18] +.sym 28774 lvds_rx_09_inst.r_data[22] +.sym 28778 lvds_rx_09_inst.r_data[4] +.sym 28782 lvds_rx_09_inst.r_data[6] +.sym 28786 lvds_rx_09_inst.r_data[26] +.sym 28790 lvds_rx_09_inst.r_data[21] +.sym 28794 lvds_rx_09_inst.r_data[23] +.sym 28798 lvds_rx_09_inst.r_data[24] +.sym 28802 lvds_rx_09_inst.r_data[13] +.sym 28806 lvds_rx_09_inst.r_data[11] +.sym 28810 lvds_rx_09_inst.r_data[19] +.sym 28814 lvds_rx_09_inst.r_data[0] +.sym 28818 w_lvds_rx_09_d0 +.sym 28822 lvds_rx_09_inst.r_data[2] +.sym 28826 lvds_rx_09_inst.r_data[20] +.sym 28830 lvds_rx_09_inst.r_data[27] +.sym 28834 lvds_rx_09_inst.r_data[7] +.sym 28838 lvds_rx_09_inst.r_data[27] +.sym 28842 lvds_rx_09_inst.r_data[3] +.sym 28846 lvds_rx_09_inst.r_data[15] +.sym 28850 lvds_rx_09_inst.r_data[0] +.sym 28854 lvds_rx_09_inst.r_data[5] +.sym 28858 lvds_rx_09_inst.r_data[17] +.sym 28862 lvds_rx_09_inst.r_data[20] +.sym 28870 lvds_rx_09_inst.r_data[2] +.sym 28874 lvds_rx_09_inst.r_data[21] +.sym 28882 lvds_rx_09_inst.r_data[4] +.sym 28886 lvds_rx_09_inst.r_data[9] +.sym 28902 w_rx_24_fifo_pulled_data[23] +.sym 28903 w_rx_24_fifo_pulled_data[31] +.sym 28904 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 28905 smi_ctrl_ins.int_cnt_24[3] +.sym 28910 w_lvds_rx_09_d0 +.sym 28914 lvds_rx_09_inst.r_data[1] +.sym 28926 w_lvds_rx_09_d1 +.sym 28930 w_rx_24_fifo_pulled_data[7] +.sym 28931 w_rx_24_fifo_pulled_data[15] +.sym 28932 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 28933 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 28936 w_soft_reset +.sym 28937 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] +.sym 28939 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[9] +.sym 28940 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[1] +.sym 28941 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[2] +.sym 28942 rx_24_fifo.wr_addr[2] +.sym 28943 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 28944 rx_24_fifo.wr_addr[5] +.sym 28945 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[5] +.sym 28946 w_soft_reset +.sym 28947 i_smi_a2$SB_IO_IN +.sym 28948 i_smi_a1$SB_IO_IN +.sym 28949 i_smi_a3$SB_IO_IN +.sym 28950 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0[0] +.sym 28951 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0[1] +.sym 28952 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0[2] +.sym 28953 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 28954 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[0] +.sym 28955 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[1] +.sym 28956 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0[2] +.sym 28957 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 28958 rx_24_fifo.wr_addr[6] +.sym 28959 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[6] +.sym 28960 rx_24_fifo.wr_addr[7] +.sym 28961 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[7] +.sym 28962 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 28966 w_rx_24_fifo_pulled_data[4] +.sym 28967 w_rx_24_fifo_pulled_data[12] +.sym 28968 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 28969 smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 28974 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 28986 w_rx_24_fifo_pulled_data[16] +.sym 28987 w_rx_24_fifo_pulled_data[24] +.sym 28988 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 28989 smi_ctrl_ins.int_cnt_24[3] +.sym 28990 rx_24_fifo.wr_addr[8] +.sym 28991 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_O_I1[1] +.sym 28992 rx_24_fifo.rd_addr[8] +.sym 28993 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[8] +.sym 28994 w_rx_24_fifo_pulled_data[20] +.sym 28995 w_rx_24_fifo_pulled_data[28] +.sym 28996 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 28997 smi_ctrl_ins.int_cnt_24[3] +.sym 28998 w_rx_24_fifo_pulled_data[21] +.sym 28999 w_rx_24_fifo_pulled_data[29] +.sym 29000 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 29001 smi_ctrl_ins.int_cnt_24[3] +.sym 29002 w_rx_24_fifo_pulled_data[18] +.sym 29003 w_rx_24_fifo_pulled_data[26] +.sym 29004 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 29005 smi_ctrl_ins.int_cnt_24[3] +.sym 29006 w_rx_24_fifo_pulled_data[19] +.sym 29007 w_rx_24_fifo_pulled_data[27] +.sym 29008 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 29009 smi_ctrl_ins.int_cnt_24[3] +.sym 29010 w_rx_24_fifo_pulled_data[22] +.sym 29011 w_rx_24_fifo_pulled_data[30] +.sym 29012 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 29013 smi_ctrl_ins.int_cnt_24[3] +.sym 29014 lvds_rx_09_inst.r_push +.sym 29018 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 29019 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 29020 rx_24_fifo.rd_addr[1] +.sym 29021 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 29022 w_rx_24_fifo_pulled_data[17] +.sym 29023 w_rx_24_fifo_pulled_data[25] +.sym 29024 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 29025 smi_ctrl_ins.int_cnt_24[3] +.sym 29026 rx_24_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 29030 rx_24_fifo.full_o_SB_LUT4_I3_I2[3] +.sym 29034 rx_24_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 29040 w_soft_reset +.sym 29041 w_rx_24_fifo_push +.sym 29042 rx_24_fifo.rd_addr[3] +.sym 29043 rx_24_fifo.full_o_SB_LUT4_I3_I2[3] +.sym 29044 rx_24_fifo.rd_addr[6] +.sym 29045 rx_24_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 29046 rx_24_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 29053 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 29054 rx_24_fifo.rd_addr[5] +.sym 29055 rx_24_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 29056 rx_24_fifo.rd_addr[7] +.sym 29057 rx_24_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 29059 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 29064 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 29065 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 29068 rx_24_fifo.wr_addr[2] +.sym 29069 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[2] +.sym 29072 rx_24_fifo.wr_addr[3] +.sym 29073 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[3] +.sym 29076 rx_24_fifo.wr_addr[4] +.sym 29077 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[4] +.sym 29080 rx_24_fifo.wr_addr[5] +.sym 29081 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[5] +.sym 29084 rx_24_fifo.wr_addr[6] +.sym 29085 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[6] +.sym 29088 rx_24_fifo.wr_addr[7] +.sym 29089 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[7] +.sym 29092 rx_24_fifo.wr_addr[8] +.sym 29093 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[8] +.sym 29102 w_lvds_rx_09_d1 +.sym 29218 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[0] +.sym 29222 rx_09_fifo.rd_addr[6] +.sym 29223 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[5] +.sym 29224 rx_09_fifo.rd_addr[7] +.sym 29225 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[6] +.sym 29230 rx_09_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 29234 rx_09_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 29241 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 29249 io_smi_data[7]$SB_IO_OUT +.sym 29251 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 29256 rx_09_fifo.wr_addr[2] +.sym 29257 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 29260 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 29261 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[2] +.sym 29264 rx_09_fifo.wr_addr[4] +.sym 29265 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[3] +.sym 29268 rx_09_fifo.wr_addr[5] +.sym 29269 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[4] +.sym 29272 rx_09_fifo.wr_addr[6] +.sym 29273 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[5] +.sym 29276 rx_09_fifo.wr_addr[7] +.sym 29277 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[6] +.sym 29280 rx_09_fifo.wr_addr[8] +.sym 29281 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[7] +.sym 29285 $nextpnr_ICESTORM_LC_1$I3 +.sym 29286 rx_09_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 29290 rx_09_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 29294 rx_09_fifo.rd_addr[1] +.sym 29295 rx_09_fifo.wr_addr[8] +.sym 29296 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[8] +.sym 29297 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 29298 rx_09_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 29302 rx_09_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 29306 rx_09_fifo.full_o_SB_LUT4_I3_I2[8] +.sym 29310 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 29311 rx_09_fifo.rd_addr[8] +.sym 29312 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[7] +.sym 29313 rx_09_fifo.rd_addr[1] +.sym 29314 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 29315 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[8] +.sym 29316 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 29317 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[3] +.sym 29318 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 29319 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[4] +.sym 29320 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[2] +.sym 29321 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[3] +.sym 29322 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 29323 rx_09_fifo.wr_addr[4] +.sym 29324 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 29325 rx_09_fifo.wr_addr[5] +.sym 29326 rx_09_fifo.rd_addr[2] +.sym 29327 rx_09_fifo.wr_addr[2] +.sym 29328 rx_09_fifo.rd_addr[6] +.sym 29329 rx_09_fifo.wr_addr[6] +.sym 29332 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 29333 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 29334 w_rx_09_fifo_empty +.sym 29335 rx_09_fifo.empty_o_SB_LUT4_I0_I1[1] +.sym 29336 rx_09_fifo.empty_o_SB_LUT4_I0_I1[2] +.sym 29337 rx_09_fifo.empty_o_SB_LUT4_I0_I1[3] +.sym 29338 lvds_rx_09_inst.r_data[17] +.sym 29342 rx_09_fifo.rd_addr[7] +.sym 29343 rx_09_fifo.wr_addr[7] +.sym 29344 rx_09_fifo.rd_addr[8] +.sym 29345 rx_09_fifo.wr_addr[8] +.sym 29346 lvds_rx_09_inst.r_data[3] +.sym 29350 lvds_rx_09_inst.r_data[15] +.sym 29354 lvds_rx_09_inst.r_data[1] +.sym 29359 w_rx_09_fifo_push +.sym 29360 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2[1] +.sym 29361 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2[2] +.sym 29362 lvds_rx_09_inst.r_data[7] +.sym 29366 rx_09_fifo.rd_addr[2] +.sym 29367 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[1] +.sym 29368 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 29369 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1[2] +.sym 29370 lvds_rx_09_inst.r_data[9] +.sym 29374 lvds_rx_09_inst.r_data[5] +.sym 29384 i_smi_a3$SB_IO_IN +.sym 29385 w_smi_data_output[2] +.sym 29390 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[0] +.sym 29391 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[1] +.sym 29392 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[2] +.sym 29393 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[3] +.sym 29408 w_soft_reset +.sym 29409 w_rx_09_fifo_push +.sym 29413 smi_ctrl_ins.int_cnt_09[3] +.sym 29414 rx_24_fifo.rd_addr[3] +.sym 29415 rx_24_fifo.wr_addr[3] +.sym 29416 rx_24_fifo.rd_addr[6] +.sym 29417 rx_24_fifo.wr_addr[6] +.sym 29420 i_smi_a2_SB_LUT4_I1_1_O[3] +.sym 29421 w_soft_reset +.sym 29424 smi_ctrl_ins.int_cnt_09[4] +.sym 29425 smi_ctrl_ins.int_cnt_09[3] +.sym 29434 w_rx_09_fifo_pulled_data[15] +.sym 29435 w_rx_09_fifo_pulled_data[31] +.sym 29436 smi_ctrl_ins.int_cnt_09[4] +.sym 29437 smi_ctrl_ins.int_cnt_09[3] +.sym 29443 smi_ctrl_ins.r_fifo_24_pull_1 +.sym 29444 w_rx_24_fifo_empty +.sym 29445 smi_ctrl_ins.r_fifo_24_pull +.sym 29446 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 29447 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 29448 w_rx_24_fifo_empty +.sym 29449 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 29451 i_smi_a2$SB_IO_IN +.sym 29452 i_smi_a3$SB_IO_IN +.sym 29453 i_smi_a1$SB_IO_IN +.sym 29454 rx_24_fifo.wr_addr[3] +.sym 29455 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[3] +.sym 29456 rx_24_fifo.wr_addr[4] +.sym 29457 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1[4] +.sym 29462 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] +.sym 29463 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[1] +.sym 29464 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[2] +.sym 29465 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[3] +.sym 29466 smi_ctrl_ins.r_fifo_24_pull +.sym 29470 smi_ctrl_ins.w_fifo_09_pull_trigger +.sym 29474 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 29475 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 29476 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 29477 rx_24_fifo.rd_addr[1] +.sym 29478 i_smi_a1$SB_IO_IN +.sym 29479 i_smi_a3$SB_IO_IN +.sym 29480 i_smi_a2$SB_IO_IN +.sym 29481 w_soft_reset +.sym 29482 rx_24_fifo.rd_addr[2] +.sym 29483 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[1] +.sym 29484 rx_24_fifo.rd_addr[4] +.sym 29485 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[3] +.sym 29486 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[0] +.sym 29487 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[1] +.sym 29488 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[2] +.sym 29489 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0[3] +.sym 29490 rx_24_fifo.rd_addr[3] +.sym 29491 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[2] +.sym 29492 rx_24_fifo.rd_addr[5] +.sym 29493 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[4] +.sym 29496 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 29497 smi_ctrl_ins.int_cnt_24[3] +.sym 29498 rx_24_fifo.rd_addr[7] +.sym 29499 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[6] +.sym 29500 rx_24_fifo.rd_addr[8] +.sym 29501 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[7] +.sym 29505 smi_ctrl_ins.int_cnt_24[3] +.sym 29507 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 29512 rx_24_fifo.wr_addr[2] +.sym 29513 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 29516 rx_24_fifo.wr_addr[3] +.sym 29517 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[2] +.sym 29520 rx_24_fifo.wr_addr[4] +.sym 29521 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[3] +.sym 29524 rx_24_fifo.wr_addr[5] +.sym 29525 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[4] +.sym 29528 rx_24_fifo.wr_addr[6] +.sym 29529 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[5] +.sym 29532 rx_24_fifo.wr_addr[7] +.sym 29533 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[6] +.sym 29536 rx_24_fifo.wr_addr[8] +.sym 29537 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[7] +.sym 29541 $nextpnr_ICESTORM_LC_8$I3 +.sym 29542 rx_24_fifo.full_o_SB_LUT4_I3_O[0] +.sym 29543 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 29544 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 29545 rx_24_fifo.full_o_SB_LUT4_I3_O[3] +.sym 29550 rx_24_fifo.rd_addr[4] +.sym 29551 rx_24_fifo.wr_addr[4] +.sym 29552 rx_24_fifo.rd_addr[5] +.sym 29553 rx_24_fifo.wr_addr[5] +.sym 29554 w_rx_24_fifo_push +.sym 29555 rx_24_fifo.rd_addr[4] +.sym 29556 rx_24_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 29557 w_rx_24_fifo_full +.sym 29558 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 29559 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 29560 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 29561 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 29562 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[8] +.sym 29563 rx_24_fifo.rd_addr[6] +.sym 29564 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2[5] +.sym 29565 w_rx_24_fifo_push +.sym 29566 rx_24_fifo.rd_addr[1] +.sym 29567 rx_24_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 29568 rx_24_fifo.rd_addr[2] +.sym 29569 rx_24_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 29570 rx_24_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 29574 rx_24_fifo.rd_addr[8] +.sym 29575 rx_24_fifo.wr_addr[8] +.sym 29576 rx_24_fifo.rd_addr[2] +.sym 29577 rx_24_fifo.wr_addr[2] +.sym 29578 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 29579 rx_24_fifo.rd_addr[8] +.sym 29580 rx_24_fifo.full_o_SB_LUT4_I3_I2[8] +.sym 29581 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 29586 rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 29587 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1[1] +.sym 29588 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0[1] +.sym 29589 rx_24_fifo.rd_addr[1] +.sym 29590 rx_24_fifo.full_o_SB_LUT4_I3_I2[8] +.sym 29594 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 29595 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[1] +.sym 29596 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[2] +.sym 29597 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0[3] +.sym 29598 rx_24_fifo.wr_addr[8] +.sym 29599 rx_24_fifo.rd_addr[8] +.sym 29600 rx_24_fifo.rd_addr[7] +.sym 29601 rx_24_fifo.wr_addr[7] +.sym 29659 w_rx_09_fifo_full +.sym 29660 lvds_rx_09_inst.o_debug_state[0] +.sym 29661 lvds_rx_09_inst.o_debug_state[1] +.sym 29731 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 29736 rx_09_fifo.rd_addr[1] +.sym 29740 rx_09_fifo.rd_addr[2] +.sym 29741 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[2] +.sym 29744 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 29745 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] +.sym 29748 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 29749 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[4] +.sym 29752 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 29753 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[5] +.sym 29756 rx_09_fifo.rd_addr[6] +.sym 29757 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[6] +.sym 29760 rx_09_fifo.rd_addr[7] +.sym 29761 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[7] +.sym 29764 rx_09_fifo.rd_addr[8] +.sym 29765 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[8] +.sym 29769 $nextpnr_ICESTORM_LC_11$I3 +.sym 29770 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[7] +.sym 29771 rx_09_fifo.wr_addr[7] +.sym 29772 rx_09_fifo.wr_addr[6] +.sym 29773 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[6] +.sym 29774 spi_if_ins.spi.r_rx_byte[6] +.sym 29778 spi_if_ins.spi.r_rx_byte[3] +.sym 29782 rx_09_fifo.wr_addr[7] +.sym 29783 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[7] +.sym 29784 rx_09_fifo.wr_addr[5] +.sym 29785 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[5] +.sym 29786 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 29787 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[3] +.sym 29788 rx_09_fifo.wr_addr[4] +.sym 29789 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0[4] +.sym 29790 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[9] +.sym 29791 rx_09_fifo.wr_addr[2] +.sym 29792 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[2] +.sym 29793 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O[3] +.sym 29795 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 29800 rx_09_fifo.rd_addr[1] +.sym 29804 rx_09_fifo.rd_addr[2] +.sym 29805 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 29808 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 29809 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] +.sym 29812 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 29813 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] +.sym 29816 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 29817 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] +.sym 29820 rx_09_fifo.rd_addr[6] +.sym 29821 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] +.sym 29824 rx_09_fifo.rd_addr[7] +.sym 29825 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] +.sym 29828 rx_09_fifo.rd_addr[8] +.sym 29829 rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3[8] +.sym 29832 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[1] +.sym 29833 w_soft_reset +.sym 29834 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 29835 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 29836 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 29837 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I3[3] +.sym 29841 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 29842 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2[0] +.sym 29843 rx_09_fifo.full_o_SB_LUT4_I3_I2[5] +.sym 29844 rx_09_fifo.rd_addr[6] +.sym 29845 rx_09_fifo.full_o_SB_LUT4_I3_I2[6] +.sym 29846 rx_09_fifo.rd_addr[2] +.sym 29847 rx_09_fifo.full_o_SB_LUT4_I3_I2[2] +.sym 29848 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] +.sym 29849 rx_09_fifo.full_o_SB_LUT4_I3_I2[4] +.sym 29852 rx_09_fifo.rd_addr[1] +.sym 29853 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 29856 rx_09_fifo.rd_addr[7] +.sym 29857 rx_09_fifo.full_o_SB_LUT4_I3_I2[7] +.sym 29860 i_smi_a3$SB_IO_IN +.sym 29861 w_smi_data_output[3] +.sym 29862 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[0] +.sym 29863 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 29864 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[2] +.sym 29865 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[3] +.sym 29866 smi_ctrl_ins.w_fifo_24_pull_trigger +.sym 29871 smi_ctrl_ins.r_fifo_09_pull_1 +.sym 29872 w_rx_09_fifo_empty +.sym 29873 smi_ctrl_ins.r_fifo_09_pull +.sym 29874 smi_ctrl_ins.r_fifo_09_pull +.sym 29878 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 29879 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 29880 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 29881 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 29883 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 29884 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 29885 rx_09_fifo.empty_o_SB_LUT4_I0_O[2] +.sym 29886 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 29887 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 29888 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 29889 rx_09_fifo.full_o_SB_LUT4_I3_O[3] +.sym 29897 w_soft_reset +.sym 29899 smi_ctrl_ins.int_cnt_09[4] +.sym 29900 smi_ctrl_ins.int_cnt_09[3] +.sym 29901 w_rx_09_fifo_empty +.sym 29904 w_rx_24_fifo_empty +.sym 29905 w_rx_09_fifo_empty +.sym 29908 w_soft_reset +.sym 29909 w_rx_09_fifo_push +.sym 29911 i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3[2] +.sym 29912 smi_ctrl_ins.int_cnt_24[3] +.sym 29913 w_rx_24_fifo_empty +.sym 29914 w_rx_09_fifo_push +.sym 29915 rx_09_fifo.rd_addr[8] +.sym 29916 rx_09_fifo.full_o_SB_LUT4_I3_I2[8] +.sym 29917 w_rx_09_fifo_full +.sym 29921 smi_ctrl_ins.int_cnt_09[4] +.sym 29924 spi_if_ins.state_if[0] +.sym 29925 spi_if_ins.state_if[1] +.sym 29928 spi_if_ins.state_if_SB_DFFE_Q_D[0] +.sym 29929 spi_if_ins.state_if[2] +.sym 29935 spi_if_ins.state_if_SB_DFFE_Q_D[0] +.sym 29936 spi_if_ins.state_if_SB_DFFE_Q_D[1] +.sym 29937 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29941 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29954 w_tx_data_sys[0] +.sym 29955 spi_if_ins.o_cs_SB_LUT4_I3_O[1] +.sym 29956 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 29957 spi_if_ins.o_cs_SB_LUT4_I3_O[3] +.sym 29962 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29963 spi_if_ins.state_if[2] +.sym 29964 spi_if_ins.state_if[0] +.sym 29965 spi_if_ins.state_if[1] +.sym 29979 spi_if_ins.state_if_SB_DFFE_Q_D[0] +.sym 29980 spi_if_ins.state_if[2] +.sym 29981 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 29986 w_tx_data_smi[0] +.sym 29987 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 29988 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 29989 w_tx_data_io[0] +.sym 29992 spi_if_ins.w_rx_data[5] +.sym 29993 spi_if_ins.w_rx_data[6] +.sym 29996 spi_if_ins.w_rx_data[5] +.sym 29997 spi_if_ins.w_rx_data[6] +.sym 30000 spi_if_ins.w_rx_data[5] +.sym 30001 spi_if_ins.w_rx_data[6] +.sym 30002 w_cs[2] +.sym 30003 w_cs[1] +.sym 30004 w_cs[3] +.sym 30005 w_cs[0] +.sym 30006 w_cs[0] +.sym 30007 w_cs[1] +.sym 30008 w_cs[3] +.sym 30009 w_cs[2] +.sym 30012 spi_if_ins.w_rx_data[6] +.sym 30013 spi_if_ins.w_rx_data[5] +.sym 30018 w_rx_09_fifo_empty +.sym 30022 w_rx_09_fifo_full +.sym 30030 w_rx_24_fifo_empty +.sym 30034 w_rx_24_fifo_full +.sym 30042 w_tx_data_smi[2] +.sym 30043 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 30044 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 30045 w_tx_data_io[2] +.sym 30050 w_soft_reset +.sym 30051 w_ioc[1] +.sym 30052 w_cs[2] +.sym 30053 w_fetch +.sym 30058 spi_if_ins.state_if_SB_DFFE_Q_D[0] +.sym 30071 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 30072 w_cs[0] +.sym 30073 w_fetch +.sym 30094 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 30104 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 30105 smi_ctrl_ins.i_cs_SB_LUT4_I2_O[1] +.sym 30116 io_ctrl_ins.led1_state_SB_DFFESR_Q_E_SB_LUT4_O_I2[0] +.sym 30117 w_soft_reset +.sym 30118 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[0] +.sym 30119 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 30120 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[2] +.sym 30121 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[3] +.sym 30138 w_fetch +.sym 30139 w_cs[1] +.sym 30140 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 30141 w_load +.sym 30154 w_rx_data[1] +.sym 30174 w_rx_data[0] +.sym 30258 lvds_rx_09_inst.r_data[14] +.sym 30276 i_smi_a3$SB_IO_IN +.sym 30277 w_smi_data_output[5] +.sym 30290 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 30294 i_mosi$SB_IO_IN +.sym 30298 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 30310 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 30314 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[0] +.sym 30315 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[1] +.sym 30316 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[2] +.sym 30317 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[3] +.sym 30326 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 30339 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 30344 lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1[1] +.sym 30345 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 30348 rx_09_fifo.wr_addr[2] +.sym 30349 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[2] +.sym 30352 rx_09_fifo.empty_o_SB_LUT4_I0_O[1] +.sym 30353 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[3] +.sym 30356 rx_09_fifo.wr_addr[4] +.sym 30357 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[4] +.sym 30360 rx_09_fifo.wr_addr[5] +.sym 30361 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[5] +.sym 30364 rx_09_fifo.wr_addr[6] +.sym 30365 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[6] +.sym 30368 rx_09_fifo.wr_addr[7] +.sym 30369 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[7] +.sym 30372 rx_09_fifo.wr_addr[8] +.sym 30373 rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3[8] +.sym 30378 spi_if_ins.state_if_SB_DFFE_Q_D[1] +.sym 30386 w_rx_09_fifo_pulled_data[14] +.sym 30387 w_rx_09_fifo_pulled_data[30] +.sym 30388 smi_ctrl_ins.int_cnt_09[4] +.sym 30389 smi_ctrl_ins.int_cnt_09[3] +.sym 30392 w_soft_reset +.sym 30393 smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O[1] +.sym 30394 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2[0] +.sym 30395 rx_09_fifo.empty_o_SB_LUT4_I0_O[0] +.sym 30396 rx_09_fifo.rd_addr[1] +.sym 30397 rx_09_fifo.full_o_SB_LUT4_I3_I2[1] +.sym 30400 rx_09_fifo.rd_addr[1] +.sym 30401 rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] +.sym 30406 spi_if_ins.spi.SCKr[1] +.sym 30414 w_rx_09_fifo_pulled_data[12] +.sym 30415 w_rx_09_fifo_pulled_data[28] +.sym 30416 smi_ctrl_ins.int_cnt_09[4] +.sym 30417 smi_ctrl_ins.int_cnt_09[3] +.sym 30430 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 30435 spi_if_ins.state_if[2] +.sym 30436 spi_if_ins.state_if[1] +.sym 30437 spi_if_ins.state_if[0] +.sym 30439 spi_if_ins.state_if[2] +.sym 30440 spi_if_ins.state_if[0] +.sym 30441 spi_if_ins.state_if[1] +.sym 30446 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 30447 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 30448 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 30449 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 30450 spi_if_ins.state_if_SB_DFFESR_Q_D[0] +.sym 30454 spi_if_ins.state_if[2] +.sym 30455 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 30456 spi_if_ins.state_if[0] +.sym 30457 spi_if_ins.state_if[1] +.sym 30478 r_tx_data[6] +.sym 30482 r_tx_data[0] +.sym 30498 w_tx_data_smi[1] +.sym 30499 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 30500 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 30501 w_tx_data_io[1] +.sym 30504 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 30505 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[1] +.sym 30510 w_cs[0] +.sym 30511 w_cs[2] +.sym 30512 w_cs[1] +.sym 30513 w_cs[3] +.sym 30514 w_tx_data_smi[3] +.sym 30515 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 30516 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 30517 w_tx_data_io[3] +.sym 30519 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 30520 w_tx_data_io[5] +.sym 30521 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 30524 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 30525 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[1] +.sym 30526 w_cs[0] +.sym 30527 w_cs[2] +.sym 30528 w_cs[1] +.sym 30529 w_cs[3] +.sym 30530 spi_if_ins.w_rx_data[0] +.sym 30534 w_cs[0] +.sym 30535 w_cs[2] +.sym 30536 w_cs[3] +.sym 30537 w_cs[1] +.sym 30538 spi_if_ins.w_rx_data[2] +.sym 30542 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 30546 spi_if_ins.w_rx_data[1] +.sym 30554 spi_if_ins.w_rx_data[3] +.sym 30558 spi_if_ins.w_rx_data[4] +.sym 30562 w_fetch +.sym 30563 i_button_SB_LUT4_I3_O[1] +.sym 30564 w_load +.sym 30565 w_cs[0] +.sym 30571 w_ioc[2] +.sym 30572 w_ioc[4] +.sym 30573 w_ioc[3] +.sym 30575 w_soft_reset +.sym 30576 w_cs[1] +.sym 30577 w_fetch +.sym 30581 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 30582 w_ioc[1] +.sym 30583 w_ioc[4] +.sym 30584 w_ioc[3] +.sym 30585 w_ioc[2] +.sym 30591 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 30592 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 30593 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] +.sym 30595 w_ioc[1] +.sym 30596 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 30597 w_ioc[0] +.sym 30600 i_button_SB_LUT4_I3_O[1] +.sym 30601 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 30602 w_rx_data[1] +.sym 30607 w_ioc[0] +.sym 30608 w_ioc[1] +.sym 30609 io_ctrl_ins.o_data_out_SB_DFFESS_Q_E[2] +.sym 30610 w_soft_reset +.sym 30611 w_fetch +.sym 30612 w_cs[1] +.sym 30613 w_load +.sym 30614 i_button_SB_LUT4_I3_O[1] +.sym 30615 io_ctrl_ins.o_pmod[1] +.sym 30616 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 30617 io_ctrl_ins.debug_mode[1] +.sym 30619 w_ioc[0] +.sym 30620 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 30621 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 30622 w_rx_data[2] +.sym 30626 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 30627 w_fetch +.sym 30628 w_cs[1] +.sym 30629 w_load +.sym 30632 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 30633 io_ctrl_ins.rf_mode[0] +.sym 30637 io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E +.sym 30638 w_rx_data[2] .sym 30642 w_rx_data[1] -.sym 30647 w_ioc[1] -.sym 30648 w_ioc[0] -.sym 30649 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 30655 w_ioc[1] -.sym 30656 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 30657 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 30658 io_ctrl_ins.o_pmod[1] -.sym 30659 o_shdn_rx_lna$SB_IO_OUT -.sym 30660 w_ioc[0] -.sym 30661 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 30662 io_ctrl_ins.o_pmod[0] -.sym 30663 io_ctrl_ins.mixer_en_state -.sym 30664 w_ioc[0] -.sym 30665 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 30666 o_led1$SB_IO_OUT -.sym 30667 i_button_SB_LUT4_I0_I1[0] -.sym 30668 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[2] -.sym 30669 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[3] -.sym 30670 io_ctrl_ins.pmod_dir_state[3] -.sym 30671 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30672 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] -.sym 30673 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] -.sym 30674 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 30675 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30676 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 30677 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 30678 io_ctrl_ins.pmod_dir_state[4] -.sym 30679 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30680 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] -.sym 30681 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] -.sym 30732 w_soft_reset -.sym 30733 lvds_rx_24_inst.o_debug_state[0] -.sym 30768 w_soft_reset -.sym 30769 i_smi_soe_se$SB_IO_IN -.sym 30786 smi_ctrl_ins.r_fifo_24_pull -.sym 30791 smi_ctrl_ins.r_fifo_24_pull_1 -.sym 30792 w_rx_24_fifo_empty -.sym 30793 smi_ctrl_ins.r_fifo_24_pull -.sym 30798 smi_ctrl_ins.w_fifo_09_pull_trigger -.sym 30806 smi_ctrl_ins.w_fifo_24_pull_trigger -.sym 30819 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 30824 rx_24_fifo.empty_o_SB_LUT4_I2_I3[1] -.sym 30825 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 30828 rx_24_fifo.wr_addr[3] -.sym 30829 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[2] -.sym 30832 rx_24_fifo.wr_addr[4] -.sym 30833 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[3] -.sym 30836 rx_24_fifo.wr_addr[5] -.sym 30837 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[4] -.sym 30840 rx_24_fifo.wr_addr[6] -.sym 30841 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[5] -.sym 30844 rx_24_fifo.wr_addr[7] -.sym 30845 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[6] -.sym 30848 rx_24_fifo.wr_addr[8] -.sym 30849 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[7] -.sym 30853 $nextpnr_ICESTORM_LC_2$I3 -.sym 30854 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[0] -.sym 30855 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[1] -.sym 30856 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[2] -.sym 30857 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0[3] -.sym 30858 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[8] -.sym 30859 rx_24_fifo.rd_addr[8] -.sym 30860 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[7] -.sym 30861 w_rx_24_fifo_push -.sym 30862 rx_24_fifo.rd_addr[3] -.sym 30863 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[2] -.sym 30864 rx_24_fifo.empty_o_SB_LUT4_I2_I3[0] -.sym 30865 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[1] -.sym 30866 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[5] -.sym 30867 rx_24_fifo.rd_addr[6] -.sym 30868 rx_24_fifo.rd_addr[5] -.sym 30869 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[4] -.sym 30870 rx_24_fifo.rd_addr[6] -.sym 30871 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[5] -.sym 30872 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[3] -.sym 30873 rx_24_fifo.rd_addr[4] -.sym 30878 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[1] -.sym 30879 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0[1] -.sym 30880 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0[1] -.sym 30881 rx_24_fifo.rd_addr[1] -.sym 30882 lvds_rx_24_inst.r_data[20] -.sym 30886 lvds_rx_24_inst.r_data[24] -.sym 30898 lvds_rx_24_inst.r_data[26] -.sym 30902 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[0] -.sym 30903 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2[6] -.sym 30904 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[2] -.sym 30905 lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O[3] -.sym 30912 w_soft_reset -.sym 30913 smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O[0] -.sym 30914 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[0] -.sym 30915 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[1] -.sym 30916 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[2] -.sym 30917 rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0[3] -.sym 30930 lvds_rx_24_inst.r_push -.sym 30954 r_tx_data[2] -.sym 30958 r_tx_data[0] -.sym 30974 r_tx_data[1] -.sym 30988 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 30989 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 30993 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 31008 spi_if_ins.state_if[0] -.sym 31009 spi_if_ins.state_if[1] -.sym 31013 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31016 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 31017 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31019 w_fetch -.sym 31020 w_cs[1] -.sym 31021 w_load -.sym 31022 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31023 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 31024 spi_if_ins.state_if[0] -.sym 31025 spi_if_ins.state_if[1] -.sym 31027 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 31028 spi_if_ins.state_if[0] -.sym 31029 spi_if_ins.state_if[1] -.sym 31030 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 31035 w_soft_reset -.sym 31036 w_cs[1] -.sym 31037 w_fetch -.sym 31040 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 31041 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[3] -.sym 31042 spi_if_ins.w_rx_data[0] +.sym 30650 io_ctrl_ins.o_pmod[2] +.sym 30651 o_shdn_tx_lna$SB_IO_OUT +.sym 30652 w_ioc[0] +.sym 30653 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 30660 spi_if_ins.o_load_cmd_SB_LUT4_I3_1_O[0] +.sym 30661 w_soft_reset +.sym 30665 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2[0] +.sym 30666 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 30667 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 30668 io_ctrl_ins.rf_pin_state[2] +.sym 30669 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 30670 io_ctrl_ins.debug_mode[0] +.sym 30671 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 30672 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 30673 io_ctrl_ins.debug_mode[1] +.sym 30683 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 30684 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 30685 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 30686 io_ctrl_ins.rf_pin_state[0] +.sym 30687 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 30688 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 30689 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 30744 w_soft_reset +.sym 30745 lvds_rx_09_inst.o_debug_state[0] +.sym 30778 lvds_rx_09_inst.r_data[16] +.sym 30790 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 30794 i_mosi$SB_IO_IN +.sym 30798 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 30806 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 30814 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 30822 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 30826 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 30830 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 30834 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 30840 i_smi_a3$SB_IO_IN +.sym 30841 w_smi_data_output[4] +.sym 30842 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 30848 i_ss$SB_IO_IN +.sym 30849 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D[1] +.sym 30861 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 30870 lvds_rx_09_inst.r_data[19] +.sym 30883 sys_ctrl_ins.reset_count[0] +.sym 30888 sys_ctrl_ins.reset_count[1] +.sym 30890 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 30892 sys_ctrl_ins.reset_count[2] +.sym 30893 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 30894 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 30896 sys_ctrl_ins.reset_count[3] +.sym 30897 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] +.sym 30901 sys_ctrl_ins.reset_count[0] +.sym 30902 sys_ctrl_ins.reset_count[3] +.sym 30903 sys_ctrl_ins.reset_count[1] +.sym 30904 sys_ctrl_ins.reset_count[2] +.sym 30905 sys_ctrl_ins.reset_count[0] +.sym 30908 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 30909 sys_ctrl_ins.reset_cmd +.sym 30910 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 30912 sys_ctrl_ins.reset_count[1] +.sym 30913 sys_ctrl_ins.reset_count[0] +.sym 30922 spi_if_ins.spi.SCKr[2] +.sym 30923 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 30924 spi_if_ins.spi.r_tx_bit_count[2] +.sym 30925 spi_if_ins.spi.SCKr[1] +.sym 30930 spi_if_ins.spi.r_rx_byte[0] +.sym 30934 spi_if_ins.spi.r_rx_byte[7] +.sym 30950 spi_if_ins.state_if_SB_DFFESR_Q_D[2] +.sym 30968 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 30969 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 30971 spi_if_ins.state_if[2] +.sym 30972 spi_if_ins.state_if[0] +.sym 30973 spi_if_ins.state_if[1] +.sym 30974 spi_if_ins.state_if[0] +.sym 30975 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 30976 spi_if_ins.state_if[2] +.sym 30977 spi_if_ins.state_if[1] +.sym 30978 r_tx_data[5] +.sym 30982 r_tx_data[7] +.sym 30989 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 30990 r_tx_data[4] +.sym 30994 r_tx_data[1] +.sym 30998 r_tx_data[2] +.sym 31002 spi_if_ins.state_if[2] +.sym 31003 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] +.sym 31004 spi_if_ins.state_if[0] +.sym 31005 spi_if_ins.state_if[1] +.sym 31006 r_tx_data[3] +.sym 31010 spi_if_ins.w_rx_data[4] +.sym 31014 spi_if_ins.state_if_SB_DFFESR_Q_D[1] +.sym 31022 spi_if_ins.w_rx_data[5] +.sym 31034 spi_if_ins.w_rx_data[6] .sym 31046 spi_if_ins.w_rx_data[1] -.sym 31050 spi_if_ins.w_rx_data[2] -.sym 31061 spi_if_ins.o_cs_SB_LUT4_I3_O[1] -.sym 31062 spi_if_ins.w_rx_data[4] -.sym 31066 spi_if_ins.w_rx_data[3] -.sym 31075 w_ioc[2] -.sym 31076 w_ioc[4] -.sym 31077 w_ioc[3] -.sym 31078 w_ioc[1] -.sym 31079 w_ioc[4] -.sym 31080 w_ioc[3] -.sym 31081 w_ioc[2] -.sym 31082 w_ioc[0] -.sym 31083 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[1] -.sym 31084 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[2] -.sym 31085 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[3] -.sym 31091 w_ioc[1] -.sym 31092 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 31093 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 31094 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 31099 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[1] -.sym 31100 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 31101 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[3] -.sym 31107 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] -.sym 31108 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 31109 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[2] -.sym 31115 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 31116 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 31117 w_soft_reset -.sym 31121 w_rx_data[1] -.sym 31122 i_button$SB_IO_IN -.sym 31123 i_button_SB_LUT4_I0_I1[0] -.sym 31124 io_ctrl_ins.rx_h_state_SB_LUT4_I1_O[2] -.sym 31125 io_ctrl_ins.rx_h_state_SB_LUT4_I1_O[3] +.sym 31054 spi_if_ins.w_rx_data[3] +.sym 31058 spi_if_ins.w_rx_data[2] +.sym 31070 spi_if_ins.w_rx_data[0] +.sym 31074 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] +.sym 31075 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 31076 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] +.sym 31077 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] +.sym 31078 io_ctrl_ins.led1_state_SB_LUT4_I3_O[0] +.sym 31079 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 31080 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] +.sym 31081 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] +.sym 31082 i_button_SB_LUT4_I3_O[1] +.sym 31083 io_ctrl_ins.o_pmod[3] +.sym 31084 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 31085 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 31087 w_ioc[1] +.sym 31088 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 31089 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 31092 w_ioc[0] +.sym 31093 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 31095 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 31096 w_ioc[1] +.sym 31097 w_ioc[0] +.sym 31102 io_ctrl_ins.pmod_dir_state[3] +.sym 31103 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 31104 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[2] +.sym 31105 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[3] +.sym 31106 w_rx_data[3] +.sym 31112 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 31113 w_ioc[0] +.sym 31114 w_rx_data[0] +.sym 31118 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3[0] +.sym 31119 w_ioc[1] +.sym 31120 w_ioc[0] +.sym 31121 io_ctrl_ins.o_data_out_SB_DFFESS_Q_E[2] +.sym 31124 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 31125 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] .sym 31127 w_ioc[0] -.sym 31128 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 31129 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 31132 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 31133 io_ctrl_ins.pmod_dir_state[7] -.sym 31134 io_ctrl_ins.o_pmod[2] -.sym 31135 o_shdn_tx_lna$SB_IO_OUT -.sym 31136 w_ioc[0] -.sym 31137 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 31139 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 31140 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 31141 io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R[3] -.sym 31142 w_rx_data[1] -.sym 31149 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E -.sym 31150 w_rx_data[0] -.sym 31155 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 31156 w_ioc[0] -.sym 31157 w_ioc[1] -.sym 31158 w_rx_data[4] -.sym 31162 w_rx_data[3] -.sym 31167 w_ioc[0] -.sym 31168 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1[1] -.sym 31169 w_ioc[1] -.sym 31170 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 31171 io_ctrl_ins.pmod_dir_state[1] -.sym 31172 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] +.sym 31128 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 31129 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[2] +.sym 31131 w_ioc[0] +.sym 31132 w_ioc[1] +.sym 31133 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R[1] +.sym 31134 io_ctrl_ins.o_pmod[0] +.sym 31135 i_button_SB_LUT4_I3_O[1] +.sym 31136 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 31137 o_led0$SB_IO_OUT +.sym 31138 o_shdn_rx_lna$SB_IO_OUT +.sym 31139 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 31140 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 31141 o_led1$SB_IO_OUT +.sym 31146 w_rx_data[4] +.sym 31150 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 31151 io_ctrl_ins.mixer_en_state +.sym 31152 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 31153 io_ctrl_ins.debug_mode[0] +.sym 31156 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 31157 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 31160 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 31161 i_button$SB_IO_IN +.sym 31162 w_rx_data[6] +.sym 31166 w_rx_data[0] +.sym 31172 io_ctrl_ins.debug_mode[0] .sym 31173 io_ctrl_ins.debug_mode[1] -.sym 31174 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 31175 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31176 i_button_SB_LUT4_I0_I1[0] -.sym 31177 i_config[1]$SB_IO_IN -.sym 31182 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 31183 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31184 io_ctrl_ins.rf_pin_state[2] -.sym 31185 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 31190 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 31191 io_ctrl_ins.debug_mode[0] -.sym 31192 i_button_SB_LUT4_I0_I1[0] -.sym 31193 o_led0$SB_IO_OUT -.sym 31195 io_ctrl_ins.rf_pin_state[1] -.sym 31196 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 31197 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 31198 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[1] -.sym 31199 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 31200 i_button_SB_LUT4_I0_I1[0] -.sym 31201 i_config[0]$SB_IO_IN -.sym 31300 i_smi_a3$SB_IO_IN -.sym 31301 w_smi_data_output[4] -.sym 31382 r_tx_data[3] -.sym 31395 sys_ctrl_ins.reset_count[0] -.sym 31400 sys_ctrl_ins.reset_count[1] -.sym 31402 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 31404 sys_ctrl_ins.reset_count[2] -.sym 31405 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 31406 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 31408 sys_ctrl_ins.reset_count[3] -.sym 31409 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 31412 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 31413 sys_ctrl_ins.reset_cmd -.sym 31417 sys_ctrl_ins.reset_count[0] -.sym 31418 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 31420 sys_ctrl_ins.reset_count[1] -.sym 31421 sys_ctrl_ins.reset_count[0] -.sym 31422 sys_ctrl_ins.reset_count[3] -.sym 31423 sys_ctrl_ins.reset_count[1] -.sym 31424 sys_ctrl_ins.reset_count[2] -.sym 31425 sys_ctrl_ins.reset_count[0] -.sym 31426 spi_if_ins.spi.r2_rx_done -.sym 31433 w_rx_24_fifo_data[28] -.sym 31444 spi_if_ins.spi.r3_rx_done -.sym 31445 spi_if_ins.spi.r2_rx_done -.sym 31446 spi_if_ins.spi.r_rx_done -.sym 31458 r_tx_data[4] -.sym 31464 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31465 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 31470 r_tx_data[5] -.sym 31482 r_tx_data[6] -.sym 31486 r_tx_data[7] -.sym 31495 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 31496 spi_if_ins.state_if[1] -.sym 31497 spi_if_ins.state_if[0] -.sym 31499 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 31500 spi_if_ins.state_if[0] -.sym 31501 spi_if_ins.state_if[1] -.sym 31506 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 31511 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31512 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 31513 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] -.sym 31516 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 31517 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31518 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 31519 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[1] -.sym 31520 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[2] -.sym 31521 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[3] -.sym 31530 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 31531 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31532 spi_if_ins.state_if[0] -.sym 31533 spi_if_ins.state_if[1] -.sym 31542 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 31543 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[1] -.sym 31544 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O[1] -.sym 31545 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31546 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[0] -.sym 31547 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 31548 spi_if_ins.state_if[0] -.sym 31549 spi_if_ins.state_if[1] -.sym 31555 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 31556 w_tx_data_io[5] -.sym 31557 spi_if_ins.o_cs_SB_LUT4_I3_O[2] -.sym 31571 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 31572 w_tx_data_io[7] -.sym 31573 spi_if_ins.o_cs_SB_LUT4_I3_O[2] -.sym 31576 w_soft_reset -.sym 31577 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 31584 spi_if_ins.o_cs_SB_LUT4_I2_O[2] -.sym 31585 w_tx_data_io[6] -.sym 31586 spi_if_ins.w_rx_data[4] -.sym 31590 spi_if_ins.w_rx_data[2] -.sym 31594 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O[1] -.sym 31598 spi_if_ins.w_rx_data[1] -.sym 31602 spi_if_ins.w_rx_data[3] -.sym 31606 spi_if_ins.w_rx_data[5] -.sym 31610 spi_if_ins.w_rx_data[0] -.sym 31614 spi_if_ins.w_rx_data[6] -.sym 31622 w_rx_data[6] -.sym 31626 w_rx_data[2] -.sym 31630 w_rx_data[5] +.sym 31174 w_rx_data[1] +.sym 31178 io_ctrl_ins.rf_mode[0] +.sym 31179 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 31180 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 31181 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 31182 w_rx_data[3] +.sym 31186 w_rx_data[0] +.sym 31194 w_rx_data[2] +.sym 31198 w_rx_data[4] +.sym 31218 w_rx_data[2] +.sym 31267 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31272 spi_if_ins.spi.r_rx_bit_count[1] +.sym 31276 spi_if_ins.spi.r_rx_bit_count[2] +.sym 31277 spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_I3[2] +.sym 31281 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31292 spi_if_ins.spi.r_rx_bit_count[1] +.sym 31293 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31298 i_ss$SB_IO_IN +.sym 31299 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31300 spi_if_ins.spi.r_rx_bit_count[2] +.sym 31301 spi_if_ins.spi.r_rx_bit_count[1] +.sym 31310 spi_if_ins.spi.r2_rx_done +.sym 31315 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31316 spi_if_ins.spi.r_rx_bit_count[2] +.sym 31317 spi_if_ins.spi.r_rx_bit_count[1] +.sym 31321 w_rx_09_fifo_data[18] +.sym 31324 spi_if_ins.spi.r3_rx_done +.sym 31325 spi_if_ins.spi.r2_rx_done +.sym 31326 spi_if_ins.spi.r_rx_done +.sym 31333 i_ss$SB_IO_IN +.sym 31336 w_soft_reset +.sym 31337 i_smi_soe_se$SB_IO_IN +.sym 31345 o_miso_$_TBUF__Y_E +.sym 31346 spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D[1] +.sym 31350 w_rx_09_fifo_pulled_data[13] +.sym 31351 w_rx_09_fifo_pulled_data[29] +.sym 31352 smi_ctrl_ins.int_cnt_09[4] +.sym 31353 smi_ctrl_ins.int_cnt_09[3] +.sym 31362 spi_if_ins.spi.r_rx_byte[5] +.sym 31366 spi_if_ins.spi.r_rx_byte[4] +.sym 31374 spi_if_ins.spi.r_rx_byte[1] +.sym 31378 w_rx_09_fifo_pulled_data[9] +.sym 31379 w_rx_09_fifo_pulled_data[25] +.sym 31380 smi_ctrl_ins.int_cnt_09[4] +.sym 31381 smi_ctrl_ins.int_cnt_09[3] +.sym 31386 w_rx_09_fifo_pulled_data[11] +.sym 31387 w_rx_09_fifo_pulled_data[27] +.sym 31388 smi_ctrl_ins.int_cnt_09[4] +.sym 31389 smi_ctrl_ins.int_cnt_09[3] +.sym 31390 spi_if_ins.spi.r_rx_byte[2] +.sym 31395 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 31399 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 31400 $PACKER_VCC_NET +.sym 31403 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31404 $PACKER_VCC_NET +.sym 31405 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 31409 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 31410 w_rx_09_fifo_pulled_data[8] +.sym 31411 w_rx_09_fifo_pulled_data[24] +.sym 31412 smi_ctrl_ins.int_cnt_09[4] +.sym 31413 smi_ctrl_ins.int_cnt_09[3] +.sym 31417 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 31419 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 31420 $PACKER_VCC_NET +.sym 31421 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 31426 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 31427 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1[1] +.sym 31428 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 31429 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1[3] +.sym 31430 spi_if_ins.spi.r_tx_byte[0] +.sym 31431 spi_if_ins.spi.r_tx_byte[4] +.sym 31432 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 31433 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31435 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 31436 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 31437 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 31439 spi_if_ins.spi.SCKr[2] +.sym 31440 spi_if_ins.spi.SCKr[1] +.sym 31441 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 31444 i_ss$SB_IO_IN +.sym 31445 spi_if_ins.r_tx_data_valid +.sym 31446 spi_if_ins.spi.r_tx_byte[1] +.sym 31447 spi_if_ins.spi.r_tx_byte[5] +.sym 31448 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31449 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 31451 spi_if_ins.r_tx_byte[7] +.sym 31452 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] +.sym 31453 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O +.sym 31454 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[0] +.sym 31455 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[1] +.sym 31456 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0[2] +.sym 31457 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 31458 spi_if_ins.r_tx_byte[2] +.sym 31462 spi_if_ins.r_tx_byte[3] +.sym 31466 spi_if_ins.r_tx_byte[7] +.sym 31471 spi_if_ins.spi.r_tx_byte[2] +.sym 31472 spi_if_ins.spi.r_tx_byte[6] +.sym 31473 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31474 spi_if_ins.r_tx_byte[0] +.sym 31479 spi_if_ins.spi.r_tx_byte[3] +.sym 31480 spi_if_ins.spi.r_tx_byte[7] +.sym 31481 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31482 spi_if_ins.r_tx_byte[4] +.sym 31486 spi_if_ins.r_tx_byte[1] +.sym 31490 spi_if_ins.r_tx_byte[6] +.sym 31498 spi_if_ins.r_tx_byte[5] +.sym 31532 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 31533 w_tx_data_io[4] +.sym 31539 r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2[0] +.sym 31540 w_tx_data_io[7] +.sym 31541 smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O[0] +.sym 31554 w_rx_data[4] +.sym 31574 w_rx_data[7] +.sym 31590 w_rx_data[1] +.sym 31598 w_rx_data[5] +.sym 31602 w_rx_data[0] +.sym 31606 w_rx_data[3] +.sym 31610 w_rx_data[4] +.sym 31614 w_rx_data[7] +.sym 31618 w_rx_data[5] +.sym 31624 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 31625 i_config[2]$SB_IO_IN +.sym 31630 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 31631 io_ctrl_ins.pmod_dir_state[7] +.sym 31632 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 31633 o_rx_h_tx_l$SB_IO_OUT +.sym 31634 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 31635 io_ctrl_ins.pmod_dir_state[5] +.sym 31636 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 31637 o_tr_vc1$SB_IO_OUT +.sym 31638 w_rx_data[3] .sym 31642 w_rx_data[7] -.sym 31648 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 31649 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 31656 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 31657 io_ctrl_ins.pmod_dir_state[6] -.sym 31658 w_rx_data[2] -.sym 31662 w_rx_data[3] -.sym 31666 w_rx_data[0] -.sym 31670 w_rx_data[4] -.sym 31678 w_rx_data[1] -.sym 31682 io_ctrl_ins.pmod_dir_state[5] -.sym 31683 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 31684 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[2] -.sym 31685 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O[3] -.sym 31686 i_config[3]$SB_IO_IN -.sym 31687 i_button_SB_LUT4_I0_I1[0] -.sym 31688 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[2] -.sym 31689 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[3] -.sym 31696 i_button_SB_LUT4_I0_I1[0] -.sym 31697 i_button_SB_LUT4_I0_I1[1] -.sym 31700 io_ctrl_ins.debug_mode[0] -.sym 31701 io_ctrl_ins.debug_mode[1] -.sym 31702 io_ctrl_ins.debug_mode[0] -.sym 31703 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 31654 o_tr_vc2$SB_IO_OUT +.sym 31655 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 31656 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 31657 i_config[0]$SB_IO_IN +.sym 31658 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] +.sym 31659 i_button_SB_LUT4_I3_O[1] +.sym 31660 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 31661 i_config[1]$SB_IO_IN +.sym 31664 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] +.sym 31665 i_config[3]$SB_IO_IN +.sym 31670 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 31671 o_tr_vc1_b$SB_IO_OUT +.sym 31672 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[2] +.sym 31673 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 31678 io_ctrl_ins.pmod_dir_state[4] +.sym 31679 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 31680 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 31681 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] +.sym 31682 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 31683 io_ctrl_ins.rf_mode[0] +.sym 31684 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] +.sym 31685 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 31687 io_ctrl_ins.rf_pin_state[5] +.sym 31688 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 31689 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 31691 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 31692 io_ctrl_ins.rf_pin_state[4] +.sym 31693 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 31699 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 31700 io_ctrl_ins.rf_pin_state[6] +.sym 31701 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 31702 io_ctrl_ins.rf_pin_state[3] +.sym 31703 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[3] .sym 31704 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31705 io_ctrl_ins.debug_mode[1] -.sym 31789 i_ss$SB_IO_IN -.sym 31801 i_ss$SB_IO_IN -.sym 31806 i_ss_SB_LUT4_I1_O[0] -.sym 31812 i_ss$SB_IO_IN -.sym 31813 spi_if_ins.r_tx_data_valid -.sym 31824 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_I2[2] -.sym 31825 spi_if_ins.r_tx_data_valid -.sym 31831 spi_if_ins.spi.SCKr[2] -.sym 31832 spi_if_ins.spi.SCKr[1] -.sym 31833 i_ss$SB_IO_IN -.sym 31835 spi_if_ins.r_tx_byte[7] -.sym 31836 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[1] -.sym 31837 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 31839 i_ss$SB_IO_IN -.sym 31840 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_I2[1] -.sym 31841 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_I2[2] -.sym 31843 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 31847 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[3] -.sym 31848 $PACKER_VCC_NET -.sym 31851 spi_if_ins.spi.r_tx_bit_count[2] -.sym 31852 $PACKER_VCC_NET -.sym 31853 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 31857 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 31858 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[0] -.sym 31859 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[1] -.sym 31860 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[2] -.sym 31861 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[3] -.sym 31862 spi_if_ins.spi.SCKr[2] -.sym 31863 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 31864 spi_if_ins.spi.r_tx_bit_count[2] -.sym 31865 spi_if_ins.spi.SCKr[1] -.sym 31867 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[3] -.sym 31868 $PACKER_VCC_NET -.sym 31869 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 31873 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 31874 spi_if_ins.spi.r_tx_byte[1] -.sym 31875 spi_if_ins.spi.r_tx_byte[5] -.sym 31876 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 31877 spi_if_ins.spi.r_tx_bit_count[2] -.sym 31879 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0[3] -.sym 31880 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E_SB_LUT4_O_I2[1] -.sym 31881 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O[2] -.sym 31882 spi_if_ins.spi.r_tx_byte[3] -.sym 31883 spi_if_ins.spi.r_tx_byte[7] -.sym 31884 spi_if_ins.spi.r_tx_bit_count[2] -.sym 31885 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 31886 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[0] -.sym 31887 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[1] -.sym 31888 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 31889 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[3] -.sym 31890 spi_if_ins.spi.r_tx_byte[2] -.sym 31891 spi_if_ins.spi.r_tx_byte[6] -.sym 31892 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3[2] -.sym 31893 spi_if_ins.spi.r_tx_bit_count[2] -.sym 31894 spi_if_ins.r_tx_byte[7] -.sym 31898 spi_if_ins.r_tx_byte[1] -.sym 31902 spi_if_ins.r_tx_byte[3] -.sym 31906 spi_if_ins.r_tx_byte[6] -.sym 31914 spi_if_ins.r_tx_byte[5] -.sym 31922 spi_if_ins.r_tx_byte[0] -.sym 31930 spi_if_ins.r_tx_byte[2] -.sym 31934 spi_if_ins.r_tx_byte[4] -.sym 31938 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 31942 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 31946 i_mosi$SB_IO_IN -.sym 31950 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 31954 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 31958 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 31962 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 31966 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 31974 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 32002 spi_if_ins.spi.r_rx_byte[5] -.sym 32006 spi_if_ins.spi.r_rx_byte[1] -.sym 32010 spi_if_ins.spi.r_rx_byte[4] -.sym 32014 spi_if_ins.spi.r_rx_byte[0] -.sym 32022 spi_if_ins.spi.r_rx_byte[6] -.sym 32026 spi_if_ins.spi.r_rx_byte[7] -.sym 32030 spi_if_ins.spi.r_rx_byte[3] -.sym 32042 spi_if_ins.spi.r_rx_byte[2] -.sym 32074 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 32106 w_rx_data[7] -.sym 32113 io_ctrl_ins.led1_state_SB_DFFESR_Q_E -.sym 32126 w_rx_data[3] -.sym 32134 w_rx_data[0] -.sym 32138 w_rx_data[1] -.sym 32146 io_ctrl_ins.o_pmod[7] -.sym 32147 o_rx_h_tx_l$SB_IO_OUT -.sym 32148 w_ioc[0] -.sym 32149 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 32152 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] -.sym 32153 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 32155 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 32156 i_button_SB_LUT4_I0_I1[0] -.sym 32157 w_soft_reset -.sym 32170 w_rx_data[0] -.sym 32178 w_rx_data[3] -.sym 32183 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.sym 32184 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 32185 w_ioc[0] -.sym 32190 w_rx_data[7] -.sym 32203 io_ctrl_ins.rf_pin_state[7] -.sym 32204 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 32205 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 32206 io_ctrl_ins.rf_pin_state[0] -.sym 32207 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 32208 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 32209 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 32210 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 32211 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 32212 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 32213 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 32214 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] -.sym 32215 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 32216 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 32217 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 32218 io_ctrl_ins.o_pmod[3] -.sym 32219 o_tr_vc2$SB_IO_OUT -.sym 32220 w_ioc[0] -.sym 32221 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 32222 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 32223 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O[0] -.sym 32224 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 32225 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 32290 spi_if_ins.spi.SCKr[0] -.sym 32306 i_sck$SB_IO_IN -.sym 32323 spi_if_ins.spi.r_rx_bit_count[0] -.sym 32328 spi_if_ins.spi.r_rx_bit_count[1] -.sym 32332 spi_if_ins.spi.r_rx_bit_count[2] -.sym 32333 spi_if_ins.spi.r_rx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 32335 spi_if_ins.spi.r_rx_bit_count[0] -.sym 32336 spi_if_ins.spi.r_rx_bit_count[2] -.sym 32337 spi_if_ins.spi.r_rx_bit_count[1] -.sym 32339 i_ss$SB_IO_IN -.sym 32340 spi_if_ins.spi.SCKr[2] -.sym 32341 spi_if_ins.spi.SCKr[1] -.sym 32343 spi_if_ins.spi.r_rx_bit_count[0] -.sym 32344 spi_if_ins.spi.r_rx_bit_count[2] -.sym 32345 spi_if_ins.spi.r_rx_bit_count[1] -.sym 32348 spi_if_ins.spi.r_rx_bit_count[1] -.sym 32349 spi_if_ins.spi.r_rx_bit_count[0] -.sym 32353 spi_if_ins.spi.r_rx_bit_count[0] -.sym 32362 spi_if_ins.spi.SCKr[1] -.sym 32429 spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_E -.sym 32433 r_counter -.sym 32436 i_ss_SB_LUT4_I1_O[0] -.sym 32437 i_ss_SB_LUT4_I1_O[1] -.sym 32454 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 32458 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 32462 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 32466 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 32470 i_mosi$SB_IO_IN -.sym 32474 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 32478 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 32533 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 32558 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 32633 w_rx_data[5] -.sym 32646 w_rx_data[4] -.sym 32650 w_rx_data[5] -.sym 32662 w_rx_data[6] -.sym 32686 w_rx_data[6] -.sym 32690 w_rx_data[5] -.sym 32694 w_rx_data[4] -.sym 32707 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 32708 io_ctrl_ins.rf_pin_state[4] -.sym 32709 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 32715 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 32716 io_ctrl_ins.rf_pin_state[6] -.sym 32717 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 32723 io_ctrl_ins.rf_pin_state[5] -.sym 32724 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 32725 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 32726 io_ctrl_ins.o_pmod[5] -.sym 32727 o_tr_vc1$SB_IO_OUT -.sym 32728 w_ioc[0] -.sym 32729 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 32730 io_ctrl_ins.o_pmod[4] -.sym 32731 o_tr_vc1_b$SB_IO_OUT -.sym 32732 w_ioc[0] -.sym 32733 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 32734 io_ctrl_ins.o_pmod[6] -.sym 32735 o_rx_h_tx_l_b$SB_IO_OUT -.sym 32736 w_ioc[0] -.sym 32737 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.sym 31705 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 31707 io_ctrl_ins.rf_pin_state[7] +.sym 31708 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 31709 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 31942 i_sck$SB_IO_IN +.sym 31950 spi_if_ins.spi.SCKr[0] +.sym 31986 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 32018 $PACKER_GND_NET +.sym 32025 sys_ctrl_ins.reset_cmd +.sym 32034 $PACKER_VCC_NET +.sym 32049 w_cs[0] +.sym 32098 w_rx_data[5] +.sym 32126 w_rx_data[6] +.sym 32134 io_ctrl_ins.o_pmod[6] +.sym 32135 i_button_SB_LUT4_I3_O[1] +.sym 32136 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[2] +.sym 32137 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[3] +.sym 32138 i_button_SB_LUT4_I3_O[0] +.sym 32139 i_button_SB_LUT4_I3_O[1] +.sym 32140 i_button_SB_LUT4_I3_O[2] +.sym 32141 i_button_SB_LUT4_I3_O[3] +.sym 32146 io_ctrl_ins.o_pmod[5] +.sym 32147 i_button_SB_LUT4_I3_O[1] +.sym 32148 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[2] +.sym 32149 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[3] +.sym 32162 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] +.sym 32163 io_ctrl_ins.pmod_dir_state[6] +.sym 32164 io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[0] +.sym 32165 o_rx_h_tx_l_b$SB_IO_OUT +.sym 32186 w_rx_data[6] +.sym 32560 w_soft_reset +.sym 32561 lvds_rx_24_inst.o_debug_state[0] +.sym 32577 r_counter +.sym 32629 $PACKER_GND_NET diff --git a/firmware/top.bin b/firmware/top.bin index 9432f0c..47fdcff 100644 Binary files a/firmware/top.bin and b/firmware/top.bin differ diff --git a/firmware/top.json b/firmware/top.json index 6e4baa9..6d3b863 100644 --- a/firmware/top.json +++ b/firmware/top.json @@ -64,7 +64,7 @@ } }, "cells": { - "$specify$268": { + "$specify$267": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -95,7 +95,7 @@ "SRC": [ 6 ] } }, - "$specify$269": { + "$specify$268": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -126,7 +126,7 @@ "SRC": [ 2 ] } }, - "$specify$270": { + "$specify$269": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -157,7 +157,7 @@ "SRC": [ 2 ] } }, - "$specify$271": { + "$specify$270": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -188,7 +188,7 @@ "SRC": [ 3 ] } }, - "$specify$272": { + "$specify$271": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -219,7 +219,7 @@ "SRC": [ 3 ] } }, - "$specify$273": { + "$specify$272": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -250,7 +250,7 @@ "SRC": [ 3 ] } }, - "$specify$274": { + "$specify$273": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -281,7 +281,7 @@ "SRC": [ 4 ] } }, - "$specify$275": { + "$specify$274": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -312,7 +312,7 @@ "SRC": [ 4 ] } }, - "$specify$276": { + "$specify$275": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -343,7 +343,7 @@ "SRC": [ 4 ] } }, - "$specify$277": { + "$specify$276": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -374,7 +374,7 @@ "SRC": [ 5 ] } }, - "$specify$278": { + "$specify$277": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -405,7 +405,7 @@ "SRC": [ 5 ] } }, - "$specify$279": { + "$specify$278": { "hide_name": 1, "type": "$specify3", "parameters": { @@ -442,7 +442,7 @@ "SRC": [ 7 ] } }, - "$specify$280": { + "$specify$279": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -473,7 +473,7 @@ "SRC": [ 9 ] } }, - "$specify$281": { + "$specify$280": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -508,7 +508,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$282": { + "$specify$281": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -543,7 +543,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$283": { + "$specify$282": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -578,7 +578,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$284": { + "$specify$283": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -613,7 +613,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$285": { + "$specify$284": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -648,7 +648,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$286": { + "$specify$285": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -683,7 +683,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$287": { + "$specify$286": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -718,7 +718,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$288": { + "$specify$287": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -753,7 +753,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$289": { + "$specify$288": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -788,7 +788,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$290": { + "$specify$289": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -823,7 +823,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$291": { + "$specify$290": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -858,7 +858,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$292": { + "$specify$291": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -893,7 +893,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$293": { + "$specify$292": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -928,7 +928,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$294": { + "$specify$293": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -963,7 +963,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$295": { + "$specify$294": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -998,7 +998,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$296": { + "$specify$295": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -1033,7 +1033,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$297": { + "$specify$296": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -1068,7 +1068,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$298": { + "$specify$297": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -1103,7 +1103,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$299": { + "$specify$298": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -1138,7 +1138,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$300": { + "$specify$299": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -1173,7 +1173,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$301": { + "$specify$300": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -1208,7 +1208,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$302": { + "$specify$301": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -3555,6 +3555,7 @@ "SB_GB": { "attributes": { "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162.1-172.10" }, "ports": { @@ -6389,7 +6390,7 @@ } }, "cells": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1593$387": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1593$386": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -6413,7 +6414,7 @@ "Y": [ 78 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1595$388": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1595$387": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -6437,7 +6438,7 @@ "Y": [ 79 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1601$389": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1601$388": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -6461,7 +6462,7 @@ "Y": [ 80 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1605$390": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1605$389": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -6485,7 +6486,7 @@ "Y": [ 81 ] } }, - "$specify$232": { + "$specify$231": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6520,7 +6521,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$233": { + "$specify$232": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6555,7 +6556,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$234": { + "$specify$233": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6590,7 +6591,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$235": { + "$specify$234": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6625,7 +6626,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$236": { + "$specify$235": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6660,7 +6661,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$237": { + "$specify$236": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6695,7 +6696,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$238": { + "$specify$237": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6730,7 +6731,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$239": { + "$specify$238": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6765,7 +6766,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$240": { + "$specify$239": { "hide_name": 1, "type": "$specify3", "parameters": { @@ -6804,28 +6805,28 @@ } }, "netnames": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1593$387_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1593$386_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1593.33-1593.44" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1595$388_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1595$387_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1595.34-1595.45" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1601$389_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1601$388_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1601.34-1601.45" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1605$390_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1605$389_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { @@ -6990,7 +6991,7 @@ } }, "cells": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729$391": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729$390": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7014,7 +7015,7 @@ "Y": [ 78 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731$392": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731$391": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7038,7 +7039,7 @@ "Y": [ 79 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737$393": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737$392": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7062,7 +7063,7 @@ "Y": [ 80 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1741$394": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1741$393": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7086,7 +7087,7 @@ "Y": [ 81 ] } }, - "$specify$241": { + "$specify$240": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7121,7 +7122,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$242": { + "$specify$241": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7156,7 +7157,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$243": { + "$specify$242": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7191,7 +7192,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$244": { + "$specify$243": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7226,7 +7227,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$245": { + "$specify$244": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7261,7 +7262,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$246": { + "$specify$245": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7296,7 +7297,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$247": { + "$specify$246": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7331,7 +7332,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$248": { + "$specify$247": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7366,7 +7367,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$249": { + "$specify$248": { "hide_name": 1, "type": "$specify3", "parameters": { @@ -7405,28 +7406,28 @@ } }, "netnames": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729$391_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729$390_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729.33-1729.44" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731$392_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731$391_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731.35-1731.46" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737$393_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737$392_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737.34-1737.45" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1741$394_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1741$393_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { @@ -7591,7 +7592,7 @@ } }, "cells": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001$399": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001$398": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7615,7 +7616,7 @@ "Y": [ 78 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003$400": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003$399": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7639,7 +7640,7 @@ "Y": [ 79 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009$401": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009$400": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7663,7 +7664,7 @@ "Y": [ 80 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2013$402": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2013$401": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7687,7 +7688,7 @@ "Y": [ 81 ] } }, - "$specify$259": { + "$specify$258": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7722,7 +7723,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$260": { + "$specify$259": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7757,7 +7758,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$261": { + "$specify$260": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7792,7 +7793,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$262": { + "$specify$261": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7827,7 +7828,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$263": { + "$specify$262": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7862,7 +7863,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$264": { + "$specify$263": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7897,7 +7898,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$265": { + "$specify$264": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7932,7 +7933,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$266": { + "$specify$265": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7967,7 +7968,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$267": { + "$specify$266": { "hide_name": 1, "type": "$specify3", "parameters": { @@ -8006,28 +8007,28 @@ } }, "netnames": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001$399_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001$398_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001.34-2001.45" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003$400_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003$399_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003.35-2003.46" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009$401_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009$400_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009.35-2009.46" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2013$402_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2013$401_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { @@ -8192,7 +8193,7 @@ } }, "cells": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865$395": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865$394": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -8216,7 +8217,7 @@ "Y": [ 78 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867$396": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867$395": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -8240,7 +8241,7 @@ "Y": [ 79 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873$397": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873$396": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -8264,7 +8265,7 @@ "Y": [ 80 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1877$398": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1877$397": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -8288,7 +8289,7 @@ "Y": [ 81 ] } }, - "$specify$250": { + "$specify$249": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8323,7 +8324,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$251": { + "$specify$250": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8358,7 +8359,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$252": { + "$specify$251": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8393,7 +8394,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$253": { + "$specify$252": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8428,7 +8429,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$254": { + "$specify$253": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8463,7 +8464,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$255": { + "$specify$254": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8498,7 +8499,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$256": { + "$specify$255": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8533,7 +8534,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$257": { + "$specify$256": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8568,7 +8569,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$258": { + "$specify$257": { "hide_name": 1, "type": "$specify3", "parameters": { @@ -8607,28 +8608,28 @@ } }, "netnames": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865$395_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865$394_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865.34-1865.45" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867$396_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867$395_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867.34-1867.45" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873$397_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873$396_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873.35-1873.46" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1877$398_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1877$397_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { @@ -9650,7 +9651,7 @@ "top": { "attributes": { "top": "00000000000000000000000000000001", - "src": "top.v:8.1-374.10" + "src": "top.v:8.1-371.10" }, "ports": { "i_glob_clock": { @@ -9791,40 +9792,15 @@ } }, "cells": { - "i_button_SB_LUT4_I0": { + "i_button_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111100011111111" + "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 17 ], - "I1": [ 47 ], - "I2": [ 48 ], - "I3": [ 49 ], - "O": [ 50 ] - } - }, - "i_button_SB_LUT4_I0_I1_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0011000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", @@ -9835,10 +9811,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 51 ], - "I2": [ 52 ], - "I3": [ 53 ], - "O": [ 47 ] + "I1": [ "0" ], + "I2": [ 47 ], + "I3": [ 17 ], + "O": [ 48 ] } }, "i_smi_a1_SB_LUT4_I0": { @@ -9862,11 +9838,11 @@ "I0": [ 28 ], "I1": [ 30 ], "I2": [ 29 ], - "I3": [ 54 ], - "O": [ 55 ] + "I3": [ 49 ], + "O": [ 50 ] } }, - "i_smi_a1_SB_LUT4_I1": { + "i_smi_a2_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -9884,14 +9860,14 @@ "O": "output" }, "connections": { - "I0": [ 54 ], - "I1": [ 28 ], - "I2": [ 29 ], + "I0": [ 49 ], + "I1": [ 29 ], + "I2": [ 28 ], "I3": [ 30 ], - "O": [ 56 ] + "O": [ 51 ] } }, - "i_smi_a2_SB_LUT4_I1": { + "i_smi_a2_SB_LUT4_I1_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -9911,12 +9887,12 @@ "connections": { "I0": [ "0" ], "I1": [ 29 ], - "I2": [ 28 ], - "I3": [ 30 ], - "O": [ 57 ] + "I2": [ 30 ], + "I3": [ 28 ], + "O": [ 52 ] } }, - "i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O": { + "i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -9933,6 +9909,31 @@ "I3": "input", "O": "output" }, + "connections": { + "I0": [ 53 ], + "I1": [ 54 ], + "I2": [ 55 ], + "I3": [ 56 ], + "O": [ 57 ] + } + }, + "i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000001100000101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, "connections": { "I0": [ 58 ], "I1": [ 59 ], @@ -9941,32 +9942,7 @@ "O": [ 62 ] } }, - "i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000001100000101" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 63 ], - "I1": [ 64 ], - "I2": [ 65 ], - "I3": [ 66 ], - "O": [ 67 ] - } - }, - "i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_2": { + "i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -9984,14 +9960,14 @@ "O": "output" }, "connections": { - "I0": [ 68 ], - "I1": [ 69 ], - "I2": [ 66 ], - "I3": [ 65 ], - "O": [ 70 ] + "I0": [ 63 ], + "I1": [ 64 ], + "I2": [ 61 ], + "I3": [ 60 ], + "O": [ 65 ] } }, - "i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_O": { + "i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -10009,72 +9985,22 @@ "O": "output" }, "connections": { - "I0": [ 71 ], - "I1": [ 72 ], - "I2": [ 60 ], - "I3": [ 73 ], - "O": [ 61 ] - } - }, - "i_ss_SB_LUT4_I1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000001100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 45 ], - "I2": [ 74 ], - "I3": [ 75 ], - "O": [ 76 ] - } - }, - "i_ss_SB_LUT4_I1_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1100000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 77 ], - "I2": [ 78 ], - "I3": [ 79 ], - "O": [ 80 ] + "I0": [ 66 ], + "I1": [ 67 ], + "I2": [ 55 ], + "I3": [ 68 ], + "O": [ 56 ] } }, "i_ss_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111111100110000" + "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", @@ -10085,10 +10011,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 74 ], - "I2": [ 75 ], + "I1": [ "0" ], + "I2": [ "0" ], "I3": [ 45 ], - "O": [ 81 ] + "O": [ 69 ] } }, "io_ctrl_ins.debug_mode_SB_DFFESR_Q": { @@ -10108,11 +10034,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 83 ], - "E": [ 84 ], - "Q": [ 85 ], - "R": [ 54 ] + "C": [ 70 ], + "D": [ 71 ], + "E": [ 72 ], + "Q": [ 73 ], + "R": [ 49 ] } }, "io_ctrl_ins.debug_mode_SB_DFFESR_Q_1": { @@ -10132,11 +10058,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 86 ], - "E": [ 84 ], - "Q": [ 87 ], - "R": [ 54 ] + "C": [ 70 ], + "D": [ 74 ], + "E": [ 72 ], + "Q": [ 75 ], + "R": [ 49 ] } }, "io_ctrl_ins.debug_mode_SB_LUT4_I0": { @@ -10157,11 +10083,11 @@ "O": "output" }, "connections": { - "I0": [ 87 ], - "I1": [ 88 ], - "I2": [ 89 ], - "I3": [ 85 ], - "O": [ 90 ] + "I0": [ 75 ], + "I1": [ 76 ], + "I2": [ 77 ], + "I3": [ 73 ], + "O": [ 78 ] } }, "io_ctrl_ins.debug_mode_SB_LUT4_I2": { @@ -10184,9 +10110,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 87 ], - "I3": [ 85 ], - "O": [ 91 ] + "I2": [ 75 ], + "I3": [ 73 ], + "O": [ 79 ] } }, "io_ctrl_ins.i_cs_SB_DFFESR_Q": { @@ -10206,11 +10132,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 92 ], - "E": [ 93 ], - "Q": [ 94 ], - "R": [ 95 ] + "C": [ 70 ], + "D": [ 80 ], + "E": [ 81 ], + "Q": [ 82 ], + "R": [ 83 ] } }, "io_ctrl_ins.led0_state_SB_DFFESR_Q": { @@ -10230,18 +10156,18 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 86 ], - "E": [ 96 ], + "C": [ 70 ], + "D": [ 74 ], + "E": [ 84 ], "Q": [ 26 ], - "R": [ 54 ] + "R": [ 49 ] } }, "io_ctrl_ins.led0_state_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000101110111011" + "LUT_INIT": "0000011101110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10255,11 +10181,11 @@ "O": "output" }, "connections": { - "I0": [ 97 ], - "I1": [ 87 ], + "I0": [ 85 ], + "I1": [ 86 ], "I2": [ 47 ], "I3": [ 26 ], - "O": [ 98 ] + "O": [ 87 ] } }, "io_ctrl_ins.led1_state_SB_DFFESR_Q": { @@ -10279,22 +10205,22 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 83 ], - "E": [ 96 ], + "C": [ 70 ], + "D": [ 71 ], + "E": [ 84 ], "Q": [ 27 ], - "R": [ 54 ] + "R": [ 49 ] } }, "io_ctrl_ins.led1_state_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111111111000000" + "LUT_INIT": "1111111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", @@ -10305,17 +10231,42 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 99 ], + "I1": [ "0" ], + "I2": [ 88 ], + "I3": [ 49 ], + "O": [ 84 ] + } + }, + "io_ctrl_ins.led1_state_SB_DFFESR_Q_E_SB_LUT4_O_I2_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 89 ], + "I1": [ 82 ], "I2": [ 47 ], - "I3": [ 54 ], - "O": [ 96 ] + "I3": [ 90 ], + "O": [ 88 ] } }, - "io_ctrl_ins.led1_state_SB_LUT4_I0": { + "io_ctrl_ins.led1_state_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1000111111111111" + "LUT_INIT": "0000011101110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10329,18 +10280,18 @@ "O": "output" }, "connections": { - "I0": [ 27 ], - "I1": [ 47 ], - "I2": [ 100 ], - "I3": [ 101 ], - "O": [ 102 ] + "I0": [ 8 ], + "I1": [ 91 ], + "I2": [ 47 ], + "I3": [ 27 ], + "O": [ 92 ] } }, - "io_ctrl_ins.led1_state_SB_LUT4_I0_I2_SB_LUT4_O": { + "io_ctrl_ins.led1_state_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1011000010111011" + "LUT_INIT": "0111000001110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10354,11 +10305,11 @@ "O": "output" }, "connections": { - "I0": [ 103 ], - "I1": [ 104 ], - "I2": [ 97 ], - "I3": [ 85 ], - "O": [ 101 ] + "I0": [ 86 ], + "I1": [ 93 ], + "I2": [ 94 ], + "I3": [ 73 ], + "O": [ 95 ] } }, "io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q": { @@ -10377,9 +10328,9 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 105 ], - "E": [ 90 ], + "C": [ 70 ], + "D": [ 96 ], + "E": [ 78 ], "Q": [ 8 ] } }, @@ -10402,35 +10353,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 106 ], - "I2": [ 88 ], - "I3": [ 91 ], - "O": [ 105 ] - } - }, - "io_ctrl_ins.lna_rx_shutdown_state_SB_LUT4_I1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0011010111111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 107 ], - "I1": [ 8 ], - "I2": [ 51 ], - "I3": [ 108 ], - "O": [ 100 ] + "I1": [ 97 ], + "I2": [ 76 ], + "I3": [ 79 ], + "O": [ 96 ] } }, "io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q": { @@ -10449,9 +10375,9 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 109 ], - "E": [ 90 ], + "C": [ 70 ], + "D": [ 98 ], + "E": [ 78 ], "Q": [ 9 ] } }, @@ -10473,11 +10399,11 @@ "O": "output" }, "connections": { - "I0": [ 88 ], - "I1": [ 89 ], - "I2": [ 110 ], - "I3": [ 91 ], - "O": [ 109 ] + "I0": [ 76 ], + "I1": [ 77 ], + "I2": [ 99 ], + "I3": [ 79 ], + "O": [ 98 ] } }, "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1": { @@ -10498,11 +10424,136 @@ "O": "output" }, "connections": { - "I0": [ 111 ], + "I0": [ 100 ], "I1": [ 9 ], - "I2": [ 51 ], + "I2": [ 101 ], + "I3": [ 102 ], + "O": [ 103 ] + } + }, + "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 102 ], + "I3": [ 101 ], + "O": [ 91 ] + } + }, + "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 104 ], + "I2": [ 105 ], + "I3": [ 101 ], + "O": [ 94 ] + } + }, + "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 101 ], + "I3": [ 102 ], + "O": [ 86 ] + } + }, + "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 101 ], + "I2": [ 104 ], + "I3": [ 105 ], + "O": [ 47 ] + } + }, + "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 104 ], + "I1": [ 106 ], + "I2": [ 107 ], "I3": [ 108 ], - "O": [ 112 ] + "O": [ 102 ] } }, "io_ctrl_ins.mixer_en_state_SB_DFFE_Q": { @@ -10521,10 +10572,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 113 ], - "E": [ 90 ], - "Q": [ 114 ] + "C": [ 70 ], + "D": [ 109 ], + "E": [ 78 ], + "Q": [ 110 ] } }, "io_ctrl_ins.mixer_en_state_SB_DFFE_Q_D_SB_LUT4_O": { @@ -10545,18 +10596,18 @@ "O": "output" }, "connections": { - "I0": [ 115 ], - "I1": [ 89 ], - "I2": [ 88 ], - "I3": [ 91 ], - "O": [ 113 ] + "I0": [ 111 ], + "I1": [ 77 ], + "I2": [ 76 ], + "I3": [ 79 ], + "O": [ 109 ] } }, "io_ctrl_ins.mixer_en_state_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0011010111111111" + "LUT_INIT": "0111000001110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10570,11 +10621,11 @@ "O": "output" }, "connections": { - "I0": [ 116 ], - "I1": [ 114 ], - "I2": [ 51 ], - "I3": [ 108 ], - "O": [ 117 ] + "I0": [ 91 ], + "I1": [ 110 ], + "I2": [ 94 ], + "I3": [ 75 ], + "O": [ 112 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q": { @@ -10594,11 +10645,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 102 ], - "E": [ 118 ], - "Q": [ 119 ], - "R": [ 120 ] + "C": [ 70 ], + "D": [ 113 ], + "E": [ 114 ], + "Q": [ 115 ], + "R": [ 116 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_1": { @@ -10618,18 +10669,18 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 121 ], - "E": [ 118 ], - "Q": [ 122 ], - "R": [ 120 ] + "C": [ 70 ], + "D": [ 117 ], + "E": [ 114 ], + "Q": [ 118 ], + "R": [ 116 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0010111111111111" + "LUT_INIT": "1111100011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10643,11 +10694,11 @@ "O": "output" }, "connections": { - "I0": [ 123 ], - "I1": [ 103 ], - "I2": [ 124 ], - "I3": [ 125 ], - "O": [ 121 ] + "I0": [ 119 ], + "I1": [ 86 ], + "I2": [ 120 ], + "I3": [ 121 ], + "O": [ 117 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2": { @@ -10667,18 +10718,18 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 126 ], - "E": [ 118 ], - "Q": [ 127 ], - "R": [ 120 ] + "C": [ 70 ], + "D": [ 122 ], + "E": [ 114 ], + "Q": [ 123 ], + "R": [ 116 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0010111111111111" + "LUT_INIT": "1111100011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10692,11 +10743,11 @@ "O": "output" }, "connections": { - "I0": [ 128 ], - "I1": [ 103 ], - "I2": [ 129 ], - "I3": [ 130 ], - "O": [ 126 ] + "I0": [ 124 ], + "I1": [ 86 ], + "I2": [ 48 ], + "I3": [ 125 ], + "O": [ 122 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3": { @@ -10716,18 +10767,18 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 131 ], - "E": [ 132 ], - "Q": [ 133 ], - "R": [ 134 ] + "C": [ 70 ], + "D": [ 126 ], + "E": [ 127 ], + "Q": [ 128 ], + "R": [ 129 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111001011111111" + "LUT_INIT": "0010111111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10741,11 +10792,11 @@ "O": "output" }, "connections": { - "I0": [ 135 ], - "I1": [ 103 ], - "I2": [ 136 ], - "I3": [ 137 ], - "O": [ 131 ] + "I0": [ 130 ], + "I1": [ 131 ], + "I2": [ 92 ], + "I3": [ 95 ], + "O": [ 126 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_4": { @@ -10765,18 +10816,18 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 138 ], - "E": [ 132 ], - "Q": [ 139 ], - "R": [ 134 ] + "C": [ 70 ], + "D": [ 132 ], + "E": [ 127 ], + "Q": [ 133 ], + "R": [ 129 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_4_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111100011111111" + "LUT_INIT": "0010111111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10790,11 +10841,11 @@ "O": "output" }, "connections": { - "I0": [ 16 ], - "I1": [ 47 ], - "I2": [ 140 ], - "I3": [ 141 ], - "O": [ 138 ] + "I0": [ 134 ], + "I1": [ 131 ], + "I2": [ 135 ], + "I3": [ 136 ], + "O": [ 132 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_5": { @@ -10814,18 +10865,18 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 50 ], - "E": [ 132 ], - "Q": [ 142 ], - "R": [ 134 ] + "C": [ 70 ], + "D": [ 137 ], + "E": [ 127 ], + "Q": [ 138 ], + "R": [ 129 ] } }, - "io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_E_SB_LUT4_O": { + "io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0100111100000000" + "LUT_INIT": "0010111111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10839,36 +10890,11 @@ "O": "output" }, "connections": { - "I0": [ 51 ], - "I1": [ 143 ], - "I2": [ 134 ], - "I3": [ 144 ], - "O": [ 132 ] - } - }, - "io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000000111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 53 ], - "I2": [ 52 ], - "I3": [ 108 ], - "O": [ 134 ] + "I0": [ 139 ], + "I1": [ 131 ], + "I2": [ 140 ], + "I3": [ 141 ], + "O": [ 137 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6": { @@ -10888,22 +10914,22 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 145 ], - "E": [ 146 ], - "Q": [ 147 ], - "R": [ 148 ] + "C": [ 70 ], + "D": [ 142 ], + "E": [ 143 ], + "Q": [ 144 ], + "R": [ 145 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0011000011111111" + "LUT_INIT": "1111001011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -10913,22 +10939,22 @@ "O": "output" }, "connections": { - "I0": [ "0" ], - "I1": [ 103 ], - "I2": [ 149 ], - "I3": [ 150 ], - "O": [ 145 ] + "I0": [ 146 ], + "I1": [ 131 ], + "I2": [ 147 ], + "I3": [ 103 ], + "O": [ 142 ] } }, - "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I3_SB_LUT4_O": { + "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111001100000000" + "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", @@ -10939,10 +10965,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 151 ], - "I2": [ 97 ], - "I3": [ 112 ], - "O": [ 150 ] + "I1": [ "0" ], + "I2": [ 94 ], + "I3": [ 148 ], + "O": [ 147 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O": { @@ -10964,21 +10990,71 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 143 ], - "I2": [ 148 ], - "I3": [ 144 ], - "O": [ 146 ] + "I1": [ 101 ], + "I2": [ 104 ], + "I3": [ 127 ], + "O": [ 143 ] + } + }, + "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 101 ], + "I2": [ 105 ], + "I3": [ 102 ], + "O": [ 145 ] + } + }, + "io_ctrl_ins.o_data_out_SB_DFFESR_Q_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111100011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 149 ], + "I1": [ 86 ], + "I2": [ 150 ], + "I3": [ 151 ], + "O": [ 113 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111110000000000" + "LUT_INIT": "1110111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -10988,18 +11064,18 @@ "O": "output" }, "connections": { - "I0": [ "0" ], - "I1": [ 108 ], - "I2": [ 52 ], - "I3": [ 144 ], - "O": [ 118 ] + "I0": [ 102 ], + "I1": [ 104 ], + "I2": [ 101 ], + "I3": [ 127 ], + "O": [ 114 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_R_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0011111100000000" + "LUT_INIT": "0000000000111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -11014,10 +11090,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 53 ], - "I2": [ 52 ], - "I3": [ 148 ], - "O": [ 120 ] + "I1": [ 104 ], + "I2": [ 105 ], + "I3": [ 102 ], + "O": [ 116 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESS_Q": { @@ -11037,11 +11113,11 @@ "S": "input" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 152 ], - "E": [ 118 ], + "E": [ 127 ], "Q": [ 153 ], - "S": [ 120 ] + "S": [ 129 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESS_Q_D_SB_LUT4_O": { @@ -11063,12 +11139,62 @@ }, "connections": { "I0": [ 154 ], - "I1": [ 103 ], - "I2": [ 117 ], - "I3": [ 98 ], + "I1": [ 131 ], + "I2": [ 87 ], + "I3": [ 112 ], "O": [ 152 ] } }, + "io_ctrl_ins.o_data_out_SB_DFFESS_Q_E_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 102 ], + "I2": [ 105 ], + "I3": [ 155 ], + "O": [ 127 ] + } + }, + "io_ctrl_ins.o_data_out_SB_DFFESS_Q_S_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 101 ], + "I2": [ 105 ], + "I3": [ 116 ], + "O": [ 129 ] + } + }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", @@ -11085,10 +11211,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 155 ], - "E": [ 156 ], - "Q": [ 157 ] + "C": [ 70 ], + "D": [ 156 ], + "E": [ 157 ], + "Q": [ 158 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_1": { @@ -11107,10 +11233,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 158 ], - "E": [ 156 ], - "Q": [ 159 ] + "C": [ 70 ], + "D": [ 159 ], + "E": [ 157 ], + "Q": [ 160 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_2": { @@ -11129,10 +11255,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 160 ], - "E": [ 156 ], - "Q": [ 135 ] + "C": [ 70 ], + "D": [ 161 ], + "E": [ 157 ], + "Q": [ 162 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_3": { @@ -11151,10 +11277,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 161 ], - "E": [ 156 ], - "Q": [ 128 ] + "C": [ 70 ], + "D": [ 163 ], + "E": [ 157 ], + "Q": [ 139 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_4": { @@ -11173,10 +11299,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 162 ], - "E": [ 156 ], - "Q": [ 123 ] + "C": [ 70 ], + "D": [ 164 ], + "E": [ 157 ], + "Q": [ 134 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_5": { @@ -11195,10 +11321,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 163 ], - "E": [ 156 ], - "Q": [ 149 ] + "C": [ 70 ], + "D": [ 165 ], + "E": [ 157 ], + "Q": [ 146 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_6": { @@ -11217,10 +11343,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 83 ], - "E": [ 156 ], - "Q": [ 104 ] + "C": [ 70 ], + "D": [ 71 ], + "E": [ 157 ], + "Q": [ 130 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_7": { @@ -11239,9 +11365,9 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 86 ], - "E": [ 156 ], + "C": [ 70 ], + "D": [ 74 ], + "E": [ 157 ], "Q": [ 154 ] } }, @@ -11265,9 +11391,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 103 ], - "I3": [ 164 ], - "O": [ 156 ] + "I2": [ 131 ], + "I3": [ 166 ], + "O": [ 157 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q": { @@ -11286,10 +11412,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 155 ], - "E": [ 165 ], - "Q": [ 166 ] + "C": [ 70 ], + "D": [ 156 ], + "E": [ 167 ], + "Q": [ 124 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_1": { @@ -11308,10 +11434,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 158 ], - "E": [ 165 ], - "Q": [ 167 ] + "C": [ 70 ], + "D": [ 159 ], + "E": [ 167 ], + "Q": [ 119 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_2": { @@ -11330,10 +11456,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 160 ], - "E": [ 165 ], - "Q": [ 168 ] + "C": [ 70 ], + "D": [ 161 ], + "E": [ 167 ], + "Q": [ 149 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_3": { @@ -11352,10 +11478,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 161 ], - "E": [ 165 ], - "Q": [ 169 ] + "C": [ 70 ], + "D": [ 163 ], + "E": [ 167 ], + "Q": [ 168 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_4": { @@ -11374,10 +11500,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 162 ], - "E": [ 165 ], - "Q": [ 170 ] + "C": [ 70 ], + "D": [ 164 ], + "E": [ 167 ], + "Q": [ 169 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_5": { @@ -11396,10 +11522,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 163 ], - "E": [ 165 ], - "Q": [ 111 ] + "C": [ 70 ], + "D": [ 165 ], + "E": [ 167 ], + "Q": [ 100 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_6": { @@ -11418,10 +11544,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 83 ], - "E": [ 165 ], - "Q": [ 107 ] + "C": [ 70 ], + "D": [ 71 ], + "E": [ 167 ], + "Q": [ 93 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_7": { @@ -11440,10 +11566,35 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 86 ], - "E": [ 165 ], - "Q": [ 116 ] + "C": [ 70 ], + "D": [ 74 ], + "E": [ 167 ], + "Q": [ 85 ] + } + }, + "io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 86 ], + "I3": [ 166 ], + "O": [ 167 ] } }, "io_ctrl_ins.rf_mode_SB_DFFESR_Q": { @@ -11463,11 +11614,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 161 ], - "E": [ 84 ], - "Q": [ 89 ], - "R": [ 54 ] + "C": [ 70 ], + "D": [ 163 ], + "E": [ 72 ], + "Q": [ 77 ], + "R": [ 49 ] } }, "io_ctrl_ins.rf_mode_SB_DFFESR_Q_1": { @@ -11487,11 +11638,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 162 ], - "E": [ 84 ], - "Q": [ 88 ], - "R": [ 54 ] + "C": [ 70 ], + "D": [ 164 ], + "E": [ 72 ], + "Q": [ 76 ], + "R": [ 49 ] } }, "io_ctrl_ins.rf_mode_SB_DFFESR_Q_2": { @@ -11511,11 +11662,36 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 163 ], - "E": [ 84 ], - "Q": [ 151 ], - "R": [ 54 ] + "C": [ 70 ], + "D": [ 165 ], + "E": [ 72 ], + "Q": [ 148 ], + "R": [ 49 ] + } + }, + "io_ctrl_ins.rf_mode_SB_DFFESR_Q_E_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 170 ], + "I3": [ 49 ], + "O": [ 72 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q": { @@ -11534,8 +11710,8 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 155 ], + "C": [ 70 ], + "D": [ 156 ], "E": [ 171 ], "Q": [ 172 ] } @@ -11556,8 +11732,8 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 158 ], + "C": [ 70 ], + "D": [ 159 ], "E": [ 171 ], "Q": [ 173 ] } @@ -11578,8 +11754,8 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 160 ], + "C": [ 70 ], + "D": [ 161 ], "E": [ 171 ], "Q": [ 174 ] } @@ -11600,8 +11776,8 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 161 ], + "C": [ 70 ], + "D": [ 163 ], "E": [ 171 ], "Q": [ 175 ] } @@ -11622,8 +11798,8 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 162 ], + "C": [ 70 ], + "D": [ 164 ], "E": [ 171 ], "Q": [ 176 ] } @@ -11644,10 +11820,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 163 ], + "C": [ 70 ], + "D": [ 165 ], "E": [ 171 ], - "Q": [ 110 ] + "Q": [ 99 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_6": { @@ -11666,10 +11842,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 83 ], + "C": [ 70 ], + "D": [ 71 ], "E": [ 171 ], - "Q": [ 106 ] + "Q": [ 97 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_7": { @@ -11688,21 +11864,21 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 86 ], + "C": [ 70 ], + "D": [ 74 ], "E": [ 171 ], - "Q": [ 115 ] + "Q": [ 111 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1100000000000000" + "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", @@ -11713,9 +11889,9 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 164 ], - "I2": [ 108 ], - "I3": [ 51 ], + "I1": [ "0" ], + "I2": [ 91 ], + "I3": [ 166 ], "O": [ 171 ] } }, @@ -11735,9 +11911,9 @@ "Q": "output" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 177 ], - "E": [ 90 ], + "E": [ 78 ], "Q": [ 4 ] } }, @@ -11760,17 +11936,17 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 91 ], + "I1": [ 79 ], "I2": [ 173 ], "I3": [ 178 ], "O": [ 177 ] } }, - "io_ctrl_ins.rx_h_b_state_SB_LUT4_I1": { + "io_ctrl_ins.rx_h_b_state_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0011010111111111" + "LUT_INIT": "0000101110111011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -11784,18 +11960,18 @@ "O": "output" }, "connections": { - "I0": [ 167 ], - "I1": [ 4 ], - "I2": [ 51 ], - "I3": [ 108 ], - "O": [ 141 ] + "I0": [ 131 ], + "I1": [ 160 ], + "I2": [ 91 ], + "I3": [ 4 ], + "O": [ 121 ] } }, - "io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O_SB_LUT4_O": { + "io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000111100000000" + "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -11811,9 +11987,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 103 ], - "I3": [ 159 ], - "O": [ 140 ] + "I2": [ 47 ], + "I3": [ 16 ], + "O": [ 120 ] } }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q": { @@ -11832,9 +12008,9 @@ "Q": "output" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 179 ], - "E": [ 90 ], + "E": [ 78 ], "Q": [ 3 ] } }, @@ -11858,7 +12034,7 @@ "connections": { "I0": [ "0" ], "I1": [ 172 ], - "I2": [ 91 ], + "I2": [ 79 ], "I3": [ 178 ], "O": [ 179 ] } @@ -11881,18 +12057,18 @@ "O": "output" }, "connections": { - "I0": [ 91 ], - "I1": [ 151 ], - "I2": [ 89 ], - "I3": [ 88 ], + "I0": [ 79 ], + "I1": [ 148 ], + "I2": [ 77 ], + "I3": [ 76 ], "O": [ 178 ] } }, - "io_ctrl_ins.rx_h_state_SB_LUT4_I1": { + "io_ctrl_ins.rx_h_state_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0011010111111111" + "LUT_INIT": "0000101110111011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -11906,36 +12082,11 @@ "O": "output" }, "connections": { - "I0": [ 166 ], - "I1": [ 3 ], - "I2": [ 51 ], - "I3": [ 108 ], - "O": [ 49 ] - } - }, - "io_ctrl_ins.rx_h_state_SB_LUT4_I1_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000111100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 103 ], - "I3": [ 157 ], - "O": [ 48 ] + "I0": [ 131 ], + "I1": [ 158 ], + "I2": [ 91 ], + "I3": [ 3 ], + "O": [ 125 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_DFFE_Q": { @@ -11954,9 +12105,9 @@ "Q": "output" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 180 ], - "E": [ 90 ], + "E": [ 78 ], "Q": [ 6 ] } }, @@ -11979,7 +12130,7 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 91 ], + "I1": [ 79 ], "I2": [ 175 ], "I3": [ 181 ], "O": [ 180 ] @@ -11989,7 +12140,7 @@ "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0011010111111111" + "LUT_INIT": "0111000001110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -12003,18 +12154,18 @@ "O": "output" }, "connections": { - "I0": [ 169 ], + "I0": [ 91 ], "I1": [ 6 ], - "I2": [ 51 ], - "I3": [ 108 ], - "O": [ 129 ] + "I2": [ 94 ], + "I3": [ 77 ], + "O": [ 141 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000101110111011" + "LUT_INIT": "0000011101110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -12028,11 +12179,36 @@ "O": "output" }, "connections": { - "I0": [ 97 ], - "I1": [ 89 ], + "I0": [ 168 ], + "I1": [ 86 ], "I2": [ 47 ], "I3": [ 14 ], - "O": [ 130 ] + "O": [ 140 ] + } + }, + "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 105 ], + "I2": [ 104 ], + "I3": [ 101 ], + "O": [ 131 ] } }, "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q": { @@ -12051,9 +12227,9 @@ "Q": "output" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 182 ], - "E": [ 90 ], + "E": [ 78 ], "Q": [ 5 ] } }, @@ -12077,7 +12253,7 @@ "connections": { "I0": [ "0" ], "I1": [ 174 ], - "I2": [ 91 ], + "I2": [ 79 ], "I3": [ 181 ], "O": [ 182 ] } @@ -12100,18 +12276,18 @@ "O": "output" }, "connections": { - "I0": [ 151 ], - "I1": [ 89 ], - "I2": [ 88 ], - "I3": [ 91 ], + "I0": [ 148 ], + "I1": [ 77 ], + "I2": [ 76 ], + "I3": [ 79 ], "O": [ 181 ] } }, - "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1": { + "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0011010111111111" + "LUT_INIT": "0000101110111011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -12125,14 +12301,14 @@ "O": "output" }, "connections": { - "I0": [ 168 ], - "I1": [ 5 ], - "I2": [ 51 ], - "I3": [ 108 ], - "O": [ 137 ] + "I0": [ 131 ], + "I1": [ 162 ], + "I2": [ 91 ], + "I3": [ 5 ], + "O": [ 151 ] } }, - "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O_SB_LUT4_O": { + "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -12154,7 +12330,7 @@ "I1": [ "0" ], "I2": [ 47 ], "I3": [ 15 ], - "O": [ 136 ] + "O": [ 150 ] } }, "io_ctrl_ins.tr_vc_2_state_SB_DFFE_Q": { @@ -12173,9 +12349,9 @@ "Q": "output" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 183 ], - "E": [ 90 ], + "E": [ 78 ], "Q": [ 7 ] } }, @@ -12198,17 +12374,17 @@ }, "connections": { "I0": [ 176 ], - "I1": [ 89 ], - "I2": [ 88 ], - "I3": [ 91 ], + "I1": [ 77 ], + "I2": [ 76 ], + "I3": [ 79 ], "O": [ 183 ] } }, - "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1": { + "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0011010111111111" + "LUT_INIT": "0000011101110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -12222,36 +12398,36 @@ "O": "output" }, "connections": { - "I0": [ 170 ], - "I1": [ 7 ], - "I2": [ 51 ], - "I3": [ 108 ], - "O": [ 124 ] - } - }, - "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000101110111011" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 97 ], - "I1": [ 88 ], + "I0": [ 7 ], + "I1": [ 91 ], "I2": [ 47 ], "I3": [ 13 ], - "O": [ 125 ] + "O": [ 135 ] + } + }, + "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0111000001110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 86 ], + "I1": [ 169 ], + "I2": [ 94 ], + "I3": [ 76 ], + "O": [ 136 ] } }, "io_smi_data_SB_LUT4_O": { @@ -12439,7 +12615,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:231.6-235.36" + "src": "top.v:228.6-232.36" }, "port_directions": { "CLOCK_ENABLE": "input", @@ -12466,7 +12642,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:218.6-223.36" + "src": "top.v:215.6-220.36" }, "port_directions": { "CLOCK_ENABLE": "input", @@ -12492,7 +12668,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:198.6-200.30" + "src": "top.v:195.6-197.30" }, "port_directions": { "CLOCK_ENABLE": "input", @@ -12512,7 +12688,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12534,7 +12710,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12556,7 +12732,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12578,7 +12754,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12600,7 +12776,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12622,7 +12798,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12644,7 +12820,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12666,7 +12842,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12688,7 +12864,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12710,7 +12886,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12732,7 +12908,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12754,7 +12930,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12776,7 +12952,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12798,7 +12974,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12820,7 +12996,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12842,7 +13018,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12864,7 +13040,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12886,7 +13062,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12908,7 +13084,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12930,7 +13106,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12952,7 +13128,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12974,7 +13150,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -12996,7 +13172,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -13018,7 +13194,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -13040,7 +13216,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -13062,7 +13238,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -13084,7 +13260,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -13106,7 +13282,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -13128,7 +13304,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -13150,7 +13326,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -13172,7 +13348,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -13194,7 +13370,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -13216,7 +13392,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", @@ -13228,7 +13404,454 @@ "C": [ 193 ], "D": [ 259 ], "Q": [ 260 ], - "R": [ 54 ] + "R": [ 49 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 260 ], + "I2": [ 261 ], + "I3": [ 262 ], + "O": [ 263 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 264 ], + "I1": [ 265 ], + "I2": [ 266 ], + "I3": [ 267 ], + "O": [ 261 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0001000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 268 ], + "I1": [ 269 ], + "I2": [ 270 ], + "I3": [ 271 ], + "O": [ 262 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 272 ], + "CO": [ 269 ], + "I0": [ "0" ], + "I1": [ 273 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1_SB_CARRY_CO_1": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 274 ], + "CO": [ 272 ], + "I0": [ "0" ], + "I1": [ 275 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1_SB_CARRY_CO_2": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 276 ], + "CO": [ 274 ], + "I0": [ "0" ], + "I1": [ 277 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1_SB_CARRY_CO_3": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 278 ], + "CO": [ 276 ], + "I0": [ "0" ], + "I1": [ 279 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1_SB_CARRY_CO_4": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 280 ], + "CO": [ 278 ], + "I0": [ "0" ], + "I1": [ 281 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1_SB_CARRY_CO_5": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 282 ], + "CO": [ 280 ], + "I0": [ "0" ], + "I1": [ 283 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1_SB_CARRY_CO_6": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 284 ], + "CO": [ 282 ], + "I0": [ "0" ], + "I1": [ 285 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 285 ], + "I3": [ 284 ], + "O": [ 286 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 273 ], + "I3": [ 272 ], + "O": [ 287 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 275 ], + "I3": [ 274 ], + "O": [ 288 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1_SB_LUT4_O_3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 277 ], + "I3": [ 276 ], + "O": [ 289 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1_SB_LUT4_O_4": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 279 ], + "I3": [ 278 ], + "O": [ 265 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1_SB_LUT4_O_5": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 281 ], + "I3": [ 280 ], + "O": [ 271 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1_SB_LUT4_O_6": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 283 ], + "I3": [ 282 ], + "O": [ 290 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 291 ], + "I1": [ 289 ], + "I2": [ 292 ], + "I3": [ 288 ], + "O": [ 266 ] + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0100000110000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 284 ], + "I1": [ 293 ], + "I2": [ 287 ], + "I3": [ 294 ], + "O": [ 267 ] } }, "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3": { @@ -13251,9 +13874,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 54 ], + "I2": [ 49 ], "I3": [ 260 ], - "O": [ 261 ] + "O": [ 295 ] } }, "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1": { @@ -13276,9 +13899,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 54 ], + "I2": [ 49 ], "I3": [ 260 ], - "O": [ 262 ] + "O": [ 296 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q": { @@ -13288,7 +13911,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13300,9 +13923,9 @@ "connections": { "C": [ 193 ], "D": [ 221 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 196 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_1": { @@ -13312,7 +13935,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13324,9 +13947,9 @@ "connections": { "C": [ 193 ], "D": [ 243 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 199 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_10": { @@ -13336,7 +13959,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13348,9 +13971,9 @@ "connections": { "C": [ 193 ], "D": [ 205 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 201 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_11": { @@ -13360,7 +13983,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13372,9 +13995,9 @@ "connections": { "C": [ 193 ], "D": [ 207 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 203 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_12": { @@ -13384,7 +14007,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13396,9 +14019,9 @@ "connections": { "C": [ 193 ], "D": [ 209 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 205 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_13": { @@ -13408,7 +14031,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13420,9 +14043,9 @@ "connections": { "C": [ 193 ], "D": [ 211 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 207 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_14": { @@ -13432,7 +14055,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13444,9 +14067,9 @@ "connections": { "C": [ 193 ], "D": [ 213 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 209 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_15": { @@ -13456,7 +14079,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13468,9 +14091,9 @@ "connections": { "C": [ 193 ], "D": [ 215 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 211 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_16": { @@ -13480,7 +14103,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13492,9 +14115,9 @@ "connections": { "C": [ 193 ], "D": [ 217 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 213 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_17": { @@ -13504,7 +14127,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13516,9 +14139,9 @@ "connections": { "C": [ 193 ], "D": [ 219 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 215 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_18": { @@ -13528,7 +14151,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13540,9 +14163,9 @@ "connections": { "C": [ 193 ], "D": [ 223 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 217 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_19": { @@ -13552,7 +14175,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13564,9 +14187,9 @@ "connections": { "C": [ 193 ], "D": [ 225 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 219 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_2": { @@ -13576,7 +14199,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13588,9 +14211,9 @@ "connections": { "C": [ 193 ], "D": [ 247 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 221 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_20": { @@ -13600,7 +14223,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13612,9 +14235,9 @@ "connections": { "C": [ 193 ], "D": [ 227 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 223 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_21": { @@ -13624,7 +14247,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13636,9 +14259,9 @@ "connections": { "C": [ 193 ], "D": [ 229 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 225 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_22": { @@ -13648,7 +14271,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13660,9 +14283,9 @@ "connections": { "C": [ 193 ], "D": [ 231 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 227 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_23": { @@ -13672,7 +14295,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13684,9 +14307,9 @@ "connections": { "C": [ 193 ], "D": [ 233 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 229 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_24": { @@ -13696,7 +14319,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13708,9 +14331,9 @@ "connections": { "C": [ 193 ], "D": [ 235 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 231 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_25": { @@ -13720,7 +14343,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13732,9 +14355,9 @@ "connections": { "C": [ 193 ], "D": [ 237 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 233 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_26": { @@ -13744,7 +14367,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13756,9 +14379,9 @@ "connections": { "C": [ 193 ], "D": [ 239 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 235 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_27": { @@ -13768,7 +14391,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13780,9 +14403,9 @@ "connections": { "C": [ 193 ], "D": [ 241 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 237 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_28": { @@ -13792,7 +14415,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13804,9 +14427,9 @@ "connections": { "C": [ 193 ], "D": [ 192 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 239 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_29": { @@ -13816,7 +14439,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13828,9 +14451,9 @@ "connections": { "C": [ 193 ], "D": [ 191 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 241 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_3": { @@ -13840,7 +14463,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13852,9 +14475,9 @@ "connections": { "C": [ 193 ], "D": [ 249 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 243 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_4": { @@ -13864,7 +14487,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13876,9 +14499,9 @@ "connections": { "C": [ 193 ], "D": [ 251 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 247 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_5": { @@ -13888,7 +14511,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13900,9 +14523,9 @@ "connections": { "C": [ 193 ], "D": [ 253 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 249 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_6": { @@ -13912,7 +14535,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13924,9 +14547,9 @@ "connections": { "C": [ 193 ], "D": [ 255 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 251 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_7": { @@ -13936,7 +14559,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13948,9 +14571,9 @@ "connections": { "C": [ 193 ], "D": [ 257 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 253 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_8": { @@ -13960,7 +14583,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13972,9 +14595,9 @@ "connections": { "C": [ 193 ], "D": [ 201 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 255 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_data_SB_DFFESR_Q_9": { @@ -13984,7 +14607,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -13996,9 +14619,9 @@ "connections": { "C": [ 193 ], "D": [ 203 ], - "E": [ 263 ], + "E": [ 297 ], "Q": [ 257 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O": { @@ -14022,8 +14645,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 264 ], - "O": [ 265 ] + "I3": [ 298 ], + "O": [ 299 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1": { @@ -14047,8 +14670,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 266 ], - "O": [ 267 ] + "I3": [ 300 ], + "O": [ 301 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q": { @@ -14058,7 +14681,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -14069,10 +14692,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 268 ], - "E": [ 269 ], - "Q": [ 264 ], - "R": [ 54 ] + "D": [ 302 ], + "E": [ 303 ], + "Q": [ 298 ], + "R": [ 49 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -14093,11 +14716,11 @@ "O": "output" }, "connections": { - "I0": [ 270 ], - "I1": [ 271 ], - "I2": [ 272 ], - "I3": [ 273 ], - "O": [ 268 ] + "I0": [ 304 ], + "I1": [ 305 ], + "I2": [ 306 ], + "I3": [ 307 ], + "O": [ 302 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E": { @@ -14107,7 +14730,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -14118,10 +14741,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 274 ], - "E": [ 269 ], - "Q": [ 275 ], - "R": [ 54 ] + "D": [ 308 ], + "E": [ 303 ], + "Q": [ 309 ], + "R": [ 49 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1": { @@ -14131,7 +14754,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -14142,17 +14765,17 @@ }, "connections": { "C": [ 193 ], - "D": [ 276 ], - "E": [ 269 ], - "Q": [ 266 ], - "R": [ 54 ] + "D": [ 310 ], + "E": [ 303 ], + "Q": [ 300 ], + "R": [ 49 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0100111100000000" + "LUT_INIT": "0100111101000100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -14166,11 +14789,11 @@ "O": "output" }, "connections": { - "I0": [ 270 ], - "I1": [ 271 ], - "I2": [ 266 ], - "I3": [ 273 ], - "O": [ 276 ] + "I0": [ 300 ], + "I1": [ 307 ], + "I2": [ 304 ], + "I3": [ 305 ], + "O": [ 310 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O": { @@ -14181,7 +14804,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:259.12-269.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -14191,11 +14814,11 @@ "O": "output" }, "connections": { - "I0": [ 275 ], + "I0": [ 309 ], "I1": [ "0" ], "I2": [ "1" ], - "I3": [ 277 ], - "O": [ 278 ] + "I3": [ 311 ], + "O": [ 312 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O_1": { @@ -14206,7 +14829,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:259.12-269.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -14217,10 +14840,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 265 ], + "I1": [ 299 ], "I2": [ "1" ], - "I3": [ 267 ], - "O": [ 272 ] + "I3": [ 301 ], + "O": [ 306 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O_I3_SB_CARRY_CO": { @@ -14229,7 +14852,7 @@ "parameters": { }, "attributes": { - "src": "top.v:262.12-272.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:259.12-269.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -14238,9 +14861,9 @@ "I1": "input" }, "connections": { - "CI": [ 267 ], - "CO": [ 277 ], - "I0": [ 265 ], + "CI": [ 301 ], + "CO": [ 311 ], + "I0": [ 299 ], "I1": [ "1" ] } }, @@ -14262,18 +14885,18 @@ "O": "output" }, "connections": { - "I0": [ 270 ], - "I1": [ 271 ], - "I2": [ 278 ], - "I3": [ 273 ], - "O": [ 274 ] + "I0": [ 304 ], + "I1": [ 305 ], + "I2": [ 312 ], + "I3": [ 307 ], + "O": [ 308 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111111111110010" + "LUT_INIT": "0000101111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -14287,18 +14910,18 @@ "O": "output" }, "connections": { - "I0": [ 273 ], - "I1": [ 271 ], - "I2": [ 54 ], - "I3": [ 279 ], - "O": [ 269 ] + "I0": [ 307 ], + "I1": [ 304 ], + "I2": [ 305 ], + "I3": [ 313 ], + "O": [ 303 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000000001001111" + "LUT_INIT": "0000000011101111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -14312,18 +14935,43 @@ "O": "output" }, "connections": { - "I0": [ 192 ], - "I1": [ 191 ], - "I2": [ 273 ], - "I3": [ 270 ], - "O": [ 279 ] + "I0": [ 304 ], + "I1": [ 192 ], + "I2": [ 191 ], + "I3": [ 49 ], + "O": [ 313 ] } }, - "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2": { + "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1100000000000000" + "LUT_INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 300 ], + "I1": [ 298 ], + "I2": [ 309 ], + "I3": [ 307 ], + "O": [ 305 ] + } + }, + "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -14338,34 +14986,9 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 266 ], - "I2": [ 264 ], - "I3": [ 275 ], - "O": [ 271 ] - } - }, - "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0100000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 54 ], - "I1": [ 271 ], - "I2": [ 270 ], - "I3": [ 273 ], + "I1": [ 49 ], + "I2": [ 305 ], + "I3": [ 304 ], "O": [ 197 ] } }, @@ -14376,7 +14999,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -14387,10 +15010,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 280 ], - "E": [ 281 ], + "D": [ 314 ], + "E": [ 315 ], "Q": [ 259 ], - "R": [ 54 ] + "R": [ 49 ] } }, "lvds_rx_09_inst.r_push_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -14412,17 +15035,17 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 282 ], - "I2": [ 273 ], - "I3": [ 270 ], - "O": [ 280 ] + "I1": [ 316 ], + "I2": [ 307 ], + "I3": [ 304 ], + "O": [ 314 ] } }, "lvds_rx_09_inst.r_push_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1110110011001111" + "LUT_INIT": "1111110011110101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -14436,11 +15059,11 @@ "O": "output" }, "connections": { - "I0": [ 271 ], - "I1": [ 54 ], - "I2": [ 270 ], - "I3": [ 273 ], - "O": [ 281 ] + "I0": [ 307 ], + "I1": [ 305 ], + "I2": [ 49 ], + "I3": [ 304 ], + "O": [ 315 ] } }, "lvds_rx_09_inst.r_state_if_SB_DFFESR_Q": { @@ -14450,7 +15073,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -14461,10 +15084,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 283 ], - "E": [ 284 ], - "Q": [ 270 ], - "R": [ 54 ] + "D": [ 317 ], + "E": [ 318 ], + "Q": [ 304 ], + "R": [ 49 ] } }, "lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_1": { @@ -14474,7 +15097,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:259.12-269.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -14485,10 +15108,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 285 ], - "E": [ 284 ], - "Q": [ 273 ], - "R": [ 54 ] + "D": [ 319 ], + "E": [ 318 ], + "Q": [ 307 ], + "R": [ 49 ] } }, "lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -14509,11 +15132,11 @@ "O": "output" }, "connections": { - "I0": [ 270 ], + "I0": [ 304 ], "I1": [ 192 ], "I2": [ 191 ], - "I3": [ 273 ], - "O": [ 283 ] + "I3": [ 307 ], + "O": [ 317 ] } }, "lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_D_SB_LUT4_O_1": { @@ -14534,18 +15157,18 @@ "O": "output" }, "connections": { - "I0": [ 270 ], + "I0": [ 304 ], "I1": [ 192 ], "I2": [ 191 ], - "I3": [ 273 ], - "O": [ 285 ] + "I3": [ 307 ], + "O": [ 319 ] } }, "lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111111111000000" + "LUT_INIT": "1111111111111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -14560,17 +15183,17 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 271 ], - "I2": [ 273 ], - "I3": [ 286 ], - "O": [ 284 ] + "I1": [ 305 ], + "I2": [ 320 ], + "I3": [ 49 ], + "O": [ 318 ] } }, - "lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O": { + "lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111111100010000" + "LUT_INIT": "0000000100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -14584,36 +15207,11 @@ "O": "output" }, "connections": { - "I0": [ 270 ], - "I1": [ 273 ], - "I2": [ 287 ], - "I3": [ 54 ], - "O": [ 286 ] - } - }, - "lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000111100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], + "I0": [ 304 ], + "I1": [ 307 ], "I2": [ 191 ], "I3": [ 192 ], - "O": [ 287 ] + "O": [ 320 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I3": { @@ -14636,9 +15234,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 54 ], - "I3": [ 273 ], - "O": [ 263 ] + "I2": [ 49 ], + "I3": [ 307 ], + "O": [ 297 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q": { @@ -14648,359 +15246,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 288 ], - "E": [ 289 ], - "Q": [ 290 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_1": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 291 ], - "E": [ 289 ], - "Q": [ 292 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_10": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 293 ], - "E": [ 289 ], - "Q": [ 294 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_11": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 295 ], - "E": [ 289 ], - "Q": [ 296 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_12": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 297 ], - "E": [ 289 ], - "Q": [ 298 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_13": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 299 ], - "E": [ 289 ], - "Q": [ 300 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_14": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 301 ], - "E": [ 289 ], - "Q": [ 302 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_15": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 303 ], - "E": [ 289 ], - "Q": [ 304 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_16": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 305 ], - "E": [ 289 ], - "Q": [ 306 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_17": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 307 ], - "E": [ 289 ], - "Q": [ 308 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_18": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 309 ], - "E": [ 289 ], - "Q": [ 310 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_19": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 311 ], - "E": [ 289 ], - "Q": [ 312 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_2": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 313 ], - "E": [ 289 ], - "Q": [ 314 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_20": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 315 ], - "E": [ 289 ], - "Q": [ 316 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_21": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 317 ], - "E": [ 289 ], - "Q": [ 318 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_22": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 193 ], - "D": [ 319 ], - "E": [ 289 ], - "Q": [ 320 ] - } - }, - "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_23": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15011,8 +15257,360 @@ "connections": { "C": [ 193 ], "D": [ 321 ], - "E": [ 289 ], - "Q": [ 322 ] + "E": [ 322 ], + "Q": [ 323 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_1": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 324 ], + "E": [ 322 ], + "Q": [ 325 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_10": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 326 ], + "E": [ 322 ], + "Q": [ 327 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_11": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 328 ], + "E": [ 322 ], + "Q": [ 329 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_12": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 330 ], + "E": [ 322 ], + "Q": [ 331 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_13": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 332 ], + "E": [ 322 ], + "Q": [ 333 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_14": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 334 ], + "E": [ 322 ], + "Q": [ 335 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_15": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 336 ], + "E": [ 322 ], + "Q": [ 337 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_16": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 338 ], + "E": [ 322 ], + "Q": [ 339 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_17": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 340 ], + "E": [ 322 ], + "Q": [ 341 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_18": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 342 ], + "E": [ 322 ], + "Q": [ 343 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_19": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 344 ], + "E": [ 322 ], + "Q": [ 345 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_2": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 346 ], + "E": [ 322 ], + "Q": [ 347 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_20": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 348 ], + "E": [ 322 ], + "Q": [ 349 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_21": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 350 ], + "E": [ 322 ], + "Q": [ 351 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_22": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 352 ], + "E": [ 322 ], + "Q": [ 353 ] + } + }, + "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_23": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 193 ], + "D": [ 354 ], + "E": [ 322 ], + "Q": [ 355 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_24": { @@ -15022,7 +15620,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15032,9 +15630,9 @@ }, "connections": { "C": [ 193 ], - "D": [ 323 ], - "E": [ 289 ], - "Q": [ 324 ] + "D": [ 356 ], + "E": [ 322 ], + "Q": [ 357 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_25": { @@ -15044,7 +15642,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15054,9 +15652,9 @@ }, "connections": { "C": [ 193 ], - "D": [ 325 ], - "E": [ 289 ], - "Q": [ 326 ] + "D": [ 358 ], + "E": [ 322 ], + "Q": [ 359 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_26": { @@ -15066,7 +15664,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15076,9 +15674,9 @@ }, "connections": { "C": [ 193 ], - "D": [ 327 ], - "E": [ 289 ], - "Q": [ 328 ] + "D": [ 360 ], + "E": [ 322 ], + "Q": [ 361 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_27": { @@ -15088,7 +15686,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15098,9 +15696,9 @@ }, "connections": { "C": [ 193 ], - "D": [ 329 ], - "E": [ 289 ], - "Q": [ 330 ] + "D": [ 362 ], + "E": [ 322 ], + "Q": [ 363 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_28": { @@ -15110,7 +15708,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15120,9 +15718,9 @@ }, "connections": { "C": [ 193 ], - "D": [ 331 ], - "E": [ 289 ], - "Q": [ 332 ] + "D": [ 364 ], + "E": [ 322 ], + "Q": [ 365 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_29": { @@ -15132,7 +15730,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15142,9 +15740,9 @@ }, "connections": { "C": [ 193 ], - "D": [ 333 ], - "E": [ 289 ], - "Q": [ 334 ] + "D": [ 366 ], + "E": [ 322 ], + "Q": [ 367 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_3": { @@ -15154,7 +15752,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15164,9 +15762,9 @@ }, "connections": { "C": [ 193 ], - "D": [ 335 ], - "E": [ 289 ], - "Q": [ 336 ] + "D": [ 368 ], + "E": [ 322 ], + "Q": [ 369 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_30": { @@ -15176,7 +15774,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15187,8 +15785,8 @@ "connections": { "C": [ 193 ], "D": [ 195 ], - "E": [ 289 ], - "Q": [ 337 ] + "E": [ 322 ], + "Q": [ 370 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_31": { @@ -15198,7 +15796,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15209,8 +15807,8 @@ "connections": { "C": [ 193 ], "D": [ 194 ], - "E": [ 289 ], - "Q": [ 338 ] + "E": [ 322 ], + "Q": [ 371 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_4": { @@ -15220,7 +15818,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15230,9 +15828,9 @@ }, "connections": { "C": [ 193 ], - "D": [ 339 ], - "E": [ 289 ], - "Q": [ 340 ] + "D": [ 372 ], + "E": [ 322 ], + "Q": [ 373 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_5": { @@ -15242,7 +15840,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15252,9 +15850,9 @@ }, "connections": { "C": [ 193 ], - "D": [ 341 ], - "E": [ 289 ], - "Q": [ 342 ] + "D": [ 374 ], + "E": [ 322 ], + "Q": [ 375 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_6": { @@ -15264,7 +15862,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15274,9 +15872,9 @@ }, "connections": { "C": [ 193 ], - "D": [ 343 ], - "E": [ 289 ], - "Q": [ 344 ] + "D": [ 376 ], + "E": [ 322 ], + "Q": [ 377 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_7": { @@ -15286,7 +15884,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15296,9 +15894,9 @@ }, "connections": { "C": [ 193 ], - "D": [ 345 ], - "E": [ 289 ], - "Q": [ 346 ] + "D": [ 378 ], + "E": [ 322 ], + "Q": [ 379 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_8": { @@ -15308,7 +15906,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15318,9 +15916,9 @@ }, "connections": { "C": [ 193 ], - "D": [ 347 ], - "E": [ 289 ], - "Q": [ 348 ] + "D": [ 380 ], + "E": [ 322 ], + "Q": [ 381 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_9": { @@ -15330,7 +15928,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -15340,9 +15938,9 @@ }, "connections": { "C": [ 193 ], - "D": [ 349 ], - "E": [ 289 ], - "Q": [ 350 ] + "D": [ 382 ], + "E": [ 322 ], + "Q": [ 383 ] } }, "lvds_rx_24_inst.o_fifo_push_SB_DFFSR_Q": { @@ -15352,7 +15950,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", @@ -15362,37 +15960,12 @@ }, "connections": { "C": [ 193 ], - "D": [ 351 ], - "Q": [ 352 ], - "R": [ 54 ] + "D": [ 384 ], + "Q": [ 385 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0100000100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 353 ], - "I1": [ 354 ], - "I2": [ 355 ], - "I3": [ 352 ], - "O": [ 356 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -15412,12 +15985,12 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 54 ], - "I3": [ 352 ], - "O": [ 357 ] + "I2": [ 49 ], + "I3": [ 385 ], + "O": [ 386 ] } }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_2": { + "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -15437,356 +16010,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 54 ], - "I3": [ 352 ], - "O": [ 358 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0_SB_CARRY_CO": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 359 ], - "CO": [ 353 ], - "I0": [ "0" ], - "I1": [ 360 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0_SB_CARRY_CO_1": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 361 ], - "CO": [ 359 ], - "I0": [ "0" ], - "I1": [ 362 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0_SB_CARRY_CO_2": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 363 ], - "CO": [ 361 ], - "I0": [ "0" ], - "I1": [ 364 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0_SB_CARRY_CO_3": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 365 ], - "CO": [ 363 ], - "I0": [ "0" ], - "I1": [ 366 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0_SB_CARRY_CO_4": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 367 ], - "CO": [ 365 ], - "I0": [ "0" ], - "I1": [ 368 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0_SB_CARRY_CO_5": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 369 ], - "CO": [ 367 ], - "I0": [ "0" ], - "I1": [ 370 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0_SB_CARRY_CO_6": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 371 ], - "CO": [ 369 ], - "I0": [ "0" ], - "I1": [ 372 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 360 ], - "I3": [ 359 ], - "O": [ 355 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 362 ], - "I3": [ 361 ], - "O": [ 373 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2_SB_LUT4_O_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 364 ], - "I3": [ 363 ], - "O": [ 374 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2_SB_LUT4_O_3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 366 ], - "I3": [ 365 ], - "O": [ 375 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2_SB_LUT4_O_4": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 368 ], - "I3": [ 367 ], - "O": [ 376 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2_SB_LUT4_O_5": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 370 ], - "I3": [ 369 ], - "O": [ 377 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2_SB_LUT4_O_6": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 372 ], - "I3": [ 371 ], - "O": [ 378 ] - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1011000010111011" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 379 ], - "I1": [ 374 ], - "I2": [ 376 ], - "I3": [ 380 ], - "O": [ 381 ] + "I2": [ 49 ], + "I3": [ 385 ], + "O": [ 387 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q": { @@ -15796,7 +16022,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -15807,10 +16033,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 313 ], - "E": [ 382 ], - "Q": [ 288 ], - "R": [ 54 ] + "D": [ 346 ], + "E": [ 388 ], + "Q": [ 321 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_1": { @@ -15820,7 +16046,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -15831,10 +16057,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 335 ], - "E": [ 382 ], - "Q": [ 291 ], - "R": [ 54 ] + "D": [ 368 ], + "E": [ 388 ], + "Q": [ 324 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_10": { @@ -15844,7 +16070,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -15855,10 +16081,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 297 ], - "E": [ 382 ], - "Q": [ 293 ], - "R": [ 54 ] + "D": [ 330 ], + "E": [ 388 ], + "Q": [ 326 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_11": { @@ -15868,7 +16094,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -15879,10 +16105,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 299 ], - "E": [ 382 ], - "Q": [ 295 ], - "R": [ 54 ] + "D": [ 332 ], + "E": [ 388 ], + "Q": [ 328 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_12": { @@ -15892,7 +16118,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -15903,10 +16129,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 301 ], - "E": [ 382 ], - "Q": [ 297 ], - "R": [ 54 ] + "D": [ 334 ], + "E": [ 388 ], + "Q": [ 330 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_13": { @@ -15916,7 +16142,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -15927,10 +16153,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 303 ], - "E": [ 382 ], - "Q": [ 299 ], - "R": [ 54 ] + "D": [ 336 ], + "E": [ 388 ], + "Q": [ 332 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_14": { @@ -15940,7 +16166,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -15951,10 +16177,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 305 ], - "E": [ 382 ], - "Q": [ 301 ], - "R": [ 54 ] + "D": [ 338 ], + "E": [ 388 ], + "Q": [ 334 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_15": { @@ -15964,7 +16190,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -15975,10 +16201,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 307 ], - "E": [ 382 ], - "Q": [ 303 ], - "R": [ 54 ] + "D": [ 340 ], + "E": [ 388 ], + "Q": [ 336 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_16": { @@ -15988,7 +16214,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -15999,10 +16225,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 309 ], - "E": [ 382 ], - "Q": [ 305 ], - "R": [ 54 ] + "D": [ 342 ], + "E": [ 388 ], + "Q": [ 338 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_17": { @@ -16012,7 +16238,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16023,10 +16249,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 311 ], - "E": [ 382 ], - "Q": [ 307 ], - "R": [ 54 ] + "D": [ 344 ], + "E": [ 388 ], + "Q": [ 340 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_18": { @@ -16036,7 +16262,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16047,10 +16273,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 315 ], - "E": [ 382 ], - "Q": [ 309 ], - "R": [ 54 ] + "D": [ 348 ], + "E": [ 388 ], + "Q": [ 342 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_19": { @@ -16060,7 +16286,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16071,10 +16297,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 317 ], - "E": [ 382 ], - "Q": [ 311 ], - "R": [ 54 ] + "D": [ 350 ], + "E": [ 388 ], + "Q": [ 344 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_2": { @@ -16084,7 +16310,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16095,10 +16321,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 339 ], - "E": [ 382 ], - "Q": [ 313 ], - "R": [ 54 ] + "D": [ 372 ], + "E": [ 388 ], + "Q": [ 346 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_20": { @@ -16108,7 +16334,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16119,10 +16345,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 319 ], - "E": [ 382 ], - "Q": [ 315 ], - "R": [ 54 ] + "D": [ 352 ], + "E": [ 388 ], + "Q": [ 348 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_21": { @@ -16132,7 +16358,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16143,10 +16369,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 321 ], - "E": [ 382 ], - "Q": [ 317 ], - "R": [ 54 ] + "D": [ 354 ], + "E": [ 388 ], + "Q": [ 350 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_22": { @@ -16156,7 +16382,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16167,10 +16393,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 323 ], - "E": [ 382 ], - "Q": [ 319 ], - "R": [ 54 ] + "D": [ 356 ], + "E": [ 388 ], + "Q": [ 352 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_23": { @@ -16180,7 +16406,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16191,10 +16417,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 325 ], - "E": [ 382 ], - "Q": [ 321 ], - "R": [ 54 ] + "D": [ 358 ], + "E": [ 388 ], + "Q": [ 354 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_24": { @@ -16204,7 +16430,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16215,10 +16441,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 327 ], - "E": [ 382 ], - "Q": [ 323 ], - "R": [ 54 ] + "D": [ 360 ], + "E": [ 388 ], + "Q": [ 356 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_25": { @@ -16228,7 +16454,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16239,10 +16465,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 329 ], - "E": [ 382 ], - "Q": [ 325 ], - "R": [ 54 ] + "D": [ 362 ], + "E": [ 388 ], + "Q": [ 358 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_26": { @@ -16252,7 +16478,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16263,10 +16489,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 331 ], - "E": [ 382 ], - "Q": [ 327 ], - "R": [ 54 ] + "D": [ 364 ], + "E": [ 388 ], + "Q": [ 360 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_27": { @@ -16276,7 +16502,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16287,10 +16513,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 333 ], - "E": [ 382 ], - "Q": [ 329 ], - "R": [ 54 ] + "D": [ 366 ], + "E": [ 388 ], + "Q": [ 362 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_28": { @@ -16300,7 +16526,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16312,9 +16538,9 @@ "connections": { "C": [ 193 ], "D": [ 195 ], - "E": [ 382 ], - "Q": [ 331 ], - "R": [ 54 ] + "E": [ 388 ], + "Q": [ 364 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_29": { @@ -16324,7 +16550,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16336,9 +16562,9 @@ "connections": { "C": [ 193 ], "D": [ 194 ], - "E": [ 382 ], - "Q": [ 333 ], - "R": [ 54 ] + "E": [ 388 ], + "Q": [ 366 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_3": { @@ -16348,7 +16574,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16359,10 +16585,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 341 ], - "E": [ 382 ], - "Q": [ 335 ], - "R": [ 54 ] + "D": [ 374 ], + "E": [ 388 ], + "Q": [ 368 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_4": { @@ -16372,7 +16598,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16383,10 +16609,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 343 ], - "E": [ 382 ], - "Q": [ 339 ], - "R": [ 54 ] + "D": [ 376 ], + "E": [ 388 ], + "Q": [ 372 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_5": { @@ -16396,7 +16622,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16407,10 +16633,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 345 ], - "E": [ 382 ], - "Q": [ 341 ], - "R": [ 54 ] + "D": [ 378 ], + "E": [ 388 ], + "Q": [ 374 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_6": { @@ -16420,7 +16646,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16431,10 +16657,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 347 ], - "E": [ 382 ], - "Q": [ 343 ], - "R": [ 54 ] + "D": [ 380 ], + "E": [ 388 ], + "Q": [ 376 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_7": { @@ -16444,7 +16670,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16455,10 +16681,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 349 ], - "E": [ 382 ], - "Q": [ 345 ], - "R": [ 54 ] + "D": [ 382 ], + "E": [ 388 ], + "Q": [ 378 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_8": { @@ -16468,7 +16694,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16479,10 +16705,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 293 ], - "E": [ 382 ], - "Q": [ 347 ], - "R": [ 54 ] + "D": [ 326 ], + "E": [ 388 ], + "Q": [ 380 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_9": { @@ -16492,7 +16718,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16503,10 +16729,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 295 ], - "E": [ 382 ], - "Q": [ 349 ], - "R": [ 54 ] + "D": [ 328 ], + "E": [ 388 ], + "Q": [ 382 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O": { @@ -16530,8 +16756,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 383 ], - "O": [ 384 ] + "I3": [ 389 ], + "O": [ 390 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1": { @@ -16555,8 +16781,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 385 ], - "O": [ 386 ] + "I3": [ 391 ], + "O": [ 392 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q": { @@ -16566,7 +16792,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16577,10 +16803,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 387 ], - "E": [ 388 ], - "Q": [ 383 ], - "R": [ 54 ] + "D": [ 393 ], + "E": [ 394 ], + "Q": [ 389 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -16601,11 +16827,11 @@ "O": "output" }, "connections": { - "I0": [ 389 ], - "I1": [ 390 ], - "I2": [ 391 ], - "I3": [ 392 ], - "O": [ 387 ] + "I0": [ 395 ], + "I1": [ 396 ], + "I2": [ 397 ], + "I3": [ 398 ], + "O": [ 393 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E": { @@ -16615,7 +16841,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16626,10 +16852,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 393 ], - "E": [ 388 ], - "Q": [ 394 ], - "R": [ 54 ] + "D": [ 399 ], + "E": [ 394 ], + "Q": [ 400 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1": { @@ -16639,7 +16865,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16650,10 +16876,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 395 ], - "E": [ 388 ], - "Q": [ 385 ], - "R": [ 54 ] + "D": [ 401 ], + "E": [ 394 ], + "Q": [ 391 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_D_SB_LUT4_O": { @@ -16674,11 +16900,11 @@ "O": "output" }, "connections": { - "I0": [ 389 ], - "I1": [ 390 ], - "I2": [ 385 ], - "I3": [ 392 ], - "O": [ 395 ] + "I0": [ 395 ], + "I1": [ 396 ], + "I2": [ 391 ], + "I3": [ 398 ], + "O": [ 401 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O": { @@ -16689,7 +16915,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:287.12-296.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -16699,11 +16925,11 @@ "O": "output" }, "connections": { - "I0": [ 394 ], + "I0": [ 400 ], "I1": [ "0" ], "I2": [ "1" ], - "I3": [ 396 ], - "O": [ 397 ] + "I3": [ 402 ], + "O": [ 403 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O_1": { @@ -16714,7 +16940,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:287.12-296.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -16725,10 +16951,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 384 ], + "I1": [ 390 ], "I2": [ "1" ], - "I3": [ 386 ], - "O": [ 391 ] + "I3": [ 392 ], + "O": [ 397 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O_I3_SB_CARRY_CO": { @@ -16737,7 +16963,7 @@ "parameters": { }, "attributes": { - "src": "top.v:290.12-299.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:287.12-296.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -16746,9 +16972,9 @@ "I1": "input" }, "connections": { - "CI": [ 386 ], - "CO": [ 396 ], - "I0": [ 384 ], + "CI": [ 392 ], + "CO": [ 402 ], + "I0": [ 390 ], "I1": [ "1" ] } }, @@ -16770,11 +16996,11 @@ "O": "output" }, "connections": { - "I0": [ 389 ], - "I1": [ 390 ], - "I2": [ 397 ], - "I3": [ 392 ], - "O": [ 393 ] + "I0": [ 395 ], + "I1": [ 396 ], + "I2": [ 403 ], + "I3": [ 398 ], + "O": [ 399 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O": { @@ -16795,11 +17021,11 @@ "O": "output" }, "connections": { - "I0": [ 389 ], + "I0": [ 395 ], "I1": [ 195 ], "I2": [ 194 ], - "I3": [ 398 ], - "O": [ 388 ] + "I3": [ 404 ], + "O": [ 394 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O": { @@ -16820,11 +17046,11 @@ "O": "output" }, "connections": { - "I0": [ 389 ], - "I1": [ 390 ], - "I2": [ 54 ], - "I3": [ 392 ], - "O": [ 398 ] + "I0": [ 395 ], + "I1": [ 396 ], + "I2": [ 49 ], + "I3": [ 398 ], + "O": [ 404 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2": { @@ -16846,10 +17072,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 385 ], - "I2": [ 383 ], - "I3": [ 394 ], - "O": [ 390 ] + "I1": [ 391 ], + "I2": [ 389 ], + "I3": [ 400 ], + "O": [ 396 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1": { @@ -16870,11 +17096,11 @@ "O": "output" }, "connections": { - "I0": [ 54 ], - "I1": [ 390 ], - "I2": [ 389 ], - "I3": [ 392 ], - "O": [ 289 ] + "I0": [ 49 ], + "I1": [ 396 ], + "I2": [ 395 ], + "I3": [ 398 ], + "O": [ 322 ] } }, "lvds_rx_24_inst.r_push_SB_DFFESR_Q": { @@ -16884,7 +17110,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16895,10 +17121,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 399 ], - "E": [ 400 ], - "Q": [ 351 ], - "R": [ 54 ] + "D": [ 405 ], + "E": [ 406 ], + "Q": [ 384 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_push_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -16920,10 +17146,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 401 ], - "I2": [ 392 ], - "I3": [ 389 ], - "O": [ 399 ] + "I1": [ 407 ], + "I2": [ 398 ], + "I3": [ 395 ], + "O": [ 405 ] } }, "lvds_rx_24_inst.r_push_SB_DFFESR_Q_E_SB_LUT4_O": { @@ -16944,11 +17170,11 @@ "O": "output" }, "connections": { - "I0": [ 390 ], - "I1": [ 54 ], - "I2": [ 389 ], - "I3": [ 392 ], - "O": [ 400 ] + "I0": [ 396 ], + "I1": [ 49 ], + "I2": [ 395 ], + "I3": [ 398 ], + "O": [ 406 ] } }, "lvds_rx_24_inst.r_state_if_SB_DFFESR_Q": { @@ -16958,7 +17184,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16969,10 +17195,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 402 ], - "E": [ 403 ], - "Q": [ 389 ], - "R": [ 54 ] + "D": [ 408 ], + "E": [ 409 ], + "Q": [ 395 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_1": { @@ -16982,7 +17208,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:287.12-296.5|lvds_rx.v:46.5-98.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -16993,10 +17219,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 404 ], - "E": [ 403 ], - "Q": [ 392 ], - "R": [ 54 ] + "D": [ 410 ], + "E": [ 409 ], + "Q": [ 398 ], + "R": [ 49 ] } }, "lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -17017,11 +17243,11 @@ "O": "output" }, "connections": { - "I0": [ 389 ], + "I0": [ 395 ], "I1": [ 195 ], - "I2": [ 392 ], + "I2": [ 398 ], "I3": [ 194 ], - "O": [ 402 ] + "O": [ 408 ] } }, "lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_D_SB_LUT4_O_1": { @@ -17042,22 +17268,22 @@ "O": "output" }, "connections": { - "I0": [ 389 ], + "I0": [ 395 ], "I1": [ 195 ], "I2": [ 194 ], - "I3": [ 392 ], - "O": [ 404 ] + "I3": [ 398 ], + "O": [ 410 ] } }, "lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111111111111000" + "LUT_INIT": "1111111111000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", @@ -17067,18 +17293,18 @@ "O": "output" }, "connections": { - "I0": [ 392 ], - "I1": [ 390 ], - "I2": [ 54 ], - "I3": [ 405 ], - "O": [ 403 ] + "I0": [ "0" ], + "I1": [ 396 ], + "I2": [ 398 ], + "I3": [ 411 ], + "O": [ 409 ] } }, "lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000000100000000" + "LUT_INIT": "1111111100010000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -17092,11 +17318,36 @@ "O": "output" }, "connections": { - "I0": [ 389 ], - "I1": [ 392 ], + "I0": [ 395 ], + "I1": [ 398 ], + "I2": [ 412 ], + "I3": [ 49 ], + "O": [ 411 ] + } + }, + "lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], "I2": [ 194 ], "I3": [ 195 ], - "O": [ 405 ] + "O": [ 412 ] } }, "lvds_rx_24_inst.r_state_if_SB_LUT4_I3": { @@ -17119,9 +17370,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 54 ], - "I3": [ 392 ], - "O": [ 382 ] + "I2": [ 49 ], + "I3": [ 398 ], + "O": [ 388 ] } }, "o_miso_$_TBUF__Y": { @@ -17138,43 +17389,18 @@ "Y": "output" }, "connections": { - "A": [ 406 ], - "E": [ 407 ], + "A": [ 413 ], + "E": [ 69 ], "Y": [ 46 ] } }, - "o_miso_$_TBUF__Y_E_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000011111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ "0" ], - "I3": [ 45 ], - "O": [ 407 ] - } - }, "o_smi_read_req_$_TBUF__Y": { "hide_name": 0, "type": "$_TBUF_", "parameters": { }, "attributes": { - "src": "top.v:360.28-360.63" + "src": "top.v:357.28-357.63" }, "port_directions": { "A": "input", @@ -17182,7 +17408,7 @@ "Y": "output" }, "connections": { - "A": [ 408 ], + "A": [ 414 ], "E": [ 30 ], "Y": [ 42 ] } @@ -17193,7 +17419,7 @@ "parameters": { }, "attributes": { - "src": "top.v:359.29-359.65" + "src": "top.v:356.29-356.65" }, "port_directions": { "A": "input", @@ -17213,7 +17439,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:172.4-175.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + "src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", @@ -17222,8 +17448,8 @@ }, "connections": { "C": [ 2 ], - "D": [ 409 ], - "Q": [ 410 ] + "D": [ 415 ], + "Q": [ 70 ] } }, "r_counter_SB_DFF_Q_D_SB_LUT4_O": { @@ -17247,8 +17473,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 410 ], - "O": [ 409 ] + "I3": [ 70 ], + "O": [ 415 ] } }, "r_tx_data_SB_DFFESR_Q": { @@ -17258,7 +17484,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:177.4-186.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -17268,11 +17494,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 411 ], - "E": [ 412 ], - "Q": [ 413 ], - "R": [ 414 ] + "C": [ 2 ], + "D": [ 416 ], + "E": [ 417 ], + "Q": [ 418 ], + "R": [ 419 ] } }, "r_tx_data_SB_DFFESR_Q_1": { @@ -17282,7 +17508,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:177.4-186.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -17292,11 +17518,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 415 ], - "E": [ 412 ], - "Q": [ 416 ], - "R": [ 414 ] + "C": [ 2 ], + "D": [ 420 ], + "E": [ 417 ], + "Q": [ 421 ], + "R": [ 419 ] } }, "r_tx_data_SB_DFFESR_Q_2": { @@ -17306,7 +17532,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:177.4-186.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -17316,11 +17542,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 417 ], - "E": [ 412 ], - "Q": [ 418 ], - "R": [ 414 ] + "C": [ 2 ], + "D": [ 422 ], + "E": [ 417 ], + "Q": [ 423 ], + "R": [ 419 ] } }, "r_tx_data_SB_DFFESR_Q_3": { @@ -17330,7 +17556,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:177.4-186.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -17340,11 +17566,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 419 ], - "E": [ 412 ], - "Q": [ 420 ], - "R": [ 414 ] + "C": [ 2 ], + "D": [ 424 ], + "E": [ 417 ], + "Q": [ 425 ], + "R": [ 419 ] } }, "r_tx_data_SB_DFFESR_Q_4": { @@ -17354,7 +17580,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:177.4-186.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -17364,11 +17590,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 421 ], - "E": [ 412 ], - "Q": [ 422 ], - "R": [ 414 ] + "C": [ 2 ], + "D": [ 426 ], + "E": [ 417 ], + "Q": [ 427 ], + "R": [ 419 ] } }, "r_tx_data_SB_DFFESR_Q_5": { @@ -17378,7 +17604,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:177.4-186.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -17388,11 +17614,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 423 ], - "E": [ 412 ], - "Q": [ 424 ], - "R": [ 414 ] + "C": [ 2 ], + "D": [ 428 ], + "E": [ 417 ], + "Q": [ 429 ], + "R": [ 419 ] } }, "r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O": { @@ -17415,9 +17641,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 425 ], - "I3": [ 139 ], - "O": [ 423 ] + "I2": [ 430 ], + "I3": [ 118 ], + "O": [ 428 ] } }, "r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_1": { @@ -17440,9 +17666,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 425 ], - "I3": [ 127 ], - "O": [ 419 ] + "I2": [ 430 ], + "I3": [ 138 ], + "O": [ 424 ] } }, "r_tx_data_SB_DFFESR_Q_6": { @@ -17452,7 +17678,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:177.4-186.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -17462,11 +17688,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 426 ], - "E": [ 412 ], - "Q": [ 427 ], - "R": [ 414 ] + "C": [ 2 ], + "D": [ 431 ], + "E": [ 417 ], + "Q": [ 432 ], + "R": [ 419 ] } }, "r_tx_data_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -17488,10 +17714,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 425 ], - "I2": [ 142 ], - "I3": [ 428 ], - "O": [ 426 ] + "I1": [ 430 ], + "I2": [ 123 ], + "I3": [ 433 ], + "O": [ 431 ] } }, "r_tx_data_SB_DFFESR_Q_D_SB_LUT4_O_1": { @@ -17513,90 +17739,21 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 425 ], - "I2": [ 133 ], - "I3": [ 428 ], - "O": [ 421 ] + "I1": [ 430 ], + "I2": [ 115 ], + "I3": [ 433 ], + "O": [ 426 ] } }, - "r_tx_data_SB_DFFE_Q": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:177.4-186.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 82 ], - "D": [ 429 ], - "E": [ 412 ], - "Q": [ 430 ] - } - }, - "rx_09_fifo.empty_o_SB_DFFSS_Q": { - "hide_name": 0, - "type": "SB_DFFSS", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:20.59-20.105" - }, - "port_directions": { - "C": "input", - "D": "input", - "Q": "output", - "S": "input" - }, - "connections": { - "C": [ 82 ], - "D": [ 431 ], - "Q": [ 432 ], - "S": [ 54 ] - } - }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O": { + "r_tx_data_SB_DFFESR_Q_D_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111111110000000" + "LUT_INIT": "1111000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 433 ], - "I1": [ 434 ], - "I2": [ 432 ], - "I3": [ 435 ], - "O": [ 431 ] - } - }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0011000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", @@ -17607,17 +17764,61 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 436 ], - "I2": [ 437 ], - "I3": [ 438 ], - "O": [ 435 ] + "I1": [ "0" ], + "I2": [ 433 ], + "I3": [ 434 ], + "O": [ 420 ] } }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1": { + "r_tx_data_SB_DFFE_Q": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:172.4-183.7|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 2 ], + "D": [ 435 ], + "E": [ 417 ], + "Q": [ 436 ] + } + }, + "rx_09_fifo.empty_o_SB_DFFSS_Q": { + "hide_name": 0, + "type": "SB_DFFSS", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:20.59-20.105" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output", + "S": "input" + }, + "connections": { + "C": [ 70 ], + "D": [ 437 ], + "Q": [ 438 ], + "S": [ 49 ] + } + }, + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1000000000000000" + "LUT_INIT": "1010110000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -17633,406 +17834,16 @@ "connections": { "I0": [ 439 ], "I1": [ 440 ], - "I2": [ 441 ], - "I3": [ 442 ], - "O": [ 434 ] + "I2": [ 268 ], + "I3": [ 441 ], + "O": [ 437 ] } }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0_SB_LUT4_O": { + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1011000000001011" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 443 ], - "I1": [ 444 ], - "I2": [ 445 ], - "I3": [ 446 ], - "O": [ 439 ] - } - }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1001000000001001" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 447 ], - "I1": [ 448 ], - "I2": [ 449 ], - "I3": [ 450 ], - "O": [ 442 ] - } - }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0_SB_LUT4_O_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1001000000001001" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 451 ], - "I1": [ 452 ], - "I2": [ 453 ], - "I3": [ 454 ], - "O": [ 441 ] - } - }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0_SB_LUT4_O_3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1011000000001011" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 444 ], - "I1": [ 443 ], - "I2": [ 455 ], - "I3": [ 456 ], - "O": [ 440 ] - } - }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1001000000001001" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 457 ], - "I1": [ 458 ], - "I2": [ 459 ], - "I3": [ 460 ], - "O": [ 433 ] - } - }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_CARRY_CO": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 461 ], - "CO": [ 436 ], - "I0": [ "0" ], - "I1": [ 445 ] - } - }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_CARRY_CO_1": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 462 ], - "CO": [ 461 ], - "I0": [ "0" ], - "I1": [ 444 ] - } - }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_CARRY_CO_2": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 463 ], - "CO": [ 462 ], - "I0": [ "0" ], - "I1": [ 459 ] - } - }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_CARRY_CO_3": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 464 ], - "CO": [ 463 ], - "I0": [ "0" ], - "I1": [ 449 ] - } - }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_CARRY_CO_4": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 465 ], - "CO": [ 464 ], - "I0": [ "0" ], - "I1": [ 455 ] - } - }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_CARRY_CO_5": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 466 ], - "CO": [ 465 ], - "I0": [ "0" ], - "I1": [ 447 ] - } - }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_CARRY_CO_6": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 467 ], - "CO": [ 466 ], - "I0": [ "0" ], - "I1": [ 457 ] - } - }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1_SB_CARRY_CO_7": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 452 ], - "CO": [ 467 ], - "I0": [ "0" ], - "I1": [ 454 ] - } - }, - "rx_09_fifo.full_o_SB_DFFSR_Q": { - "hide_name": 0, - "type": "SB_DFFSR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" - }, - "port_directions": { - "C": "input", - "D": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 193 ], - "D": [ 468 ], - "Q": [ 282 ], - "R": [ 54 ] - } - }, - "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111000010001000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 469 ], - "I1": [ 470 ], - "I2": [ 471 ], - "I3": [ 260 ], - "O": [ 468 ] - } - }, - "rx_09_fifo.full_o_SB_LUT4_I2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 451 ], - "I1": [ 452 ], - "I2": [ 282 ], - "I3": [ 472 ], - "O": [ 469 ] - } - }, - "rx_09_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1001000000001001" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 457 ], - "I1": [ 473 ], - "I2": [ 445 ], - "I3": [ 474 ], - "O": [ 472 ] - } - }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1100000000000000" + "LUT_INIT": "1100001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -18047,13 +17858,63 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 475 ], - "I2": [ 476 ], - "I3": [ 477 ], - "O": [ 470 ] + "I1": [ 442 ], + "I2": [ 283 ], + "I3": [ 443 ], + "O": [ 440 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1": { + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1011010000000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 444 ], + "I1": [ 268 ], + "I2": [ 284 ], + "I3": [ 445 ], + "O": [ 441 ] + } + }, + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I3_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100001110101010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 294 ], + "I1": [ 273 ], + "I2": [ 446 ], + "I3": [ 268 ], + "O": [ 445 ] + } + }, + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -18071,20 +17932,20 @@ "O": "output" }, "connections": { - "I0": [ 478 ], - "I1": [ 455 ], - "I2": [ 479 ], - "I3": [ 480 ], - "O": [ 471 ] + "I0": [ 447 ], + "I1": [ 285 ], + "I2": [ 448 ], + "I3": [ 449 ], + "O": [ 439 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0_SB_CARRY_CO": { + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -18093,19 +17954,19 @@ "I1": "input" }, "connections": { - "CI": [ 481 ], - "CO": [ 478 ], + "CI": [ 450 ], + "CO": [ 447 ], "I0": [ "0" ], - "I1": [ 446 ] + "I1": [ 293 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0_SB_CARRY_CO_1": { + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_CARRY_CO_1": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -18114,19 +17975,19 @@ "I1": "input" }, "connections": { - "CI": [ 482 ], - "CO": [ 481 ], + "CI": [ 451 ], + "CO": [ 450 ], "I0": [ "0" ], - "I1": [ 443 ] + "I1": [ 292 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0_SB_CARRY_CO_2": { + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_CARRY_CO_2": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -18135,82 +17996,19 @@ "I1": "input" }, "connections": { - "CI": [ 483 ], - "CO": [ 482 ], + "CI": [ 452 ], + "CO": [ 451 ], "I0": [ "0" ], - "I1": [ 460 ] + "I1": [ 291 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0_SB_CARRY_CO_3": { + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_CARRY_CO_3": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 484 ], - "CO": [ 483 ], - "I0": [ "0" ], - "I1": [ 450 ] - } - }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0_SB_CARRY_CO_4": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 485 ], - "CO": [ 484 ], - "I0": [ "0" ], - "I1": [ 456 ] - } - }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0_SB_CARRY_CO_5": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 486 ], - "CO": [ 485 ], - "I0": [ "0" ], - "I1": [ 448 ] - } - }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0_SB_CARRY_CO_6": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -18220,20 +18018,104 @@ }, "connections": { "CI": [ 453 ], - "CO": [ 486 ], + "CO": [ 452 ], "I0": [ "0" ], - "I1": [ 458 ] + "I1": [ 264 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O": { + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_CARRY_CO_4": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 454 ], + "CO": [ 453 ], + "I0": [ "0" ], + "I1": [ 270 ] + } + }, + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_CARRY_CO_5": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 455 ], + "CO": [ 454 ], + "I0": [ "0" ], + "I1": [ 442 ] + } + }, + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_CARRY_CO_6": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 456 ], + "CO": [ 455 ], + "I0": [ "0" ], + "I1": [ 457 ] + } + }, + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_CARRY_CO_7": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 458 ], + "CO": [ 456 ], + "I0": [ "0" ], + "I1": [ 294 ] + } + }, + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0110100110010110" + "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", @@ -18245,162 +18127,12 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 460 ], - "I3": [ 483 ], - "O": [ 487 ] + "I2": [ 459 ], + "I3": [ 458 ], + "O": [ 268 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 450 ], - "I3": [ 484 ], - "O": [ 488 ] - } - }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 456 ], - "I3": [ 485 ], - "O": [ 479 ] - } - }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O_3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 448 ], - "I3": [ 486 ], - "O": [ 489 ] - } - }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O_4": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 458 ], - "I3": [ 453 ], - "O": [ 490 ] - } - }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O_5": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 446 ], - "I3": [ 481 ], - "O": [ 491 ] - } - }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O_6": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 443 ], - "I3": [ 482 ], - "O": [ 492 ] - } - }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O": { + "rx_09_fifo.empty_o_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -18418,14 +18150,64 @@ "O": "output" }, "connections": { - "I0": [ 493 ], - "I1": [ 494 ], - "I2": [ 495 ], - "I3": [ 496 ], - "O": [ 480 ] + "I0": [ 438 ], + "I1": [ 460 ], + "I2": [ 461 ], + "I3": [ 462 ], + "O": [ 443 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0_SB_LUT4_O": { + "rx_09_fifo.empty_o_SB_LUT4_I0_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 270 ], + "I1": [ 281 ], + "I2": [ 264 ], + "I3": [ 279 ], + "O": [ 460 ] + } + }, + "rx_09_fifo.empty_o_SB_LUT4_I0_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 292 ], + "I1": [ 275 ], + "I2": [ 293 ], + "I3": [ 273 ], + "O": [ 462 ] + } + }, + "rx_09_fifo.empty_o_SB_LUT4_I0_I1_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -18444,13 +18226,85 @@ }, "connections": { "I0": [ 457 ], - "I1": [ 490 ], - "I2": [ 447 ], - "I3": [ 489 ], - "O": [ 493 ] + "I1": [ 285 ], + "I2": [ 291 ], + "I3": [ 277 ], + "O": [ 461 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0_SB_LUT4_O_1": { + "rx_09_fifo.full_o_SB_DFFSR_Q": { + "hide_name": 0, + "type": "SB_DFFSR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 193 ], + "D": [ 463 ], + "Q": [ 316 ], + "R": [ 49 ] + } + }, + "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 464 ], + "I1": [ 263 ], + "I2": [ 465 ], + "I3": [ 466 ], + "O": [ 463 ] + } + }, + "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1101000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 467 ], + "I1": [ 442 ], + "I2": [ 468 ], + "I3": [ 469 ], + "O": [ 465 ] + } + }, + "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -18468,14 +18322,14 @@ "O": "output" }, "connections": { - "I0": [ 449 ], - "I1": [ 488 ], - "I2": [ 459 ], - "I3": [ 487 ], - "O": [ 496 ] + "I0": [ 457 ], + "I1": [ 286 ], + "I2": [ 442 ], + "I3": [ 290 ], + "O": [ 464 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0_SB_LUT4_O_2": { + "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -18493,39 +18347,14 @@ "O": "output" }, "connections": { - "I0": [ 444 ], - "I1": [ 492 ], - "I2": [ 445 ], - "I3": [ 491 ], - "O": [ 495 ] + "I0": [ 264 ], + "I1": [ 470 ], + "I2": [ 291 ], + "I3": [ 471 ], + "O": [ 468 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0_SB_LUT4_O_3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000100110010000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 451 ], - "I1": [ 452 ], - "I2": [ 453 ], - "I3": [ 454 ], - "O": [ 494 ] - } - }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O": { + "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -18543,18 +18372,18 @@ "O": "output" }, "connections": { - "I0": [ 455 ], - "I1": [ 497 ], - "I2": [ 459 ], - "I3": [ 498 ], - "O": [ 476 ] + "I0": [ 457 ], + "I1": [ 472 ], + "I2": [ 270 ], + "I3": [ 473 ], + "O": [ 469 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_1": { + "rx_09_fifo.full_o_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1001000000001001" + "LUT_INIT": "0100000100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -18568,18 +18397,390 @@ "O": "output" }, "connections": { - "I0": [ 454 ], - "I1": [ 499 ], - "I2": [ 447 ], - "I3": [ 500 ], + "I0": [ 260 ], + "I1": [ 293 ], + "I2": [ 474 ], + "I3": [ 316 ], "O": [ 475 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_2": { + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1001000000001001" + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 273 ], + "I3": [ 476 ], + "O": [ 474 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 275 ], + "I3": [ 477 ], + "O": [ 478 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 277 ], + "I3": [ 479 ], + "O": [ 471 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 279 ], + "I3": [ 480 ], + "O": [ 470 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_4": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 281 ], + "I3": [ 481 ], + "O": [ 473 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_5": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 283 ], + "I3": [ 482 ], + "O": [ 467 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_6": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 285 ], + "I3": [ 483 ], + "O": [ 472 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_7": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 284 ], + "I3": [ 459 ], + "O": [ 484 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_8": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ "0" ], + "I3": [ 459 ], + "O": [ 485 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 477 ], + "CO": [ 476 ], + "I0": [ "0" ], + "I1": [ 275 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_1": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 479 ], + "CO": [ 477 ], + "I0": [ "0" ], + "I1": [ 277 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_2": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 480 ], + "CO": [ 479 ], + "I0": [ "0" ], + "I1": [ 279 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_3": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 481 ], + "CO": [ 480 ], + "I0": [ "0" ], + "I1": [ 281 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_4": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 482 ], + "CO": [ 481 ], + "I0": [ "0" ], + "I1": [ 283 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_5": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 483 ], + "CO": [ 482 ], + "I0": [ "0" ], + "I1": [ 285 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_6": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 459 ], + "CO": [ 483 ], + "I0": [ "0" ], + "I1": [ 284 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I0": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -18593,11 +18794,61 @@ "O": "output" }, "connections": { - "I0": [ 449 ], - "I1": [ 501 ], - "I2": [ 444 ], - "I3": [ 502 ], - "O": [ 477 ] + "I0": [ 486 ], + "I1": [ 487 ], + "I2": [ 268 ], + "I3": [ 475 ], + "O": [ 466 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 467 ], + "I1": [ 442 ], + "I2": [ 294 ], + "I3": [ 484 ], + "O": [ 487 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 292 ], + "I3": [ 478 ], + "O": [ 486 ] } }, "rx_09_fifo.mem_i.0.0.0": { @@ -18642,14 +18893,14 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 452, 454, 457, 447, 455, 449, 459, 444, 445, "0", "0" ], - "RCLK": [ 82 ], - "RCLKE": [ 503 ], - "RDATA": [ 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 68, 518 ], + "RADDR": [ 458, 294, 457, 442, 270, 264, 291, 292, 293, "0", "0" ], + "RCLK": [ 70 ], + "RCLKE": [ 488 ], + "RDATA": [ 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 63, 501, 502, 503 ], "RE": [ "1" ], - "WADDR": [ 451, 453, 458, 448, 456, 450, 460, 443, 446, "0", "0" ], + "WADDR": [ 459, 284, 285, 283, 281, 279, 277, 275, 273, "0", "0" ], "WCLK": [ 193 ], - "WCLKE": [ 261 ], + "WCLKE": [ 295 ], "WDATA": [ 212, "x", 210, "x", 208, "x", 206, "x", 204, "x", 202, "x", 258, "x", 256, "x" ], "WE": [ "1" ] } @@ -18696,14 +18947,14 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 452, 454, 457, 447, 455, 449, 459, 444, 445, "0", "0" ], - "RCLK": [ 82 ], - "RCLKE": [ 503 ], - "RDATA": [ 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 63, 533 ], + "RADDR": [ 458, 294, 457, 442, 270, 264, 291, 292, 293, "0", "0" ], + "RCLK": [ 70 ], + "RCLKE": [ 488 ], + "RDATA": [ 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 58, 516, 517, 518 ], "RE": [ "1" ], - "WADDR": [ 451, 453, 458, 448, 456, 450, 460, 443, 446, "0", "0" ], + "WADDR": [ 459, 284, 285, 283, 281, 279, 277, 275, 273, "0", "0" ], "WCLK": [ 193 ], - "WCLKE": [ 261 ], + "WCLKE": [ 295 ], "WDATA": [ 254, "x", 252, "x", 250, "x", 248, "x", 244, "x", 222, "x", 200, "x", 198, "x" ], "WE": [ "1" ] } @@ -18750,14 +19001,14 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 452, 454, 457, 447, 455, 449, 459, 444, 445, "0", "0" ], - "RCLK": [ 82 ], - "RCLKE": [ 503 ], - "RDATA": [ 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 69, 548 ], + "RADDR": [ 458, 294, 457, 442, 270, 264, 291, 292, 293, "0", "0" ], + "RCLK": [ 70 ], + "RCLKE": [ 488 ], + "RDATA": [ 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 64, 531, 532, 533 ], "RE": [ "1" ], - "WADDR": [ 451, 453, 458, 448, 456, 450, 460, 443, 446, "0", "0" ], + "WADDR": [ 459, 284, 285, 283, 281, 279, 277, 275, 273, "0", "0" ], "WCLK": [ 193 ], - "WCLKE": [ 261 ], + "WCLKE": [ 295 ], "WDATA": [ 246, "x", 245, "x", 242, "x", 240, "x", 238, "x", 236, "x", 234, "x", 232, "x" ], "WE": [ "1" ] } @@ -18804,14 +19055,14 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 452, 454, 457, 447, 455, 449, 459, 444, 445, "0", "0" ], - "RCLK": [ 82 ], - "RCLKE": [ 503 ], - "RDATA": [ 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 64, 563 ], + "RADDR": [ 458, 294, 457, 442, 270, 264, 291, 292, 293, "0", "0" ], + "RCLK": [ 70 ], + "RCLKE": [ 488 ], + "RDATA": [ 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 59, 546, 547, 548 ], "RE": [ "1" ], - "WADDR": [ 451, 453, 458, 448, 456, 450, 460, 443, 446, "0", "0" ], + "WADDR": [ 459, 284, 285, 283, 281, 279, 277, 275, 273, "0", "0" ], "WCLK": [ 193 ], - "WCLKE": [ 261 ], + "WCLKE": [ 295 ], "WDATA": [ 230, "x", 228, "x", 226, "x", 224, "x", 220, "x", 218, "x", 216, "x", 214, "x" ], "WE": [ "1" ] } @@ -18823,7 +19074,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -18833,11 +19084,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 564 ], - "E": [ 565 ], - "Q": [ 445 ], - "R": [ 54 ] + "C": [ 70 ], + "D": [ 549 ], + "E": [ 550 ], + "Q": [ 293 ], + "R": [ 49 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_1": { @@ -18847,7 +19098,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -18857,11 +19108,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 566 ], - "E": [ 565 ], - "Q": [ 444 ], - "R": [ 54 ] + "C": [ 70 ], + "D": [ 551 ], + "E": [ 550 ], + "Q": [ 292 ], + "R": [ 49 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_2": { @@ -18871,7 +19122,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -18881,11 +19132,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 567 ], - "E": [ 565 ], - "Q": [ 459 ], - "R": [ 54 ] + "C": [ 70 ], + "D": [ 552 ], + "E": [ 550 ], + "Q": [ 291 ], + "R": [ 49 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_3": { @@ -18895,7 +19146,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -18905,11 +19156,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 568 ], - "E": [ 565 ], - "Q": [ 449 ], - "R": [ 54 ] + "C": [ 70 ], + "D": [ 553 ], + "E": [ 550 ], + "Q": [ 264 ], + "R": [ 49 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_4": { @@ -18919,7 +19170,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -18929,11 +19180,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 569 ], - "E": [ 565 ], - "Q": [ 455 ], - "R": [ 54 ] + "C": [ 70 ], + "D": [ 554 ], + "E": [ 550 ], + "Q": [ 270 ], + "R": [ 49 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_5": { @@ -18943,7 +19194,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -18953,11 +19204,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 570 ], - "E": [ 565 ], - "Q": [ 447 ], - "R": [ 54 ] + "C": [ 70 ], + "D": [ 555 ], + "E": [ 550 ], + "Q": [ 442 ], + "R": [ 49 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_6": { @@ -18967,7 +19218,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -18977,11 +19228,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 571 ], - "E": [ 565 ], + "C": [ 70 ], + "D": [ 556 ], + "E": [ 550 ], "Q": [ 457 ], - "R": [ 54 ] + "R": [ 49 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_7": { @@ -18991,7 +19242,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -19001,11 +19252,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 572 ], - "E": [ 565 ], - "Q": [ 454 ], - "R": [ 54 ] + "C": [ 70 ], + "D": [ 557 ], + "E": [ 550 ], + "Q": [ 294 ], + "R": [ 49 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_8": { @@ -19015,7 +19266,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -19025,11 +19276,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 573 ], - "E": [ 565 ], - "Q": [ 452 ], - "R": [ 54 ] + "C": [ 70 ], + "D": [ 558 ], + "E": [ 550 ], + "Q": [ 458 ], + "R": [ 49 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -19040,7 +19291,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -19052,9 +19303,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 445 ], - "I3": [ 574 ], - "O": [ 564 ] + "I2": [ 293 ], + "I3": [ 559 ], + "O": [ 549 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_1": { @@ -19065,7 +19316,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -19077,9 +19328,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 444 ], - "I3": [ 575 ], - "O": [ 566 ] + "I2": [ 292 ], + "I3": [ 560 ], + "O": [ 551 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_2": { @@ -19090,7 +19341,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -19102,9 +19353,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 459 ], - "I3": [ 576 ], - "O": [ 567 ] + "I2": [ 291 ], + "I3": [ 561 ], + "O": [ 552 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_3": { @@ -19115,7 +19366,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -19127,9 +19378,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 449 ], - "I3": [ 577 ], - "O": [ 568 ] + "I2": [ 264 ], + "I3": [ 562 ], + "O": [ 553 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_4": { @@ -19140,7 +19391,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -19152,9 +19403,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 455 ], - "I3": [ 578 ], - "O": [ 569 ] + "I2": [ 270 ], + "I3": [ 563 ], + "O": [ 554 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_5": { @@ -19165,7 +19416,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -19177,9 +19428,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 447 ], - "I3": [ 579 ], - "O": [ 570 ] + "I2": [ 442 ], + "I3": [ 564 ], + "O": [ 555 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_6": { @@ -19190,7 +19441,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -19203,8 +19454,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ 457 ], - "I3": [ 580 ], - "O": [ 571 ] + "I3": [ 565 ], + "O": [ 556 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_7": { @@ -19215,7 +19466,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -19227,9 +19478,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 454 ], - "I3": [ 452 ], - "O": [ 572 ] + "I2": [ 294 ], + "I3": [ 458 ], + "O": [ 557 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_8": { @@ -19253,8 +19504,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 452 ], - "O": [ 573 ] + "I3": [ 458 ], + "O": [ 558 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": { @@ -19263,7 +19514,7 @@ "parameters": { }, "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -19272,10 +19523,10 @@ "I1": "input" }, "connections": { - "CI": [ 575 ], - "CO": [ 574 ], + "CI": [ 560 ], + "CO": [ 559 ], "I0": [ "0" ], - "I1": [ 444 ] + "I1": [ 292 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_1": { @@ -19284,7 +19535,7 @@ "parameters": { }, "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -19293,10 +19544,10 @@ "I1": "input" }, "connections": { - "CI": [ 576 ], - "CO": [ 575 ], + "CI": [ 561 ], + "CO": [ 560 ], "I0": [ "0" ], - "I1": [ 459 ] + "I1": [ 291 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_2": { @@ -19305,7 +19556,7 @@ "parameters": { }, "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -19314,10 +19565,10 @@ "I1": "input" }, "connections": { - "CI": [ 577 ], - "CO": [ 576 ], + "CI": [ 562 ], + "CO": [ 561 ], "I0": [ "0" ], - "I1": [ 449 ] + "I1": [ 264 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_3": { @@ -19326,7 +19577,7 @@ "parameters": { }, "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -19335,10 +19586,10 @@ "I1": "input" }, "connections": { - "CI": [ 578 ], - "CO": [ 577 ], + "CI": [ 563 ], + "CO": [ 562 ], "I0": [ "0" ], - "I1": [ 455 ] + "I1": [ 270 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_4": { @@ -19347,7 +19598,7 @@ "parameters": { }, "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -19356,10 +19607,10 @@ "I1": "input" }, "connections": { - "CI": [ 579 ], - "CO": [ 578 ], + "CI": [ 564 ], + "CO": [ 563 ], "I0": [ "0" ], - "I1": [ 447 ] + "I1": [ 442 ] } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_5": { @@ -19368,7 +19619,7 @@ "parameters": { }, "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -19377,8 +19628,8 @@ "I1": "input" }, "connections": { - "CI": [ 580 ], - "CO": [ 579 ], + "CI": [ 565 ], + "CO": [ 564 ], "I0": [ "0" ], "I1": [ 457 ] } @@ -19389,7 +19640,7 @@ "parameters": { }, "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -19398,10 +19649,10 @@ "I1": "input" }, "connections": { - "CI": [ 452 ], - "CO": [ 580 ], + "CI": [ 458 ], + "CO": [ 565 ], "I0": [ "0" ], - "I1": [ 454 ] + "I1": [ 294 ] } }, "rx_09_fifo.wr_addr_SB_DFFESR_Q": { @@ -19411,7 +19662,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -19423,9 +19674,9 @@ "connections": { "C": [ 193 ], "D": [ 474 ], - "E": [ 262 ], - "Q": [ 446 ], - "R": [ 54 ] + "E": [ 296 ], + "Q": [ 273 ], + "R": [ 49 ] } }, "rx_09_fifo.wr_addr_SB_DFFESR_Q_1": { @@ -19435,7 +19686,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -19446,10 +19697,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 502 ], - "E": [ 262 ], - "Q": [ 443 ], - "R": [ 54 ] + "D": [ 478 ], + "E": [ 296 ], + "Q": [ 275 ], + "R": [ 49 ] } }, "rx_09_fifo.wr_addr_SB_DFFESR_Q_2": { @@ -19459,7 +19710,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -19470,10 +19721,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 498 ], - "E": [ 262 ], - "Q": [ 460 ], - "R": [ 54 ] + "D": [ 471 ], + "E": [ 296 ], + "Q": [ 277 ], + "R": [ 49 ] } }, "rx_09_fifo.wr_addr_SB_DFFESR_Q_3": { @@ -19483,7 +19734,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -19494,10 +19745,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 501 ], - "E": [ 262 ], - "Q": [ 450 ], - "R": [ 54 ] + "D": [ 470 ], + "E": [ 296 ], + "Q": [ 279 ], + "R": [ 49 ] } }, "rx_09_fifo.wr_addr_SB_DFFESR_Q_4": { @@ -19507,55 +19758,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 193 ], - "D": [ 497 ], - "E": [ 262 ], - "Q": [ 456 ], - "R": [ 54 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_5": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 193 ], - "D": [ 500 ], - "E": [ 262 ], - "Q": [ 448 ], - "R": [ 54 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_6": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -19567,9 +19770,57 @@ "connections": { "C": [ 193 ], "D": [ 473 ], - "E": [ 262 ], - "Q": [ 458 ], - "R": [ 54 ] + "E": [ 296 ], + "Q": [ 281 ], + "R": [ 49 ] + } + }, + "rx_09_fifo.wr_addr_SB_DFFESR_Q_5": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 193 ], + "D": [ 467 ], + "E": [ 296 ], + "Q": [ 283 ], + "R": [ 49 ] + } + }, + "rx_09_fifo.wr_addr_SB_DFFESR_Q_6": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 193 ], + "D": [ 472 ], + "E": [ 296 ], + "Q": [ 285 ], + "R": [ 49 ] } }, "rx_09_fifo.wr_addr_SB_DFFESR_Q_7": { @@ -19579,7 +19830,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -19590,10 +19841,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 499 ], - "E": [ 262 ], - "Q": [ 453 ], - "R": [ 54 ] + "D": [ 484 ], + "E": [ 296 ], + "Q": [ 284 ], + "R": [ 49 ] } }, "rx_09_fifo.wr_addr_SB_DFFESR_Q_8": { @@ -19603,7 +19854,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:274.17-285.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -19614,382 +19865,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 581 ], - "E": [ 262 ], - "Q": [ 451 ], - "R": [ 54 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 446 ], - "I3": [ 582 ], - "O": [ 474 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 443 ], - "I3": [ 583 ], - "O": [ 502 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 460 ], - "I3": [ 584 ], - "O": [ 498 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 450 ], - "I3": [ 585 ], - "O": [ 501 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_4": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 456 ], - "I3": [ 586 ], - "O": [ 497 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_5": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 448 ], - "I3": [ 587 ], - "O": [ 500 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_6": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 458 ], - "I3": [ 588 ], - "O": [ 473 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_7": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 453 ], - "I3": [ 451 ], - "O": [ 499 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_8": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000011111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ "0" ], - "I3": [ 451 ], - "O": [ 581 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 583 ], - "CO": [ 582 ], - "I0": [ "0" ], - "I1": [ 443 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_1": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 584 ], - "CO": [ 583 ], - "I0": [ "0" ], - "I1": [ 460 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_2": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 585 ], - "CO": [ 584 ], - "I0": [ "0" ], - "I1": [ 450 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_3": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 586 ], - "CO": [ 585 ], - "I0": [ "0" ], - "I1": [ 456 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_4": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 587 ], - "CO": [ 586 ], - "I0": [ "0" ], - "I1": [ 448 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_5": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 588 ], - "CO": [ 587 ], - "I0": [ "0" ], - "I1": [ 458 ] - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_6": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 451 ], - "CO": [ 588 ], - "I0": [ "0" ], - "I1": [ 453 ] + "D": [ 485 ], + "E": [ 296 ], + "Q": [ 459 ], + "R": [ 49 ] } }, "rx_24_fifo.empty_o_SB_DFFSS_Q": { @@ -19999,7 +19878,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:20.59-20.105" + "src": "top.v:298.17-309.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:20.59-20.105" }, "port_directions": { "C": "input", @@ -20008,42 +19887,17 @@ "S": "input" }, "connections": { - "C": [ 82 ], - "D": [ 589 ], - "Q": [ 590 ], - "S": [ 54 ] + "C": [ 70 ], + "D": [ 566 ], + "Q": [ 567 ], + "S": [ 49 ] } }, "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1100000011111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 591 ], - "I2": [ 592 ], - "I3": [ 593 ], - "O": [ 589 ] - } - }, - "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0001010000000000" + "LUT_INIT": "1111111110000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -20057,232 +19911,14 @@ "O": "output" }, "connections": { - "I0": [ 594 ], - "I1": [ 595 ], - "I2": [ 596 ], - "I3": [ 597 ], - "O": [ 591 ] + "I0": [ 568 ], + "I1": [ 569 ], + "I2": [ 567 ], + "I3": [ 570 ], + "O": [ 566 ] } }, - "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 598 ], - "CO": [ 594 ], - "I0": [ "0" ], - "I1": [ 354 ] - } - }, - "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_1": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 599 ], - "CO": [ 598 ], - "I0": [ "0" ], - "I1": [ 600 ] - } - }, - "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_2": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 601 ], - "CO": [ 599 ], - "I0": [ "0" ], - "I1": [ 379 ] - } - }, - "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_3": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 602 ], - "CO": [ 601 ], - "I0": [ "0" ], - "I1": [ 603 ] - } - }, - "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_4": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 604 ], - "CO": [ 602 ], - "I0": [ "0" ], - "I1": [ 380 ] - } - }, - "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_5": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 605 ], - "CO": [ 604 ], - "I0": [ "0" ], - "I1": [ 606 ] - } - }, - "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_6": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 607 ], - "CO": [ 605 ], - "I0": [ "0" ], - "I1": [ 608 ] - } - }, - "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_CARRY_CO_7": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 596 ], - "CO": [ 607 ], - "I0": [ "0" ], - "I1": [ 609 ] - } - }, - "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1001000000001001" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 371 ], - "I1": [ 610 ], - "I2": [ 372 ], - "I3": [ 611 ], - "O": [ 597 ] - } - }, - "rx_24_fifo.empty_o_SB_LUT4_I2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110111111111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 608 ], - "I1": [ 372 ], - "I2": [ 590 ], - "I3": [ 612 ], - "O": [ 593 ] - } - }, - "rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O": { + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -20300,14 +19936,14 @@ "O": "output" }, "connections": { - "I0": [ 613 ], - "I1": [ 614 ], - "I2": [ 615 ], - "I3": [ 616 ], - "O": [ 612 ] + "I0": [ 571 ], + "I1": [ 572 ], + "I2": [ 573 ], + "I3": [ 574 ], + "O": [ 569 ] } }, - "rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0_SB_LUT4_O": { + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -20325,14 +19961,232 @@ "O": "output" }, "connections": { - "I0": [ 606 ], - "I1": [ 370 ], - "I2": [ 380 ], - "I3": [ 368 ], - "O": [ 613 ] + "I0": [ 575 ], + "I1": [ 576 ], + "I2": [ 577 ], + "I3": [ 578 ], + "O": [ 568 ] } }, - "rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0_SB_LUT4_O_1": { + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 579 ], + "I2": [ 580 ], + "I3": [ 581 ], + "O": [ 570 ] + } + }, + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 582 ], + "CO": [ 579 ], + "I0": [ "0" ], + "I1": [ 583 ] + } + }, + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1_SB_CARRY_CO_1": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 584 ], + "CO": [ 582 ], + "I0": [ "0" ], + "I1": [ 585 ] + } + }, + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1_SB_CARRY_CO_2": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 586 ], + "CO": [ 584 ], + "I0": [ "0" ], + "I1": [ 577 ] + } + }, + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1_SB_CARRY_CO_3": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 587 ], + "CO": [ 586 ], + "I0": [ "0" ], + "I1": [ 588 ] + } + }, + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1_SB_CARRY_CO_4": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 589 ], + "CO": [ 587 ], + "I0": [ "0" ], + "I1": [ 590 ] + } + }, + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1_SB_CARRY_CO_5": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 591 ], + "CO": [ 589 ], + "I0": [ "0" ], + "I1": [ 575 ] + } + }, + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1_SB_CARRY_CO_6": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 592 ], + "CO": [ 591 ], + "I0": [ "0" ], + "I1": [ 593 ] + } + }, + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1_SB_CARRY_CO_7": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 594 ], + "CO": [ 592 ], + "I0": [ "0" ], + "I1": [ 595 ] + } + }, + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 596 ], + "I1": [ 583 ], + "I2": [ 585 ], + "I3": [ 597 ], + "O": [ 571 ] + } + }, + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -20350,18 +20204,18 @@ "O": "output" }, "connections": { - "I0": [ 603 ], - "I1": [ 366 ], - "I2": [ 379 ], - "I3": [ 364 ], - "O": [ 616 ] + "I0": [ 590 ], + "I1": [ 598 ], + "I2": [ 588 ], + "I3": [ 599 ], + "O": [ 574 ] } }, - "rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0_SB_LUT4_O_2": { + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1001000000001001" + "LUT_INIT": "1011000000001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -20375,36 +20229,36 @@ "O": "output" }, "connections": { - "I0": [ 600 ], - "I1": [ 362 ], - "I2": [ 354 ], - "I3": [ 360 ], - "O": [ 615 ] - } - }, - "rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0_SB_LUT4_O_3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1001000000001001" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 595 ], + "I0": [ 583 ], "I1": [ 596 ], - "I2": [ 371 ], - "I3": [ 609 ], - "O": [ 614 ] + "I2": [ 593 ], + "I3": [ 600 ], + "O": [ 573 ] + } + }, + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O_3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 601 ], + "I1": [ 594 ], + "I2": [ 602 ], + "I3": [ 595 ], + "O": [ 572 ] } }, "rx_24_fifo.full_o_SB_DFFSR_Q": { @@ -20414,7 +20268,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" + "src": "top.v:298.17-309.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", @@ -20424,9 +20278,9 @@ }, "connections": { "C": [ 193 ], - "D": [ 617 ], - "Q": [ 401 ], - "R": [ 54 ] + "D": [ 603 ], + "Q": [ 407 ], + "R": [ 49 ] } }, "rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O": { @@ -20447,186 +20301,11 @@ "O": "output" }, "connections": { - "I0": [ 618 ], - "I1": [ 619 ], - "I2": [ 620 ], - "I3": [ 621 ], - "O": [ 617 ] - } - }, - "rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1001000000001001" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 609 ], - "I1": [ 622 ], + "I0": [ 604 ], + "I1": [ 605 ], "I2": [ 606 ], - "I3": [ 623 ], - "O": [ 620 ] - } - }, - "rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1000000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 624 ], - "I1": [ 625 ], - "I2": [ 626 ], - "I3": [ 627 ], - "O": [ 619 ] - } - }, - "rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1011000000001011" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 374 ], - "I1": [ 379 ], - "I2": [ 603 ], - "I3": [ 375 ], - "O": [ 627 ] - } - }, - "rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1011000000001011" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 606 ], - "I1": [ 377 ], - "I2": [ 608 ], - "I3": [ 378 ], - "O": [ 626 ] - } - }, - "rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0_SB_LUT4_O_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000100110010000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 595 ], - "I1": [ 596 ], - "I2": [ 371 ], - "I3": [ 609 ], - "O": [ 625 ] - } - }, - "rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0_SB_LUT4_O_3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1011000010111011" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 380 ], - "I1": [ 376 ], - "I2": [ 377 ], - "I3": [ 606 ], - "O": [ 624 ] - } - }, - "rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1001000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 600 ], - "I1": [ 373 ], - "I2": [ 356 ], - "I3": [ 381 ], - "O": [ 618 ] + "I3": [ 607 ], + "O": [ 603 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3": { @@ -20647,11 +20326,11 @@ "O": "output" }, "connections": { - "I0": [ 352 ], - "I1": [ 603 ], - "I2": [ 628 ], - "I3": [ 401 ], - "O": [ 629 ] + "I0": [ 385 ], + "I1": [ 590 ], + "I2": [ 608 ], + "I3": [ 407 ], + "O": [ 606 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O": { @@ -20662,7 +20341,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -20674,9 +20353,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 360 ], - "I3": [ 630 ], - "O": [ 631 ] + "I2": [ 596 ], + "I3": [ 609 ], + "O": [ 610 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_1": { @@ -20687,7 +20366,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -20699,9 +20378,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 362 ], - "I3": [ 632 ], - "O": [ 633 ] + "I2": [ 597 ], + "I3": [ 611 ], + "O": [ 612 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_2": { @@ -20712,7 +20391,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -20724,9 +20403,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 364 ], - "I3": [ 634 ], - "O": [ 635 ] + "I2": [ 578 ], + "I3": [ 613 ], + "O": [ 614 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_3": { @@ -20737,7 +20416,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -20749,9 +20428,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 366 ], - "I3": [ 636 ], - "O": [ 628 ] + "I2": [ 599 ], + "I3": [ 615 ], + "O": [ 616 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_4": { @@ -20762,7 +20441,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -20774,9 +20453,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 368 ], - "I3": [ 637 ], - "O": [ 638 ] + "I2": [ 598 ], + "I3": [ 617 ], + "O": [ 608 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_5": { @@ -20787,7 +20466,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -20799,9 +20478,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 370 ], - "I3": [ 639 ], - "O": [ 623 ] + "I2": [ 576 ], + "I3": [ 618 ], + "O": [ 619 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_6": { @@ -20812,7 +20491,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -20824,9 +20503,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 372 ], - "I3": [ 640 ], - "O": [ 641 ] + "I2": [ 600 ], + "I3": [ 620 ], + "O": [ 621 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_7": { @@ -20837,7 +20516,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -20849,8 +20528,8 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 371 ], - "I3": [ 595 ], + "I2": [ 602 ], + "I3": [ 601 ], "O": [ 622 ] } }, @@ -20875,8 +20554,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 595 ], - "O": [ 642 ] + "I3": [ 601 ], + "O": [ 623 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO": { @@ -20885,7 +20564,7 @@ "parameters": { }, "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -20894,10 +20573,10 @@ "I1": "input" }, "connections": { - "CI": [ 632 ], - "CO": [ 630 ], + "CI": [ 611 ], + "CO": [ 609 ], "I0": [ "0" ], - "I1": [ 362 ] + "I1": [ 597 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_1": { @@ -20906,7 +20585,7 @@ "parameters": { }, "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -20915,10 +20594,10 @@ "I1": "input" }, "connections": { - "CI": [ 634 ], - "CO": [ 632 ], + "CI": [ 613 ], + "CO": [ 611 ], "I0": [ "0" ], - "I1": [ 364 ] + "I1": [ 578 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_2": { @@ -20927,7 +20606,7 @@ "parameters": { }, "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -20936,10 +20615,10 @@ "I1": "input" }, "connections": { - "CI": [ 636 ], - "CO": [ 634 ], + "CI": [ 615 ], + "CO": [ 613 ], "I0": [ "0" ], - "I1": [ 366 ] + "I1": [ 599 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_3": { @@ -20948,7 +20627,7 @@ "parameters": { }, "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -20957,10 +20636,10 @@ "I1": "input" }, "connections": { - "CI": [ 637 ], - "CO": [ 636 ], + "CI": [ 617 ], + "CO": [ 615 ], "I0": [ "0" ], - "I1": [ 368 ] + "I1": [ 598 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_4": { @@ -20969,7 +20648,7 @@ "parameters": { }, "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -20978,10 +20657,10 @@ "I1": "input" }, "connections": { - "CI": [ 639 ], - "CO": [ 637 ], + "CI": [ 618 ], + "CO": [ 617 ], "I0": [ "0" ], - "I1": [ 370 ] + "I1": [ 576 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_5": { @@ -20990,7 +20669,7 @@ "parameters": { }, "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -20999,10 +20678,10 @@ "I1": "input" }, "connections": { - "CI": [ 640 ], - "CO": [ 639 ], + "CI": [ 620 ], + "CO": [ 618 ], "I0": [ "0" ], - "I1": [ 372 ] + "I1": [ 600 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO_6": { @@ -21011,7 +20690,7 @@ "parameters": { }, "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -21020,13 +20699,13 @@ "I1": "input" }, "connections": { - "CI": [ 595 ], - "CO": [ 640 ], + "CI": [ 601 ], + "CO": [ 620 ], "I0": [ "0" ], - "I1": [ 371 ] + "I1": [ 602 ] } }, - "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I0": { + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -21044,39 +20723,39 @@ "O": "output" }, "connections": { - "I0": [ 643 ], - "I1": [ 644 ], - "I2": [ 645 ], - "I3": [ 629 ], - "O": [ 621 ] - } - }, - "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1001000000001001" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 380 ], - "I1": [ 638 ], - "I2": [ 600 ], - "I3": [ 633 ], - "O": [ 643 ] + "I0": [ 624 ], + "I1": [ 625 ], + "I2": [ 626 ], + "I3": [ 627 ], + "O": [ 607 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 628 ], + "I1": [ 629 ], + "I2": [ 630 ], + "I3": [ 631 ], + "O": [ 605 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -21094,14 +20773,511 @@ "O": "output" }, "connections": { - "I0": [ 379 ], - "I1": [ 635 ], - "I2": [ 354 ], - "I3": [ 631 ], - "O": [ 645 ] + "I0": [ 593 ], + "I1": [ 632 ], + "I2": [ 590 ], + "I3": [ 633 ], + "O": [ 628 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 585 ], + "I1": [ 634 ], + "I2": [ 583 ], + "I3": [ 635 ], + "O": [ 631 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 575 ], + "I1": [ 636 ], + "I2": [ 588 ], + "I3": [ 637 ], + "O": [ 630 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0_SB_LUT4_O_3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000100110010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 601 ], + "I1": [ 594 ], + "I2": [ 602 ], + "I3": [ 595 ], + "O": [ 629 ] } }, "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0100000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 638 ], + "I1": [ 577 ], + "I2": [ 639 ], + "I3": [ 385 ], + "O": [ 604 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 640 ], + "CO": [ 638 ], + "I0": [ "0" ], + "I1": [ 596 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_CARRY_CO_1": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 641 ], + "CO": [ 640 ], + "I0": [ "0" ], + "I1": [ 597 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_CARRY_CO_2": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 642 ], + "CO": [ 641 ], + "I0": [ "0" ], + "I1": [ 578 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_CARRY_CO_3": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 643 ], + "CO": [ 642 ], + "I0": [ "0" ], + "I1": [ 599 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_CARRY_CO_4": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 644 ], + "CO": [ 643 ], + "I0": [ "0" ], + "I1": [ 598 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_CARRY_CO_5": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 645 ], + "CO": [ 644 ], + "I0": [ "0" ], + "I1": [ 576 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_CARRY_CO_6": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 602 ], + "CO": [ 645 ], + "I0": [ "0" ], + "I1": [ 600 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 596 ], + "I3": [ 640 ], + "O": [ 635 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 597 ], + "I3": [ 641 ], + "O": [ 634 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 578 ], + "I3": [ 642 ], + "O": [ 639 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2_SB_LUT4_O_3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 599 ], + "I3": [ 643 ], + "O": [ 637 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2_SB_LUT4_O_4": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 598 ], + "I3": [ 644 ], + "O": [ 633 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2_SB_LUT4_O_5": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 576 ], + "I3": [ 645 ], + "O": [ 636 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2_SB_LUT4_O_6": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 600 ], + "I3": [ 602 ], + "O": [ 632 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 595 ], + "I1": [ 622 ], + "I2": [ 593 ], + "I3": [ 621 ], + "O": [ 624 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 588 ], + "I1": [ 616 ], + "I2": [ 585 ], + "I3": [ 612 ], + "O": [ 627 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 575 ], + "I1": [ 619 ], + "I2": [ 577 ], + "I3": [ 614 ], + "O": [ 626 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -21119,11 +21295,11 @@ "O": "output" }, "connections": { - "I0": [ 595 ], - "I1": [ 608 ], - "I2": [ 641 ], - "I3": [ 596 ], - "O": [ 644 ] + "I0": [ 601 ], + "I1": [ 583 ], + "I2": [ 610 ], + "I3": [ 594 ], + "O": [ 625 ] } }, "rx_24_fifo.mem_i.0.0.0": { @@ -21168,15 +21344,15 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 596, 609, 608, 606, 380, 603, 379, 600, 354, "0", "0" ], - "RCLK": [ 82 ], + "RADDR": [ 594, 595, 593, 575, 590, 588, 577, 585, 583, "0", "0" ], + "RCLK": [ 70 ], "RCLKE": [ 646 ], - "RDATA": [ 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 59, 661 ], + "RDATA": [ 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 54, 659, 660, 661 ], "RE": [ "1" ], - "WADDR": [ 595, 371, 372, 370, 368, 366, 364, 362, 360, "0", "0" ], + "WADDR": [ 601, 602, 600, 576, 598, 599, 578, 597, 596, "0", "0" ], "WCLK": [ 193 ], - "WCLKE": [ 357 ], - "WDATA": [ 304, "x", 302, "x", 300, "x", 298, "x", 296, "x", 294, "x", 350, "x", 348, "x" ], + "WCLKE": [ 386 ], + "WDATA": [ 337, "x", 335, "x", 333, "x", 331, "x", 329, "x", 327, "x", 383, "x", 381, "x" ], "WE": [ "1" ] } }, @@ -21222,15 +21398,15 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 596, 609, 608, 606, 380, 603, 379, 600, 354, "0", "0" ], - "RCLK": [ 82 ], + "RADDR": [ 594, 595, 593, 575, 590, 588, 577, 585, 583, "0", "0" ], + "RCLK": [ 70 ], "RCLKE": [ 646 ], - "RDATA": [ 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 58, 676 ], + "RDATA": [ 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 53, 674, 675, 676 ], "RE": [ "1" ], - "WADDR": [ 595, 371, 372, 370, 368, 366, 364, 362, 360, "0", "0" ], + "WADDR": [ 601, 602, 600, 576, 598, 599, 578, 597, 596, "0", "0" ], "WCLK": [ 193 ], - "WCLKE": [ 357 ], - "WDATA": [ 346, "x", 344, "x", 342, "x", 340, "x", 336, "x", 314, "x", 292, "x", 290, "x" ], + "WCLKE": [ 386 ], + "WDATA": [ 379, "x", 377, "x", 375, "x", 373, "x", 369, "x", 347, "x", 325, "x", 323, "x" ], "WE": [ "1" ] } }, @@ -21276,15 +21452,15 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 596, 609, 608, 606, 380, 603, 379, 600, 354, "0", "0" ], - "RCLK": [ 82 ], + "RADDR": [ 594, 595, 593, 575, 590, 588, 577, 585, 583, "0", "0" ], + "RCLK": [ 70 ], "RCLKE": [ 646 ], - "RDATA": [ 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 72, 691 ], + "RDATA": [ 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 67, 689, 690, 691 ], "RE": [ "1" ], - "WADDR": [ 595, 371, 372, 370, 368, 366, 364, 362, 360, "0", "0" ], + "WADDR": [ 601, 602, 600, 576, 598, 599, 578, 597, 596, "0", "0" ], "WCLK": [ 193 ], - "WCLKE": [ 357 ], - "WDATA": [ 338, "x", 337, "x", 334, "x", 332, "x", 330, "x", 328, "x", 326, "x", 324, "x" ], + "WCLKE": [ 386 ], + "WDATA": [ 371, "x", 370, "x", 367, "x", 365, "x", 363, "x", 361, "x", 359, "x", 357, "x" ], "WE": [ "1" ] } }, @@ -21330,15 +21506,15 @@ }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], - "RADDR": [ 596, 609, 608, 606, 380, 603, 379, 600, 354, "0", "0" ], - "RCLK": [ 82 ], + "RADDR": [ 594, 595, 593, 575, 590, 588, 577, 585, 583, "0", "0" ], + "RCLK": [ 70 ], "RCLKE": [ 646 ], - "RDATA": [ 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 71, 706 ], + "RDATA": [ 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 66, 704, 705, 706 ], "RE": [ "1" ], - "WADDR": [ 595, 371, 372, 370, 368, 366, 364, 362, 360, "0", "0" ], + "WADDR": [ 601, 602, 600, 576, 598, 599, 578, 597, 596, "0", "0" ], "WCLK": [ 193 ], - "WCLKE": [ 357 ], - "WDATA": [ 322, "x", 320, "x", 318, "x", 316, "x", 312, "x", 310, "x", 308, "x", 306, "x" ], + "WCLKE": [ 386 ], + "WDATA": [ 355, "x", 353, "x", 351, "x", 349, "x", 345, "x", 343, "x", 341, "x", 339, "x" ], "WE": [ "1" ] } }, @@ -21349,7 +21525,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -21359,11 +21535,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 707 ], "E": [ 708 ], - "Q": [ 354 ], - "R": [ 54 ] + "Q": [ 583 ], + "R": [ 49 ] } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_1": { @@ -21373,7 +21549,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -21383,11 +21559,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 709 ], "E": [ 708 ], - "Q": [ 600 ], - "R": [ 54 ] + "Q": [ 585 ], + "R": [ 49 ] } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_2": { @@ -21397,7 +21573,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -21407,11 +21583,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 710 ], "E": [ 708 ], - "Q": [ 379 ], - "R": [ 54 ] + "Q": [ 577 ], + "R": [ 49 ] } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_3": { @@ -21421,7 +21597,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -21431,11 +21607,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 711 ], "E": [ 708 ], - "Q": [ 603 ], - "R": [ 54 ] + "Q": [ 588 ], + "R": [ 49 ] } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_4": { @@ -21445,7 +21621,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -21455,11 +21631,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 712 ], "E": [ 708 ], - "Q": [ 380 ], - "R": [ 54 ] + "Q": [ 590 ], + "R": [ 49 ] } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_5": { @@ -21469,7 +21645,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -21479,11 +21655,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 713 ], "E": [ 708 ], - "Q": [ 606 ], - "R": [ 54 ] + "Q": [ 575 ], + "R": [ 49 ] } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_6": { @@ -21493,7 +21669,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -21503,11 +21679,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 714 ], "E": [ 708 ], - "Q": [ 608 ], - "R": [ 54 ] + "Q": [ 593 ], + "R": [ 49 ] } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_7": { @@ -21517,7 +21693,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -21527,11 +21703,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 715 ], "E": [ 708 ], - "Q": [ 609 ], - "R": [ 54 ] + "Q": [ 595 ], + "R": [ 49 ] } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_8": { @@ -21541,7 +21717,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:41.1-64.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -21551,11 +21727,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 716 ], "E": [ 708 ], - "Q": [ 596 ], - "R": [ 54 ] + "Q": [ 594 ], + "R": [ 49 ] } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -21566,7 +21742,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -21578,7 +21754,7 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 354 ], + "I2": [ 583 ], "I3": [ 717 ], "O": [ 707 ] } @@ -21591,7 +21767,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -21603,7 +21779,7 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 600 ], + "I2": [ 585 ], "I3": [ 718 ], "O": [ 709 ] } @@ -21616,7 +21792,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -21628,7 +21804,7 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 379 ], + "I2": [ 577 ], "I3": [ 719 ], "O": [ 710 ] } @@ -21641,7 +21817,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -21653,7 +21829,7 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 603 ], + "I2": [ 588 ], "I3": [ 720 ], "O": [ 711 ] } @@ -21666,7 +21842,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -21678,7 +21854,7 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 380 ], + "I2": [ 590 ], "I3": [ 721 ], "O": [ 712 ] } @@ -21691,7 +21867,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -21703,7 +21879,7 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 606 ], + "I2": [ 575 ], "I3": [ 722 ], "O": [ 713 ] } @@ -21716,7 +21892,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -21728,7 +21904,7 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 608 ], + "I2": [ 593 ], "I3": [ 723 ], "O": [ 714 ] } @@ -21741,7 +21917,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -21753,8 +21929,8 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 609 ], - "I3": [ 596 ], + "I2": [ 595 ], + "I3": [ 594 ], "O": [ 715 ] } }, @@ -21779,7 +21955,7 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 596 ], + "I3": [ 594 ], "O": [ 716 ] } }, @@ -21789,7 +21965,7 @@ "parameters": { }, "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -21801,7 +21977,7 @@ "CI": [ 718 ], "CO": [ 717 ], "I0": [ "0" ], - "I1": [ 600 ] + "I1": [ 585 ] } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_1": { @@ -21810,7 +21986,7 @@ "parameters": { }, "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -21822,7 +21998,7 @@ "CI": [ 719 ], "CO": [ 718 ], "I0": [ "0" ], - "I1": [ 379 ] + "I1": [ 577 ] } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_2": { @@ -21831,7 +22007,7 @@ "parameters": { }, "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -21843,7 +22019,7 @@ "CI": [ 720 ], "CO": [ 719 ], "I0": [ "0" ], - "I1": [ 603 ] + "I1": [ 588 ] } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_3": { @@ -21852,7 +22028,7 @@ "parameters": { }, "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -21864,7 +22040,7 @@ "CI": [ 721 ], "CO": [ 720 ], "I0": [ "0" ], - "I1": [ 380 ] + "I1": [ 590 ] } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_4": { @@ -21873,7 +22049,7 @@ "parameters": { }, "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -21885,7 +22061,7 @@ "CI": [ 722 ], "CO": [ 721 ], "I0": [ "0" ], - "I1": [ 606 ] + "I1": [ 575 ] } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_5": { @@ -21894,7 +22070,7 @@ "parameters": { }, "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -21906,7 +22082,7 @@ "CI": [ 723 ], "CO": [ 722 ], "I0": [ "0" ], - "I1": [ 608 ] + "I1": [ 593 ] } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_6": { @@ -21915,7 +22091,7 @@ "parameters": { }, "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -21924,10 +22100,10 @@ "I1": "input" }, "connections": { - "CI": [ 596 ], + "CI": [ 594 ], "CO": [ 723 ], "I0": [ "0" ], - "I1": [ 609 ] + "I1": [ 595 ] } }, "rx_24_fifo.wr_addr_SB_DFFESR_Q": { @@ -21937,7 +22113,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -21948,10 +22124,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 631 ], - "E": [ 358 ], - "Q": [ 360 ], - "R": [ 54 ] + "D": [ 610 ], + "E": [ 387 ], + "Q": [ 596 ], + "R": [ 49 ] } }, "rx_24_fifo.wr_addr_SB_DFFESR_Q_1": { @@ -21961,7 +22137,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -21972,10 +22148,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 633 ], - "E": [ 358 ], - "Q": [ 362 ], - "R": [ 54 ] + "D": [ 612 ], + "E": [ 387 ], + "Q": [ 597 ], + "R": [ 49 ] } }, "rx_24_fifo.wr_addr_SB_DFFESR_Q_2": { @@ -21985,7 +22161,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -21996,10 +22172,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 635 ], - "E": [ 358 ], - "Q": [ 364 ], - "R": [ 54 ] + "D": [ 614 ], + "E": [ 387 ], + "Q": [ 578 ], + "R": [ 49 ] } }, "rx_24_fifo.wr_addr_SB_DFFESR_Q_3": { @@ -22009,7 +22185,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -22020,10 +22196,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 628 ], - "E": [ 358 ], - "Q": [ 366 ], - "R": [ 54 ] + "D": [ 616 ], + "E": [ 387 ], + "Q": [ 599 ], + "R": [ 49 ] } }, "rx_24_fifo.wr_addr_SB_DFFESR_Q_4": { @@ -22033,7 +22209,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -22044,10 +22220,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 638 ], - "E": [ 358 ], - "Q": [ 368 ], - "R": [ 54 ] + "D": [ 608 ], + "E": [ 387 ], + "Q": [ 598 ], + "R": [ 49 ] } }, "rx_24_fifo.wr_addr_SB_DFFESR_Q_5": { @@ -22057,7 +22233,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -22068,10 +22244,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 623 ], - "E": [ 358 ], - "Q": [ 370 ], - "R": [ 54 ] + "D": [ 619 ], + "E": [ 387 ], + "Q": [ 576 ], + "R": [ 49 ] } }, "rx_24_fifo.wr_addr_SB_DFFESR_Q_6": { @@ -22081,7 +22257,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -22092,10 +22268,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 641 ], - "E": [ 358 ], - "Q": [ 372 ], - "R": [ 54 ] + "D": [ 621 ], + "E": [ 387 ], + "Q": [ 600 ], + "R": [ 49 ] } }, "rx_24_fifo.wr_addr_SB_DFFESR_Q_7": { @@ -22105,7 +22281,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -22117,9 +22293,9 @@ "connections": { "C": [ 193 ], "D": [ 622 ], - "E": [ 358 ], - "Q": [ 371 ], - "R": [ 54 ] + "E": [ 387 ], + "Q": [ 602 ], + "R": [ 49 ] } }, "rx_24_fifo.wr_addr_SB_DFFESR_Q_8": { @@ -22129,7 +22305,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:298.17-309.5|complex_fifo.v:23.1-37.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -22140,10 +22316,10 @@ }, "connections": { "C": [ 193 ], - "D": [ 642 ], - "E": [ 358 ], - "Q": [ 595 ], - "R": [ 54 ] + "D": [ 623 ], + "E": [ 387 ], + "Q": [ 601 ], + "R": [ 49 ] } }, "smi_ctrl_ins.i_cs_SB_DFFESR_Q": { @@ -22163,11 +22339,36 @@ "R": "input" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 724 ], - "E": [ 93 ], + "E": [ 81 ], "Q": [ 725 ], - "R": [ 95 ] + "R": [ 83 ] + } + }, + "smi_ctrl_ins.i_cs_SB_LUT4_I2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 49 ], + "I1": [ 104 ], + "I2": [ 725 ], + "I3": [ 89 ], + "O": [ 726 ] } }, "smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q": { @@ -22177,7 +22378,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:23.66-23.119" + "src": "top.v:311.13-342.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:23.66-23.119" }, "port_directions": { "C": "input", @@ -22187,11 +22388,11 @@ "S": "input" }, "connections": { - "C": [ 726 ], - "D": [ 727 ], - "E": [ 728 ], - "Q": [ 66 ], - "S": [ 54 ] + "C": [ 727 ], + "D": [ 728 ], + "E": [ 729 ], + "Q": [ 61 ], + "S": [ 49 ] } }, "smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_1": { @@ -22201,7 +22402,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:23.66-23.119" + "src": "top.v:311.13-342.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:23.66-23.119" }, "port_directions": { "C": "input", @@ -22211,11 +22412,11 @@ "S": "input" }, "connections": { - "C": [ 726 ], - "D": [ 729 ], - "E": [ 728 ], - "Q": [ 65 ], - "S": [ 54 ] + "C": [ 727 ], + "D": [ 730 ], + "E": [ 729 ], + "Q": [ 60 ], + "S": [ 49 ] } }, "smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_D_SB_LUT4_O": { @@ -22239,8 +22440,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 65 ], - "O": [ 729 ] + "I3": [ 60 ], + "O": [ 730 ] } }, "smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_D_SB_LUT4_O_1": { @@ -22263,9 +22464,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 66 ], - "I3": [ 65 ], - "O": [ 727 ] + "I2": [ 61 ], + "I3": [ 60 ], + "O": [ 728 ] } }, "smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_E_SB_LUT4_O": { @@ -22288,9 +22489,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 57 ], - "I3": [ 54 ], - "O": [ 728 ] + "I2": [ 52 ], + "I3": [ 49 ], + "O": [ 729 ] } }, "smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q": { @@ -22300,7 +22501,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:23.66-23.119" + "src": "top.v:311.13-342.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:23.66-23.119" }, "port_directions": { "C": "input", @@ -22310,11 +22511,11 @@ "S": "input" }, "connections": { - "C": [ 726 ], - "D": [ 730 ], - "E": [ 55 ], - "Q": [ 60 ], - "S": [ 54 ] + "C": [ 727 ], + "D": [ 731 ], + "E": [ 50 ], + "Q": [ 55 ], + "S": [ 49 ] } }, "smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_1": { @@ -22324,7 +22525,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:23.66-23.119" + "src": "top.v:311.13-342.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:23.66-23.119" }, "port_directions": { "C": "input", @@ -22334,11 +22535,11 @@ "S": "input" }, "connections": { - "C": [ 726 ], - "D": [ 731 ], - "E": [ 55 ], - "Q": [ 73 ], - "S": [ 54 ] + "C": [ 727 ], + "D": [ 732 ], + "E": [ 50 ], + "Q": [ 68 ], + "S": [ 49 ] } }, "smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_D_SB_LUT4_O": { @@ -22362,8 +22563,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 73 ], - "O": [ 731 ] + "I3": [ 68 ], + "O": [ 732 ] } }, "smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_D_SB_LUT4_O_1": { @@ -22386,9 +22587,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 60 ], - "I3": [ 73 ], - "O": [ 730 ] + "I2": [ 55 ], + "I3": [ 68 ], + "O": [ 731 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESR_Q": { @@ -22398,7 +22599,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:62.5-87.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:311.13-342.5|smi_ctrl.v:62.5-87.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -22408,11 +22609,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 401 ], - "E": [ 732 ], - "Q": [ 733 ], - "R": [ 97 ] + "C": [ 70 ], + "D": [ 407 ], + "E": [ 733 ], + "Q": [ 734 ], + "R": [ 94 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESR_Q_1": { @@ -22422,7 +22623,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:62.5-87.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:311.13-342.5|smi_ctrl.v:62.5-87.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -22432,11 +22633,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 590 ], - "E": [ 732 ], - "Q": [ 734 ], - "R": [ 97 ] + "C": [ 70 ], + "D": [ 567 ], + "E": [ 733 ], + "Q": [ 735 ], + "R": [ 94 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESR_Q_2": { @@ -22446,7 +22647,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:62.5-87.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:311.13-342.5|smi_ctrl.v:62.5-87.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", @@ -22456,11 +22657,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 282 ], - "E": [ 732 ], - "Q": [ 735 ], - "R": [ 97 ] + "C": [ 70 ], + "D": [ 316 ], + "E": [ 733 ], + "Q": [ 736 ], + "R": [ 94 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q": { @@ -22470,7 +22671,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:62.5-87.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119" + "src": "top.v:311.13-342.5|smi_ctrl.v:62.5-87.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119" }, "port_directions": { "C": "input", @@ -22480,11 +22681,36 @@ "S": "input" }, "connections": { - "C": [ 82 ], - "D": [ 432 ], - "E": [ 732 ], - "Q": [ 736 ], - "S": [ 97 ] + "C": [ 70 ], + "D": [ 438 ], + "E": [ 733 ], + "Q": [ 737 ], + "S": [ 94 ] + } + }, + "smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 105 ], + "I3": [ 726 ], + "O": [ 733 ] } }, "smi_ctrl_ins.o_data_out_SB_LUT4_I0": { @@ -22505,11 +22731,11 @@ "O": "output" }, "connections": { - "I0": [ 736 ], - "I1": [ 737 ], - "I2": [ 425 ], + "I0": [ 737 ], + "I1": [ 738 ], + "I2": [ 430 ], "I3": [ 153 ], - "O": [ 738 ] + "O": [ 739 ] } }, "smi_ctrl_ins.o_data_out_SB_LUT4_I0_1": { @@ -22530,14 +22756,39 @@ "O": "output" }, "connections": { - "I0": [ 733 ], - "I1": [ 737 ], - "I2": [ 425 ], - "I3": [ 122 ], - "O": [ 417 ] + "I0": [ 734 ], + "I1": [ 738 ], + "I2": [ 430 ], + "I3": [ 133 ], + "O": [ 422 ] } }, "smi_ctrl_ins.o_data_out_SB_LUT4_I0_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 735 ], + "I1": [ 738 ], + "I2": [ 430 ], + "I3": [ 144 ], + "O": [ 434 ] + } + }, + "smi_ctrl_ins.o_data_out_SB_LUT4_I0_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -22555,61 +22806,11 @@ "O": "output" }, "connections": { - "I0": [ 735 ], - "I1": [ 737 ], - "I2": [ 425 ], - "I3": [ 119 ], - "O": [ 411 ] - } - }, - "smi_ctrl_ins.o_data_out_SB_LUT4_I2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1100000011111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 737 ], - "I2": [ 734 ], - "I3": [ 739 ], - "O": [ 415 ] - } - }, - "smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000000111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 147 ], - "I2": [ 425 ], - "I3": [ 428 ], - "O": [ 739 ] + "I0": [ 736 ], + "I1": [ 738 ], + "I2": [ 430 ], + "I3": [ 128 ], + "O": [ 416 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q": { @@ -22619,7 +22820,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" + "src": "top.v:311.13-342.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", @@ -22628,9 +22829,9 @@ "Q": "output" }, "connections": { - "C": [ 726 ], + "C": [ 727 ], "D": [ 740 ], - "E": [ 56 ], + "E": [ 51 ], "Q": [ 184 ] } }, @@ -22641,7 +22842,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" + "src": "top.v:311.13-342.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", @@ -22650,9 +22851,9 @@ "Q": "output" }, "connections": { - "C": [ 726 ], + "C": [ 727 ], "D": [ 741 ], - "E": [ 56 ], + "E": [ 51 ], "Q": [ 185 ] } }, @@ -22674,113 +22875,13 @@ "O": "output" }, "connections": { - "I0": [ 742 ], - "I1": [ 743 ], - "I2": [ 744 ], - "I3": [ 57 ], + "I0": [ 65 ], + "I1": [ 62 ], + "I2": [ 57 ], + "I3": [ 52 ], "O": [ 741 ] } }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111001100000101" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 674 ], - "I1": [ 659 ], - "I2": [ 60 ], - "I3": [ 745 ], - "O": [ 744 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000001100000101" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 531 ], - "I1": [ 561 ], - "I2": [ 65 ], - "I3": [ 66 ], - "O": [ 743 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0011010100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 516 ], - "I1": [ 546 ], - "I2": [ 66 ], - "I3": [ 65 ], - "O": [ 742 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0011111101010000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 704 ], - "I1": [ 689 ], - "I2": [ 60 ], - "I3": [ 73 ], - "O": [ 745 ] - } - }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2": { "hide_name": 0, "type": "SB_DFFNE", @@ -22788,7 +22889,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" + "src": "top.v:311.13-342.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", @@ -22797,9 +22898,9 @@ "Q": "output" }, "connections": { - "C": [ 726 ], - "D": [ 746 ], - "E": [ 56 ], + "C": [ 727 ], + "D": [ 742 ], + "E": [ 51 ], "Q": [ 186 ] } }, @@ -22821,11 +22922,11 @@ "O": "output" }, "connections": { - "I0": [ 747 ], - "I1": [ 748 ], - "I2": [ 749 ], - "I3": [ 57 ], - "O": [ 746 ] + "I0": [ 743 ], + "I1": [ 744 ], + "I2": [ 745 ], + "I3": [ 52 ], + "O": [ 742 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O": { @@ -22848,9 +22949,9 @@ "connections": { "I0": [ 672 ], "I1": [ 657 ], - "I2": [ 60 ], - "I3": [ 750 ], - "O": [ 749 ] + "I2": [ 55 ], + "I3": [ 746 ], + "O": [ 745 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_1": { @@ -22871,11 +22972,11 @@ "O": "output" }, "connections": { - "I0": [ 529 ], - "I1": [ 559 ], - "I2": [ 65 ], - "I3": [ 66 ], - "O": [ 748 ] + "I0": [ 514 ], + "I1": [ 544 ], + "I2": [ 60 ], + "I3": [ 61 ], + "O": [ 744 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_2": { @@ -22896,11 +22997,11 @@ "O": "output" }, "connections": { - "I0": [ 514 ], - "I1": [ 544 ], - "I2": [ 66 ], - "I3": [ 65 ], - "O": [ 747 ] + "I0": [ 499 ], + "I1": [ 529 ], + "I2": [ 61 ], + "I3": [ 60 ], + "O": [ 743 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": { @@ -22923,9 +23024,9 @@ "connections": { "I0": [ 702 ], "I1": [ 687 ], - "I2": [ 60 ], - "I3": [ 73 ], - "O": [ 750 ] + "I2": [ 55 ], + "I3": [ 68 ], + "O": [ 746 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3": { @@ -22935,7 +23036,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" + "src": "top.v:311.13-342.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", @@ -22944,9 +23045,9 @@ "Q": "output" }, "connections": { - "C": [ 726 ], - "D": [ 751 ], - "E": [ 56 ], + "C": [ 727 ], + "D": [ 747 ], + "E": [ 51 ], "Q": [ 187 ] } }, @@ -22968,11 +23069,11 @@ "O": "output" }, "connections": { - "I0": [ 752 ], - "I1": [ 753 ], - "I2": [ 754 ], - "I3": [ 57 ], - "O": [ 751 ] + "I0": [ 748 ], + "I1": [ 749 ], + "I2": [ 750 ], + "I3": [ 52 ], + "O": [ 747 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O": { @@ -22995,9 +23096,9 @@ "connections": { "I0": [ 670 ], "I1": [ 655 ], - "I2": [ 60 ], - "I3": [ 755 ], - "O": [ 754 ] + "I2": [ 55 ], + "I3": [ 751 ], + "O": [ 750 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_1": { @@ -23018,11 +23119,11 @@ "O": "output" }, "connections": { - "I0": [ 527 ], - "I1": [ 557 ], - "I2": [ 65 ], - "I3": [ 66 ], - "O": [ 753 ] + "I0": [ 512 ], + "I1": [ 542 ], + "I2": [ 60 ], + "I3": [ 61 ], + "O": [ 749 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_2": { @@ -23043,11 +23144,11 @@ "O": "output" }, "connections": { - "I0": [ 512 ], - "I1": [ 542 ], - "I2": [ 66 ], - "I3": [ 65 ], - "O": [ 752 ] + "I0": [ 497 ], + "I1": [ 527 ], + "I2": [ 61 ], + "I3": [ 60 ], + "O": [ 748 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": { @@ -23070,9 +23171,9 @@ "connections": { "I0": [ 700 ], "I1": [ 685 ], - "I2": [ 60 ], - "I3": [ 73 ], - "O": [ 755 ] + "I2": [ 55 ], + "I3": [ 68 ], + "O": [ 751 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4": { @@ -23082,7 +23183,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" + "src": "top.v:311.13-342.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", @@ -23091,9 +23192,9 @@ "Q": "output" }, "connections": { - "C": [ 726 ], - "D": [ 756 ], - "E": [ 56 ], + "C": [ 727 ], + "D": [ 752 ], + "E": [ 51 ], "Q": [ 188 ] } }, @@ -23115,11 +23216,11 @@ "O": "output" }, "connections": { - "I0": [ 757 ], - "I1": [ 758 ], - "I2": [ 759 ], - "I3": [ 57 ], - "O": [ 756 ] + "I0": [ 753 ], + "I1": [ 754 ], + "I2": [ 755 ], + "I3": [ 52 ], + "O": [ 752 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O": { @@ -23142,9 +23243,9 @@ "connections": { "I0": [ 668 ], "I1": [ 653 ], - "I2": [ 60 ], - "I3": [ 760 ], - "O": [ 759 ] + "I2": [ 55 ], + "I3": [ 756 ], + "O": [ 755 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_1": { @@ -23165,11 +23266,11 @@ "O": "output" }, "connections": { - "I0": [ 525 ], - "I1": [ 555 ], - "I2": [ 65 ], - "I3": [ 66 ], - "O": [ 758 ] + "I0": [ 510 ], + "I1": [ 540 ], + "I2": [ 60 ], + "I3": [ 61 ], + "O": [ 754 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_2": { @@ -23190,11 +23291,11 @@ "O": "output" }, "connections": { - "I0": [ 510 ], - "I1": [ 540 ], - "I2": [ 66 ], - "I3": [ 65 ], - "O": [ 757 ] + "I0": [ 495 ], + "I1": [ 525 ], + "I2": [ 61 ], + "I3": [ 60 ], + "O": [ 753 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": { @@ -23217,9 +23318,9 @@ "connections": { "I0": [ 698 ], "I1": [ 683 ], - "I2": [ 60 ], - "I3": [ 73 ], - "O": [ 760 ] + "I2": [ 55 ], + "I3": [ 68 ], + "O": [ 756 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5": { @@ -23229,7 +23330,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" + "src": "top.v:311.13-342.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", @@ -23238,9 +23339,9 @@ "Q": "output" }, "connections": { - "C": [ 726 ], - "D": [ 761 ], - "E": [ 56 ], + "C": [ 727 ], + "D": [ 757 ], + "E": [ 51 ], "Q": [ 189 ] } }, @@ -23262,11 +23363,11 @@ "O": "output" }, "connections": { - "I0": [ 762 ], - "I1": [ 763 ], - "I2": [ 764 ], - "I3": [ 57 ], - "O": [ 761 ] + "I0": [ 758 ], + "I1": [ 759 ], + "I2": [ 760 ], + "I3": [ 52 ], + "O": [ 757 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O": { @@ -23289,9 +23390,9 @@ "connections": { "I0": [ 666 ], "I1": [ 651 ], - "I2": [ 60 ], - "I3": [ 765 ], - "O": [ 764 ] + "I2": [ 55 ], + "I3": [ 761 ], + "O": [ 760 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_1": { @@ -23312,11 +23413,11 @@ "O": "output" }, "connections": { - "I0": [ 523 ], - "I1": [ 553 ], - "I2": [ 65 ], - "I3": [ 66 ], - "O": [ 763 ] + "I0": [ 508 ], + "I1": [ 538 ], + "I2": [ 60 ], + "I3": [ 61 ], + "O": [ 759 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_2": { @@ -23337,11 +23438,11 @@ "O": "output" }, "connections": { - "I0": [ 508 ], - "I1": [ 538 ], - "I2": [ 66 ], - "I3": [ 65 ], - "O": [ 762 ] + "I0": [ 493 ], + "I1": [ 523 ], + "I2": [ 61 ], + "I3": [ 60 ], + "O": [ 758 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": { @@ -23364,9 +23465,9 @@ "connections": { "I0": [ 696 ], "I1": [ 681 ], - "I2": [ 60 ], - "I3": [ 73 ], - "O": [ 765 ] + "I2": [ 55 ], + "I3": [ 68 ], + "O": [ 761 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6": { @@ -23376,7 +23477,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" + "src": "top.v:311.13-342.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", @@ -23385,9 +23486,9 @@ "Q": "output" }, "connections": { - "C": [ 726 ], - "D": [ 766 ], - "E": [ 56 ], + "C": [ 727 ], + "D": [ 762 ], + "E": [ 51 ], "Q": [ 190 ] } }, @@ -23409,11 +23510,11 @@ "O": "output" }, "connections": { - "I0": [ 767 ], - "I1": [ 768 ], - "I2": [ 769 ], - "I3": [ 57 ], - "O": [ 766 ] + "I0": [ 763 ], + "I1": [ 764 ], + "I2": [ 765 ], + "I3": [ 52 ], + "O": [ 762 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O": { @@ -23436,9 +23537,9 @@ "connections": { "I0": [ 664 ], "I1": [ 649 ], - "I2": [ 60 ], - "I3": [ 770 ], - "O": [ 769 ] + "I2": [ 55 ], + "I3": [ 766 ], + "O": [ 765 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_1": { @@ -23459,11 +23560,11 @@ "O": "output" }, "connections": { - "I0": [ 521 ], - "I1": [ 551 ], - "I2": [ 65 ], - "I3": [ 66 ], - "O": [ 768 ] + "I0": [ 506 ], + "I1": [ 536 ], + "I2": [ 60 ], + "I3": [ 61 ], + "O": [ 764 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_2": { @@ -23484,11 +23585,11 @@ "O": "output" }, "connections": { - "I0": [ 506 ], - "I1": [ 536 ], - "I2": [ 66 ], - "I3": [ 65 ], - "O": [ 767 ] + "I0": [ 491 ], + "I1": [ 521 ], + "I2": [ 61 ], + "I3": [ 60 ], + "O": [ 763 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": { @@ -23511,9 +23612,9 @@ "connections": { "I0": [ 694 ], "I1": [ 679 ], - "I2": [ 60 ], - "I3": [ 73 ], - "O": [ 770 ] + "I2": [ 55 ], + "I3": [ 68 ], + "O": [ 766 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7": { @@ -23523,7 +23624,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" + "src": "top.v:311.13-342.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", @@ -23532,9 +23633,9 @@ "Q": "output" }, "connections": { - "C": [ 726 ], - "D": [ 771 ], - "E": [ 56 ], + "C": [ 727 ], + "D": [ 767 ], + "E": [ 51 ], "Q": [ 33 ] } }, @@ -23556,11 +23657,11 @@ "O": "output" }, "connections": { - "I0": [ 772 ], - "I1": [ 773 ], - "I2": [ 774 ], - "I3": [ 57 ], - "O": [ 771 ] + "I0": [ 768 ], + "I1": [ 769 ], + "I2": [ 770 ], + "I3": [ 52 ], + "O": [ 767 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O": { @@ -23583,9 +23684,9 @@ "connections": { "I0": [ 662 ], "I1": [ 647 ], - "I2": [ 60 ], - "I3": [ 775 ], - "O": [ 774 ] + "I2": [ 55 ], + "I3": [ 771 ], + "O": [ 770 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_1": { @@ -23606,11 +23707,11 @@ "O": "output" }, "connections": { - "I0": [ 519 ], - "I1": [ 549 ], - "I2": [ 65 ], - "I3": [ 66 ], - "O": [ 773 ] + "I0": [ 504 ], + "I1": [ 534 ], + "I2": [ 60 ], + "I3": [ 61 ], + "O": [ 769 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_2": { @@ -23631,11 +23732,11 @@ "O": "output" }, "connections": { - "I0": [ 504 ], - "I1": [ 534 ], - "I2": [ 66 ], - "I3": [ 65 ], - "O": [ 772 ] + "I0": [ 489 ], + "I1": [ 519 ], + "I2": [ 61 ], + "I3": [ 60 ], + "O": [ 768 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": { @@ -23658,9 +23759,9 @@ "connections": { "I0": [ 692 ], "I1": [ 677 ], - "I2": [ 60 ], - "I3": [ 73 ], - "O": [ 775 ] + "I2": [ 55 ], + "I3": [ 68 ], + "O": [ 771 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O": { @@ -23681,13 +23782,113 @@ "O": "output" }, "connections": { - "I0": [ 70 ], - "I1": [ 67 ], - "I2": [ 62 ], - "I3": [ 57 ], + "I0": [ 772 ], + "I1": [ 773 ], + "I2": [ 774 ], + "I3": [ 52 ], "O": [ 740 ] } }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111001100000101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 675 ], + "I1": [ 660 ], + "I2": [ 55 ], + "I3": [ 775 ], + "O": [ 774 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000001100000101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 517 ], + "I1": [ 547 ], + "I2": [ 60 ], + "I3": [ 61 ], + "O": [ 773 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011010100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 502 ], + "I1": [ 532 ], + "I2": [ 61 ], + "I3": [ 60 ], + "O": [ 772 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 705 ], + "I1": [ 690 ], + "I2": [ 55 ], + "I3": [ 68 ], + "O": [ 775 ] + } + }, "smi_ctrl_ins.r_fifo_09_pull_1_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", @@ -23695,7 +23896,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:139.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" + "src": "top.v:311.13-342.5|smi_ctrl.v:139.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", @@ -23704,10 +23905,10 @@ "R": "input" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 776 ], "Q": [ 777 ], - "R": [ 54 ] + "R": [ 49 ] } }, "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1": { @@ -23730,7 +23931,7 @@ "connections": { "I0": [ "0" ], "I1": [ 777 ], - "I2": [ 432 ], + "I2": [ 438 ], "I3": [ 776 ], "O": [ 778 ] } @@ -23754,60 +23955,10 @@ }, "connections": { "I0": [ 779 ], - "I1": [ 780 ], - "I2": [ 778 ], + "I1": [ 778 ], + "I2": [ 780 ], "I3": [ 781 ], - "O": [ 437 ] - } - }, - "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0100100010000100" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 446 ], - "I1": [ 782 ], - "I2": [ 445 ], - "I3": [ 461 ], - "O": [ 438 ] - } - }, - "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0100000110000010" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 451 ], - "I1": [ 456 ], - "I2": [ 783 ], - "I3": [ 452 ], - "O": [ 782 ] + "O": [ 449 ] } }, "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I2": { @@ -23831,8 +23982,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ 778 ], - "I3": [ 54 ], - "O": [ 565 ] + "I3": [ 49 ], + "O": [ 550 ] } }, "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I3": { @@ -23855,16 +24006,16 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 54 ], + "I2": [ 49 ], "I3": [ 778 ], - "O": [ 503 ] + "O": [ 488 ] } }, "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1001000000001001" + "LUT_INIT": "1011000000001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -23878,18 +24029,18 @@ "O": "output" }, "connections": { - "I0": [ 448 ], - "I1": [ 784 ], - "I2": [ 450 ], - "I3": [ 785 ], - "O": [ 780 ] + "I0": [ 782 ], + "I1": [ 275 ], + "I2": [ 277 ], + "I3": [ 783 ], + "O": [ 779 ] } }, "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1001000000001001" + "LUT_INIT": "1011000000001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -23903,11 +24054,11 @@ "O": "output" }, "connections": { - "I0": [ 453 ], - "I1": [ 786 ], - "I2": [ 458 ], - "I3": [ 787 ], - "O": [ 779 ] + "I0": [ 275 ], + "I1": [ 782 ], + "I2": [ 279 ], + "I3": [ 784 ], + "O": [ 781 ] } }, "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_2": { @@ -23928,14 +24079,14 @@ "O": "output" }, "connections": { - "I0": [ 460 ], - "I1": [ 788 ], - "I2": [ 443 ], - "I3": [ 789 ], - "O": [ 781 ] + "I0": [ 283 ], + "I1": [ 785 ], + "I2": [ 281 ], + "I3": [ 786 ], + "O": [ 780 ] } }, - "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_LUT4_O": { + "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -23943,7 +24094,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -23955,12 +24106,12 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 444 ], - "I3": [ 462 ], - "O": [ 789 ] + "I2": [ 293 ], + "I3": [ 450 ], + "O": [ 446 ] } }, - "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_LUT4_O_1": { + "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -23968,7 +24119,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -23980,12 +24131,12 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 459 ], - "I3": [ 463 ], - "O": [ 788 ] + "I2": [ 292 ], + "I3": [ 451 ], + "O": [ 782 ] } }, - "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_LUT4_O_2": { + "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -23993,7 +24144,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -24005,37 +24156,12 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 449 ], - "I3": [ 464 ], - "O": [ 785 ] - } - }, - "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_LUT4_O_3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 455 ], - "I3": [ 465 ], + "I2": [ 291 ], + "I3": [ 452 ], "O": [ 783 ] } }, - "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_LUT4_O_4": { + "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -24043,7 +24169,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -24055,12 +24181,12 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 447 ], - "I3": [ 466 ], + "I2": [ 264 ], + "I3": [ 453 ], "O": [ 784 ] } }, - "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_LUT4_O_5": { + "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_4": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -24068,7 +24194,57 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 270 ], + "I3": [ 454 ], + "O": [ 786 ] + } + }, + "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_5": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 442 ], + "I3": [ 455 ], + "O": [ 785 ] + } + }, + "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_6": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -24081,11 +24257,11 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ 457 ], - "I3": [ 467 ], - "O": [ 787 ] + "I3": [ 456 ], + "O": [ 448 ] } }, - "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_LUT4_O_6": { + "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_7": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -24093,7 +24269,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -24105,9 +24281,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 454 ], - "I3": [ 452 ], - "O": [ 786 ] + "I2": [ 294 ], + "I3": [ 458 ], + "O": [ 444 ] } }, "smi_ctrl_ins.r_fifo_09_pull_SB_DFFSR_Q": { @@ -24117,7 +24293,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:139.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" + "src": "top.v:311.13-342.5|smi_ctrl.v:139.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", @@ -24126,10 +24302,10 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 790 ], + "C": [ 70 ], + "D": [ 787 ], "Q": [ 776 ], - "R": [ 54 ] + "R": [ 49 ] } }, "smi_ctrl_ins.r_fifo_24_pull_1_SB_DFFSR_Q": { @@ -24139,7 +24315,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:139.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" + "src": "top.v:311.13-342.5|smi_ctrl.v:139.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", @@ -24148,10 +24324,10 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 791 ], - "Q": [ 792 ], - "R": [ 54 ] + "C": [ 70 ], + "D": [ 788 ], + "Q": [ 789 ], + "R": [ 49 ] } }, "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1": { @@ -24173,10 +24349,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 792 ], - "I2": [ 590 ], - "I3": [ 791 ], - "O": [ 793 ] + "I1": [ 789 ], + "I2": [ 567 ], + "I3": [ 788 ], + "O": [ 790 ] } }, "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0": { @@ -24197,11 +24373,61 @@ "O": "output" }, "connections": { - "I0": [ 793 ], + "I0": [ 791 ], + "I1": [ 792 ], + "I2": [ 790 ], + "I3": [ 793 ], + "O": [ 580 ] + } + }, + "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0100100010000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 596 ], "I1": [ 794 ], - "I2": [ 795 ], - "I3": [ 796 ], - "O": [ 592 ] + "I2": [ 583 ], + "I3": [ 582 ], + "O": [ 581 ] + } + }, + "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0001010010000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 601 ], + "I1": [ 602 ], + "I2": [ 595 ], + "I3": [ 594 ], + "O": [ 794 ] } }, "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I2": { @@ -24224,8 +24450,8 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 793 ], - "I3": [ 54 ], + "I2": [ 790 ], + "I3": [ 49 ], "O": [ 708 ] } }, @@ -24249,8 +24475,8 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 54 ], - "I3": [ 793 ], + "I2": [ 49 ], + "I3": [ 790 ], "O": [ 646 ] } }, @@ -24272,11 +24498,11 @@ "O": "output" }, "connections": { - "I0": [ 366 ], - "I1": [ 797 ], - "I2": [ 364 ], - "I3": [ 798 ], - "O": [ 796 ] + "I0": [ 578 ], + "I1": [ 795 ], + "I2": [ 597 ], + "I3": [ 796 ], + "O": [ 792 ] } }, "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_1": { @@ -24297,11 +24523,11 @@ "O": "output" }, "connections": { - "I0": [ 370 ], - "I1": [ 799 ], - "I2": [ 368 ], - "I3": [ 800 ], - "O": [ 795 ] + "I0": [ 600 ], + "I1": [ 797 ], + "I2": [ 599 ], + "I3": [ 798 ], + "O": [ 791 ] } }, "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_2": { @@ -24322,11 +24548,11 @@ "O": "output" }, "connections": { - "I0": [ 362 ], - "I1": [ 801 ], - "I2": [ 360 ], - "I3": [ 802 ], - "O": [ 794 ] + "I0": [ 576 ], + "I1": [ 799 ], + "I2": [ 598 ], + "I3": [ 800 ], + "O": [ 793 ] } }, "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_LUT4_O": { @@ -24337,7 +24563,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -24349,9 +24575,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 354 ], - "I3": [ 598 ], - "O": [ 802 ] + "I2": [ 585 ], + "I3": [ 584 ], + "O": [ 796 ] } }, "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_LUT4_O_1": { @@ -24362,7 +24588,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -24374,9 +24600,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 600 ], - "I3": [ 599 ], - "O": [ 801 ] + "I2": [ 577 ], + "I3": [ 586 ], + "O": [ 795 ] } }, "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_LUT4_O_2": { @@ -24387,7 +24613,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -24399,8 +24625,8 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 379 ], - "I3": [ 601 ], + "I2": [ 588 ], + "I3": [ 587 ], "O": [ 798 ] } }, @@ -24412,7 +24638,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -24424,9 +24650,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 603 ], - "I3": [ 602 ], - "O": [ 797 ] + "I2": [ 590 ], + "I3": [ 589 ], + "O": [ 800 ] } }, "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_LUT4_O_4": { @@ -24437,7 +24663,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -24449,9 +24675,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 380 ], - "I3": [ 604 ], - "O": [ 800 ] + "I2": [ 575 ], + "I3": [ 591 ], + "O": [ 799 ] } }, "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_LUT4_O_5": { @@ -24462,7 +24688,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -24474,59 +24700,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 606 ], - "I3": [ 605 ], - "O": [ 799 ] - } - }, - "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_LUT4_O_6": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 608 ], - "I3": [ 607 ], - "O": [ 611 ] - } - }, - "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_LUT4_O_7": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 609 ], - "I3": [ 596 ], - "O": [ 610 ] + "I2": [ 593 ], + "I3": [ 592 ], + "O": [ 797 ] } }, "smi_ctrl_ins.r_fifo_24_pull_SB_DFFSR_Q": { @@ -24536,7 +24712,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:139.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" + "src": "top.v:311.13-342.5|smi_ctrl.v:139.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", @@ -24545,10 +24721,10 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 803 ], - "Q": [ 791 ], - "R": [ 54 ] + "C": [ 70 ], + "D": [ 801 ], + "Q": [ 788 ], + "R": [ 49 ] } }, "smi_ctrl_ins.soe_and_reset_SB_LUT4_O": { @@ -24571,9 +24747,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 54 ], + "I2": [ 49 ], "I3": [ 31 ], - "O": [ 726 ] + "O": [ 727 ] } }, "smi_ctrl_ins.w_fifo_09_pull_trigger_SB_DFFNE_Q": { @@ -24583,7 +24759,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" + "src": "top.v:311.13-342.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", @@ -24592,10 +24768,10 @@ "Q": "output" }, "connections": { - "C": [ 726 ], - "D": [ 804 ], - "E": [ 805 ], - "Q": [ 790 ] + "C": [ 727 ], + "D": [ 802 ], + "E": [ 803 ], + "Q": [ 787 ] } }, "smi_ctrl_ins.w_fifo_09_pull_trigger_SB_DFFNE_Q_D_SB_LUT4_O": { @@ -24617,10 +24793,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 66 ], - "I2": [ 65 ], - "I3": [ 432 ], - "O": [ 804 ] + "I1": [ 61 ], + "I2": [ 60 ], + "I3": [ 438 ], + "O": [ 802 ] } }, "smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q": { @@ -24630,7 +24806,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" + "src": "top.v:311.13-342.5|smi_ctrl.v:106.5-137.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", @@ -24639,10 +24815,10 @@ "Q": "output" }, "connections": { - "C": [ 726 ], - "D": [ 806 ], - "E": [ 805 ], - "Q": [ 803 ] + "C": [ 727 ], + "D": [ 804 ], + "E": [ 803 ], + "Q": [ 801 ] } }, "smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_D_SB_LUT4_O": { @@ -24664,10 +24840,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 60 ], - "I2": [ 73 ], - "I3": [ 590 ], - "O": [ 806 ] + "I1": [ 55 ], + "I2": [ 68 ], + "I3": [ 567 ], + "O": [ 804 ] } }, "smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_E_SB_LUT4_O": { @@ -24691,8 +24867,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 54 ], - "O": [ 805 ] + "I3": [ 49 ], + "O": [ 803 ] } }, "spi_if_ins.o_cs_SB_DFFESR_Q": { @@ -24712,11 +24888,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 807 ], - "E": [ 93 ], - "Q": [ 808 ], - "R": [ 95 ] + "C": [ 70 ], + "D": [ 805 ], + "E": [ 81 ], + "Q": [ 806 ], + "R": [ 83 ] } }, "spi_if_ins.o_cs_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -24739,9 +24915,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 809 ], - "I3": [ 810 ], - "O": [ 92 ] + "I2": [ 807 ], + "I3": [ 808 ], + "O": [ 80 ] } }, "spi_if_ins.o_cs_SB_DFFESR_Q_D_SB_LUT4_O_1": { @@ -24764,8 +24940,8 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 810 ], - "I3": [ 809 ], + "I2": [ 808 ], + "I3": [ 807 ], "O": [ 724 ] } }, @@ -24789,9 +24965,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 810 ], - "I3": [ 809 ], - "O": [ 807 ] + "I2": [ 808 ], + "I3": [ 807 ], + "O": [ 805 ] } }, "spi_if_ins.o_cs_SB_DFFESR_Q_R_SB_LUT4_O": { @@ -24814,9 +24990,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 810 ], - "I3": [ 809 ], - "O": [ 95 ] + "I2": [ 808 ], + "I3": [ 807 ], + "O": [ 83 ] } }, "spi_if_ins.o_cs_SB_LUT4_I2": { @@ -24837,11 +25013,11 @@ "O": "output" }, "connections": { - "I0": [ 811 ], - "I1": [ 94 ], - "I2": [ 808 ], + "I0": [ 809 ], + "I1": [ 82 ], + "I2": [ 806 ], "I3": [ 725 ], - "O": [ 737 ] + "O": [ 738 ] } }, "spi_if_ins.o_cs_SB_LUT4_I2_1": { @@ -24862,11 +25038,11 @@ "O": "output" }, "connections": { - "I0": [ 811 ], + "I0": [ 809 ], "I1": [ 725 ], - "I2": [ 808 ], - "I3": [ 94 ], - "O": [ 425 ] + "I2": [ 806 ], + "I3": [ 82 ], + "O": [ 430 ] } }, "spi_if_ins.o_cs_SB_LUT4_I2_2": { @@ -24888,10 +25064,10 @@ }, "connections": { "I0": [ 725 ], - "I1": [ 94 ], - "I2": [ 808 ], - "I3": [ 811 ], - "O": [ 414 ] + "I1": [ 82 ], + "I2": [ 806 ], + "I3": [ 809 ], + "O": [ 419 ] } }, "spi_if_ins.o_cs_SB_LUT4_I3": { @@ -24912,11 +25088,11 @@ "O": "output" }, "connections": { - "I0": [ 811 ], + "I0": [ 809 ], "I1": [ 725 ], - "I2": [ 94 ], - "I3": [ 808 ], - "O": [ 428 ] + "I2": [ 82 ], + "I3": [ 806 ], + "O": [ 433 ] } }, "spi_if_ins.o_cs_SB_LUT4_I3_1": { @@ -24937,11 +25113,11 @@ "O": "output" }, "connections": { - "I0": [ 811 ], + "I0": [ 809 ], "I1": [ 725 ], - "I2": [ 94 ], - "I3": [ 808 ], - "O": [ 412 ] + "I2": [ 82 ], + "I3": [ 806 ], + "O": [ 417 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q": { @@ -24960,10 +25136,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 812 ], - "E": [ 813 ], - "Q": [ 155 ] + "C": [ 70 ], + "D": [ 810 ], + "E": [ 811 ], + "Q": [ 156 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_1": { @@ -24982,10 +25158,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 809 ], - "E": [ 813 ], - "Q": [ 158 ] + "C": [ 70 ], + "D": [ 807 ], + "E": [ 811 ], + "Q": [ 159 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_2": { @@ -25004,10 +25180,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 810 ], - "E": [ 813 ], - "Q": [ 160 ] + "C": [ 70 ], + "D": [ 808 ], + "E": [ 811 ], + "Q": [ 161 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_3": { @@ -25026,10 +25202,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 814 ], - "E": [ 813 ], - "Q": [ 161 ] + "C": [ 70 ], + "D": [ 812 ], + "E": [ 811 ], + "Q": [ 163 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_4": { @@ -25048,10 +25224,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 815 ], - "E": [ 813 ], - "Q": [ 162 ] + "C": [ 70 ], + "D": [ 813 ], + "E": [ 811 ], + "Q": [ 164 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_5": { @@ -25070,10 +25246,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 816 ], - "E": [ 813 ], - "Q": [ 163 ] + "C": [ 70 ], + "D": [ 814 ], + "E": [ 811 ], + "Q": [ 165 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_6": { @@ -25092,10 +25268,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 817 ], - "E": [ 813 ], - "Q": [ 83 ] + "C": [ 70 ], + "D": [ 815 ], + "E": [ 811 ], + "Q": [ 71 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_7": { @@ -25114,10 +25290,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 818 ], - "E": [ 813 ], - "Q": [ 86 ] + "C": [ 70 ], + "D": [ 816 ], + "E": [ 811 ], + "Q": [ 74 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_E_SB_LUT4_O": { @@ -25138,11 +25314,11 @@ "O": "output" }, "connections": { - "I0": [ 819 ], - "I1": [ 820 ], - "I2": [ 821 ], - "I3": [ 822 ], - "O": [ 813 ] + "I0": [ 817 ], + "I1": [ 818 ], + "I2": [ 819 ], + "I3": [ 820 ], + "O": [ 811 ] } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q": { @@ -25162,11 +25338,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 823 ], - "E": [ 824 ], - "Q": [ 825 ], - "R": [ 826 ] + "C": [ 70 ], + "D": [ 821 ], + "E": [ 822 ], + "Q": [ 89 ], + "R": [ 823 ] } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -25189,20 +25365,20 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 827 ], - "I3": [ 819 ], - "O": [ 823 ] + "I2": [ 824 ], + "I3": [ 817 ], + "O": [ 821 ] } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1011101111110000" + "LUT_INIT": "0000001111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", @@ -25212,11 +25388,11 @@ "O": "output" }, "connections": { - "I0": [ 819 ], - "I1": [ 812 ], - "I2": [ 828 ], - "I3": [ 829 ], - "O": [ 824 ] + "I0": [ "0" ], + "I1": [ 824 ], + "I2": [ 825 ], + "I3": [ 818 ], + "O": [ 822 ] } }, "spi_if_ins.o_fetch_cmd_SB_LUT4_I3": { @@ -25238,85 +25414,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 54 ], - "I2": [ 94 ], - "I3": [ 825 ], - "O": [ 144 ] - } - }, - "spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0100000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 54 ], - "I1": [ 143 ], - "I2": [ 725 ], - "I3": [ 825 ], - "O": [ 732 ] - } - }, - "spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000111100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 53 ], - "I3": [ 52 ], - "O": [ 143 ] - } - }, - "spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000000111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 51 ], - "I2": [ 52 ], - "I3": [ 108 ], - "O": [ 148 ] + "I1": [ 49 ], + "I2": [ 82 ], + "I3": [ 89 ], + "O": [ 155 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q": { @@ -25335,10 +25436,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 814 ], - "E": [ 93 ], - "Q": [ 830 ] + "C": [ 70 ], + "D": [ 812 ], + "E": [ 81 ], + "Q": [ 106 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_1": { @@ -25357,10 +25458,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 815 ], - "E": [ 93 ], - "Q": [ 831 ] + "C": [ 70 ], + "D": [ 813 ], + "E": [ 81 ], + "Q": [ 107 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_2": { @@ -25379,10 +25480,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 816 ], - "E": [ 93 ], - "Q": [ 832 ] + "C": [ 70 ], + "D": [ 814 ], + "E": [ 81 ], + "Q": [ 108 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_3": { @@ -25401,10 +25502,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 817 ], - "E": [ 93 ], - "Q": [ 53 ] + "C": [ 70 ], + "D": [ 815 ], + "E": [ 81 ], + "Q": [ 104 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_4": { @@ -25423,10 +25524,35 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 818 ], - "E": [ 93 ], - "Q": [ 51 ] + "C": [ 70 ], + "D": [ 816 ], + "E": [ 81 ], + "Q": [ 101 ] + } + }, + "spi_if_ins.o_ioc_SB_DFFE_Q_E_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 824 ], + "I2": [ 817 ], + "I3": [ 818 ], + "O": [ 81 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q": { @@ -25446,111 +25572,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 827 ], - "E": [ 833 ], - "Q": [ 834 ], - "R": [ 826 ] - } - }, - "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000111100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 827 ], - "I3": [ 820 ], - "O": [ 829 ] - } - }, - "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000111100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 819 ], - "I3": [ 829 ], - "O": [ 93 ] - } - }, - "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000000001111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 835 ], - "I3": [ 820 ], - "O": [ 828 ] - } - }, - "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111111111110000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 821 ], - "I3": [ 822 ], - "O": [ 827 ] + "C": [ 70 ], + "D": [ 824 ], + "E": [ 826 ], + "Q": [ 90 ], + "R": [ 823 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_E_SB_LUT4_O": { @@ -25571,93 +25597,18 @@ "O": "output" }, "connections": { - "I0": [ 820 ], - "I1": [ 819 ], - "I2": [ 821 ], - "I3": [ 822 ], - "O": [ 833 ] + "I0": [ 818 ], + "I1": [ 817 ], + "I2": [ 819 ], + "I3": [ 820 ], + "O": [ 826 ] } }, "spi_if_ins.o_load_cmd_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0011000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 825 ], - "I2": [ 94 ], - "I3": [ 834 ], - "O": [ 99 ] - } - }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111111100110000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 97 ], - "I2": [ 99 ], - "I3": [ 54 ], - "O": [ 84 ] - } - }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000111100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 54 ], - "I3": [ 99 ], - "O": [ 164 ] - } - }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000100000000" + "LUT_INIT": "0001000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -25671,11 +25622,36 @@ "O": "output" }, "connections": { - "I0": [ 53 ], - "I1": [ 830 ], - "I2": [ 831 ], - "I3": [ 832 ], - "O": [ 108 ] + "I0": [ 49 ], + "I1": [ 89 ], + "I2": [ 82 ], + "I3": [ 90 ], + "O": [ 166 ] + } + }, + "spi_if_ins.o_load_cmd_SB_LUT4_I3_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 94 ], + "I1": [ 89 ], + "I2": [ 82 ], + "I3": [ 90 ], + "O": [ 170 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q": { @@ -25694,10 +25670,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 427 ], - "E": [ 836 ], - "Q": [ 837 ] + "C": [ 70 ], + "D": [ 432 ], + "E": [ 827 ], + "Q": [ 828 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_1": { @@ -25716,10 +25692,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 424 ], - "E": [ 836 ], - "Q": [ 838 ] + "C": [ 70 ], + "D": [ 429 ], + "E": [ 827 ], + "Q": [ 829 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_2": { @@ -25738,10 +25714,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 422 ], - "E": [ 836 ], - "Q": [ 839 ] + "C": [ 70 ], + "D": [ 427 ], + "E": [ 827 ], + "Q": [ 830 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_3": { @@ -25760,10 +25736,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 420 ], - "E": [ 836 ], - "Q": [ 840 ] + "C": [ 70 ], + "D": [ 425 ], + "E": [ 827 ], + "Q": [ 831 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_4": { @@ -25782,10 +25758,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 418 ], - "E": [ 836 ], - "Q": [ 841 ] + "C": [ 70 ], + "D": [ 423 ], + "E": [ 827 ], + "Q": [ 832 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_5": { @@ -25804,10 +25780,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 416 ], - "E": [ 836 ], - "Q": [ 842 ] + "C": [ 70 ], + "D": [ 421 ], + "E": [ 827 ], + "Q": [ 833 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_6": { @@ -25826,10 +25802,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 413 ], - "E": [ 836 ], - "Q": [ 843 ] + "C": [ 70 ], + "D": [ 418 ], + "E": [ 827 ], + "Q": [ 834 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_7": { @@ -25848,10 +25824,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 430 ], - "E": [ 836 ], - "Q": [ 844 ] + "C": [ 70 ], + "D": [ 436 ], + "E": [ 827 ], + "Q": [ 835 ] } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q": { @@ -25871,11 +25847,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 845 ], - "E": [ 846 ], - "Q": [ 847 ], - "R": [ 820 ] + "C": [ 70 ], + "D": [ 836 ], + "E": [ 837 ], + "Q": [ 838 ], + "R": [ 818 ] } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3": { @@ -25898,9 +25874,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 820 ], - "I3": [ 845 ], - "O": [ 836 ] + "I2": [ 818 ], + "I3": [ 836 ], + "O": [ 827 ] } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -25922,21 +25898,21 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 819 ], - "I2": [ 821 ], - "I3": [ 822 ], - "O": [ 845 ] + "I1": [ 817 ], + "I2": [ 819 ], + "I3": [ 820 ], + "O": [ 836 ] } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111111100110000" + "LUT_INIT": "0011001100110101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -25946,39 +25922,14 @@ "O": "output" }, "connections": { - "I0": [ "0" ], - "I1": [ 820 ], - "I2": [ 835 ], - "I3": [ 93 ], - "O": [ 846 ] + "I0": [ 819 ], + "I1": [ 818 ], + "I2": [ 817 ], + "I3": [ 820 ], + "O": [ 837 ] } }, "spi_if_ins.r_tx_data_valid_SB_LUT4_I3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111111111110000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 81 ], - "I3": [ 847 ], - "O": [ 848 ] - } - }, - "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -25999,158 +25950,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ 45 ], - "I3": [ 847 ], - "O": [ 849 ] - } - }, - "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1110111011110000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 850 ], - "I1": [ 851 ], - "I2": [ 852 ], - "I3": [ 853 ], - "O": [ 854 ] - } - }, - "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111110000001010" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 855 ], - "I1": [ 856 ], - "I2": [ 857 ], - "I3": [ 858 ], - "O": [ 852 ] - } - }, - "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000110000001010" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 859 ], - "I1": [ 860 ], - "I2": [ 857 ], - "I3": [ 861 ], - "O": [ 851 ] - } - }, - "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1100101000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 862 ], - "I1": [ 863 ], - "I2": [ 861 ], - "I3": [ 857 ], - "O": [ 850 ] - } - }, - "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1100111110100000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 864 ], - "I1": [ 865 ], - "I2": [ 857 ], - "I3": [ 861 ], - "O": [ 858 ] - } - }, - "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_I2_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0011110011111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 77 ], - "I2": [ 78 ], - "I3": [ 79 ], - "O": [ 866 ] + "I3": [ 838 ], + "O": [ 839 ] } }, "spi_if_ins.spi.SCKr_SB_DFF_Q": { @@ -26168,9 +25969,9 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 75 ], - "Q": [ 74 ] + "C": [ 70 ], + "D": [ 840 ], + "Q": [ 841 ] } }, "spi_if_ins.spi.SCKr_SB_DFF_Q_1": { @@ -26188,9 +25989,9 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 867 ], - "Q": [ 75 ] + "C": [ 70 ], + "D": [ 842 ], + "Q": [ 840 ] } }, "spi_if_ins.spi.SCKr_SB_DFF_Q_2": { @@ -26208,9 +26009,59 @@ "Q": "output" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ 44 ], - "Q": [ 867 ] + "Q": [ 842 ] + } + }, + "spi_if_ins.spi.SCKr_SB_LUT4_I0": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 841 ], + "I1": [ 843 ], + "I2": [ 844 ], + "I3": [ 840 ], + "O": [ 845 ] + } + }, + "spi_if_ins.spi.SCKr_SB_LUT4_I1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 841 ], + "I2": [ 840 ], + "I3": [ 839 ], + "O": [ 846 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q": { @@ -26229,10 +26080,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 868 ], - "E": [ 869 ], - "Q": [ 812 ] + "C": [ 70 ], + "D": [ 847 ], + "E": [ 848 ], + "Q": [ 810 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_1": { @@ -26251,10 +26102,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 870 ], - "E": [ 869 ], - "Q": [ 809 ] + "C": [ 70 ], + "D": [ 849 ], + "E": [ 848 ], + "Q": [ 807 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_2": { @@ -26273,10 +26124,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 871 ], - "E": [ 869 ], - "Q": [ 810 ] + "C": [ 70 ], + "D": [ 850 ], + "E": [ 848 ], + "Q": [ 808 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_3": { @@ -26295,10 +26146,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 872 ], - "E": [ 869 ], - "Q": [ 814 ] + "C": [ 70 ], + "D": [ 851 ], + "E": [ 848 ], + "Q": [ 812 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_4": { @@ -26317,10 +26168,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 873 ], - "E": [ 869 ], - "Q": [ 815 ] + "C": [ 70 ], + "D": [ 852 ], + "E": [ 848 ], + "Q": [ 813 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_5": { @@ -26339,10 +26190,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 874 ], - "E": [ 869 ], - "Q": [ 816 ] + "C": [ 70 ], + "D": [ 853 ], + "E": [ 848 ], + "Q": [ 814 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_6": { @@ -26361,10 +26212,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 875 ], - "E": [ 869 ], - "Q": [ 817 ] + "C": [ 70 ], + "D": [ 854 ], + "E": [ 848 ], + "Q": [ 815 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_7": { @@ -26383,10 +26234,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 876 ], - "E": [ 869 ], - "Q": [ 818 ] + "C": [ 70 ], + "D": [ 855 ], + "E": [ 848 ], + "Q": [ 816 ] } }, "spi_if_ins.spi.o_rx_data_valid_SB_DFF_Q": { @@ -26404,9 +26255,9 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 869 ], - "Q": [ 820 ] + "C": [ 70 ], + "D": [ 848 ], + "Q": [ 818 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q": { @@ -26425,10 +26276,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 877 ], - "E": [ 848 ], - "Q": [ 406 ] + "C": [ 70 ], + "D": [ 856 ], + "E": [ 846 ], + "Q": [ 413 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O": { @@ -26450,10 +26301,160 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 837 ], - "I2": [ 854 ], - "I3": [ 849 ], - "O": [ 877 ] + "I1": [ 828 ], + "I2": [ 857 ], + "I3": [ 839 ], + "O": [ 856 ] + } + }, + "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000011111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 858 ], + "I1": [ 859 ], + "I2": [ 860 ], + "I3": [ 861 ], + "O": [ 857 ] + } + }, + "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100101000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 862 ], + "I1": [ 863 ], + "I2": [ 844 ], + "I3": [ 843 ], + "O": [ 860 ] + } + }, + "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000110000001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 864 ], + "I1": [ 865 ], + "I2": [ 843 ], + "I3": [ 844 ], + "O": [ 859 ] + } + }, + "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100101000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 866 ], + "I1": [ 867 ], + "I2": [ 843 ], + "I3": [ 858 ], + "O": [ 861 ] + } + }, + "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 868 ], + "I2": [ 869 ], + "I3": [ 844 ], + "O": [ 866 ] + } + }, + "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 870 ], + "I2": [ 871 ], + "I3": [ 844 ], + "O": [ 867 ] } }, "spi_if_ins.spi.r2_rx_done_SB_DFF_Q": { @@ -26471,9 +26472,9 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 878 ], - "Q": [ 879 ] + "C": [ 70 ], + "D": [ 872 ], + "Q": [ 873 ] } }, "spi_if_ins.spi.r3_rx_done_SB_DFF_Q": { @@ -26491,9 +26492,9 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 879 ], - "Q": [ 880 ] + "C": [ 70 ], + "D": [ 873 ], + "Q": [ 874 ] } }, "spi_if_ins.spi.r3_rx_done_SB_LUT4_I2": { @@ -26516,84 +26517,78 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 880 ], - "I3": [ 879 ], - "O": [ 869 ] + "I2": [ 874 ], + "I3": [ 873 ], + "O": [ 848 ] } }, - "spi_if_ins.spi.r_rx_bit_count_SB_DFFESR_Q": { + "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q": { "hide_name": 0, - "type": "SB_DFFESR", + "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", - "E": "input", "Q": "output", "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 881 ], - "E": [ 81 ], - "Q": [ 78 ], + "C": [ 44 ], + "D": [ 875 ], + "Q": [ 876 ], "R": [ 45 ] } }, - "spi_if_ins.spi.r_rx_bit_count_SB_DFFESR_Q_1": { + "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_1": { "hide_name": 0, - "type": "SB_DFFESR", + "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", - "E": "input", "Q": "output", "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 882 ], - "E": [ 81 ], - "Q": [ 79 ], + "C": [ 44 ], + "D": [ 877 ], + "Q": [ 878 ], "R": [ 45 ] } }, - "spi_if_ins.spi.r_rx_bit_count_SB_DFFESR_Q_2": { + "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_2": { "hide_name": 0, - "type": "SB_DFFESR", + "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", - "E": "input", "Q": "output", "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 883 ], - "E": [ 81 ], - "Q": [ 77 ], + "C": [ 44 ], + "D": [ 879 ], + "Q": [ 880 ], "R": [ 45 ] } }, - "spi_if_ins.spi.r_rx_bit_count_SB_DFFESR_Q_2_D_SB_LUT4_O": { + "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -26614,11 +26609,11 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 77 ], - "O": [ 883 ] + "I3": [ 880 ], + "O": [ 879 ] } }, - "spi_if_ins.spi.r_rx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O": { + "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -26626,7 +26621,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:52.25-52.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:92.11-108.5|spi_slave.v:34.25-34.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -26638,12 +26633,12 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 78 ], - "I3": [ 884 ], - "O": [ 881 ] + "I2": [ 876 ], + "I3": [ 881 ], + "O": [ 875 ] } }, - "spi_if_ins.spi.r_rx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_1": { + "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -26651,7 +26646,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:52.25-52.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:92.11-108.5|spi_slave.v:34.25-34.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -26663,18 +26658,18 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 79 ], - "I3": [ 77 ], - "O": [ 882 ] + "I2": [ 878 ], + "I3": [ 880 ], + "O": [ 877 ] } }, - "spi_if_ins.spi.r_rx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": { + "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { - "src": "top.v:92.11-108.5|spi_slave.v:52.25-52.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + "src": "top.v:92.11-108.5|spi_slave.v:34.25-34.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", @@ -26683,10 +26678,10 @@ "I1": "input" }, "connections": { - "CI": [ 77 ], - "CO": [ 884 ], + "CI": [ 880 ], + "CO": [ 881 ], "I0": [ "0" ], - "I1": [ 79 ] + "I1": [ 878 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q": { @@ -26696,7 +26691,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -26705,10 +26700,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 885 ], - "E": [ 886 ], - "Q": [ 868 ] + "C": [ 44 ], + "D": [ 882 ], + "E": [ 883 ], + "Q": [ 847 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_1": { @@ -26718,7 +26713,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -26727,10 +26722,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 887 ], - "E": [ 886 ], - "Q": [ 870 ] + "C": [ 44 ], + "D": [ 884 ], + "E": [ 883 ], + "Q": [ 849 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_2": { @@ -26740,7 +26735,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -26749,10 +26744,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 888 ], - "E": [ 886 ], - "Q": [ 871 ] + "C": [ 44 ], + "D": [ 885 ], + "E": [ 883 ], + "Q": [ 850 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_3": { @@ -26762,7 +26757,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -26771,10 +26766,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 889 ], - "E": [ 886 ], - "Q": [ 872 ] + "C": [ 44 ], + "D": [ 886 ], + "E": [ 883 ], + "Q": [ 851 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_4": { @@ -26784,7 +26779,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -26793,10 +26788,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 890 ], - "E": [ 886 ], - "Q": [ 873 ] + "C": [ 44 ], + "D": [ 887 ], + "E": [ 883 ], + "Q": [ 852 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_5": { @@ -26806,7 +26801,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -26815,10 +26810,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 891 ], - "E": [ 886 ], - "Q": [ 874 ] + "C": [ 44 ], + "D": [ 888 ], + "E": [ 883 ], + "Q": [ 853 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_6": { @@ -26828,7 +26823,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -26837,10 +26832,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 892 ], - "E": [ 886 ], - "Q": [ 875 ] + "C": [ 44 ], + "D": [ 889 ], + "E": [ 883 ], + "Q": [ 854 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_7": { @@ -26850,7 +26845,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -26859,17 +26854,41 @@ "Q": "output" }, "connections": { - "C": [ 82 ], + "C": [ 44 ], "D": [ 43 ], - "E": [ 886 ], - "Q": [ 876 ] + "E": [ 883 ], + "Q": [ 855 ] } }, - "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_E_SB_LUT4_O": { + "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 44 ], + "D": [ 890 ], + "E": [ 891 ], + "Q": [ 872 ], + "R": [ 45 ] + } + }, + "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111000000000000" + "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -26885,40 +26904,16 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 80 ], - "I3": [ 76 ], - "O": [ 886 ] + "I2": [ 45 ], + "I3": [ 890 ], + "O": [ 883 ] } }, - "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 82 ], - "D": [ 80 ], - "E": [ 893 ], - "Q": [ 878 ], - "R": [ 45 ] - } - }, - "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E_SB_LUT4_O": { + "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1100111100000000" + "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -26933,10 +26928,35 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 45 ], - "I2": [ 866 ], - "I3": [ 81 ], - "O": [ 893 ] + "I1": [ 880 ], + "I2": [ 876 ], + "I3": [ 878 ], + "O": [ 890 ] + } + }, + "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1110101110101010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 45 ], + "I1": [ 880 ], + "I2": [ 876 ], + "I3": [ 878 ], + "O": [ 891 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q": { @@ -26946,7 +26966,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -26955,10 +26975,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 887 ], - "E": [ 76 ], - "Q": [ 885 ] + "C": [ 44 ], + "D": [ 884 ], + "E": [ 69 ], + "Q": [ 882 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_1": { @@ -26968,7 +26988,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -26977,10 +26997,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 888 ], - "E": [ 76 ], - "Q": [ 887 ] + "C": [ 44 ], + "D": [ 885 ], + "E": [ 69 ], + "Q": [ 884 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_2": { @@ -26990,7 +27010,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -26999,10 +27019,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 889 ], - "E": [ 76 ], - "Q": [ 888 ] + "C": [ 44 ], + "D": [ 886 ], + "E": [ 69 ], + "Q": [ 885 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_3": { @@ -27012,7 +27032,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -27021,10 +27041,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 890 ], - "E": [ 76 ], - "Q": [ 889 ] + "C": [ 44 ], + "D": [ 887 ], + "E": [ 69 ], + "Q": [ 886 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_4": { @@ -27034,7 +27054,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -27043,10 +27063,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 891 ], - "E": [ 76 ], - "Q": [ 890 ] + "C": [ 44 ], + "D": [ 888 ], + "E": [ 69 ], + "Q": [ 887 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_5": { @@ -27056,7 +27076,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -27065,10 +27085,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 892 ], - "E": [ 76 ], - "Q": [ 891 ] + "C": [ 44 ], + "D": [ 889 ], + "E": [ 69 ], + "Q": [ 888 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_6": { @@ -27078,7 +27098,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:46.3-62.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:92.11-108.5|spi_slave.v:28.3-44.6|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -27087,10 +27107,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], + "C": [ 44 ], "D": [ 43 ], - "E": [ 76 ], - "Q": [ 892 ] + "E": [ 69 ], + "Q": [ 889 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q": { @@ -27110,11 +27130,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 894 ], - "E": [ 848 ], - "Q": [ 857 ], - "R": [ 895 ] + "C": [ 70 ], + "D": [ 892 ], + "E": [ 846 ], + "Q": [ 843 ], + "R": [ 893 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -27136,10 +27156,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 861 ], + "I1": [ 844 ], "I2": [ "1" ], - "I3": [ 896 ], - "O": [ 897 ] + "I3": [ 894 ], + "O": [ 895 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_1": { @@ -27161,10 +27181,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 853 ], + "I1": [ 858 ], "I2": [ "1" ], - "I3": [ 857 ], - "O": [ 898 ] + "I3": [ 843 ], + "O": [ 896 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_2": { @@ -27188,8 +27208,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 857 ], - "O": [ 894 ] + "I3": [ 843 ], + "O": [ 892 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": { @@ -27207,9 +27227,9 @@ "I1": "input" }, "connections": { - "CI": [ 857 ], - "CO": [ 896 ], - "I0": [ 853 ], + "CI": [ 843 ], + "CO": [ 894 ], + "I0": [ 858 ], "I1": [ "1" ] } }, @@ -27234,8 +27254,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 849 ], - "O": [ 895 ] + "I3": [ 839 ], + "O": [ 893 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q": { @@ -27255,11 +27275,11 @@ "S": "input" }, "connections": { - "C": [ 82 ], - "D": [ 897 ], - "E": [ 848 ], - "Q": [ 861 ], - "S": [ 895 ] + "C": [ 70 ], + "D": [ 895 ], + "E": [ 846 ], + "Q": [ 844 ], + "S": [ 893 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_1": { @@ -27279,11 +27299,11 @@ "S": "input" }, "connections": { - "C": [ 82 ], - "D": [ 898 ], - "E": [ 848 ], - "Q": [ 853 ], - "S": [ 895 ] + "C": [ 70 ], + "D": [ 896 ], + "E": [ 846 ], + "Q": [ 858 ], + "S": [ 893 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q": { @@ -27303,11 +27323,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 837 ], - "E": [ 899 ], - "Q": [ 863 ], - "R": [ 849 ] + "C": [ 70 ], + "D": [ 828 ], + "E": [ 897 ], + "Q": [ 871 ], + "R": [ 839 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_1": { @@ -27327,11 +27347,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 838 ], - "E": [ 899 ], - "Q": [ 860 ], - "R": [ 849 ] + "C": [ 70 ], + "D": [ 829 ], + "E": [ 897 ], + "Q": [ 869 ], + "R": [ 839 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_2": { @@ -27351,11 +27371,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 839 ], - "E": [ 899 ], - "Q": [ 865 ], - "R": [ 849 ] + "C": [ 70 ], + "D": [ 830 ], + "E": [ 897 ], + "Q": [ 863 ], + "R": [ 839 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_3": { @@ -27375,11 +27395,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 840 ], - "E": [ 899 ], - "Q": [ 856 ], - "R": [ 849 ] + "C": [ 70 ], + "D": [ 831 ], + "E": [ 897 ], + "Q": [ 865 ], + "R": [ 839 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_4": { @@ -27399,11 +27419,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 841 ], - "E": [ 899 ], - "Q": [ 862 ], - "R": [ 849 ] + "C": [ 70 ], + "D": [ 832 ], + "E": [ 897 ], + "Q": [ 870 ], + "R": [ 839 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_5": { @@ -27423,11 +27443,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 842 ], - "E": [ 899 ], - "Q": [ 859 ], - "R": [ 849 ] + "C": [ 70 ], + "D": [ 833 ], + "E": [ 897 ], + "Q": [ 868 ], + "R": [ 839 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_6": { @@ -27447,11 +27467,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 843 ], - "E": [ 899 ], - "Q": [ 864 ], - "R": [ 849 ] + "C": [ 70 ], + "D": [ 834 ], + "E": [ 897 ], + "Q": [ 862 ], + "R": [ 839 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_7": { @@ -27471,11 +27491,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 844 ], - "E": [ 899 ], - "Q": [ 855 ], - "R": [ 849 ] + "C": [ 70 ], + "D": [ 835 ], + "E": [ 897 ], + "Q": [ 864 ], + "R": [ 839 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E_SB_LUT4_O": { @@ -27497,35 +27517,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 853 ], - "I2": [ 900 ], - "I3": [ 849 ], - "O": [ 899 ] - } - }, - "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E_SB_LUT4_O_I2_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 74 ], - "I1": [ 857 ], - "I2": [ 861 ], - "I3": [ 75 ], - "O": [ 900 ] + "I1": [ 858 ], + "I2": [ 845 ], + "I3": [ 839 ], + "O": [ 897 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q": { @@ -27545,11 +27540,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 901 ], - "E": [ 902 ], - "Q": [ 821 ], - "R": [ 826 ] + "C": [ 70 ], + "D": [ 898 ], + "E": [ 899 ], + "Q": [ 819 ], + "R": [ 823 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1": { @@ -27569,36 +27564,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 835 ], - "E": [ 902 ], - "Q": [ 819 ], - "R": [ 820 ] - } - }, - "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111110011111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 819 ], - "I2": [ 822 ], - "I3": [ 821 ], - "O": [ 835 ] + "C": [ 70 ], + "D": [ 900 ], + "E": [ 899 ], + "Q": [ 817 ], + "R": [ 818 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -27620,10 +27590,35 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 819 ], - "I2": [ 821 ], - "I3": [ 822 ], - "O": [ 901 ] + "I1": [ 817 ], + "I2": [ 819 ], + "I3": [ 820 ], + "O": [ 898 ] + } + }, + "spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111110011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 817 ], + "I2": [ 820 ], + "I3": [ 819 ], + "O": [ 900 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_R_SB_LUT4_O": { @@ -27647,8 +27642,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 820 ], - "O": [ 826 ] + "I3": [ 818 ], + "O": [ 823 ] } }, "spi_if_ins.state_if_SB_DFFE_Q": { @@ -27667,10 +27662,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 903 ], - "E": [ 902 ], - "Q": [ 822 ] + "C": [ 70 ], + "D": [ 825 ], + "E": [ 899 ], + "Q": [ 820 ] } }, "spi_if_ins.state_if_SB_DFFE_Q_D_SB_LUT4_O": { @@ -27691,11 +27686,36 @@ "O": "output" }, "connections": { - "I0": [ 901 ], - "I1": [ 812 ], - "I2": [ 835 ], + "I0": [ 898 ], + "I1": [ 810 ], + "I2": [ 900 ], + "I3": [ 818 ], + "O": [ 825 ] + } + }, + "spi_if_ins.state_if_SB_DFFE_Q_D_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 819 ], "I3": [ 820 ], - "O": [ 903 ] + "O": [ 824 ] } }, "spi_if_ins.state_if_SB_DFFE_Q_E_SB_LUT4_O": { @@ -27716,29 +27736,11 @@ "O": "output" }, "connections": { - "I0": [ 819 ], - "I1": [ 820 ], - "I2": [ 821 ], - "I3": [ 822 ], - "O": [ 902 ] - } - }, - "sys_clk_buffer": { - "hide_name": 0, - "type": "SB_GB", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:164.10-167.43" - }, - "port_directions": { - "GLOBAL_BUFFER_OUTPUT": "output", - "USER_SIGNAL_TO_GLOBAL_BUFFER": "input" - }, - "connections": { - "GLOBAL_BUFFER_OUTPUT": [ 82 ], - "USER_SIGNAL_TO_GLOBAL_BUFFER": [ 410 ] + "I0": [ 817 ], + "I1": [ 818 ], + "I2": [ 819 ], + "I3": [ 820 ], + "O": [ 899 ] } }, "sys_ctrl_ins.i_cs_SB_DFFE_Q": { @@ -27757,10 +27759,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 95 ], - "E": [ 93 ], - "Q": [ 811 ] + "C": [ 70 ], + "D": [ 83 ], + "E": [ 81 ], + "Q": [ 809 ] } }, "sys_ctrl_ins.o_data_out_SB_DFFE_Q": { @@ -27779,10 +27781,10 @@ "Q": "output" }, "connections": { - "C": [ 82 ], - "D": [ 103 ], - "E": [ 904 ], - "Q": [ 905 ] + "C": [ 70 ], + "D": [ 131 ], + "E": [ 901 ], + "Q": [ 902 ] } }, "sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O": { @@ -27804,60 +27806,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 52 ], - "I2": [ 811 ], - "I3": [ 825 ], - "O": [ 904 ] - } - }, - "sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1_SB_LUT4_I1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0011111111111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 52 ], - "I2": [ 51 ], - "I3": [ 53 ], - "O": [ 103 ] - } - }, - "sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1_SB_LUT4_I3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1100111111111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 53 ], - "I2": [ 51 ], - "I3": [ 52 ], - "O": [ 97 ] + "I1": [ 105 ], + "I2": [ 809 ], + "I3": [ 89 ], + "O": [ 901 ] } }, "sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1_SB_LUT4_O": { @@ -27879,10 +27831,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 832 ], - "I2": [ 830 ], - "I3": [ 831 ], - "O": [ 52 ] + "I1": [ 108 ], + "I2": [ 106 ], + "I3": [ 107 ], + "O": [ 105 ] } }, "sys_ctrl_ins.o_data_out_SB_LUT4_I0": { @@ -27903,11 +27855,11 @@ "O": "output" }, "connections": { - "I0": [ 905 ], - "I1": [ 414 ], - "I2": [ 428 ], - "I3": [ 738 ], - "O": [ 429 ] + "I0": [ 902 ], + "I1": [ 419 ], + "I2": [ 433 ], + "I3": [ 739 ], + "O": [ 435 ] } }, "sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q": { @@ -27927,11 +27879,11 @@ "S": "input" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ "0" ], - "E": [ 906 ], - "Q": [ 54 ], - "S": [ 907 ] + "E": [ 903 ], + "Q": [ 49 ], + "S": [ 904 ] } }, "sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E_SB_LUT4_O": { @@ -27955,8 +27907,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 908 ], - "O": [ 906 ] + "I3": [ 905 ], + "O": [ 903 ] } }, "sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S_SB_LUT4_O": { @@ -27977,11 +27929,11 @@ "O": "output" }, "connections": { - "I0": [ 909 ], - "I1": [ 910 ], - "I2": [ 911 ], - "I3": [ 912 ], - "O": [ 907 ] + "I0": [ 906 ], + "I1": [ 907 ], + "I2": [ 908 ], + "I3": [ 909 ], + "O": [ 904 ] } }, "sys_ctrl_ins.reset_cmd_SB_DFFESR_Q": { @@ -28001,11 +27953,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], + "C": [ 70 ], "D": [ "1" ], - "E": [ 913 ], - "Q": [ 908 ], - "R": [ 914 ] + "E": [ 910 ], + "Q": [ 905 ], + "R": [ 911 ] } }, "sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O": { @@ -28026,61 +27978,11 @@ "O": "output" }, "connections": { - "I0": [ 825 ], - "I1": [ 915 ], - "I2": [ 834 ], - "I3": [ 811 ], - "O": [ 913 ] - } - }, - "sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 915 ], - "I3": [ 164 ], - "O": [ 165 ] - } - }, - "sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000111100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 51 ], - "I3": [ 108 ], - "O": [ 915 ] + "I0": [ 89 ], + "I1": [ 86 ], + "I2": [ 90 ], + "I3": [ 809 ], + "O": [ 910 ] } }, "sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R_SB_LUT4_O": { @@ -28104,8 +28006,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 811 ], - "O": [ 914 ] + "I3": [ 809 ], + "O": [ 911 ] } }, "sys_ctrl_ins.reset_cmd_SB_LUT4_I3": { @@ -28128,9 +28030,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 907 ], - "I3": [ 908 ], - "O": [ 916 ] + "I2": [ 904 ], + "I3": [ 905 ], + "O": [ 912 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q": { @@ -28150,11 +28052,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 917 ], - "E": [ 916 ], - "Q": [ 909 ], - "R": [ 908 ] + "C": [ 70 ], + "D": [ 913 ], + "E": [ 912 ], + "Q": [ 906 ], + "R": [ 905 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_1": { @@ -28174,11 +28076,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 918 ], - "E": [ 916 ], - "Q": [ 911 ], - "R": [ 908 ] + "C": [ 70 ], + "D": [ 914 ], + "E": [ 912 ], + "Q": [ 908 ], + "R": [ 905 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_1_D_SB_LUT4_O": { @@ -28199,11 +28101,11 @@ "O": "output" }, "connections": { - "I0": [ 907 ], + "I0": [ 904 ], "I1": [ "0" ], - "I2": [ 911 ], - "I3": [ 919 ], - "O": [ 918 ] + "I2": [ 908 ], + "I3": [ 915 ], + "O": [ 914 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_2": { @@ -28223,11 +28125,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 920 ], - "E": [ 916 ], - "Q": [ 910 ], - "R": [ 908 ] + "C": [ 70 ], + "D": [ 916 ], + "E": [ 912 ], + "Q": [ 907 ], + "R": [ 905 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_2_D_SB_LUT4_O": { @@ -28248,11 +28150,11 @@ "O": "output" }, "connections": { - "I0": [ 907 ], + "I0": [ 904 ], "I1": [ "0" ], - "I2": [ 910 ], - "I3": [ 912 ], - "O": [ 920 ] + "I2": [ 907 ], + "I3": [ 909 ], + "O": [ 916 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_3": { @@ -28272,11 +28174,11 @@ "R": "input" }, "connections": { - "C": [ 82 ], - "D": [ 921 ], - "E": [ 916 ], - "Q": [ 912 ], - "R": [ 908 ] + "C": [ 70 ], + "D": [ 917 ], + "E": [ 912 ], + "Q": [ 909 ], + "R": [ 905 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_3_D_SB_LUT4_O": { @@ -28300,8 +28202,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 912 ], - "O": [ 921 ] + "I3": [ 909 ], + "O": [ 917 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -28322,11 +28224,11 @@ "O": "output" }, "connections": { - "I0": [ 907 ], + "I0": [ 904 ], "I1": [ "0" ], - "I2": [ 909 ], - "I3": [ 922 ], - "O": [ 917 ] + "I2": [ 906 ], + "I3": [ 918 ], + "O": [ 913 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": { @@ -28344,10 +28246,10 @@ "I1": "input" }, "connections": { - "CI": [ 919 ], - "CO": [ 922 ], + "CI": [ 915 ], + "CO": [ 918 ], "I0": [ "0" ], - "I1": [ 911 ] + "I1": [ 908 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_1": { @@ -28365,10 +28267,10 @@ "I1": "input" }, "connections": { - "CI": [ 912 ], - "CO": [ 919 ], + "CI": [ 909 ], + "CO": [ 915 ], "I0": [ "0" ], - "I1": [ 910 ] + "I1": [ 907 ] } }, "w_smi_read_req_SB_LUT4_O": { @@ -28391,9 +28293,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 590 ], - "I3": [ 432 ], - "O": [ 408 ] + "I2": [ 567 ], + "I3": [ 438 ], + "O": [ 414 ] } } }, @@ -28405,9 +28307,9 @@ "src": "top.v:40.13-40.21" } }, - "i_button_SB_LUT4_I0_I1": { + "i_button_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 47, 15 ], + "bits": [ 124, 86, 48, 125 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -28469,12 +28371,6 @@ "src": "top.v:46.13-46.21" } }, - "i_smi_a1_SB_LUT4_I1_O": { - "hide_name": 0, - "bits": [ 56 ], - "attributes": { - } - }, "i_smi_a2": { "hide_name": 0, "bits": [ 29 ], @@ -28482,22 +28378,28 @@ "src": "top.v:47.13-47.21" } }, - "i_smi_a2_SB_LUT4_I1_O": { + "i_smi_a2_SB_LUT4_I1_1_O": { "hide_name": 0, - "bits": [ 70, 67, 62, 57 ], + "bits": [ 65, 62, 57, 52 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "i_smi_a2_SB_LUT4_I1_O_SB_LUT4_O_I3": { + "i_smi_a2_SB_LUT4_I1_1_O_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 58, 59, 60, 61 ], + "bits": [ 53, 54, 55, 56 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, + "i_smi_a2_SB_LUT4_I1_O": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + } + }, "i_smi_a3": { "hide_name": 0, "bits": [ 30 ], @@ -28526,24 +28428,16 @@ "src": "top.v:59.13-59.17" } }, - "i_ss_SB_LUT4_I1_O": { - "hide_name": 0, - "bits": [ 80, 76 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, "int_miso": { "hide_name": 0, - "bits": [ 406 ], + "bits": [ 413 ], "attributes": { "src": "top.v:110.9-110.17" } }, "io_ctrl_ins.debug_mode": { "hide_name": 0, - "bits": [ 87, 85 ], + "bits": [ 75, 73 ], "attributes": { "hdlname": "io_ctrl_ins debug_mode", "src": "top.v:128.12-156.5|io_ctrl.v:67.17-67.27" @@ -28551,7 +28445,7 @@ }, "io_ctrl_ins.debug_mode_SB_LUT4_I2_O": { "hide_name": 0, - "bits": [ 176, 89, 88, 91 ], + "bits": [ 97, 76, 79 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -28575,7 +28469,7 @@ }, "io_ctrl_ins.i_cs": { "hide_name": 0, - "bits": [ 94 ], + "bits": [ 82 ], "attributes": { "hdlname": "io_ctrl_ins i_cs", "src": "top.v:128.12-156.5|io_ctrl.v:9.29-9.33" @@ -28583,7 +28477,7 @@ }, "io_ctrl_ins.i_data_in": { "hide_name": 0, - "bits": [ 86, 83, 163, 162, 161, 160, 158, 155 ], + "bits": [ 74, 71, 165, 164, 163, 161, 159, 156 ], "attributes": { "hdlname": "io_ctrl_ins i_data_in", "src": "top.v:128.12-156.5|io_ctrl.v:7.29-7.38" @@ -28591,7 +28485,7 @@ }, "io_ctrl_ins.i_fetch_cmd": { "hide_name": 0, - "bits": [ 825 ], + "bits": [ 89 ], "attributes": { "hdlname": "io_ctrl_ins i_fetch_cmd", "src": "top.v:128.12-156.5|io_ctrl.v:10.29-10.40" @@ -28599,7 +28493,7 @@ }, "io_ctrl_ins.i_ioc": { "hide_name": 0, - "bits": [ 51, 53, 832, 831, 830 ], + "bits": [ 101, 104, 108, 107, 106 ], "attributes": { "hdlname": "io_ctrl_ins i_ioc", "src": "top.v:128.12-156.5|io_ctrl.v:6.29-6.34" @@ -28607,7 +28501,7 @@ }, "io_ctrl_ins.i_load_cmd": { "hide_name": 0, - "bits": [ 834 ], + "bits": [ 90 ], "attributes": { "hdlname": "io_ctrl_ins i_load_cmd", "src": "top.v:128.12-156.5|io_ctrl.v:11.29-11.39" @@ -28615,7 +28509,7 @@ }, "io_ctrl_ins.i_reset": { "hide_name": 0, - "bits": [ 54 ], + "bits": [ 49 ], "attributes": { "hdlname": "io_ctrl_ins i_reset", "src": "top.v:128.12-156.5|io_ctrl.v:3.29-3.36" @@ -28623,7 +28517,7 @@ }, "io_ctrl_ins.i_sys_clk": { "hide_name": 0, - "bits": [ 82 ], + "bits": [ 70 ], "attributes": { "hdlname": "io_ctrl_ins i_sys_clk", "src": "top.v:128.12-156.5|io_ctrl.v:4.29-4.38" @@ -28639,7 +28533,7 @@ }, "io_ctrl_ins.led0_state_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 154, 103, 117, 98 ], + "bits": [ 154, 131, 87, 112 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -28655,13 +28549,21 @@ }, "io_ctrl_ins.led1_state_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 96 ], + "bits": [ 84 ], "attributes": { } }, - "io_ctrl_ins.led1_state_SB_LUT4_I0_I2": { + "io_ctrl_ins.led1_state_SB_DFFESR_Q_E_SB_LUT4_O_I2": { "hide_name": 0, - "bits": [ 27, 47, 100, 101 ], + "bits": [ 88, 49 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "io_ctrl_ins.led1_state_SB_LUT4_I3_O": { + "hide_name": 0, + "bits": [ 130, 131, 92, 95 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -28677,7 +28579,7 @@ }, "io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 105 ], + "bits": [ 96 ], "attributes": { "src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8" } @@ -28692,14 +28594,30 @@ }, "io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 109 ], + "bits": [ 98 ], "attributes": { "src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8" } }, - "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O": { + "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3": { "hide_name": 0, - "bits": [ 151, 97, 112 ], + "bits": [ 102, 101 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I2_O": { + "hide_name": 0, + "bits": [ 91, 6, 94, 77 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_I3_SB_LUT4_I3_O": { + "hide_name": 0, + "bits": [ 168, 86, 47, 14 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -28707,7 +28625,7 @@ }, "io_ctrl_ins.mixer_en_state": { "hide_name": 0, - "bits": [ 114 ], + "bits": [ 110 ], "attributes": { "hdlname": "io_ctrl_ins mixer_en_state", "src": "top.v:128.12-156.5|io_ctrl.v:76.17-76.31" @@ -28715,14 +28633,14 @@ }, "io_ctrl_ins.mixer_en_state_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 113 ], + "bits": [ 109 ], "attributes": { "src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8" } }, "io_ctrl_ins.o_data_out": { "hide_name": 0, - "bits": [ 153, 119, 147, 122, 127, 133, 139, 142 ], + "bits": [ 153, 128, 144, 133, 138, 115, 118, 123 ], "attributes": { "hdlname": "io_ctrl_ins o_data_out", "src": "top.v:128.12-156.5|io_ctrl.v:8.29-8.39" @@ -28730,7 +28648,7 @@ }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_1_D": { "hide_name": 0, - "bits": [ 121 ], + "bits": [ 117 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" @@ -28738,7 +28656,7 @@ }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D": { "hide_name": 0, - "bits": [ 126 ], + "bits": [ 122 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" @@ -28746,7 +28664,7 @@ }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D": { "hide_name": 0, - "bits": [ 131 ], + "bits": [ 126 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" @@ -28754,7 +28672,7 @@ }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_4_D": { "hide_name": 0, - "bits": [ 138 ], + "bits": [ 132 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" @@ -28762,37 +28680,23 @@ }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_D": { "hide_name": 0, - "bits": [ 50 ], + "bits": [ 137 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" } }, - "io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_E": { - "hide_name": 0, - "bits": [ 132 ], - "attributes": { - } - }, - "io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_R": { - "hide_name": 0, - "bits": [ 51, 143, 134, 144 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D": { "hide_name": 0, - "bits": [ 145 ], + "bits": [ 142 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" } }, - "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I3": { + "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O_I2": { "hide_name": 0, - "bits": [ 103, 149, 150 ], + "bits": [ 146, 131, 147, 103 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -28800,13 +28704,19 @@ }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E": { "hide_name": 0, - "bits": [ 146 ], + "bits": [ 143 ], + "attributes": { + } + }, + "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R": { + "hide_name": 0, + "bits": [ 145 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 102 ], + "bits": [ 113 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" @@ -28814,14 +28724,16 @@ }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 118 ], + "bits": [ 114 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_R": { "hide_name": 0, - "bits": [ 120 ], + "bits": [ 101, 105, 116 ], "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.o_data_out_SB_DFFESS_Q_D": { @@ -28832,6 +28744,20 @@ "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" } }, + "io_ctrl_ins.o_data_out_SB_DFFESS_Q_E": { + "hide_name": 0, + "bits": [ 101, 104, 127 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "io_ctrl_ins.o_data_out_SB_DFFESS_Q_S": { + "hide_name": 0, + "bits": [ 129 ], + "attributes": { + } + }, "io_ctrl_ins.o_led0": { "hide_name": 0, "bits": [ 26 ], @@ -28866,7 +28792,7 @@ }, "io_ctrl_ins.o_pmod": { "hide_name": 0, - "bits": [ 116, 107, 111, 170, 169, 168, 167, 166 ], + "bits": [ 85, 93, 100, 169, 168, 149, 119, 124 ], "attributes": { "hdlname": "io_ctrl_ins o_pmod", "src": "top.v:128.12-156.5|io_ctrl.v:18.29-18.35" @@ -28930,7 +28856,7 @@ }, "io_ctrl_ins.pmod_dir_state": { "hide_name": 0, - "bits": [ 154, 104, 149, 123, 128, 135, 159, 157 ], + "bits": [ 154, 130, 146, 134, 139, 162, 160, 158 ], "attributes": { "hdlname": "io_ctrl_ins pmod_dir_state", "src": "top.v:128.12-156.5|io_ctrl.v:73.17-73.31" @@ -28938,29 +28864,41 @@ }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E": { "hide_name": 0, - "bits": [ 156 ], + "bits": [ 157 ], "attributes": { } }, "io_ctrl_ins.pmod_state": { "hide_name": 0, - "bits": [ 116, 107, 111, 170, 169, 168, 167, 166 ], + "bits": [ 85, 93, 100, 169, 168, 149, 119, 124 ], "attributes": { "hdlname": "io_ctrl_ins pmod_state", "src": "top.v:128.12-156.5|io_ctrl.v:74.17-74.27" } }, + "io_ctrl_ins.pmod_state_SB_DFFE_Q_E": { + "hide_name": 0, + "bits": [ 167 ], + "attributes": { + } + }, "io_ctrl_ins.rf_mode": { "hide_name": 0, - "bits": [ 151, 88, 89 ], + "bits": [ 148, 76, 77 ], "attributes": { "hdlname": "io_ctrl_ins rf_mode", "src": "top.v:128.12-156.5|io_ctrl.v:68.17-68.24" } }, + "io_ctrl_ins.rf_mode_SB_DFFESR_Q_E": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + } + }, "io_ctrl_ins.rf_pin_state": { "hide_name": 0, - "bits": [ 115, 106, 110, 176, 175, 174, 173, 172 ], + "bits": [ 111, 97, 99, 176, 175, 174, 173, 172 ], "attributes": { "hdlname": "io_ctrl_ins rf_pin_state", "src": "top.v:128.12-156.5|io_ctrl.v:75.17-75.29" @@ -28987,9 +28925,9 @@ "src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8" } }, - "io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O": { + "io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 16, 47, 140, 141 ], + "bits": [ 119, 86, 120, 121 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -29012,7 +28950,7 @@ }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 91, 173, 178 ], + "bits": [ 79, 173, 178 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -29020,18 +28958,10 @@ }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q_E": { "hide_name": 0, - "bits": [ 90 ], + "bits": [ 78 ], "attributes": { } }, - "io_ctrl_ins.rx_h_state_SB_LUT4_I1_O": { - "hide_name": 0, - "bits": [ 17, 47, 48, 49 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, "io_ctrl_ins.tr_vc_1_b_state": { "hide_name": 0, "bits": [ 6 ], @@ -29049,7 +28979,7 @@ }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O": { "hide_name": 0, - "bits": [ 128, 103, 129, 130 ], + "bits": [ 139, 131, 140, 141 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -29072,15 +29002,15 @@ }, "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 91, 175, 181 ], + "bits": [ 174, 79, 181 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_O": { + "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 135, 103, 136, 137 ], + "bits": [ 149, 86, 150, 151 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -29101,9 +29031,9 @@ "src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8" } }, - "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O": { + "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O": { "hide_name": 0, - "bits": [ 123, 103, 124, 125 ], + "bits": [ 134, 131, 135, 136 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -29127,14 +29057,14 @@ "hide_name": 0, "bits": [ 193 ], "attributes": { - "src": "top.v:192.9-192.19" + "src": "top.v:189.9-189.19" } }, "lvds_clock_buf": { "hide_name": 0, "bits": [ 193 ], "attributes": { - "src": "top.v:193.9-193.23" + "src": "top.v:190.9-190.23" } }, "lvds_rx_09_inst.i_ddr_clk": { @@ -29142,7 +29072,7 @@ "bits": [ 193 ], "attributes": { "hdlname": "lvds_rx_09_inst i_ddr_clk", - "src": "top.v:262.12-272.5|lvds_rx.v:4.29-4.38" + "src": "top.v:259.12-269.5|lvds_rx.v:4.29-4.38" } }, "lvds_rx_09_inst.i_ddr_data": { @@ -29150,31 +29080,31 @@ "bits": [ 191, 192 ], "attributes": { "hdlname": "lvds_rx_09_inst i_ddr_data", - "src": "top.v:262.12-272.5|lvds_rx.v:5.29-5.39" + "src": "top.v:259.12-269.5|lvds_rx.v:5.29-5.39" } }, "lvds_rx_09_inst.i_fifo_full": { "hide_name": 0, - "bits": [ 282 ], + "bits": [ 316 ], "attributes": { "hdlname": "lvds_rx_09_inst i_fifo_full", - "src": "top.v:262.12-272.5|lvds_rx.v:7.29-7.40" + "src": "top.v:259.12-269.5|lvds_rx.v:7.29-7.40" } }, "lvds_rx_09_inst.i_reset": { "hide_name": 0, - "bits": [ 54 ], + "bits": [ 49 ], "attributes": { "hdlname": "lvds_rx_09_inst i_reset", - "src": "top.v:262.12-272.5|lvds_rx.v:3.29-3.36" + "src": "top.v:259.12-269.5|lvds_rx.v:3.29-3.36" } }, "lvds_rx_09_inst.o_debug_state": { "hide_name": 0, - "bits": [ 273, 270 ], + "bits": [ 307, 304 ], "attributes": { "hdlname": "lvds_rx_09_inst o_debug_state", - "src": "top.v:262.12-272.5|lvds_rx.v:11.29-11.42" + "src": "top.v:259.12-269.5|lvds_rx.v:11.29-11.42" } }, "lvds_rx_09_inst.o_fifo_data": { @@ -29182,7 +29112,7 @@ "bits": [ 246, 245, 242, 240, 238, 236, 234, 232, 230, 228, 226, 224, 220, 218, 216, 214, 212, 210, 208, 206, 204, 202, 258, 256, 254, 252, 250, 248, 244, 222, 200, 198 ], "attributes": { "hdlname": "lvds_rx_09_inst o_fifo_data", - "src": "top.v:262.12-272.5|lvds_rx.v:10.29-10.40" + "src": "top.v:259.12-269.5|lvds_rx.v:10.29-10.40" } }, "lvds_rx_09_inst.o_fifo_push": { @@ -29190,20 +29120,40 @@ "bits": [ 260 ], "attributes": { "hdlname": "lvds_rx_09_inst o_fifo_push", - "src": "top.v:262.12-272.5|lvds_rx.v:9.29-9.40" + "src": "top.v:259.12-269.5|lvds_rx.v:9.29-9.40" } }, - "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_1_O": { + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2": { "hide_name": 0, - "bits": [ 262 ], + "bits": [ 260, 261, 262 ], "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I3_O": { + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_1_I1": { "hide_name": 0, - "bits": [ 261 ], + "bits": [ "0", 284, 282, 280, 278, 276, 274, 272, 269 ], "attributes": { - "src": "top.v:277.17-288.5|complex_fifo.v:23.1-37.4" + "force_downto": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 919, 286, 290, 271, 265, 289, 288, 287, 269 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:274.17-285.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27", + "unused_bits": "0 " + } + }, + "lvds_rx_09_inst.o_fifo_push_SB_LUT4_I1_I2_SB_LUT4_O_I2": { + "hide_name": 0, + "bits": [ 264, 265, 266, 267 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_09_inst.o_fifo_write_clk": { @@ -29211,7 +29161,7 @@ "bits": [ 193 ], "attributes": { "hdlname": "lvds_rx_09_inst o_fifo_write_clk", - "src": "top.v:262.12-272.5|lvds_rx.v:8.29-8.45" + "src": "top.v:259.12-269.5|lvds_rx.v:8.29-8.45" } }, "lvds_rx_09_inst.r_data": { @@ -29219,27 +29169,21 @@ "bits": [ 241, 239, 237, 235, 233, 231, 229, 227, 225, 223, 219, 217, 215, 213, 211, 209, 207, 205, 203, 201, 257, 255, 253, 251, 249, 247, 243, 221, 199, 196, "x", "x" ], "attributes": { "hdlname": "lvds_rx_09_inst r_data", - "src": "top.v:262.12-272.5|lvds_rx.v:27.17-27.23" - } - }, - "lvds_rx_09_inst.r_data_SB_DFFESR_Q_E": { - "hide_name": 0, - "bits": [ 263 ], - "attributes": { + "src": "top.v:259.12-269.5|lvds_rx.v:27.17-27.23" } }, "lvds_rx_09_inst.r_phase_count": { "hide_name": 0, - "bits": [ 267, 265, 923 ], + "bits": [ 301, 299, 920 ], "attributes": { "hdlname": "lvds_rx_09_inst r_phase_count", - "src": "top.v:262.12-272.5|lvds_rx.v:26.17-26.30", + "src": "top.v:259.12-269.5|lvds_rx.v:26.17-26.30", "unused_bits": "2" } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 264 ], + "bits": [ 298 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -29247,69 +29191,69 @@ }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 268 ], + "bits": [ 302 ], "attributes": { } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 269 ], + "bits": [ 303 ], "attributes": { } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_D": { "hide_name": 0, - "bits": [ 276 ], + "bits": [ 310 ], "attributes": { } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q": { "hide_name": 0, - "bits": [ 266, 272, 278 ], + "bits": [ 300, 306, 312 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" + "src": "top.v:259.12-269.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ "1", 267, 277 ], + "bits": [ "1", 301, 311 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + "src": "top.v:259.12-269.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_D": { "hide_name": 0, - "bits": [ 274 ], + "bits": [ 308 ], "attributes": { } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 273, 271, 54, 279 ], + "bits": [ 307, 304, 305, 313 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3": { + "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_I2": { "hide_name": 0, - "bits": [ 266, 264, 275 ], + "bits": [ 300, 298, 309, 307 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O": { + "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O": { "hide_name": 0, - "bits": [ 54, 271, 270, 273 ], + "bits": [ 300, 307, 304, 305 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O": { + "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 197 ], "attributes": { @@ -29320,57 +29264,55 @@ "bits": [ 259 ], "attributes": { "hdlname": "lvds_rx_09_inst r_push", - "src": "top.v:262.12-272.5|lvds_rx.v:28.17-28.23" + "src": "top.v:259.12-269.5|lvds_rx.v:28.17-28.23" } }, "lvds_rx_09_inst.r_push_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 280 ], + "bits": [ 314 ], "attributes": { } }, "lvds_rx_09_inst.r_push_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 281 ], + "bits": [ 315 ], "attributes": { } }, "lvds_rx_09_inst.r_state_if": { "hide_name": 0, - "bits": [ 273, 270 ], + "bits": [ 307, 304 ], "attributes": { "hdlname": "lvds_rx_09_inst r_state_if", - "src": "top.v:262.12-272.5|lvds_rx.v:25.17-25.27" + "src": "top.v:259.12-269.5|lvds_rx.v:25.17-25.27" } }, "lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 285, 283 ], + "bits": [ 319, 317 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:262.12-272.5|lvds_rx.v:58.13-94.20|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" + "src": "top.v:259.12-269.5|lvds_rx.v:58.13-94.20|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" } }, "lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 284 ], + "bits": [ 318 ], "attributes": { } }, - "lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3": { + "lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I2": { "hide_name": 0, - "bits": [ 271, 273, 286 ], + "bits": [ 305, 320, 49 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "lvds_rx_09_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I2": { + "lvds_rx_09_inst.r_state_if_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 270, 273, 287, 54 ], + "bits": [ 297 ], "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_24_inst.i_ddr_clk": { @@ -29378,7 +29320,7 @@ "bits": [ 193 ], "attributes": { "hdlname": "lvds_rx_24_inst i_ddr_clk", - "src": "top.v:290.12-299.5|lvds_rx.v:4.29-4.38" + "src": "top.v:287.12-296.5|lvds_rx.v:4.29-4.38" } }, "lvds_rx_24_inst.i_ddr_data": { @@ -29386,72 +29328,47 @@ "bits": [ 194, 195 ], "attributes": { "hdlname": "lvds_rx_24_inst i_ddr_data", - "src": "top.v:290.12-299.5|lvds_rx.v:5.29-5.39" + "src": "top.v:287.12-296.5|lvds_rx.v:5.29-5.39" } }, "lvds_rx_24_inst.i_fifo_full": { "hide_name": 0, - "bits": [ 401 ], + "bits": [ 407 ], "attributes": { "hdlname": "lvds_rx_24_inst i_fifo_full", - "src": "top.v:290.12-299.5|lvds_rx.v:7.29-7.40" + "src": "top.v:287.12-296.5|lvds_rx.v:7.29-7.40" } }, "lvds_rx_24_inst.i_reset": { "hide_name": 0, - "bits": [ 54 ], + "bits": [ 49 ], "attributes": { "hdlname": "lvds_rx_24_inst i_reset", - "src": "top.v:290.12-299.5|lvds_rx.v:3.29-3.36" + "src": "top.v:287.12-296.5|lvds_rx.v:3.29-3.36" } }, "lvds_rx_24_inst.o_debug_state": { "hide_name": 0, - "bits": [ 392, 389 ], + "bits": [ 398, 395 ], "attributes": { "hdlname": "lvds_rx_24_inst o_debug_state", - "src": "top.v:290.12-299.5|lvds_rx.v:11.29-11.42" + "src": "top.v:287.12-296.5|lvds_rx.v:11.29-11.42" } }, "lvds_rx_24_inst.o_fifo_data": { "hide_name": 0, - "bits": [ 338, 337, 334, 332, 330, 328, 326, 324, 322, 320, 318, 316, 312, 310, 308, 306, 304, 302, 300, 298, 296, 294, 350, 348, 346, 344, 342, 340, 336, 314, 292, 290 ], + "bits": [ 371, 370, 367, 365, 363, 361, 359, 357, 355, 353, 351, 349, 345, 343, 341, 339, 337, 335, 333, 331, 329, 327, 383, 381, 379, 377, 375, 373, 369, 347, 325, 323 ], "attributes": { "hdlname": "lvds_rx_24_inst o_fifo_data", - "src": "top.v:290.12-299.5|lvds_rx.v:10.29-10.40" + "src": "top.v:287.12-296.5|lvds_rx.v:10.29-10.40" } }, "lvds_rx_24_inst.o_fifo_push": { "hide_name": 0, - "bits": [ 352 ], + "bits": [ 385 ], "attributes": { "hdlname": "lvds_rx_24_inst o_fifo_push", - "src": "top.v:290.12-299.5|lvds_rx.v:9.29-9.40" - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I0": { - "hide_name": 0, - "bits": [ "0", 371, 369, 367, 365, 363, 361, 359, 353 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_I2": { - "hide_name": 0, - "bits": [ 924, 378, 377, 376, 375, 374, 373, 355, 353 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27", - "unused_bits": "0 " - } - }, - "lvds_rx_24_inst.o_fifo_push_SB_LUT4_I3_O": { - "hide_name": 0, - "bits": [ 600, 373, 356, 381 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + "src": "top.v:287.12-296.5|lvds_rx.v:9.29-9.40" } }, "lvds_rx_24_inst.o_fifo_write_clk": { @@ -29459,35 +29376,35 @@ "bits": [ 193 ], "attributes": { "hdlname": "lvds_rx_24_inst o_fifo_write_clk", - "src": "top.v:290.12-299.5|lvds_rx.v:8.29-8.45" + "src": "top.v:287.12-296.5|lvds_rx.v:8.29-8.45" } }, "lvds_rx_24_inst.r_data": { "hide_name": 0, - "bits": [ 333, 331, 329, 327, 325, 323, 321, 319, 317, 315, 311, 309, 307, 305, 303, 301, 299, 297, 295, 293, 349, 347, 345, 343, 341, 339, 335, 313, 291, 288, "x", "x" ], + "bits": [ 366, 364, 362, 360, 358, 356, 354, 352, 350, 348, 344, 342, 340, 338, 336, 334, 332, 330, 328, 326, 382, 380, 378, 376, 374, 372, 368, 346, 324, 321, "x", "x" ], "attributes": { "hdlname": "lvds_rx_24_inst r_data", - "src": "top.v:290.12-299.5|lvds_rx.v:27.17-27.23" + "src": "top.v:287.12-296.5|lvds_rx.v:27.17-27.23" } }, "lvds_rx_24_inst.r_data_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 382 ], + "bits": [ 388 ], "attributes": { } }, "lvds_rx_24_inst.r_phase_count": { "hide_name": 0, - "bits": [ 386, 384, 925 ], + "bits": [ 392, 390, 921 ], "attributes": { "hdlname": "lvds_rx_24_inst r_phase_count", - "src": "top.v:290.12-299.5|lvds_rx.v:26.17-26.30", + "src": "top.v:287.12-296.5|lvds_rx.v:26.17-26.30", "unused_bits": "2" } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 383 ], + "bits": [ 389 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -29495,47 +29412,47 @@ }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 387 ], + "bits": [ 393 ], "attributes": { } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 388 ], + "bits": [ 394 ], "attributes": { } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_D": { "hide_name": 0, - "bits": [ 395 ], + "bits": [ 401 ], "attributes": { } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q": { "hide_name": 0, - "bits": [ 385, 391, 397 ], + "bits": [ 391, 397, 403 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" + "src": "top.v:287.12-296.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_1_Q_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ "1", 386, 396 ], + "bits": [ "1", 392, 402 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + "src": "top.v:287.12-296.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_DFFESR_E_D": { "hide_name": 0, - "bits": [ 393 ], + "bits": [ 399 ], "attributes": { } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFESR_Q_E_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 389, 195, 194, 398 ], + "bits": [ 395, 195, 194, 404 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -29543,15 +29460,15 @@ }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_I3": { "hide_name": 0, - "bits": [ 394, "0", "1", 396 ], + "bits": [ 391, 389, 400 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:78.42-78.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O": { "hide_name": 0, - "bits": [ 390, 54, 389, 392 ], + "bits": [ 395, 396, 49, 398 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -29559,55 +29476,63 @@ }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O": { "hide_name": 0, - "bits": [ 289 ], + "bits": [ 322 ], "attributes": { } }, "lvds_rx_24_inst.r_push": { "hide_name": 0, - "bits": [ 351 ], + "bits": [ 384 ], "attributes": { "hdlname": "lvds_rx_24_inst r_push", - "src": "top.v:290.12-299.5|lvds_rx.v:28.17-28.23" + "src": "top.v:287.12-296.5|lvds_rx.v:28.17-28.23" } }, "lvds_rx_24_inst.r_push_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 399 ], + "bits": [ 405 ], "attributes": { } }, "lvds_rx_24_inst.r_push_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 400 ], + "bits": [ 406 ], "attributes": { } }, "lvds_rx_24_inst.r_state_if": { "hide_name": 0, - "bits": [ 392, 389 ], + "bits": [ 398, 395 ], "attributes": { "hdlname": "lvds_rx_24_inst r_state_if", - "src": "top.v:290.12-299.5|lvds_rx.v:25.17-25.27" + "src": "top.v:287.12-296.5|lvds_rx.v:25.17-25.27" } }, "lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 404, 402 ], + "bits": [ 410, 408 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:290.12-299.5|lvds_rx.v:58.13-94.20|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" + "src": "top.v:287.12-296.5|lvds_rx.v:58.13-94.20|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" } }, "lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 403 ], + "bits": [ 409 ], "attributes": { } }, "lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 392, 390, 54, 405 ], + "bits": [ 396, 398, 411 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "lvds_rx_24_inst.r_state_if_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I2": { + "hide_name": 0, + "bits": [ 395, 398, 412, 49 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -29671,7 +29596,7 @@ }, "o_miso_$_TBUF__Y_E": { "hide_name": 0, - "bits": [ 407 ], + "bits": [ 69 ], "attributes": { } }, @@ -29754,16 +29679,16 @@ }, "r_counter": { "hide_name": 0, - "bits": [ 410 ], + "bits": [ 70 ], "attributes": { "src": "top.v:65.16-65.25" } }, "r_counter_SB_DFF_Q_D": { "hide_name": 0, - "bits": [ 409 ], + "bits": [ 415 ], "attributes": { - "src": "top.v:172.4-175.7" + "src": "top.v:172.4-183.7" } }, "r_reset": { @@ -29775,148 +29700,163 @@ }, "r_tx_data": { "hide_name": 0, - "bits": [ 430, 413, 416, 418, 420, 422, 424, 427 ], + "bits": [ 436, 418, 421, 423, 425, 427, 429, 432 ], "attributes": { "src": "top.v:70.16-70.25" } }, "r_tx_data_SB_DFFESR_Q_5_D": { "hide_name": 0, - "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", 926, "0", 926, "0", "0", 926, "0", 926, 927, 928, 929, 930, "0", "0", "0", "0", 931, 932, 933, 934, 419, 935, 423, 936 ], + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", 922, "0", 922, "0", "0", 922, "0", 922, 923, 924, 925, 926, "0", "0", "0", "0", 927, 928, 929, 930, 424, 931, 428, 932 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:0.0-0.0|top.v:179.7-185.14|/usr/local/bin/../share/yosys/techmap.v:583.28-583.35", + "src": "top.v:0.0-0.0|top.v:176.7-182.14|/usr/local/bin/../share/yosys/techmap.v:583.28-583.35", "unused_bits": "8 10 13 15 16 17 18 19 24 25 26 27 29 31" } }, + "r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_I2": { + "hide_name": 0, + "bits": [ 430, 118 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "r_tx_data_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 937, 411, 415, 417, 419, 421, 423, 426 ], + "bits": [ 933, 416, 420, 422, 424, 426, 428, 431 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:0.0-0.0|top.v:179.7-185.14|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22", + "src": "top.v:0.0-0.0|top.v:176.7-182.14|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22", "unused_bits": "0 " } }, "r_tx_data_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 429, 938, 939, 940, 941, 942, 943, 944 ], + "bits": [ 435, 934, 935, 936, 937, 938, 939, 940 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:0.0-0.0|top.v:179.7-185.14|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22", + "src": "top.v:0.0-0.0|top.v:176.7-182.14|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22", "unused_bits": "1 2 3 4 5 6 7" } }, "r_tx_data_SB_DFFE_Q_E": { "hide_name": 0, - "bits": [ 412 ], + "bits": [ 417 ], "attributes": { } }, "rx_09_fifo.empty_o": { "hide_name": 0, - "bits": [ 432 ], + "bits": [ 438 ], "attributes": { "hdlname": "rx_09_fifo empty_o", - "src": "top.v:277.17-288.5|complex_fifo.v:17.19-17.26" + "src": "top.v:274.17-285.5|complex_fifo.v:17.19-17.26" } }, "rx_09_fifo.empty_o_SB_DFFSS_Q_D": { "hide_name": 0, - "bits": [ 431 ], + "bits": [ 437 ], "attributes": { } }, "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0": { "hide_name": 0, - "bits": [ 433, 434, 432, 435 ], + "bits": [ 439, 440, 268, 441 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0": { + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I3": { "hide_name": 0, - "bits": [ 439, 440, 441, 442 ], + "bits": [ 444, 268, 284, 445 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I1": { + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I0": { "hide_name": 0, - "bits": [ "0", 452, 467, 466, 465, 464, 463, 462, 461, 436 ], + "bits": [ "0", 458, 456, 455, 454, 453, 452, 451, 450, 447 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + } + }, + "rx_09_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I2": { + "hide_name": 0, + "bits": [ 268, 269, 270, 271 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_09_fifo.empty_o_SB_LUT4_I0_I1": { + "hide_name": 0, + "bits": [ 438, 460, 461, 462 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_09_fifo.empty_o_SB_LUT4_I0_O": { + "hide_name": 0, + "bits": [ 442, 283, 443 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_09_fifo.full_o": { "hide_name": 0, - "bits": [ 282 ], + "bits": [ 316 ], "attributes": { "hdlname": "rx_09_fifo full_o", - "src": "top.v:277.17-288.5|complex_fifo.v:16.19-16.25" + "src": "top.v:274.17-285.5|complex_fifo.v:16.19-16.25" } }, "rx_09_fifo.full_o_SB_DFFSR_Q_D": { "hide_name": 0, - "bits": [ 468 ], + "bits": [ 463 ], "attributes": { } }, - "rx_09_fifo.full_o_SB_LUT4_I2_I3": { + "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0": { "hide_name": 0, - "bits": [ 451, 452, 282, 472 ], + "bits": [ 464, 263, 465, 466 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O": { + "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I2": { "hide_name": 0, - "bits": [ 469, 470, 471, 260 ], + "bits": [ 467, 442, 468, 469 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I0": { + "rx_09_fifo.full_o_SB_LUT4_I3_I2": { "hide_name": 0, - "bits": [ "0", 453, 486, 485, 484, 483, 482, 481, 478 ], + "bits": [ 485, 484, 472, 467, 473, 470, 471, 478, 474 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I2": { + "rx_09_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 945, 490, 489, 479, 488, 487, 492, 491, 478 ], + "bits": [ "0", 459, 483, 482, 481, 480, 479, 477, 476 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27", - "unused_bits": "0 " + "src": "top.v:274.17-285.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3": { + "rx_09_fifo.full_o_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 478, 455, 479, 480 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I0": { - "hide_name": 0, - "bits": [ 493, 494, 495, 496 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "rx_09_fifo.full_o_SB_LUT4_I2_O_SB_LUT4_O_I1": { - "hide_name": 0, - "bits": [ 475, 476, 477 ], + "bits": [ 486, 487, 268, 475 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -29924,21 +29864,28 @@ }, "rx_09_fifo.mem_i.0.0.0_RCLKE": { "hide_name": 0, - "bits": [ 503 ], + "bits": [ 488 ], "attributes": { } }, "rx_09_fifo.mem_i.0.0.0_RDATA": { "hide_name": 0, - "bits": [ 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 68, 518 ], + "bits": [ 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 63, 501, 502, 503 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23", "unused_bits": "1 3 5 7 9 11 13 15" } }, + "rx_09_fifo.mem_i.0.0.0_WCLKE": { + "hide_name": 0, + "bits": [ 295 ], + "attributes": { + "src": "top.v:274.17-285.5|complex_fifo.v:23.1-37.4" + } + }, "rx_09_fifo.mem_i.1.0.0_RDATA": { "hide_name": 0, - "bits": [ 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 63, 533 ], + "bits": [ 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 58, 516, 517, 518 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23", "unused_bits": "1 3 5 7 9 11 13 15" @@ -29946,7 +29893,7 @@ }, "rx_09_fifo.mem_q.0.0.0_RDATA": { "hide_name": 0, - "bits": [ 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 69, 548 ], + "bits": [ 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 64, 531, 532, 533 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23", "unused_bits": "1 3 5 7 9 11 13 15" @@ -29954,7 +29901,7 @@ }, "rx_09_fifo.mem_q.1.0.0_RDATA": { "hide_name": 0, - "bits": [ 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 64, 563 ], + "bits": [ 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 59, 546, 547, 548 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23", "unused_bits": "1 3 5 7 9 11 13 15" @@ -29962,80 +29909,70 @@ }, "rx_09_fifo.rd_addr": { "hide_name": 0, - "bits": [ 452, 454, 457, 447, 455, 449, 459, 444, 445 ], + "bits": [ 458, 294, 457, 442, 270, 264, 291, 292, 293 ], "attributes": { "hdlname": "rx_09_fifo rd_addr", - "src": "top.v:277.17-288.5|complex_fifo.v:21.22-21.29" + "src": "top.v:274.17-285.5|complex_fifo.v:21.22-21.29" } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 573, 572, 571, 570, 569, 568, 567, 566, 564 ], + "bits": [ 558, 557, 556, 555, 554, 553, 552, 551, 549 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ "0", 452, 580, 579, 578, 577, 576, 575, 574 ], + "bits": [ "0", 458, 565, 564, 563, 562, 561, 560, 559 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + "src": "top.v:274.17-285.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "rx_09_fifo.rd_addr_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 565 ], + "bits": [ 550 ], "attributes": { } }, "rx_09_fifo.rd_clk_i": { "hide_name": 0, - "bits": [ 82 ], + "bits": [ 70 ], "attributes": { "hdlname": "rx_09_fifo rd_clk_i", - "src": "top.v:277.17-288.5|complex_fifo.v:12.31-12.39" + "src": "top.v:274.17-285.5|complex_fifo.v:12.31-12.39" } }, "rx_09_fifo.rd_data_o": { "hide_name": 0, - "bits": [ 519, 521, 523, 525, 527, 529, 531, 63, 504, 506, 508, 510, 512, 514, 516, 68, 549, 551, 553, 555, 557, 559, 561, 64, 534, 536, 538, 540, 542, 544, 546, 69 ], + "bits": [ 504, 506, 508, 510, 512, 514, 58, 517, 489, 491, 493, 495, 497, 499, 63, 502, 534, 536, 538, 540, 542, 544, 59, 547, 519, 521, 523, 525, 527, 529, 64, 532 ], "attributes": { "hdlname": "rx_09_fifo rd_data_o", - "src": "top.v:277.17-288.5|complex_fifo.v:14.35-14.44" + "src": "top.v:274.17-285.5|complex_fifo.v:14.35-14.44" } }, "rx_09_fifo.rd_rst_i": { "hide_name": 0, - "bits": [ 54 ], + "bits": [ 49 ], "attributes": { "hdlname": "rx_09_fifo rd_rst_i", - "src": "top.v:277.17-288.5|complex_fifo.v:11.31-11.39" + "src": "top.v:274.17-285.5|complex_fifo.v:11.31-11.39" } }, "rx_09_fifo.wr_addr": { "hide_name": 0, - "bits": [ 451, 453, 458, 448, 456, 450, 460, 443, 446 ], + "bits": [ 459, 284, 285, 283, 281, 279, 277, 275, 273 ], "attributes": { "hdlname": "rx_09_fifo wr_addr", - "src": "top.v:277.17-288.5|complex_fifo.v:20.22-20.29" + "src": "top.v:274.17-285.5|complex_fifo.v:20.22-20.29" } }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D": { + "rx_09_fifo.wr_addr_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 581, 499, 473, 500, 497, 501, 498, 502, 474 ], + "bits": [ 296 ], "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" - } - }, - "rx_09_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3": { - "hide_name": 0, - "bits": [ "0", 451, 588, 587, 586, 585, 584, 583, 582 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "rx_09_fifo.wr_clk_i": { @@ -30043,7 +29980,7 @@ "bits": [ 193 ], "attributes": { "hdlname": "rx_09_fifo wr_clk_i", - "src": "top.v:277.17-288.5|complex_fifo.v:7.31-7.39" + "src": "top.v:274.17-285.5|complex_fifo.v:7.31-7.39" } }, "rx_09_fifo.wr_data_i": { @@ -30051,7 +29988,7 @@ "bits": [ 246, 245, 242, 240, 238, 236, 234, 232, 230, 228, 226, 224, 220, 218, 216, 214, 212, 210, 208, 206, 204, 202, 258, 256, 254, 252, 250, 248, 244, 222, 200, 198 ], "attributes": { "hdlname": "rx_09_fifo wr_data_i", - "src": "top.v:277.17-288.5|complex_fifo.v:9.35-9.44" + "src": "top.v:274.17-285.5|complex_fifo.v:9.35-9.44" } }, "rx_09_fifo.wr_en_i": { @@ -30059,66 +29996,50 @@ "bits": [ 260 ], "attributes": { "hdlname": "rx_09_fifo wr_en_i", - "src": "top.v:277.17-288.5|complex_fifo.v:8.31-8.38" + "src": "top.v:274.17-285.5|complex_fifo.v:8.31-8.38" } }, "rx_09_fifo.wr_rst_i": { "hide_name": 0, - "bits": [ 54 ], + "bits": [ 49 ], "attributes": { "hdlname": "rx_09_fifo wr_rst_i", - "src": "top.v:277.17-288.5|complex_fifo.v:6.31-6.39" + "src": "top.v:274.17-285.5|complex_fifo.v:6.31-6.39" } }, "rx_24_fifo.empty_o": { "hide_name": 0, - "bits": [ 590 ], + "bits": [ 567 ], "attributes": { "hdlname": "rx_24_fifo empty_o", - "src": "top.v:301.17-312.5|complex_fifo.v:17.19-17.26" + "src": "top.v:298.17-309.5|complex_fifo.v:17.19-17.26" } }, "rx_24_fifo.empty_o_SB_DFFSS_Q_D": { "hide_name": 0, - "bits": [ 589 ], + "bits": [ 566 ], "attributes": { } }, - "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1": { + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0": { "hide_name": 0, - "bits": [ 591, 592, 593 ], + "bits": [ 568, 569, 567, 570 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I0": { + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2_I1": { "hide_name": 0, - "bits": [ "0", 596, 607, 605, 604, 602, 601, 599, 598, 594 ], + "bits": [ "0", 594, 592, 591, 589, 587, 586, 584, 582, 579 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, - "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3": { + "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I0": { "hide_name": 0, - "bits": [ 594, 595, 596, 597 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "rx_24_fifo.empty_o_SB_LUT4_I2_I3": { - "hide_name": 0, - "bits": [ 608, 372, 590, 612 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O_I0": { - "hide_name": 0, - "bits": [ 613, 614, 615, 616 ], + "bits": [ 571, 572, 573, 574 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30126,53 +30047,70 @@ }, "rx_24_fifo.full_o": { "hide_name": 0, - "bits": [ 401 ], + "bits": [ 407 ], "attributes": { "hdlname": "rx_24_fifo full_o", - "src": "top.v:301.17-312.5|complex_fifo.v:16.19-16.25" + "src": "top.v:298.17-309.5|complex_fifo.v:16.19-16.25" } }, "rx_24_fifo.full_o_SB_DFFSR_Q_D": { "hide_name": 0, - "bits": [ 617 ], + "bits": [ 603 ], "attributes": { } }, - "rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0": { - "hide_name": 0, - "bits": [ 618, 619, 620, 621 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1_I0": { - "hide_name": 0, - "bits": [ 624, 625, 626, 627 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, "rx_24_fifo.full_o_SB_LUT4_I3_I2": { "hide_name": 0, - "bits": [ 642, 622, 641, 623, 638, 628, 635, 633, 631 ], + "bits": [ 623, 622, 621, 619, 608, 616, 614, 612, 610 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, "rx_24_fifo.full_o_SB_LUT4_I3_I2_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ "0", 595, 640, 639, 637, 636, 634, 632, 630 ], + "bits": [ "0", 601, 620, 618, 617, 615, 613, 611, 609 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + "src": "top.v:298.17-309.5|complex_fifo.v:29.24-29.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "rx_24_fifo.full_o_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 643, 644, 645, 629 ], + "bits": [ 604, 605, 606, 607 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1_I0": { + "hide_name": 0, + "bits": [ 628, 629, 630, 631 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I0": { + "hide_name": 0, + "bits": [ "0", 602, 645, 644, 643, 642, 641, 640, 638 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2_I2": { + "hide_name": 0, + "bits": [ 941, 632, 636, 633, 637, 639, 634, 635, 638 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:298.17-309.5|complex_fifo.v:30.24-30.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27", + "unused_bits": "0 " + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_I0": { + "hide_name": 0, + "bits": [ 624, 625, 626, 627 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30186,7 +30124,7 @@ }, "rx_24_fifo.mem_i.0.0.0_RDATA": { "hide_name": 0, - "bits": [ 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 59, 661 ], + "bits": [ 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 54, 659, 660, 661 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23", "unused_bits": "1 3 5 7 9 11 13 15" @@ -30194,14 +30132,14 @@ }, "rx_24_fifo.mem_i.0.0.0_WCLKE": { "hide_name": 0, - "bits": [ 357 ], + "bits": [ 386 ], "attributes": { - "src": "top.v:301.17-312.5|complex_fifo.v:23.1-37.4" + "src": "top.v:298.17-309.5|complex_fifo.v:23.1-37.4" } }, "rx_24_fifo.mem_i.1.0.0_RDATA": { "hide_name": 0, - "bits": [ 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 58, 676 ], + "bits": [ 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 53, 674, 675, 676 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23", "unused_bits": "1 3 5 7 9 11 13 15" @@ -30209,7 +30147,7 @@ }, "rx_24_fifo.mem_q.0.0.0_RDATA": { "hide_name": 0, - "bits": [ 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 72, 691 ], + "bits": [ 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 67, 689, 690, 691 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23", "unused_bits": "1 3 5 7 9 11 13 15" @@ -30217,7 +30155,7 @@ }, "rx_24_fifo.mem_q.1.0.0_RDATA": { "hide_name": 0, - "bits": [ 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 71, 706 ], + "bits": [ 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 66, 704, 705, 706 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255.14-255.23", "unused_bits": "1 3 5 7 9 11 13 15" @@ -30225,10 +30163,10 @@ }, "rx_24_fifo.rd_addr": { "hide_name": 0, - "bits": [ 596, 609, 608, 606, 380, 603, 379, 600, 354 ], + "bits": [ 594, 595, 593, 575, 590, 588, 577, 585, 583 ], "attributes": { "hdlname": "rx_24_fifo rd_addr", - "src": "top.v:301.17-312.5|complex_fifo.v:21.22-21.29" + "src": "top.v:298.17-309.5|complex_fifo.v:21.22-21.29" } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_D": { @@ -30236,15 +30174,15 @@ "bits": [ 716, 715, 714, 713, 712, 711, 710, 709, 707 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ "0", 596, 723, 722, 721, 720, 719, 718, 717 ], + "bits": [ "0", 594, 723, 722, 721, 720, 719, 718, 717 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + "src": "top.v:298.17-309.5|complex_fifo.v:48.24-48.38|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "rx_24_fifo.rd_addr_SB_DFFESR_Q_E": { @@ -30255,39 +30193,39 @@ }, "rx_24_fifo.rd_clk_i": { "hide_name": 0, - "bits": [ 82 ], + "bits": [ 70 ], "attributes": { "hdlname": "rx_24_fifo rd_clk_i", - "src": "top.v:301.17-312.5|complex_fifo.v:12.31-12.39" + "src": "top.v:298.17-309.5|complex_fifo.v:12.31-12.39" } }, "rx_24_fifo.rd_data_o": { "hide_name": 0, - "bits": [ 662, 664, 666, 668, 670, 672, 674, 58, 647, 649, 651, 653, 655, 657, 659, 59, 692, 694, 696, 698, 700, 702, 704, 71, 677, 679, 681, 683, 685, 687, 689, 72 ], + "bits": [ 662, 664, 666, 668, 670, 672, 53, 675, 647, 649, 651, 653, 655, 657, 54, 660, 692, 694, 696, 698, 700, 702, 66, 705, 677, 679, 681, 683, 685, 687, 67, 690 ], "attributes": { "hdlname": "rx_24_fifo rd_data_o", - "src": "top.v:301.17-312.5|complex_fifo.v:14.35-14.44" + "src": "top.v:298.17-309.5|complex_fifo.v:14.35-14.44" } }, "rx_24_fifo.rd_rst_i": { "hide_name": 0, - "bits": [ 54 ], + "bits": [ 49 ], "attributes": { "hdlname": "rx_24_fifo rd_rst_i", - "src": "top.v:301.17-312.5|complex_fifo.v:11.31-11.39" + "src": "top.v:298.17-309.5|complex_fifo.v:11.31-11.39" } }, "rx_24_fifo.wr_addr": { "hide_name": 0, - "bits": [ 595, 371, 372, 370, 368, 366, 364, 362, 360 ], + "bits": [ 601, 602, 600, 576, 598, 599, 578, 597, 596 ], "attributes": { "hdlname": "rx_24_fifo wr_addr", - "src": "top.v:301.17-312.5|complex_fifo.v:20.22-20.29" + "src": "top.v:298.17-309.5|complex_fifo.v:20.22-20.29" } }, "rx_24_fifo.wr_addr_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 358 ], + "bits": [ 387 ], "attributes": { } }, @@ -30296,31 +30234,31 @@ "bits": [ 193 ], "attributes": { "hdlname": "rx_24_fifo wr_clk_i", - "src": "top.v:301.17-312.5|complex_fifo.v:7.31-7.39" + "src": "top.v:298.17-309.5|complex_fifo.v:7.31-7.39" } }, "rx_24_fifo.wr_data_i": { "hide_name": 0, - "bits": [ 338, 337, 334, 332, 330, 328, 326, 324, 322, 320, 318, 316, 312, 310, 308, 306, 304, 302, 300, 298, 296, 294, 350, 348, 346, 344, 342, 340, 336, 314, 292, 290 ], + "bits": [ 371, 370, 367, 365, 363, 361, 359, 357, 355, 353, 351, 349, 345, 343, 341, 339, 337, 335, 333, 331, 329, 327, 383, 381, 379, 377, 375, 373, 369, 347, 325, 323 ], "attributes": { "hdlname": "rx_24_fifo wr_data_i", - "src": "top.v:301.17-312.5|complex_fifo.v:9.35-9.44" + "src": "top.v:298.17-309.5|complex_fifo.v:9.35-9.44" } }, "rx_24_fifo.wr_en_i": { "hide_name": 0, - "bits": [ 352 ], + "bits": [ 385 ], "attributes": { "hdlname": "rx_24_fifo wr_en_i", - "src": "top.v:301.17-312.5|complex_fifo.v:8.31-8.38" + "src": "top.v:298.17-309.5|complex_fifo.v:8.31-8.38" } }, "rx_24_fifo.wr_rst_i": { "hide_name": 0, - "bits": [ 54 ], + "bits": [ 49 ], "attributes": { "hdlname": "rx_24_fifo wr_rst_i", - "src": "top.v:301.17-312.5|complex_fifo.v:6.31-6.39" + "src": "top.v:298.17-309.5|complex_fifo.v:6.31-6.39" } }, "smi_ctrl_ins.i_cs": { @@ -30328,95 +30266,103 @@ "bits": [ 725 ], "attributes": { "hdlname": "smi_ctrl_ins i_cs", - "src": "top.v:314.13-345.5|smi_ctrl.v:9.29-9.33" + "src": "top.v:311.13-342.5|smi_ctrl.v:9.29-9.33" + } + }, + "smi_ctrl_ins.i_cs_SB_LUT4_I2_O": { + "hide_name": 0, + "bits": [ 105, 726 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.i_data_in": { "hide_name": 0, - "bits": [ 86, 83, 163, 162, 161, 160, 158, 155 ], + "bits": [ 74, 71, 165, 164, 163, 161, 159, 156 ], "attributes": { "hdlname": "smi_ctrl_ins i_data_in", - "src": "top.v:314.13-345.5|smi_ctrl.v:7.29-7.38" + "src": "top.v:311.13-342.5|smi_ctrl.v:7.29-7.38" } }, "smi_ctrl_ins.i_fetch_cmd": { "hide_name": 0, - "bits": [ 825 ], + "bits": [ 89 ], "attributes": { "hdlname": "smi_ctrl_ins i_fetch_cmd", - "src": "top.v:314.13-345.5|smi_ctrl.v:10.29-10.40" + "src": "top.v:311.13-342.5|smi_ctrl.v:10.29-10.40" } }, "smi_ctrl_ins.i_fifo_09_empty": { "hide_name": 0, - "bits": [ 432 ], + "bits": [ 438 ], "attributes": { "hdlname": "smi_ctrl_ins i_fifo_09_empty", - "src": "top.v:314.13-345.5|smi_ctrl.v:17.29-17.44" + "src": "top.v:311.13-342.5|smi_ctrl.v:17.29-17.44" } }, "smi_ctrl_ins.i_fifo_09_full": { "hide_name": 0, - "bits": [ 282 ], + "bits": [ 316 ], "attributes": { "hdlname": "smi_ctrl_ins i_fifo_09_full", - "src": "top.v:314.13-345.5|smi_ctrl.v:16.29-16.43" + "src": "top.v:311.13-342.5|smi_ctrl.v:16.29-16.43" } }, "smi_ctrl_ins.i_fifo_09_pulled_data": { "hide_name": 0, - "bits": [ 519, 521, 523, 525, 527, 529, 531, 63, 504, 506, 508, 510, 512, 514, 516, 68, 549, 551, 553, 555, 557, 559, 561, 64, 534, 536, 538, 540, 542, 544, 546, 69 ], + "bits": [ 504, 506, 508, 510, 512, 514, 58, 517, 489, 491, 493, 495, 497, 499, 63, 502, 534, 536, 538, 540, 542, 544, 59, 547, 519, 521, 523, 525, 527, 529, 64, 532 ], "attributes": { "hdlname": "smi_ctrl_ins i_fifo_09_pulled_data", - "src": "top.v:314.13-345.5|smi_ctrl.v:15.29-15.50" + "src": "top.v:311.13-342.5|smi_ctrl.v:15.29-15.50" } }, "smi_ctrl_ins.i_fifo_24_empty": { "hide_name": 0, - "bits": [ 590 ], + "bits": [ 567 ], "attributes": { "hdlname": "smi_ctrl_ins i_fifo_24_empty", - "src": "top.v:314.13-345.5|smi_ctrl.v:23.29-23.44" + "src": "top.v:311.13-342.5|smi_ctrl.v:23.29-23.44" } }, "smi_ctrl_ins.i_fifo_24_full": { "hide_name": 0, - "bits": [ 401 ], + "bits": [ 407 ], "attributes": { "hdlname": "smi_ctrl_ins i_fifo_24_full", - "src": "top.v:314.13-345.5|smi_ctrl.v:22.29-22.43" + "src": "top.v:311.13-342.5|smi_ctrl.v:22.29-22.43" } }, "smi_ctrl_ins.i_fifo_24_pulled_data": { "hide_name": 0, - "bits": [ 662, 664, 666, 668, 670, 672, 674, 58, 647, 649, 651, 653, 655, 657, 659, 59, 692, 694, 696, 698, 700, 702, 704, 71, 677, 679, 681, 683, 685, 687, 689, 72 ], + "bits": [ 662, 664, 666, 668, 670, 672, 53, 675, 647, 649, 651, 653, 655, 657, 54, 660, 692, 694, 696, 698, 700, 702, 66, 705, 677, 679, 681, 683, 685, 687, 67, 690 ], "attributes": { "hdlname": "smi_ctrl_ins i_fifo_24_pulled_data", - "src": "top.v:314.13-345.5|smi_ctrl.v:21.29-21.50" + "src": "top.v:311.13-342.5|smi_ctrl.v:21.29-21.50" } }, "smi_ctrl_ins.i_ioc": { "hide_name": 0, - "bits": [ 51, 53, 832, 831, 830 ], + "bits": [ 101, 104, 108, 107, 106 ], "attributes": { "hdlname": "smi_ctrl_ins i_ioc", - "src": "top.v:314.13-345.5|smi_ctrl.v:6.29-6.34" + "src": "top.v:311.13-342.5|smi_ctrl.v:6.29-6.34" } }, "smi_ctrl_ins.i_load_cmd": { "hide_name": 0, - "bits": [ 834 ], + "bits": [ 90 ], "attributes": { "hdlname": "smi_ctrl_ins i_load_cmd", - "src": "top.v:314.13-345.5|smi_ctrl.v:11.29-11.39" + "src": "top.v:311.13-342.5|smi_ctrl.v:11.29-11.39" } }, "smi_ctrl_ins.i_reset": { "hide_name": 0, - "bits": [ 54 ], + "bits": [ 49 ], "attributes": { "hdlname": "smi_ctrl_ins i_reset", - "src": "top.v:314.13-345.5|smi_ctrl.v:3.29-3.36" + "src": "top.v:311.13-342.5|smi_ctrl.v:3.29-3.36" } }, "smi_ctrl_ins.i_smi_a": { @@ -30424,7 +30370,7 @@ "bits": [ 28, 29, 30 ], "attributes": { "hdlname": "smi_ctrl_ins i_smi_a", - "src": "top.v:314.13-345.5|smi_ctrl.v:26.29-26.36" + "src": "top.v:311.13-342.5|smi_ctrl.v:26.29-26.36" } }, "smi_ctrl_ins.i_smi_data_in": { @@ -30432,7 +30378,7 @@ "bits": [ 33, 34, 35, 36, 37, 38, 39, 40 ], "attributes": { "hdlname": "smi_ctrl_ins i_smi_data_in", - "src": "top.v:314.13-345.5|smi_ctrl.v:30.29-30.42" + "src": "top.v:311.13-342.5|smi_ctrl.v:30.29-30.42" } }, "smi_ctrl_ins.i_smi_soe_se": { @@ -30440,7 +30386,7 @@ "bits": [ 31 ], "attributes": { "hdlname": "smi_ctrl_ins i_smi_soe_se", - "src": "top.v:314.13-345.5|smi_ctrl.v:27.29-27.41" + "src": "top.v:311.13-342.5|smi_ctrl.v:27.29-27.41" } }, "smi_ctrl_ins.i_smi_swe_srw": { @@ -30448,7 +30394,7 @@ "bits": [ 32 ], "attributes": { "hdlname": "smi_ctrl_ins i_smi_swe_srw", - "src": "top.v:314.13-345.5|smi_ctrl.v:28.29-28.42", + "src": "top.v:311.13-342.5|smi_ctrl.v:28.29-28.42", "unused_bits": "0 " } }, @@ -30457,78 +30403,78 @@ "bits": [ "0" ], "attributes": { "hdlname": "smi_ctrl_ins i_smi_test", - "src": "top.v:314.13-345.5|smi_ctrl.v:34.29-34.39" + "src": "top.v:311.13-342.5|smi_ctrl.v:34.29-34.39" } }, "smi_ctrl_ins.i_sys_clk": { "hide_name": 0, - "bits": [ 82 ], + "bits": [ 70 ], "attributes": { "hdlname": "smi_ctrl_ins i_sys_clk", - "src": "top.v:314.13-345.5|smi_ctrl.v:4.29-4.38" + "src": "top.v:311.13-342.5|smi_ctrl.v:4.29-4.38" } }, "smi_ctrl_ins.int_cnt_09": { "hide_name": 0, - "bits": [ "1", "1", "1", 65, 66 ], + "bits": [ "1", "1", "1", 60, 61 ], "attributes": { "hdlname": "smi_ctrl_ins int_cnt_09", - "src": "top.v:314.13-345.5|smi_ctrl.v:92.15-92.25" + "src": "top.v:311.13-342.5|smi_ctrl.v:92.15-92.25" } }, "smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_D": { "hide_name": 0, - "bits": [ 729, 727 ], + "bits": [ 730, 728 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:122.35-122.49|/usr/local/bin/../share/yosys/techmap.v:270.26-270.27" + "src": "top.v:311.13-342.5|smi_ctrl.v:122.35-122.49|/usr/local/bin/../share/yosys/techmap.v:270.26-270.27" } }, "smi_ctrl_ins.int_cnt_09_SB_DFFNESS_Q_E": { "hide_name": 0, - "bits": [ 728 ], + "bits": [ 729 ], "attributes": { } }, "smi_ctrl_ins.int_cnt_24": { "hide_name": 0, - "bits": [ "1", "1", "1", 73, 60 ], + "bits": [ "1", "1", "1", 68, 55 ], "attributes": { "hdlname": "smi_ctrl_ins int_cnt_24", - "src": "top.v:314.13-345.5|smi_ctrl.v:93.15-93.25" + "src": "top.v:311.13-342.5|smi_ctrl.v:93.15-93.25" } }, "smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_D": { "hide_name": 0, - "bits": [ 731, 730 ], + "bits": [ 732, 731 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:314.13-345.5|smi_ctrl.v:131.35-131.49|/usr/local/bin/../share/yosys/techmap.v:270.26-270.27" + "src": "top.v:311.13-342.5|smi_ctrl.v:131.35-131.49|/usr/local/bin/../share/yosys/techmap.v:270.26-270.27" } }, "smi_ctrl_ins.int_cnt_24_SB_DFFNESS_Q_E": { "hide_name": 0, - "bits": [ 55 ], + "bits": [ 50 ], "attributes": { } }, "smi_ctrl_ins.o_data_out": { "hide_name": 0, - "bits": [ 736, 735, 734, 733, "0", "0", "0", "0" ], + "bits": [ 737, 736, 735, 734, "0", "0", "0", "0" ], "attributes": { "hdlname": "smi_ctrl_ins o_data_out", - "src": "top.v:314.13-345.5|smi_ctrl.v:8.29-8.39" + "src": "top.v:311.13-342.5|smi_ctrl.v:8.29-8.39" } }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E": { "hide_name": 0, - "bits": [ 732 ], + "bits": [ 733 ], "attributes": { } }, - "smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3": { + "smi_ctrl_ins.o_data_out_SB_LUT4_I0_2_O": { "hide_name": 0, - "bits": [ 737, 734, 739 ], + "bits": [ 433, 434 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30539,7 +30485,7 @@ "bits": [ 33, 190, 189, 188, 187, 186, 185, 184 ], "attributes": { "hdlname": "smi_ctrl_ins o_smi_data_out", - "src": "top.v:314.13-345.5|smi_ctrl.v:29.29-29.43" + "src": "top.v:311.13-342.5|smi_ctrl.v:29.29-29.43" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D": { @@ -30548,31 +30494,15 @@ "attributes": { } }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0": { - "hide_name": 0, - "bits": [ 742, 743, 744, 57 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I0_SB_LUT4_O_I3": { - "hide_name": 0, - "bits": [ 674, 659, 60, 745 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D": { "hide_name": 0, - "bits": [ 746 ], + "bits": [ 742 ], "attributes": { } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0": { "hide_name": 0, - "bits": [ 747, 748, 749, 57 ], + "bits": [ 743, 744, 745, 52 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30580,7 +30510,7 @@ }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I0_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 672, 657, 60, 750 ], + "bits": [ 672, 657, 55, 746 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30588,13 +30518,13 @@ }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D": { "hide_name": 0, - "bits": [ 751 ], + "bits": [ 747 ], "attributes": { } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0": { "hide_name": 0, - "bits": [ 752, 753, 754, 57 ], + "bits": [ 748, 749, 750, 52 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30602,7 +30532,7 @@ }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I0_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 670, 655, 60, 755 ], + "bits": [ 670, 655, 55, 751 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30610,13 +30540,13 @@ }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D": { "hide_name": 0, - "bits": [ 756 ], + "bits": [ 752 ], "attributes": { } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0": { "hide_name": 0, - "bits": [ 757, 758, 759, 57 ], + "bits": [ 753, 754, 755, 52 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30624,7 +30554,7 @@ }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I0_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 668, 653, 60, 760 ], + "bits": [ 668, 653, 55, 756 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30632,13 +30562,13 @@ }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D": { "hide_name": 0, - "bits": [ 761 ], + "bits": [ 757 ], "attributes": { } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0": { "hide_name": 0, - "bits": [ 762, 763, 764, 57 ], + "bits": [ 758, 759, 760, 52 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30646,7 +30576,7 @@ }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 666, 651, 60, 765 ], + "bits": [ 666, 651, 55, 761 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30654,13 +30584,13 @@ }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D": { "hide_name": 0, - "bits": [ 766 ], + "bits": [ 762 ], "attributes": { } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0": { "hide_name": 0, - "bits": [ 767, 768, 769, 57 ], + "bits": [ 763, 764, 765, 52 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30668,7 +30598,7 @@ }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I0_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 664, 649, 60, 770 ], + "bits": [ 664, 649, 55, 766 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30676,13 +30606,13 @@ }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D": { "hide_name": 0, - "bits": [ 771 ], + "bits": [ 767 ], "attributes": { } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0": { "hide_name": 0, - "bits": [ 772, 773, 774, 57 ], + "bits": [ 768, 769, 770, 52 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30690,7 +30620,7 @@ }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 662, 647, 60, 775 ], + "bits": [ 662, 647, 55, 771 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30702,12 +30632,28 @@ "attributes": { } }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0": { + "hide_name": 0, + "bits": [ 772, 773, 774, 52 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I0_SB_LUT4_O_I3": { + "hide_name": 0, + "bits": [ 675, 660, 55, 775 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "smi_ctrl_ins.o_smi_read_req": { "hide_name": 0, - "bits": [ 408 ], + "bits": [ 414 ], "attributes": { "hdlname": "smi_ctrl_ins o_smi_read_req", - "src": "top.v:314.13-345.5|smi_ctrl.v:31.29-31.43" + "src": "top.v:311.13-342.5|smi_ctrl.v:31.29-31.43" } }, "smi_ctrl_ins.o_smi_write_req": { @@ -30715,7 +30661,7 @@ "bits": [ "x" ], "attributes": { "hdlname": "smi_ctrl_ins o_smi_write_req", - "src": "top.v:314.13-345.5|smi_ctrl.v:32.29-32.44" + "src": "top.v:311.13-342.5|smi_ctrl.v:32.29-32.44" } }, "smi_ctrl_ins.o_smi_writing": { @@ -30723,7 +30669,7 @@ "bits": [ 30 ], "attributes": { "hdlname": "smi_ctrl_ins o_smi_writing", - "src": "top.v:314.13-345.5|smi_ctrl.v:33.29-33.42" + "src": "top.v:311.13-342.5|smi_ctrl.v:33.29-33.42" } }, "smi_ctrl_ins.r_fifo_09_pull": { @@ -30731,7 +30677,7 @@ "bits": [ 776 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_09_pull", - "src": "top.v:314.13-345.5|smi_ctrl.v:94.9-94.23" + "src": "top.v:311.13-342.5|smi_ctrl.v:94.9-94.23" } }, "smi_ctrl_ins.r_fifo_09_pull_1": { @@ -30739,12 +30685,12 @@ "bits": [ 777 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_09_pull_1", - "src": "top.v:314.13-345.5|smi_ctrl.v:95.9-95.25" + "src": "top.v:311.13-342.5|smi_ctrl.v:95.9-95.25" } }, "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O": { "hide_name": 0, - "bits": [ 779, 780, 778, 781 ], + "bits": [ 779, 778, 780, 781 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30752,108 +30698,116 @@ }, "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O": { "hide_name": 0, - "bits": [ 436, 437, 438 ], + "bits": [ 447, 285, 448, 449 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_O_I1": { + "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I0": { "hide_name": 0, - "bits": [ 446, 782, 445, 461 ], + "bits": [ 558, 444, 448, 785, 786, 784, 783, 782, 446, 447 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "smi_ctrl_ins.r_fifo_09_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1": { - "hide_name": 0, - "bits": [ 573, 786, 787, 784, 783, 785, 788, 789, 946, 436 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "top.v:277.17-288.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27", - "unused_bits": "8" + "src": "top.v:274.17-285.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, "smi_ctrl_ins.r_fifo_24_pull": { "hide_name": 0, - "bits": [ 791 ], + "bits": [ 788 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_24_pull", - "src": "top.v:314.13-345.5|smi_ctrl.v:97.9-97.23" + "src": "top.v:311.13-342.5|smi_ctrl.v:97.9-97.23" } }, "smi_ctrl_ins.r_fifo_24_pull_1": { "hide_name": 0, - "bits": [ 792 ], + "bits": [ 789 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_24_pull_1", - "src": "top.v:314.13-345.5|smi_ctrl.v:98.9-98.25" + "src": "top.v:311.13-342.5|smi_ctrl.v:98.9-98.25" } }, "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O": { "hide_name": 0, - "bits": [ 793, 794, 795, 796 ], + "bits": [ 791, 792, 790, 793 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1": { + "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O": { "hide_name": 0, - "bits": [ 716, 610, 611, 799, 800, 797, 798, 801, 802, 594 ], + "bits": [ 579, 580, 581 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:301.17-312.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_I0_O_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 596, 794, 583, 582 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.r_fifo_24_pull_1_SB_LUT4_I1_O_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 716, 942, 797, 799, 800, 798, 795, 796, 943, 579 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:298.17-309.5|complex_fifo.v:49.25-49.36|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27", + "unused_bits": "1 8" } }, "smi_ctrl_ins.soe_and_reset": { "hide_name": 0, - "bits": [ 726 ], + "bits": [ 727 ], "attributes": { "hdlname": "smi_ctrl_ins soe_and_reset", - "src": "top.v:314.13-345.5|smi_ctrl.v:103.10-103.23" + "src": "top.v:311.13-342.5|smi_ctrl.v:103.10-103.23" } }, "smi_ctrl_ins.w_fifo_09_pull_trigger": { "hide_name": 0, - "bits": [ 790 ], + "bits": [ 787 ], "attributes": { "hdlname": "smi_ctrl_ins w_fifo_09_pull_trigger", - "src": "top.v:314.13-345.5|smi_ctrl.v:96.10-96.32" + "src": "top.v:311.13-342.5|smi_ctrl.v:96.10-96.32" } }, "smi_ctrl_ins.w_fifo_09_pull_trigger_SB_DFFNE_Q_D": { "hide_name": 0, - "bits": [ 804 ], + "bits": [ 802 ], "attributes": { - "src": "top.v:314.13-345.5|smi_ctrl.v:114.39-114.79" + "src": "top.v:311.13-342.5|smi_ctrl.v:114.39-114.79" } }, "smi_ctrl_ins.w_fifo_24_pull_trigger": { "hide_name": 0, - "bits": [ 803 ], + "bits": [ 801 ], "attributes": { "hdlname": "smi_ctrl_ins w_fifo_24_pull_trigger", - "src": "top.v:314.13-345.5|smi_ctrl.v:99.10-99.32" + "src": "top.v:311.13-342.5|smi_ctrl.v:99.10-99.32" } }, "smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_D": { "hide_name": 0, - "bits": [ 806 ], + "bits": [ 804 ], "attributes": { - "src": "top.v:314.13-345.5|smi_ctrl.v:115.39-115.79" + "src": "top.v:311.13-342.5|smi_ctrl.v:115.39-115.79" } }, "smi_ctrl_ins.w_fifo_24_pull_trigger_SB_DFFNE_Q_E": { "hide_name": 0, - "bits": [ 805 ], + "bits": [ 803 ], "attributes": { } }, "spi_if_ins.i_data_out": { "hide_name": 0, - "bits": [ 430, 413, 416, 418, 420, 422, 424, 427 ], + "bits": [ 436, 418, 421, 423, 425, 427, 429, 432 ], "attributes": { "hdlname": "spi_if_ins i_data_out", "src": "top.v:92.11-108.5|spi_if.v:10.29-10.39" @@ -30861,7 +30815,7 @@ }, "spi_if_ins.i_rst_b": { "hide_name": 0, - "bits": [ 54 ], + "bits": [ 49 ], "attributes": { "hdlname": "spi_if_ins i_rst_b", "src": "top.v:92.11-108.5|spi_if.v:5.29-5.36" @@ -30893,7 +30847,7 @@ }, "spi_if_ins.i_sys_clk": { "hide_name": 0, - "bits": [ 82 ], + "bits": [ 70 ], "attributes": { "hdlname": "spi_if_ins i_sys_clk", "src": "top.v:92.11-108.5|spi_if.v:6.29-6.38" @@ -30901,7 +30855,7 @@ }, "spi_if_ins.o_cs": { "hide_name": 0, - "bits": [ 811, 94, 725, 808 ], + "bits": [ 809, 82, 725, 806 ], "attributes": { "hdlname": "spi_if_ins o_cs", "src": "top.v:92.11-108.5|spi_if.v:11.29-11.33" @@ -30909,7 +30863,7 @@ }, "spi_if_ins.o_cs_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ "0", "0", "0", 807, "0", "0", 724, "0", "0", 92, "0", "0" ], + "bits": [ "0", "0", "0", 805, "0", "0", 724, "0", "0", 80, "0", "0" ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:92.11-108.5|spi_if.v:65.21-70.28|spi_if.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:583.28-583.35" @@ -30917,7 +30871,7 @@ }, "spi_if_ins.o_cs_SB_DFFESR_Q_R": { "hide_name": 0, - "bits": [ 95, 947, 948, 949 ], + "bits": [ 83, 944, 945, 946 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:92.11-108.5|spi_if.v:65.21-70.28|spi_if.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22", @@ -30926,7 +30880,7 @@ }, "spi_if_ins.o_cs_SB_LUT4_I2_O": { "hide_name": 0, - "bits": [ 736, 737, 425, 153 ], + "bits": [ 737, 738, 430, 153 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30934,7 +30888,7 @@ }, "spi_if_ins.o_cs_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 905, 414, 428, 738 ], + "bits": [ 902, 419, 433, 739 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30942,7 +30896,7 @@ }, "spi_if_ins.o_data_in": { "hide_name": 0, - "bits": [ 86, 83, 163, 162, 161, 160, 158, 155 ], + "bits": [ 74, 71, 165, 164, 163, 161, 159, 156 ], "attributes": { "hdlname": "spi_if_ins o_data_in", "src": "top.v:92.11-108.5|spi_if.v:9.29-9.38" @@ -30950,13 +30904,13 @@ }, "spi_if_ins.o_data_in_SB_DFFE_Q_E": { "hide_name": 0, - "bits": [ 813 ], + "bits": [ 811 ], "attributes": { } }, "spi_if_ins.o_fetch_cmd": { "hide_name": 0, - "bits": [ 825 ], + "bits": [ 89 ], "attributes": { "hdlname": "spi_if_ins o_fetch_cmd", "src": "top.v:92.11-108.5|spi_if.v:12.29-12.40" @@ -30964,19 +30918,19 @@ }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 823 ], + "bits": [ 821 ], "attributes": { } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 824 ], + "bits": [ 822 ], "attributes": { } }, "spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 143, 148, 144 ], + "bits": [ 102, 105, 155 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -30984,67 +30938,43 @@ }, "spi_if_ins.o_ioc": { "hide_name": 0, - "bits": [ 51, 53, 832, 831, 830 ], + "bits": [ 101, 104, 108, 107, 106 ], "attributes": { "hdlname": "spi_if_ins o_ioc", "src": "top.v:92.11-108.5|spi_if.v:8.29-8.34" } }, + "spi_if_ins.o_ioc_SB_DFFE_Q_E": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + } + }, "spi_if_ins.o_load_cmd": { "hide_name": 0, - "bits": [ 834 ], + "bits": [ 90 ], "attributes": { "hdlname": "spi_if_ins o_load_cmd", "src": "top.v:92.11-108.5|spi_if.v:13.29-13.39" } }, - "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D": { - "hide_name": 0, - "bits": [ 827, 820 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O": { - "hide_name": 0, - "bits": [ 819, 812, 828, 829 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I2_O_SB_LUT4_I3_O": { - "hide_name": 0, - "bits": [ 820, 835, 93 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 833 ], + "bits": [ 826 ], "attributes": { } }, + "spi_if_ins.o_load_cmd_SB_LUT4_I3_1_O": { + "hide_name": 0, + "bits": [ 170, 49 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "spi_if_ins.o_load_cmd_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 54, 99 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I2_O": { - "hide_name": 0, - "bits": [ 84 ], - "attributes": { - } - }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O": { - "hide_name": 0, - "bits": [ 164, 108, 51 ], + "bits": [ 131, 166 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -31052,7 +30982,7 @@ }, "spi_if_ins.o_spi_miso": { "hide_name": 0, - "bits": [ 406 ], + "bits": [ 413 ], "attributes": { "hdlname": "spi_if_ins o_spi_miso", "src": "top.v:92.11-108.5|spi_if.v:17.29-17.39" @@ -31060,7 +30990,7 @@ }, "spi_if_ins.r_tx_byte": { "hide_name": 0, - "bits": [ 844, 843, 842, 841, 840, 839, 838, 837 ], + "bits": [ 835, 834, 833, 832, 831, 830, 829, 828 ], "attributes": { "hdlname": "spi_if_ins r_tx_byte", "src": "top.v:92.11-108.5|spi_if.v:32.17-32.26" @@ -31068,7 +30998,7 @@ }, "spi_if_ins.r_tx_data_valid": { "hide_name": 0, - "bits": [ 847 ], + "bits": [ 838 ], "attributes": { "hdlname": "spi_if_ins r_tx_data_valid", "src": "top.v:92.11-108.5|spi_if.v:31.17-31.32" @@ -31076,7 +31006,7 @@ }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 820, 845 ], + "bits": [ 818, 836 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -31084,62 +31014,40 @@ }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 836 ], + "bits": [ 827 ], "attributes": { } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 846 ], + "bits": [ 837 ], "attributes": { } }, - "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O": { - "hide_name": 0, - "bits": [ 837, 854, 849 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0": { - "hide_name": 0, - "bits": [ 850, 851, 852, 853 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O_SB_LUT4_O_I0_SB_LUT4_O_I3": { - "hide_name": 0, - "bits": [ 855, 856, 857, 858 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_I2": { - "hide_name": 0, - "bits": [ 45, 866, 81 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 848 ], + "bits": [ 839 ], "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.spi.SCKr": { "hide_name": 0, - "bits": [ 867, 75, 74 ], + "bits": [ 842, 840, 841 ], "attributes": { "hdlname": "spi_if_ins spi SCKr", "src": "top.v:92.11-108.5|spi_slave.v:80.13-80.17|spi_if.v:42.15-54.6" } }, + "spi_if_ins.spi.SCKr_SB_LUT4_I0_O": { + "hide_name": 0, + "bits": [ 858, 845, 839 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "spi_if_ins.spi.i_spi_cs_b": { "hide_name": 0, "bits": [ 45 ], @@ -31166,7 +31074,7 @@ }, "spi_if_ins.spi.i_sys_clk": { "hide_name": 0, - "bits": [ 82 ], + "bits": [ 70 ], "attributes": { "hdlname": "spi_if_ins spi i_sys_clk", "src": "top.v:92.11-108.5|spi_slave.v:5.23-5.32|spi_if.v:42.15-54.6" @@ -31174,7 +31082,7 @@ }, "spi_if_ins.spi.i_tx_byte": { "hide_name": 0, - "bits": [ 844, 843, 842, 841, 840, 839, 838, 837 ], + "bits": [ 835, 834, 833, 832, 831, 830, 829, 828 ], "attributes": { "hdlname": "spi_if_ins spi i_tx_byte", "src": "top.v:92.11-108.5|spi_slave.v:9.23-9.32|spi_if.v:42.15-54.6" @@ -31182,7 +31090,7 @@ }, "spi_if_ins.spi.i_tx_data_valid": { "hide_name": 0, - "bits": [ 847 ], + "bits": [ 838 ], "attributes": { "hdlname": "spi_if_ins spi i_tx_data_valid", "src": "top.v:92.11-108.5|spi_slave.v:8.23-8.38|spi_if.v:42.15-54.6" @@ -31190,7 +31098,7 @@ }, "spi_if_ins.spi.o_rx_byte": { "hide_name": 0, - "bits": [ 818, 817, 816, 815, 814, 810, 809, 812 ], + "bits": [ 816, 815, 814, 813, 812, 808, 807, 810 ], "attributes": { "hdlname": "spi_if_ins spi o_rx_byte", "src": "top.v:92.11-108.5|spi_slave.v:7.23-7.32|spi_if.v:42.15-54.6" @@ -31198,7 +31106,7 @@ }, "spi_if_ins.spi.o_rx_data_valid": { "hide_name": 0, - "bits": [ 820 ], + "bits": [ 818 ], "attributes": { "hdlname": "spi_if_ins spi o_rx_data_valid", "src": "top.v:92.11-108.5|spi_slave.v:6.23-6.38|spi_if.v:42.15-54.6" @@ -31206,7 +31114,7 @@ }, "spi_if_ins.spi.o_spi_miso": { "hide_name": 0, - "bits": [ 406 ], + "bits": [ 413 ], "attributes": { "hdlname": "spi_if_ins spi o_spi_miso", "src": "top.v:92.11-108.5|spi_slave.v:13.23-13.33|spi_if.v:42.15-54.6" @@ -31214,14 +31122,44 @@ }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 877 ], + "bits": [ 856 ], "attributes": { "src": "top.v:92.11-108.5|spi_slave.v:87.3-104.6|spi_if.v:42.15-54.6" } }, + "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2": { + "hide_name": 0, + "bits": [ 828, 857, 839 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 858, 859, 860, 861 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I0": { + "hide_name": 0, + "bits": [ 866, 867, 843, 858 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E": { + "hide_name": 0, + "bits": [ 846 ], + "attributes": { + } + }, "spi_if_ins.spi.r2_rx_done": { "hide_name": 0, - "bits": [ 879 ], + "bits": [ 873 ], "attributes": { "hdlname": "spi_if_ins spi r2_rx_done", "src": "top.v:92.11-108.5|spi_slave.v:22.7-22.17|spi_if.v:42.15-54.6" @@ -31229,7 +31167,7 @@ }, "spi_if_ins.spi.r3_rx_done": { "hide_name": 0, - "bits": [ 880 ], + "bits": [ 874 ], "attributes": { "hdlname": "spi_if_ins spi r3_rx_done", "src": "top.v:92.11-108.5|spi_slave.v:23.7-23.17|spi_if.v:42.15-54.6" @@ -31237,74 +31175,82 @@ }, "spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O": { "hide_name": 0, - "bits": [ 869 ], + "bits": [ 848 ], "attributes": { "src": "top.v:92.11-108.5|spi_slave.v:66.3-78.6|spi_if.v:42.15-54.6" } }, "spi_if_ins.spi.r_rx_bit_count": { "hide_name": 0, - "bits": [ 77, 79, 78 ], + "bits": [ 880, 878, 876 ], "attributes": { "hdlname": "spi_if_ins spi r_rx_bit_count", "src": "top.v:92.11-108.5|spi_slave.v:17.13-17.27|spi_if.v:42.15-54.6" } }, - "spi_if_ins.spi.r_rx_bit_count_SB_DFFESR_Q_2_D": { + "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_2_D": { "hide_name": 0, - "bits": [ 883, 79, 78 ], + "bits": [ 879, 878, 876 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:52.25-52.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.23-33.24" + "src": "top.v:92.11-108.5|spi_slave.v:34.25-34.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.23-33.24" } }, - "spi_if_ins.spi.r_rx_bit_count_SB_DFFESR_Q_D": { + "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D": { "hide_name": 0, - "bits": [ 883, 882, 881 ], + "bits": [ 879, 877, 875 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:52.25-52.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" + "src": "top.v:92.11-108.5|spi_slave.v:34.25-34.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, - "spi_if_ins.spi.r_rx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3": { + "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ "0", 77, 884 ], + "bits": [ "0", 880, 881 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:92.11-108.5|spi_slave.v:52.25-52.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + "src": "top.v:92.11-108.5|spi_slave.v:34.25-34.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, "spi_if_ins.spi.r_rx_byte": { "hide_name": 0, - "bits": [ 876, 875, 874, 873, 872, 871, 870, 868 ], + "bits": [ 855, 854, 853, 852, 851, 850, 849, 847 ], "attributes": { "hdlname": "spi_if_ins spi r_rx_byte", "src": "top.v:92.11-108.5|spi_slave.v:20.13-20.22|spi_if.v:42.15-54.6" } }, - "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_E": { - "hide_name": 0, - "bits": [ 886 ], - "attributes": { - } - }, "spi_if_ins.spi.r_rx_done": { "hide_name": 0, - "bits": [ 878 ], + "bits": [ 872 ], "attributes": { "hdlname": "spi_if_ins spi r_rx_done", "src": "top.v:92.11-108.5|spi_slave.v:21.7-21.16|spi_if.v:42.15-54.6" } }, + "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D": { + "hide_name": 0, + "bits": [ 45, 890 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3_O": { + "hide_name": 0, + "bits": [ 883 ], + "attributes": { + } + }, "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 893 ], + "bits": [ 891 ], "attributes": { } }, "spi_if_ins.spi.r_temp_rx_byte": { "hide_name": 0, - "bits": [ 892, 891, 890, 889, 888, 887, 885, "x" ], + "bits": [ 889, 888, 887, 886, 885, 884, 882, "x" ], "attributes": { "hdlname": "spi_if_ins spi r_temp_rx_byte", "src": "top.v:92.11-108.5|spi_slave.v:19.13-19.27|spi_if.v:42.15-54.6" @@ -31312,7 +31258,7 @@ }, "spi_if_ins.spi.r_tx_bit_count": { "hide_name": 0, - "bits": [ 857, 853, 861 ], + "bits": [ 843, 858, 844 ], "attributes": { "hdlname": "spi_if_ins spi r_tx_bit_count", "src": "top.v:92.11-108.5|spi_slave.v:18.13-18.27|spi_if.v:42.15-54.6" @@ -31320,7 +31266,7 @@ }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 894, 898, 897 ], + "bits": [ 892, 896, 895 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:92.11-108.5|spi_slave.v:95.27-95.45|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" @@ -31328,7 +31274,7 @@ }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ "1", 857, 896 ], + "bits": [ "1", 843, 894 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:92.11-108.5|spi_slave.v:95.27-95.45|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" @@ -31336,13 +31282,13 @@ }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R": { "hide_name": 0, - "bits": [ 895 ], + "bits": [ 893 ], "attributes": { } }, "spi_if_ins.spi.r_tx_byte": { "hide_name": 0, - "bits": [ 855, 864, 859, 862, 856, 865, 860, 863 ], + "bits": [ 864, 862, 868, 870, 865, 863, 869, 871 ], "attributes": { "hdlname": "spi_if_ins spi r_tx_byte", "src": "top.v:92.11-108.5|spi_slave.v:24.13-24.22|spi_if.v:42.15-54.6" @@ -31350,37 +31296,21 @@ }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 899 ], + "bits": [ 897 ], "attributes": { } }, - "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E_SB_LUT4_O_I2": { - "hide_name": 0, - "bits": [ 853, 900, 849 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, "spi_if_ins.state_if": { "hide_name": 0, - "bits": [ 821, 822, 819 ], + "bits": [ 819, 820, 817 ], "attributes": { "hdlname": "spi_if_ins state_if", "src": "top.v:92.11-108.5|spi_if.v:28.17-28.25" } }, - "spi_if_ins.state_if_SB_DFFESR_Q_1_D": { - "hide_name": 0, - "bits": [ 835, 820 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, "spi_if_ins.state_if_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 901, 812, 835, 820 ], + "bits": [ 898, 810, 900, 818 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -31388,26 +31318,27 @@ }, "spi_if_ins.state_if_SB_DFFESR_Q_R": { "hide_name": 0, - "bits": [ 826 ], + "bits": [ 823 ], "attributes": { } }, "spi_if_ins.state_if_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 903 ], + "bits": [ 824, 825, 818 ], "attributes": { - "src": "top.v:92.11-108.5|spi_if.v:56.5-111.8" + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.state_if_SB_DFFE_Q_E": { "hide_name": 0, - "bits": [ 902 ], + "bits": [ 899 ], "attributes": { } }, "spi_if_ins.w_rx_data": { "hide_name": 0, - "bits": [ 818, 817, 816, 815, 814, 810, 809, 812 ], + "bits": [ 816, 815, 814, 813, 812, 808, 807, 810 ], "attributes": { "hdlname": "spi_if_ins w_rx_data", "src": "top.v:92.11-108.5|spi_if.v:30.17-30.26" @@ -31415,7 +31346,7 @@ }, "spi_if_ins.w_rx_data_valid": { "hide_name": 0, - "bits": [ 820 ], + "bits": [ 818 ], "attributes": { "hdlname": "spi_if_ins w_rx_data_valid", "src": "top.v:92.11-108.5|spi_if.v:29.17-29.32" @@ -31423,7 +31354,7 @@ }, "sys_ctrl_ins.i_cs": { "hide_name": 0, - "bits": [ 811 ], + "bits": [ 809 ], "attributes": { "hdlname": "sys_ctrl_ins i_cs", "src": "top.v:113.13-126.5|sys_ctrl.v:9.29-9.33" @@ -31431,7 +31362,7 @@ }, "sys_ctrl_ins.i_data_in": { "hide_name": 0, - "bits": [ 86, 83, 163, 162, 161, 160, 158, 155 ], + "bits": [ 74, 71, 165, 164, 163, 161, 159, 156 ], "attributes": { "hdlname": "sys_ctrl_ins i_data_in", "src": "top.v:113.13-126.5|sys_ctrl.v:7.29-7.38" @@ -31447,7 +31378,7 @@ }, "sys_ctrl_ins.i_fetch_cmd": { "hide_name": 0, - "bits": [ 825 ], + "bits": [ 89 ], "attributes": { "hdlname": "sys_ctrl_ins i_fetch_cmd", "src": "top.v:113.13-126.5|sys_ctrl.v:10.29-10.40" @@ -31455,7 +31386,7 @@ }, "sys_ctrl_ins.i_ioc": { "hide_name": 0, - "bits": [ 51, 53, 832, 831, 830 ], + "bits": [ 101, 104, 108, 107, 106 ], "attributes": { "hdlname": "sys_ctrl_ins i_ioc", "src": "top.v:113.13-126.5|sys_ctrl.v:6.29-6.34" @@ -31463,7 +31394,7 @@ }, "sys_ctrl_ins.i_load_cmd": { "hide_name": 0, - "bits": [ 834 ], + "bits": [ 90 ], "attributes": { "hdlname": "sys_ctrl_ins i_load_cmd", "src": "top.v:113.13-126.5|sys_ctrl.v:11.29-11.39" @@ -31479,7 +31410,7 @@ }, "sys_ctrl_ins.i_sys_clk": { "hide_name": 0, - "bits": [ 82 ], + "bits": [ 70 ], "attributes": { "hdlname": "sys_ctrl_ins i_sys_clk", "src": "top.v:113.13-126.5|sys_ctrl.v:4.29-4.38" @@ -31487,7 +31418,7 @@ }, "sys_ctrl_ins.o_data_out": { "hide_name": 0, - "bits": [ 905, "0", "0", "0", "0", "0", "0", "0" ], + "bits": [ 902, "0", "0", "0", "0", "0", "0", "0" ], "attributes": { "hdlname": "sys_ctrl_ins o_data_out", "src": "top.v:113.13-126.5|sys_ctrl.v:8.29-8.39" @@ -31495,21 +31426,13 @@ }, "sys_ctrl_ins.o_data_out_SB_DFFE_Q_E": { "hide_name": 0, - "bits": [ 904 ], + "bits": [ 901 ], "attributes": { } }, "sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1": { "hide_name": 0, - "bits": [ 53, 52 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1_SB_LUT4_I3_O": { - "hide_name": 0, - "bits": [ 103, 104, 97, 85 ], + "bits": [ 105, 104, 101 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -31517,7 +31440,7 @@ }, "sys_ctrl_ins.o_soft_reset": { "hide_name": 0, - "bits": [ 54 ], + "bits": [ 49 ], "attributes": { "hdlname": "sys_ctrl_ins o_soft_reset", "src": "top.v:113.13-126.5|sys_ctrl.v:13.29-13.41" @@ -31525,13 +31448,13 @@ }, "sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E": { "hide_name": 0, - "bits": [ 906 ], + "bits": [ 903 ], "attributes": { } }, "sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S": { "hide_name": 0, - "bits": [ 907 ], + "bits": [ 904 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:113.13-126.5|sys_ctrl.v:72.17-72.36|/usr/local/bin/../share/yosys/cmp2lut.v:24.22-24.23" @@ -31539,7 +31462,7 @@ }, "sys_ctrl_ins.reset_cmd": { "hide_name": 0, - "bits": [ 908 ], + "bits": [ 905 ], "attributes": { "hdlname": "sys_ctrl_ins reset_cmd", "src": "top.v:113.13-126.5|sys_ctrl.v:35.9-35.18" @@ -31547,33 +31470,19 @@ }, "sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 913 ], - "attributes": { - } - }, - "sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1": { - "hide_name": 0, - "bits": [ 915, 164 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I2_O": { - "hide_name": 0, - "bits": [ 165 ], + "bits": [ 910 ], "attributes": { } }, "sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R": { "hide_name": 0, - "bits": [ 914 ], + "bits": [ 911 ], "attributes": { } }, "sys_ctrl_ins.reset_count": { "hide_name": 0, - "bits": [ 912, 910, 911, 909 ], + "bits": [ 909, 907, 908, 906 ], "attributes": { "hdlname": "sys_ctrl_ins reset_count", "src": "top.v:113.13-126.5|sys_ctrl.v:34.15-34.26" @@ -31581,31 +31490,31 @@ }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_1_D": { "hide_name": 0, - "bits": [ 918 ], + "bits": [ 914 ], "attributes": { } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_2_D": { "hide_name": 0, - "bits": [ 920 ], + "bits": [ 916 ], "attributes": { } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_3_D": { "hide_name": 0, - "bits": [ 921 ], + "bits": [ 917 ], "attributes": { } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 917 ], + "bits": [ 913 ], "attributes": { } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ "0", 912, 919, 922 ], + "bits": [ "0", 909, 915, 918 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:113.13-126.5|sys_ctrl.v:73.32-73.50|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" @@ -31613,41 +31522,41 @@ }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 916 ], + "bits": [ 912 ], "attributes": { } }, "w_clock_sys": { "hide_name": 0, - "bits": [ 82 ], + "bits": [ 70 ], "attributes": { "src": "top.v:67.16-67.27" } }, "w_cs": { "hide_name": 0, - "bits": [ 811, 94, 725, 808 ], + "bits": [ 809, 82, 725, 806 ], "attributes": { "src": "top.v:71.16-71.20" } }, "w_fetch": { "hide_name": 0, - "bits": [ 825 ], + "bits": [ 89 ], "attributes": { "src": "top.v:72.16-72.23" } }, "w_ioc": { "hide_name": 0, - "bits": [ 51, 53, 832, 831, 830 ], + "bits": [ 101, 104, 108, 107, 106 ], "attributes": { "src": "top.v:68.16-68.21" } }, "w_load": { "hide_name": 0, - "bits": [ 834 ], + "bits": [ 90 ], "attributes": { "src": "top.v:73.16-73.22" } @@ -31656,117 +31565,117 @@ "hide_name": 0, "bits": [ 191 ], "attributes": { - "src": "top.v:241.9-241.24" + "src": "top.v:238.9-238.24" } }, "w_lvds_rx_09_d1": { "hide_name": 0, "bits": [ 192 ], "attributes": { - "src": "top.v:242.9-242.24" + "src": "top.v:239.9-239.24" } }, "w_lvds_rx_24_d0": { "hide_name": 0, "bits": [ 194 ], "attributes": { - "src": "top.v:243.9-243.24" + "src": "top.v:240.9-240.24" } }, "w_lvds_rx_24_d1": { "hide_name": 0, "bits": [ 195 ], "attributes": { - "src": "top.v:244.9-244.24" + "src": "top.v:241.9-241.24" } }, "w_rx_09_fifo_data": { "hide_name": 0, "bits": [ 246, 245, 242, 240, 238, 236, 234, 232, 230, 228, 226, 224, 220, 218, 216, 214, 212, 210, 208, 206, 204, 202, 258, 256, 254, 252, 250, 248, 244, 222, 200, 198 ], "attributes": { - "src": "top.v:250.16-250.33" + "src": "top.v:247.16-247.33" } }, "w_rx_09_fifo_empty": { "hide_name": 0, - "bits": [ 432 ], + "bits": [ 438 ], "attributes": { - "src": "top.v:247.9-247.27" + "src": "top.v:244.9-244.27" } }, "w_rx_09_fifo_full": { "hide_name": 0, - "bits": [ 282 ], + "bits": [ 316 ], "attributes": { - "src": "top.v:246.9-246.26" + "src": "top.v:243.9-243.26" } }, "w_rx_09_fifo_pulled_data": { "hide_name": 0, - "bits": [ 519, 521, 523, 525, 527, 529, 531, 63, 504, 506, 508, 510, 512, 514, 516, 68, 549, 551, 553, 555, 557, 559, 561, 64, 534, 536, 538, 540, 542, 544, 546, 69 ], + "bits": [ 504, 506, 508, 510, 512, 514, 58, 517, 489, 491, 493, 495, 497, 499, 63, 502, 534, 536, 538, 540, 542, 544, 59, 547, 519, 521, 523, 525, 527, 529, 64, 532 ], "attributes": { - "src": "top.v:252.16-252.40" + "src": "top.v:249.16-249.40" } }, "w_rx_09_fifo_push": { "hide_name": 0, "bits": [ 260 ], "attributes": { - "src": "top.v:249.9-249.26" + "src": "top.v:246.9-246.26" } }, "w_rx_09_fifo_write_clk": { "hide_name": 0, "bits": [ 193 ], "attributes": { - "src": "top.v:248.9-248.31" + "src": "top.v:245.9-245.31" } }, "w_rx_24_fifo_data": { "hide_name": 0, - "bits": [ 338, 337, 334, 332, 330, 328, 326, 324, 322, 320, 318, 316, 312, 310, 308, 306, 304, 302, 300, 298, 296, 294, 350, 348, 346, 344, 342, 340, 336, 314, 292, 290 ], + "bits": [ 371, 370, 367, 365, 363, 361, 359, 357, 355, 353, 351, 349, 345, 343, 341, 339, 337, 335, 333, 331, 329, 327, 383, 381, 379, 377, 375, 373, 369, 347, 325, 323 ], "attributes": { - "src": "top.v:258.16-258.33" + "src": "top.v:255.16-255.33" } }, "w_rx_24_fifo_empty": { "hide_name": 0, - "bits": [ 590 ], + "bits": [ 567 ], "attributes": { - "src": "top.v:255.9-255.27" + "src": "top.v:252.9-252.27" } }, "w_rx_24_fifo_full": { "hide_name": 0, - "bits": [ 401 ], + "bits": [ 407 ], "attributes": { - "src": "top.v:254.9-254.26" + "src": "top.v:251.9-251.26" } }, "w_rx_24_fifo_pulled_data": { "hide_name": 0, - "bits": [ 662, 664, 666, 668, 670, 672, 674, 58, 647, 649, 651, 653, 655, 657, 659, 59, 692, 694, 696, 698, 700, 702, 704, 71, 677, 679, 681, 683, 685, 687, 689, 72 ], + "bits": [ 662, 664, 666, 668, 670, 672, 53, 675, 647, 649, 651, 653, 655, 657, 54, 660, 692, 694, 696, 698, 700, 702, 66, 705, 677, 679, 681, 683, 685, 687, 67, 690 ], "attributes": { - "src": "top.v:260.16-260.40" + "src": "top.v:257.16-257.40" } }, "w_rx_24_fifo_push": { "hide_name": 0, - "bits": [ 352 ], + "bits": [ 385 ], "attributes": { - "src": "top.v:257.9-257.26" + "src": "top.v:254.9-254.26" } }, "w_rx_24_fifo_write_clk": { "hide_name": 0, "bits": [ 193 ], "attributes": { - "src": "top.v:256.9-256.31" + "src": "top.v:253.9-253.31" } }, "w_rx_data": { "hide_name": 0, - "bits": [ 86, 83, 163, 162, 161, 160, 158, 155 ], + "bits": [ 74, 71, 165, 164, 163, 161, 159, 156 ], "attributes": { "src": "top.v:69.16-69.25" } @@ -31775,74 +31684,74 @@ "hide_name": 0, "bits": [ 28, 29, 30 ], "attributes": { - "src": "top.v:347.15-347.25" + "src": "top.v:344.15-344.25" } }, "w_smi_data_input": { "hide_name": 0, "bits": [ 33, 34, 35, 36, 37, 38, 39, 40 ], "attributes": { - "src": "top.v:349.15-349.31" + "src": "top.v:346.15-346.31" } }, "w_smi_data_output": { "hide_name": 0, "bits": [ 33, 190, 189, 188, 187, 186, 185, 184 ], "attributes": { - "src": "top.v:348.15-348.32" + "src": "top.v:345.15-345.32" } }, "w_smi_read_req": { "hide_name": 0, - "bits": [ 408 ], + "bits": [ 414 ], "attributes": { - "src": "top.v:350.9-350.23" + "src": "top.v:347.9-347.23" } }, "w_smi_test": { "hide_name": 0, "bits": [ "0" ], "attributes": { - "src": "top.v:353.9-353.19" + "src": "top.v:350.9-350.19" } }, "w_smi_write_req": { "hide_name": 0, "bits": [ "x" ], "attributes": { - "src": "top.v:351.9-351.24" + "src": "top.v:348.9-348.24" } }, "w_smi_writing": { "hide_name": 0, "bits": [ 30 ], "attributes": { - "src": "top.v:352.9-352.22" + "src": "top.v:349.9-349.22" } }, "w_soft_reset": { "hide_name": 0, - "bits": [ 54 ], + "bits": [ 49 ], "attributes": { "src": "top.v:75.16-75.28" } }, "w_tx_data_io": { "hide_name": 0, - "bits": [ 153, 119, 147, 122, 127, 133, 139, 142 ], + "bits": [ 153, 128, 144, 133, 138, 115, 118, 123 ], "attributes": { "src": "top.v:78.16-78.28" } }, "w_tx_data_smi": { "hide_name": 0, - "bits": [ 736, 735, 734, 733 ], + "bits": [ 737, 736, 735, 734 ], "attributes": { } }, "w_tx_data_sys": { "hide_name": 0, - "bits": [ 905, "0", "0", "0", "0", "0", "0", "0" ], + "bits": [ 902, "0", "0", "0", "0", "0", "0", "0" ], "attributes": { "src": "top.v:77.16-77.29" } diff --git a/firmware/top.v b/firmware/top.v index a169c0c..c3933cb 100644 --- a/firmware/top.v +++ b/firmware/top.v @@ -159,12 +159,12 @@ module top( // CONBINATORIAL ASSIGNMENTS //========================================================================= //assign w_clock_spi = r_counter[0]; - //assign w_clock_sys = r_counter[0]; + assign w_clock_sys = r_counter; - SB_GB sys_clk_buffer ( // Improve 'lvds_clock' fanout by pushing it into + /*SB_GB sys_clk_buffer ( // Improve 'lvds_clock' fanout by pushing it into // a global high-fanout buffer .USER_SIGNAL_TO_GLOBAL_BUFFER (r_counter), - .GLOBAL_BUFFER_OUTPUT(w_clock_sys) ); + .GLOBAL_BUFFER_OUTPUT(w_clock_sys) );*/ //========================================================================= // CLOCK AND DATA-FLOW @@ -172,15 +172,12 @@ module top( always @(posedge i_glob_clock) begin r_counter <= !r_counter; - end - always @(posedge w_clock_sys) - begin case (w_cs) 4'b0001: r_tx_data <= w_tx_data_sys; 4'b0010: r_tx_data <= w_tx_data_io; 4'b0100: r_tx_data <= w_tx_data_smi; - 4'b1000: r_tx_data <= 8'b10100101; // reserved + 4'b1000: r_tx_data <= 8'b10100101; // 0xA5: reserved 4'b0000: r_tx_data <= 8'b00000000; // no module selected endcase end diff --git a/software/libcariboulite/src/caribou_fpga/build/test_caribou_fpga b/software/libcariboulite/src/caribou_fpga/build/test_caribou_fpga index 8592c47..978bf9b 100755 Binary files a/software/libcariboulite/src/caribou_fpga/build/test_caribou_fpga and b/software/libcariboulite/src/caribou_fpga/build/test_caribou_fpga differ diff --git a/software/libcariboulite/src/caribou_fpga/caribou_fpga.c b/software/libcariboulite/src/caribou_fpga/caribou_fpga.c index 0bd11ff..62a2471 100644 --- a/software/libcariboulite/src/caribou_fpga/caribou_fpga.c +++ b/software/libcariboulite/src/caribou_fpga/caribou_fpga.c @@ -119,7 +119,7 @@ int caribou_fpga_init(caribou_fpga_st* dev, io_utils_spi_st* io_spi) ZF_LOGI("Initializing io_utils_spi"); io_utils_hard_spi_st hard_dev_fpga = { .spi_dev_id = dev->spi_dev, .spi_dev_channel = dev->spi_channel, }; - dev->io_spi_handle = io_utils_spi_add_chip(dev->io_spi, dev->cs_pin, 4000000, 0, 0, + dev->io_spi_handle = io_utils_spi_add_chip(dev->io_spi, dev->cs_pin, 2000000, 0, 0, io_utils_spi_chip_type_fpga_comm, &hard_dev_fpga); diff --git a/software/libcariboulite/src/caribou_smi/build/test_caribou_smi b/software/libcariboulite/src/caribou_smi/build/test_caribou_smi index c5d0cfd..1a0aaec 100755 Binary files a/software/libcariboulite/src/caribou_smi/build/test_caribou_smi and b/software/libcariboulite/src/caribou_smi/build/test_caribou_smi differ diff --git a/software/libcariboulite/src/caribou_smi/test_caribou_smi.c b/software/libcariboulite/src/caribou_smi/test_caribou_smi.c index 99be481..3153f35 100644 --- a/software/libcariboulite/src/caribou_smi/test_caribou_smi.c +++ b/software/libcariboulite/src/caribou_smi/test_caribou_smi.c @@ -120,7 +120,7 @@ void print_iq(uint32_t* array, int len) if (i_val >= 0x1000) i_val-=0x2000; float fi = i_val, fq = q_val; float mod = sqrt(fi*fi + fq*fq); - float arg = atan(fq / fi); + float arg = atan2(fq, fi); printf("%d, %d, %d, %.4f, %.2f\n", cnt, i_val, q_val, mod, arg); last_cnt = cnt; }