diff --git a/firmware/smi_ctrl.v b/firmware/smi_ctrl.v index 24191d3..7f6badf 100644 --- a/firmware/smi_ctrl.v +++ b/firmware/smi_ctrl.v @@ -97,6 +97,9 @@ module smi_ctrl reg r_fifo_24_pull; reg [7:0] r_smi_test_count_09; reg [7:0] r_smi_test_count_24; + wire soe_change_falling; + + assign soe_change_falling = (r_last_soe_2 == 1'b1 && r_last_soe_1 == 1'b0); always @(posedge i_sys_clk) begin @@ -114,23 +117,19 @@ module smi_ctrl // 0.9 GHz Data Sender //========================== if (i_smi_a == smi_address_read_900) begin - if (r_last_soe_2 == 1'b0 && r_last_soe_1 == 1'b1) begin - if (i_smi_test) begin + if (soe_change_falling) begin + if (i_smi_test == 1'b1) begin o_smi_data_out <= r_smi_test_count_09; r_smi_test_count_09 <= r_smi_test_count_09 + 1'b1; - end - end - /*if (r_last_soe != i_smi_soe_se) begin - if (int_cnt_09 > 8) int_cnt_09 <= int_cnt_09 - 8; + end else begin + if (int_cnt_09 > 8) int_cnt_09 <= int_cnt_09 - 8; - if (i_smi_test) begin - r_smi_test_count_09 <= r_smi_test_count_09 + 1'b1; - o_smi_data_out <= r_smi_test_count_09; - end else if (r_fifo_09_pull) begin - r_fifo_09_pull <= 1'b0; - o_smi_data_out <= i_fifo_09_pulled_data[int_cnt_09-1:int_cnt_09-8]; + if (r_fifo_09_pull) begin + r_fifo_09_pull <= 1'b0; + o_smi_data_out <= i_fifo_09_pulled_data[int_cnt_09-1:int_cnt_09-8]; + end end - end else if (i_smi_soe_se == 1'b1) begin + end else if (r_last_soe_1 == 1'b1 && i_smi_test == 1'b0) begin if (int_cnt_09 > 0) begin r_fifo_09_pull <= 1'b0; o_smi_data_out <= i_fifo_09_pulled_data[int_cnt_09-1:int_cnt_09-8]; @@ -138,29 +137,25 @@ module smi_ctrl r_fifo_09_pull <=1'b1; int_cnt_09 <= 6'd32; end - end*/ + end end //========================== // 2.4 GHz Data Sender //========================== else if (i_smi_a == smi_address_read_2400) begin - if (r_last_soe_2 == 1'b0 && i_smi_soe_se == 1'b1) begin - if (i_smi_test) begin + if (soe_change_falling) begin + if (i_smi_test == 1'b1) begin o_smi_data_out <= r_smi_test_count_24; r_smi_test_count_24 <= r_smi_test_count_24 + 1'b1; - end - end - /*if (r_last_soe != i_smi_soe_se) begin - if (int_cnt_24 > 8) int_cnt_24 <= int_cnt_24 - 8; + end else begin + if (int_cnt_24 > 8) int_cnt_24 <= int_cnt_24 - 8; - if (i_smi_test) begin - r_smi_test_count_24 <= r_smi_test_count_24 + 1'b1; - o_smi_data_out <= r_smi_test_count_24; - end else if (r_fifo_24_pull) begin - r_fifo_24_pull <= 1'b0; - o_smi_data_out <= i_fifo_24_pulled_data[int_cnt_24-1:int_cnt_24-8]; + if (r_fifo_24_pull) begin + r_fifo_24_pull <= 1'b0; + o_smi_data_out <= i_fifo_24_pulled_data[int_cnt_24-1:int_cnt_24-8]; + end end - end else if (i_smi_soe_se == 1'b1) begin + end else if (r_last_soe_1 == 1'b1 && i_smi_test == 1'b0) begin if (int_cnt_24 > 0) begin r_fifo_24_pull <= 1'b0; o_smi_data_out <= i_fifo_24_pulled_data[int_cnt_24-1:int_cnt_24-8]; @@ -168,10 +163,10 @@ module smi_ctrl r_fifo_24_pull <=1'b1; int_cnt_24 <= 6'd32; end - end*/ + end end else begin - o_smi_data_out <= 8'b00000000; + //o_smi_data_out <= 8'b00000000; // error with address o_address_error <= 1'b1; end diff --git a/firmware/top.asc b/firmware/top.asc index e271990..498481a 100644 --- a/firmware/top.asc +++ b/firmware/top.asc @@ -38,7 +38,7 @@ .io_tile 3 0 000000000000000000 -000000000000000000 +000000000000010000 000000000000000000 000000000000000000 000000000000000000 @@ -56,10 +56,10 @@ .io_tile 4 0 000000000000000010 -000001010000000000 +000000000000000000 000000000000000000 000000000000000001 -000000000000000010 +000000000000110010 000000000000010000 001100000000000000 000000000000000000 @@ -67,60 +67,60 @@ 000100000000000000 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 +000000011000000000 +000000001000000000 000000000000000000 000000000000000000 .io_tile 5 0 000000000000000010 000100000000000000 -000001110000000000 -000000001000000001 -000000000000100010 +000000000000000000 +000000000000000001 +000000000000110010 000000000000010000 001100000000000000 000000000000000000 -000000011000000000 -000100001000000000 +000000000000000000 +000100000000000000 +000000000000110010 +000000000000110000 +000001111000000000 +000000001000000001 000000000000000010 +000011110000000000 + +.io_tile 6 0 +000010000000000010 +000111110000000000 +000000000000000000 +000000000000000001 +000000000000000110 +000000000000011000 +001100111000000000 +000000001000000000 +000000000000000000 +000111010000000000 +000000000000010010 000000000000110000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 -.io_tile 6 0 +.io_tile 7 0 000000000000000010 -000100000000000000 +000000000000001000 000000000000000000 -000000000000000001 -000000000000100010 -000000000000110000 +000000110000000001 +000000000000001110 +000000000000111000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000011000110010 -000000000000110000 -000000000000000000 -000000000000000001 -000010000000000010 -000000010000000000 - -.io_tile 7 0 -000000000000000010 -000000000000000000 -000000000000000000 -000000000000000001 -000000000000010110 -000000000000111000 -001110000000000000 -000010010000000000 -000000000000000000 -000111010000000000 -000000000000000000 -000000000000000000 +000010000000000000 +000000110000000000 000000000000000000 000000000000000000 000000000000000000 @@ -145,69 +145,69 @@ 000000000000000000 .io_tile 9 0 -000000000000000010 -000100000000000000 +000010000000000010 +000101010000000000 000000000000000000 000000000000000001 -000000000000110010 +000000000000010010 000000000000110000 -001100000000000000 -000000000000010000 +001110000000000000 +000000110000000000 000000000000000000 000100000000000000 -000000000011000010 +000000000000000010 000000000001000000 -000000011000000000 +000000000000000000 +000000000000000001 000000000000000001 -000000011000000001 000000000000000000 .io_tile 10 0 -000000000000000000 -000100000000011000 -100000000000000000 +000000000001000000 +000100000000000000 +100001111000000000 000000000000000001 000000000000000000 000000000000000000 -001100000000011000 +001100000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000110010 +000000000000000010 000000000000110000 000000000000000000 000000000000000001 000000000000000010 -000011010000000000 +000000000000000000 .io_tile 11 0 -000000000001000010 -000100000000000000 -000000000000000000 -000000000000000001 -000010000011010001 -000010110001010000 -001000000000000000 -000000000000000000 000000000000000010 000100000000000000 +000001011000000000 +000000000000000001 +000000000000010001 +000000000011110000 +001010000000000000 +000000110000000000 000000000000000000 +000100000000000000 +010000000000000000 +010000000000000000 000000000000000000 -000000000000000000 -000011010000000001 +000000000000000001 000000000000000000 000000000000000000 .io_tile 12 0 010000000000000000 000100000000000000 -100000000000000000 +010000000000000000 000000000000000001 000000000000000000 000000000000000000 001000000000000000 000000000000000000 -000000000000000010 +110000000000000000 000000000000000000 010000000000000000 100000000000000000 @@ -235,166 +235,166 @@ 000000000000000000 .logic_tile 1 1 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000001000011100000001010100000001 +000000000000000000000000000111010000000010100000000000 +010000000000000001100000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000010101011100000001010100000000 +000000000000000000000011110000010000000001010000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +010000000000001000000000000000000000000000000000000000 +100000000000000101000000000000000000000000000000000000 .logic_tile 2 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +100000000000000001100000010000000000001001000100000000 +000000000000001101000010001001001101000110000000000000 +111000000000000000000110000011000000010000100100000000 +000000000000000000000000000000101110010000100000000000 +010000000010001000000000000011100000001001000100000000 +100000000000000111000000000000001101001001000000000000 +000000000000000000000000000000001100000000110100000000 +000000000000000000000000000000011000000000110000000000 +000000000000000000000000010101000000000000000100000000 +000000000000000000000010111011000000101001010000000000 +000000000000000001100000010011101100000001010100000000 +000000000000000000000010000000110000000001010000000000 +000000000000001000000000000000011000001100000100000000 +000000000000000001000000000000011101001100000000000000 +010000000000100000000000000001001100000001010100000000 +100000000001000000000000000000010000000001010010000000 .ramb_tile 3 1 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000111000111111111011000000001 +000000000000000001000011100001010000000000 +111000000000001101100111101011111010000001 +000000000000000111000100000101010000000000 +010000000000000000000111100011011000000001 +110000000000000000000111100111110000000000 +000000000000000000000111110011011010000000 +000000000000001111000110101101010000000000 +000000000000000000000011110101111000000001 +000000000000000001000110100001110000000000 +000000000000000000000000000111111010000000 +000000001100001101000000000001110000000000 +000000000000000001000000000001111000000000 +000010000000001101000000001001010000000000 +110000000000000111000110100011011010000000 +110000000000000000000000001001110000000001 .logic_tile 4 1 -000000000000000001100000000000000000000000001000000000 -000000000000000000000000000000001010000000000000001000 -111000000000000000000110000000001010001100111100000000 -100000000000000000000000000000001000110011000000000000 -110000000000000101000000000111001000001100111100000000 -010000000000000000100000000000100000110011000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001101110011000010000000 -000000000000000000000110010000001001001100111100000000 -000000000000000000000011110000001100110011000000000000 -000000000000001000000000010111101000001100111100000000 -000000000000000001000010000000000000110011000010000000 -000000000000000000000000010000001001001100111100000000 -000000000000000000000010000000001101110011000000000000 -010000000000000001100000000000001001001100110100000000 -100000000000000000000000000000001001110011000000000000 +100000000000000000000000001101000000000000000100000000 +000000000000000000000000000011100000101001010001000000 +111000000000001011100000000000000000000000000000000000 +000000000000001111100000000000000000000000000000000000 +010000000000000000000000011011100000000000000100000000 +100000000000000000000010000011100000101001010000000000 +000000000000001000000000001000000000000000000000000000 +000000000000000001000000000111000000000010000000000000 +000000000000000000000000000000011001001100000100000000 +000000000000000000000000000000001100001100000000100000 +000000000001010111000110000001100001100000010000000000 +000000000000101111000000000000001100100000010000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000001000011100000001010100000000 +100000001110000101000000000101000000000010100000000000 .logic_tile 5 1 -000000000000000000000000010011111010101000000000000000 -000000000000000000000010100000100000101000000000000000 -111000000000001000000110101011000000101001010000000000 -100000000000000101000000000101100000000000000000000000 -010000000000000000000010000111011111101000010100000000 -110000000000000000000100001001111011111000100000000000 -000000000000001000000010010101101111101000010100000000 -000000000000001101000110100111101111111000100000000000 -000000000000000000000000011111111001101000010100000000 -000000000000000000000010001101111111110100010000000000 -000000001100001000000110011001111011101000010100000000 -000000000000000001000010100111011111111000100010000000 -000000000000000101000000010000011011110000000000000000 -000000000000000101100010100000001100110000000000000000 -000000000000001000000110101101111101111001010100000000 -000000000000000101000010101101111111110000000000000000 +000000000000000101000000000000000000000000001000000000 +000000000000000000100000000000001101000000000000001000 +000000000000000000000000000101101100001100111000000000 +000000000000000000000000000000110000110011000010100000 +000000000000000000000000000000001001001100111000000001 +000000000000000000000010110000001110110011000000000000 +000000000000000000000010100000001000001100111000000000 +000000000000000000000100000000001000110011000000000000 +000000000000000001000000000111101000001100111000000000 +000000000000000001000010110000100000110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001011110011000010000000 +000000000000000000000000000011001000001100111000000000 +000000000000000000000000000000000000110011000010000000 +000000000000000101100000010011001000001100110000000000 +000000000000000000000010100000000000110011000010000000 .logic_tile 6 1 -000000000000000000000000000101000000000000001000000000 -000000000000000000000010110000000000000000000000001000 -111000000000001001100110000001000000000000001000000000 -100000000000000001000000000000100000000000000000000000 -110000000000000000000110000000001000001100111100000000 -110000000000001101000000000000001101110011000000000000 -000000000000000000000000000101001000001100111100000000 -000000000000000000000000000000100000110011000000000000 -000000000000000000000000010000001001001100111100000000 -000000000000000000000010000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000011000111101000001100111100000000 -000000000000000000000000000000100000110011000000000000 -010000000000000000000000010000001001001100110100000000 -100000000000000000000010000000001001110011000000000000 +000000000000000000000110100101101000010101010010000000 +000000000000000000000000000000010000010101010000000000 +001010001100001101100000001000000001011001100000000000 +000001000000000111000000000001001010100110010010000000 +110000000100000101000110010011001101000010010000000000 +110000000000000000100010101101011010011000000000000000 +000010000000001001100000010101000001011001100000000000 +000001000000000101000010000000001101011001100000000000 +000000000001000101000110100011011011100101100000000000 +000000000000000000100000000000011000100101100000000000 +000000000000000000000010100000000000000000100110000000 +000010100000000000000100000000001011000000000001000000 +000000000100000000000010000101100000000000000110000100 +000001000000000000000000000000000000000001000000000000 +010000001100000000000000000000000000011001100000000000 +100000000000000000000000001101001001100110010010000000 .logic_tile 7 1 -000000000000000000000000001111111000111001010100000000 -000000000000000011000000001111101100110000000000000000 -111000000000000101100000001011001010101000010100000000 -100000000000000000000000001111011101111000100000000000 -110000000000000001100110100000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000111110000000000000000000000000000 -000000000000001011000111000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000100000010000000000 -000000000000000000000000000001001010010000100000000000 -000000000000000000000111110000000000000000000000000000 -000000000000000000000111000000000000000000000000000000 +000000000000000000000000000000011110000100000100000000 +000000000000101101000000000000000000000000000000100000 +001000000000001011100000010011100000000000000100000000 +000000000000000101100010000000100000000001000000000000 +000000000000000000000000001111000000000000000000000000 +000000000000000000000010110011100000111111110010000000 +000000001110000101000000000000011000000100000100000000 +000000000000000000100000000000010000000000000000000000 +000000000000000000000000000101000000000000000100000000 +000000000000000000000000000000100000000001000000000000 +000000000000001000000000001111011001000011000000000000 +000000000000000111000010110001001111000000110000000000 +000000000000000001000000010000000000000000000100000000 +000000000000000000000011100001000000000010000000000000 +000000001110101000000000010101100000000000000100000000 +000000000001010001000010100000000000000001000000000000 .logic_tile 8 1 -000000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011000000000000100000010000000000 -000000000000000000000000000001001011010000100000000001 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000111100101000000000000000000000000 -000000000000000000000100000000100000000001000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000001010000000000001000001010101000000000000000 -000000000000100000000000001011010000010100000000000000 +000000000000000101100110111001011100100010000000000000 +000000000000000000000010101001001001000100010000000000 +001000000000000000000110110101101100100000000000000000 +000000000000000000000010001101101110000000010000000000 +000000000000000111000000010000011000000100000100000000 +000000100000000000000010000000010000000000000001000000 +000000000000000000000110001000000000000000000100000000 +000000000000001001000000001111000000000010000000000000 +000000000000001000000110001000000000000000000110000000 +000000000000001111000011110011000000000010000000000000 +000000000000000001100111110101000001100110010000000000 +000000000000001101000011100000001101100110010000000000 +000000000000001000000000000011000000000000000100000000 +000010100000000001000000000000100000000001000000000000 +000000000000000000000000001011011100110011000000000000 +000000000000000000000010110101111001000000000000000000 .logic_tile 9 1 -000000000000000000000000000011100000010110100010000000 -000000000000000000000000000000000000010110100000000010 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +001000000000001000000000010001111000010101010100000000 +000000000000001111000011100000100000010101010000000000 +110000000000000111000000000000000001000000100100000000 +010000000000000000100000000000001100000000000000000000 +000000000000000101100000000001100000000000000100000000 +000000000000000000000011110000100000000001000001100001 +000011000000000000000000000000011010000100000100000000 +000010000000000000000010000000010000000000000000000000 +000000000000000000000000000101100000000000000100000000 +000000000000001111000000000000000000000001000000000100 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000001000011 +010000000000000000000111100000011110000100000100000000 +100000000000000000000000000000010000000000000000000000 .ramb_tile 10 1 000000000000000000000000000000000000000000 @@ -410,20 +410,20 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 1 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000010110100100000000 +100000000001000000000000000001000000101001010010000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -505,148 +505,148 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 2 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +100000000000000000000000000101000001001001000100000000 +000000000000000000000000000000101101001001000000000000 +111000000000000001100000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +010000000000000000000000011000000001010000100100000000 +100000000000000000000010001011001011100000010000000001 +000000000000000000000010100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000101000000000000000000000001100001001001000110000000 +000000100000000000000000000000001101001001000000000000 +000000000000000000000000000001111100000001010100000001 +000000000000000000000010000000010000000001010000000000 +000000000000000000000000001000000000000000000000000000 +000000000000000000000000000111000000000010000000000000 +010000000000000001100000000000000000000000000000000000 +100000000000000000100000000000000000000000000000000000 .ramt_tile 3 2 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000001000000000000111001010000000 +000000000000001001000011110101100000000000 +001000000000000000000000011001001000000001 +000000000000000000000010101101010000000000 +010000000000001111100111110111001010000010 +010000000010000111000111101011000000000000 +000000000000001111100000000011101000000000 +000000000000000111100011111101010000000000 +000000000000000001100110001011001010000000 +000000001000000000100111101111000000000000 +000000000000001000000011101101001000000010 +000000000000001001000100000101110000000000 +000000000000001000000000011011001010000000 +000000000000001001000010010101100000000000 +110000000000000111000010001001101000000000 +110000000000000000100100001011110000000000 .logic_tile 4 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000001010000011110100000000 -100000000000000000000000000000000000000011110000000000 -110000000000000001100000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000010000000000000000110111011111010101000110000000000 +000011000000000000000010000111011101011000110000000000 +001000000000001000000000000111101101101100010000000000 +000000000000000001000011111101111011011100010000000000 +010000000000000000000010110000000000001111000110000000 +110000000010001111000011000000001001001111000010000000 +000010100000000000000110101001111000101000010000000000 +000001000000000000000011111011011001101010110000000001 +000000000000000011100010110101101100100000010000000000 +000010000000000000000111001011111111111110100000000000 +000000000000000101000111000000000000100000010010000000 +000000000000000000100010111111001100010000100000000000 +000000000000000001100110001101101010100100010000000001 +000000000000000000000111101101101110110100110000000000 +010000000000000001100010111011101101110101010000000000 +100000001110000000100110011101111111111000000000000000 .logic_tile 5 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000001111001101101000010100000000 -100000000000000000000000001011011111110100010000000000 -010000000000000000000111100000000000000000000000000000 -110000000000000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000001100000010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000010000111101111111001010000000100 -000000000000000000000000001111011111101001010000100000 -000000000000000001100010000000000000000000000000000000 -000000000000000000100010100000000000000000000000000000 -000000000000000111000000000000000001100000010000000000 -000000000000001111000010000011001110010000100000100000 +000000001110100101000000001101111101000010010000000000 +000000000001010000000011110011101011011000000000000000 +001000000000000101000000010101000000000000000100000000 +000000000000000000000011100000100000000001000001000100 +010000000000000000000010100001100000000000000110000000 +010000000000000000000010100000000000000001000001000000 +000000000000100000000010100011100000100000010000000000 +000000000001000000000010100000101110100000010010000000 +000000000000000000000000001000000001111001110010000000 +000000000000000000000000001001001110110110110010100001 +000000001010001111000000010000000000000000000000000000 +000000000000000101100010000000000000000000000000000000 +000000000000001101100000001001001010001000010000000000 +000000000000000001000000000111011110010010000000000000 +010000000000000011100000000000000000000000100110000000 +100000000000000000100000000000001000000000000000000100 .logic_tile 6 2 -000000000000100000000110000000001010000011110100000000 -000000000001010000000011110000000000000011110000000000 -111000000000001000000000000000000000000000000000000000 -100000000000001011000000000000000000000000000000000000 -010000000000001000000010100101000000001100110100000000 -010000000000000001000110111011000000110011000000000000 -000000000000000000000000000011001011010110000000000000 -000000000000000000000000001101011100000110000000000000 -000000000000000000000000010101011100111001010000000010 -000000000000000111000010000011101011010110100000100000 -000000000000000011000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000011100000100000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000101100000000111111000010000100010000000 -100000000000000000100000001101001001110000100000100010 +000000000000011000000110000011001110111101010001000001 +000000000000101001000010100000110000111101010010000000 +001000000000000001100000010000011110000100000100000000 +000000000000000000100011110000010000000000000000000000 +000000000000000001100000011001011010011110000000000000 +000000000000000000100010101001101000111000010010000000 +000000000000001000000000000000001010001100110000000000 +000000000000000001000000000000001011001100110010000000 +000000000000100000000011100011100000000000000100000000 +000000000001000000000110100000000000000001000000000000 +000010100000100011100000001111001000101000000000000000 +000001000000010000100000000001010000000000000010000000 +000000000000000101000110100000000000000000000100000000 +000000000000000000100011101011000000000010000000000000 +000000000000000000000110000111000000000000000100000000 +000000000000000000000000000000100000000001000000000000 .logic_tile 7 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000001100001100110100000000 +000001000000000000000000000000011101001100110000000000 +111000000000100000000000000000000000000000000100100000 +000000000001000000000000001011000000000010000000100000 +010000000000000000000011000000000001000000100100000000 +110000000000000000000000000000001100000000000000000000 +000000000000100000000000000000000001000000100100000000 +000000000000000000000000000000001101000000000000000000 +000010100000000000000010101000000000000000000100000100 +000001000000000000000110111111000000000010000000000100 +000000000010101000000111000000011110000100000100000000 +000000000001011011000100000000000000000000000000000000 +000000000000000000000000000111100000000000000100000000 +000000000000000000000000000000100000000001000000000000 +010000000000100011100010100000001100000100000100000000 +100000000001010000100110000000010000000000000001100100 .logic_tile 8 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000101100000000011000000000000001000000000 +000000000000000000000000000000000000000000000000001000 +001000000000001000000000000101100000000000001000000000 +000000000000000101000000000000100000000000000000000000 +000000000000000101000000000001001000001100111000000000 +000000001100000000000000000000000000110011000000000100 +000001000000000000000110100000001001001100111000000000 +000010100000000000000000000000001100110011000000000000 +000000000000000101000111100111101000001100111000000000 +000000000000000000100100000000000000110011000000000000 +000000000000000101000000000011101000001100111000000000 +000000000000000000100010110000100000110011000000000000 +000000000000000000000110110000001001001100110000000000 +000000000000000000000011100000001000110011000000000000 +010000000000000000000000000111001010111001010100000000 +100000000000001101000000001111101101110000001000000001 .logic_tile 9 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000100100000000 +000000000000000101000000000000001010000000000000000000 +111000000000000000000010100001000000000000000100000000 +000000000000000101000000000000000000000001000000000000 +000000000110000101000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000001000001110100100000000000000 +000000000000000000000010101101001101011000000000000000 +000000000000000000000111100000000001000000100100000001 +000000000000000000000100000000001000000000000000000000 +000000000000000000000000000000000001000000100100000000 +000000000000000000000000000000001001000000000000000000 +000000000000100111100000000000000000000000000000000000 +000000000000010000100000000000000000000000000000000000 +000000000000100000000000000000000000000000100100000000 +000000000000000000000000000000001011000000000000000000 .ramt_tile 10 2 000000000000000000000000000000000000000000 @@ -656,33 +656,33 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 2 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000001101100000000000000100000000 +000000000000000000000000000011100000111111110000000000 000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000111100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .logic_tile 12 2 000000000000000000000000000000000000000000000000000000 @@ -691,9 +691,9 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -709,7 +709,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000100000000000000 +000100000001000000 000000000000000000 000000000000000000 000100000000000000 @@ -739,175 +739,175 @@ 000000000000000000 .logic_tile 1 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 2 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.ramb_tile 3 3 -010000000000000000000000000000000000000000 -001000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 - -.logic_tile 4 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 5 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 6 3 -000000000001010000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 100000000000000000000000000000000000000000000000000000 -000000000000000111000000000011000000000000000100000000 -000000000000000000100000000000100000000001001000000000 -000000000000000000000000000000001010000100000100000000 -000000000000000000000000000000000000000000001000000000 -000000100000000000000110000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000001000000000001001000100000000 +000000000000000000000000001101001111000110000001000000 +010000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 010000000000000000000000000000000000000000000000000000 100000000000000000000000000000000000000000000000000000 +.logic_tile 2 3 +100000000000000001100000000011100000001001000100000000 +000000000000000000000000000000001011001001000000000000 +111000000000000000000000000011001010000001010100000000 +000000000000000000000000000000110000000001010000000000 +010000000000001000000010000000000001010000100100000000 +100000000000000001000000001101001111100000010000000000 +000000000000000000000000000000001010000000110100000000 +000000000000000000000000000000011000000000110000000000 +000000000000000000000110010011000000001001000100000000 +000000000000000000000010000000101011001001000000000000 +000000001100000001100000010101000000000000000100000000 +000000000000000000000010000111100000010110100000000000 +000000000000000000000000000000001010001100000100000000 +000000000000000011000000000000001011001100000000000000 +010000000000000000000110100101011100010100000100000000 +100000000000000000000100000000110000010100000000000000 + +.ramb_tile 3 3 +010000000000001000000011011011001000000000 +001000001010000111000110101101110000100000 +111000000000000101100011100001101010000010 +000000000000001001000100001101010000000000 +010010100000000111100011010111001000000000 +111000000000000111100110110001010000000000 +000000100000000111000111101101001010000000 +001000000000001111000011111001010000000000 +000000000000000000000010000101101000000000 +001000000000001111000111111011110000000000 +000000000000000000000000001111001010000000 +001000000000000000000000000101110000000000 +000000000100000000000011100001101000000000 +001000000000000001000000000011010000000000 +010000000000000000000000000001001010000000 +111000000000000000000000000101110000000000 + +.logic_tile 4 3 +000001100000100101000011101011011100110001010000000000 +000000000001010000100000001001001110110001100000000000 +000000000000001000000010100101101010111001100000000000 +000000000000000001000011101001111000110000100000000000 +000001000000000111000111011101011011111001110000000000 +000010100000000101000110101101011110101000000000000000 +000000000000001011100111011101111101111101010000000000 +000000000000000101100011011001111111010000100000000000 +000000000000001101000000001001011010100000010000000000 +000000001010001001100000001101001010111101010000000000 +000000000000000001000000000101111010101000110000000000 +000000000000001101100010111101011000100100110000000000 +000000000000000001100000001011111111110000010000000001 +000000000000001101000000000011001000110110010000000000 +000000000000001101000000010101101100000110100000000000 +000000000000001001100010010111101000000000100000000000 + +.logic_tile 5 3 +000000000000000000000010001000001100101010100010000000 +000000000000001101000010100001000000010101010000000001 +111000000000001101100111111101001001000000110000000000 +000010100001000001000011100111011011110000000000000000 +110000000000000001000000001001111001101000010000000000 +110000000000000000000000000101011110101110010000000000 +000000000000001111100011110001001100101000000000100000 +000000000000001001000110000000010000101000000000000000 +000000000000000101100000010000000001001111000100000001 +000000000000000000000011100000001000001111000000000010 +000000000000000011100000000000000000000000000000000000 +000000000000000000100010110000000000000000000000000000 +000000000000000101000010001101101001001000000000000000 +000000000000001111100100001101011101000110000000000000 +010000000000000011100000001001101110000001000000000000 +100000000000000001100000000011101110010110000000000000 + +.logic_tile 6 3 +000000000000000001100000000000000001000000001000000000 +000000001000000000100000000000001000000000000000001000 +000100000000001000000000000000011000001100111000000000 +000000000000010101000000000000001100110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001011110011000000000000 +000000001000001000000110000000001000001100111000000000 +000000000000001001000100000000001000110011000000000000 +000000000000000101100000000011101000001100111000000000 +000000000000000000000000000000000000110011000000000000 +000000000000000101100000000000001001001100111000000000 +000000000000000000000000000000001110110011000000000000 +000000000000000000000000000111101000001100111000000000 +000000000000000000000000000000100000110011000000000000 +000000000000001000000000011000001000001100110000000000 +000000000000000101000010100101000000110011000000000000 + .logic_tile 7 3 -000000000110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000100001000000000000000000000000000000000000000000 -000001000000100000010000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000110000000000000010000001010000100000100000000 +000000000000000000000011100000000000000000000010000000 +111000000000001101100000010101000000000000000101000000 +000000000000000101000010010000000000000001000000000100 +010000000000000101100000000000000000000000100100000000 +010000000000001101000000000000001101000000000000000000 +000000001010000001100110010111011010100000000000000000 +000000000000000000100010100000111111100000000000000000 +000000000000000000000111000000011000000100000100000100 +000000000000000000000110110000000000000000000010000000 +000001000100000000000000000001100000000000000110000000 +000010000000010000000000000000000000000001000001000000 +000000001110001000000110110000011010000100000110000000 +000000000000000101000010100000010000000000000000000100 +010000000000000000000000000001111010000010010000000000 +100000000000000000000000000111101101100100000000000000 .logic_tile 8 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000001001100000000000000000000000000100000000 +000000000000001001000010100001000000000010000000000000 +111000000000000001100110000000000001000000100100000000 +000000000000000101100100000000001001000000000000000100 +000000000000000001100010101001011101001100000000000000 +000000000000000000100010000101001000110000000000000000 +000000000000000101000000001101011001000010010000000000 +000000000000000000000000001011101110100100000000000000 +000000000000000000000000000000000001011001100000000000 +000000000000000000000000001001001110100110010000000000 +000000000000000001000110000101000000000000000100000000 +000000001100000000000000000000000000000001000000000100 +000000000001010000000000000000001010000100000100000000 +000000000000100000000000000000010000000000000000000000 +000000000000001001100000001000000000000000000100000000 +000000001110000001000000000001000000000010000000000000 .logic_tile 9 3 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001010000000000000000000000000000000000000000100000000 +000001000000000000000000000111000000000010000000000000 +000000000000000001100000000000000000000000000100100000 +000000000000000000000000000101000000000010000001000000 +000000000000000111100000000011100000000000000100000000 +000000000000000000000000000000100000000001000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001110000000000000010111000000100000010000000000 +000000000000000000000010000000001000100000010000000010 +000000000000000111000000000000000001000000100100000000 +000000000000001111100000000000001111000000000000000000 +000000000000000000000110000000011010000100000100000000 000000000000000000000000000000000000000000000000000000 .ramb_tile 10 3 010000000000000000000000000000000000000000 001000010000000000000000000000000000000000 -000000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 @@ -919,36 +919,36 @@ 001000000000000000000000000000000000000000 .logic_tile 11 3 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000001000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 12 3 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -959,16 +959,16 @@ 000100000001000000 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000001110000000000 -000000000000000000 -000000000001110010 -000000000001010000 000010000000000000 -000001010000000001 +000010110000000000 +000100000000001000 +000000000000100000 +000000000000000000 +000000000000000000 +000010000010100010 +000000110001110000 +000000000000000000 +000000000000000001 000000000000000001 000000000000000000 @@ -991,182 +991,182 @@ 000000000000000000 .logic_tile 1 4 -010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +110000000000000000000000001111000000000000000100000001 +001000000000000000000000001101100000010110100000000000 +111000000000000000000110000011000000001001000100000000 +000000000000000000000010110000101111001001000000000001 +010000000000000101000000000000001111000000110100000000 +001000000000001101000000000000011001000000110000100000 +000000000000000000000010100101000000000000000110000000 +001000000000000000000100001111000000101001010000000000 +000000000000000001100000010111000001010000100100000000 +001000000000000000000010000000101110010000100000000001 +000000000000000000000000000000000000010000100100000001 +001000000000000000000000001111001010100000010000000000 +000000000000000000000000000001101110000001010110000000 +001000000000000000000010010000110000000001010000000000 +010000000000000001100000000000000000001001000100000000 +101000000000000000000000000011001111000110000000000100 .logic_tile 2 4 +110000000000100001000010001001011100000111000000000000 +001000000000001101100100001101001000000010000000000000 +111000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +101000000000000001000000000000000000000000000000000000 +000000000000000000000111101000000000001001000100000000 +001000000000001001000000000001001101000110000010000000 +000000000000000000000011101111011010000010000000000000 +001000000000000101000000001101101000000111000000000000 +000000000000000101000000000001011100000110100010000000 +001000000000000101010000001001001110000000100000000000 +000000000000001000000000000101011110000110100000000000 +001000000000000011000010100000001100000110100010100000 +010000000000000000000000000000000000000000000000000000 +101000000000000001000000000000000000000000000000000000 .ramt_tile 3 4 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000010010111001010000000 +000001000000000000000011111011000000000000 +001000000000000011100011100111011010000000 +000000000000001001100000001011010000000000 +010000000000000111100111001111111000000001 +010000000000100111100000000111110000000000 +000000000000000000000011110111011010000000 +000000000000000000000011101111110000000001 +000000000000010000000111101011101010000000 +000000000000000000000010011111100000000000 +000000000000001000000110000011111010000000 +000000000000001001000100001001010000000000 +000000000000001001100110010001101010000000 +000000000000011001100111100101000000000000 +010000100000000001000010011101011010000010 +010000000000000000000010011101110000000000 .logic_tile 4 4 -110000000000000000000110000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -101000000000001000000000000000000000000000000000000000 -100000000000000001000000000000000000000000000000000000 -010000000000000000000011101001000000000000000000000000 -111000000000000000000000000001100000010110100000000000 -000000000000000000000000000000001100000100000110000000 -001000000000000000000000000000010000000000000010000000 -000000000000000000000000010000000001000000100100000001 -001000000000000000000010000000001111000000000010000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -010000000000000000000110100000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 +010000000000001000000111110000000001000000001000000000 +001000001000000111000110000000001001000000000000001000 +111000000000000001100000000101111000001100111000100000 +100000000000001001000010110000011100110011000000000000 +110000000001000000000010100011001000001100110000000000 +111000000000000000000110110111100000110011000000000100 +000000000000000101000000010011001000000001010000000000 +001000000010000000100011111001010000010110100000000000 +000000000001001111000010001001101010101001010000000000 +001000000000011001100110010011011000010100100000000000 +000000000000001000000111001011011111000110000000000000 +001000000010000111000100001111111101000001010000000000 +000000000000000111000010101101101110101000000100000001 +001000000000001001100011110001100000010110100000000110 +010000000000000000000010100011101010101001010000000000 +101000000000000000000110001111011011101000010000000000 .logic_tile 5 4 -110000000000000001100000010000000000000000001000000000 -001000000000001101000010100000001000000000000000001000 -101000000000000101000000000111111010001100111000000000 -100000000000001101100000000000001010110011000000000000 -110000000000001000000110101101001000110011000000000000 -111000000000001111000000000011000000001100110000000000 -000000000000001011100000001011100000101001010000000000 -001000000000000101100000001111000000000000000000000000 -000000000000000000000000001111011000010110100100000000 -001000000000000000000000000001101001000001000000000000 -000000000000001000000000001000000000010110100000000000 -001000000000000001000000001111000000101001010000000000 -000000000000000000000111010001011001000110000100000000 -001000000000000000000010001001001000000111000000000000 -010000000000000001100000011000001010101010100000000000 -101000000000000000000010000101010000010101010010000000 +010001000000000000000110110011101000010010100100000000 +001000000000000000000010101001111100000010100000000000 +111000000000101000000010110000000000000000000000000000 +100000000001001011000011010000000000000000000000000000 +110000000000000111000000001001111111010111000100000000 +011000000000000000000010101111111110000011000000000010 +000000000000001011100110100001011000000010100100000000 +001000000000000101000000001001101011000001110000000000 +000000000000001000000110000000000000100000010000000000 +001000000000001001000111111111001010010000100000100000 +000000000000000000000010000001011000000011000100000000 +001000001100000000000000001111111001000011100010000000 +000000000000000000000110011001011010000011100100000000 +001000000000000000000010010101101001000010100010000000 +000000000100000001000110000001011010000010100100000000 +001000000000010000100111111001111101000001110010000000 .logic_tile 6 4 -110000000000000000000000000001111000010100000100000000 -001000000000000000000000000000100000010100000000000100 -101000000000000001100110100000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -010000000000000000000111100000000000000000000000000000 -111000000000000000000100000000000000000000000000000000 -000000000000000000000000001000011110010100000100000000 -001000000000000000000000001001010000101000000010000000 -000100000000000001000000001011001111110011000000000000 -001000000000000000000000000111001101110001000000000000 -000000000000000000000000000011111110010100000100000000 -001000000000001101000011110000000000010100000000000000 -000000000000001000000000000000000000000000000000000000 -001000000000000111000000000000000000000000000000000000 -010000000000000011100110000000011100000001010100000000 -101000000000000000100000000011000000000010100000000000 +010000000000000101000110000111101110001000010000000000 +001000000000000000000111100000011010001000010000000000 +111000001110000101000000000001100000110110110110000000 +000000000000001101100010100111001001010110100000000000 +000000000000000001100010101000000001011001100000000000 +001000000000000000100010101001001010100110010000000000 +000000001010000101000010100111001011000000110000000000 +001010100000000101000010001101011100110000000000000000 +000000000000000001100110100101101101000001100000000000 +001000000000000000000000000101111111011000000000000000 +000000001100000001110000001101101000000000110000000000 +001000000000000000000000000001111100000000000000000000 +000001000000001101100000000000011010010101010000000000 +001010000000000001000010001101000000101010100000000010 +010000000000001000000110100000000000011001100000000000 +101000000000000001000000000001001011100110010000000010 .logic_tile 7 4 -010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000001000011000101011110010000000 -001000000000100000000000000101000000010111110000000000 +010000000000001000000000001111100000000000000000000000 +001000000000000001000000000111000000111111110000000000 +111001000000101000000110000000011000000100000100000000 +000000100001010111000100000000000000000000000000000000 +000000000000001000000000010101000000000000000100000000 +001000000000001001000011110000100000000001000000000000 +000000000000000000000000010011100000000000000000000000 +001000000000010000000010010011000000111111110000000000 +000000000000000001100000000001101011110011000000000000 +001000000000000000000000000001101101000000000000000000 +000001000000000000000000010000000001000000100100000000 +001010100000000001000010000000001011000000000000000000 +000000000000000000000000000111100001011001100000000000 +001000000000000000000010010000101111011001100000100000 +000000000000001001100110010000000000000000000100000000 +001000000000001001100110011111000000000010000000000000 .logic_tile 8 4 -110000000000000000000011100000000000000000000000000000 -001000000000000000000100000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -110000000000001000000000000000000000000000000000000000 -111000100000001101000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -001000000001010000100000000000000000000000000000000000 -000000000000000000000000000101001000000001010100000000 -001000000000000000000000000000010000000001010000000001 -000000000001010000000000000101100000000000000100000001 -001000000000100000000000001001000000101001010000000000 -000000000000000000000111100000000000000000000000000000 -001000000000000000000100000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 +010000000000000000000110000000000001000000001000000000 +001000000000000000000000000000001101000000000000001000 +001000000000000000000000000011100000000000001000000000 +000010100000000000000000000000000000000000000000000000 +110000000000000000000000001111001000000001011100000000 +111000000000000000000000000101100000010100000000000000 +000000000000000001100000010101101000001000010100000000 +001000000000000000000010000000001001001000010000000000 +000000000000000000000000001000011011010000010100000000 +001000000000000000000000000011011010100000100000000000 +000000000000001000000000010011111100011111110000000001 +001000000000000001000010001001011111111111110000000000 +000000000000000000000110110000000001001111000100000000 +001000000000000000000110000000001101001111000000000000 +110010000000000111000000000000000000000000000000000000 +111001000000000000000000000000000000000000000000000000 .logic_tile 9 4 -010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +010010000000100000000000000000000001000000001000000000 +001001000001010000000011110000001001000000000000001000 +001000000000000000000000010111000001000000001000000000 +000000000000000000000010000000101101000000000000000000 +010000000000000000000010100111001001001100110100000000 +011000001110000000000000000000101100110011001000000000 +000000000000000001100000000111011001001100110100000000 +001000000000000000000010100000111101110011001000000000 +000000000000000000000000001101100000011111100000000000 +001010000000000000000000001111101011101001010010100000 +000010100000000000000010000000011110000011110000000000 +001001000000000001000000000000010000000011110000000000 +000000000000000000000110010000000001001111000100000000 +001000000000000000000010000000001001001111000000000000 +010000000000000000000111001001101010000000000000000000 +111000000000000000000100001101111111000010000000000000 .ramt_tile 10 4 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 000001000000000000000000000000000000000000 000010000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -1191,43 +1191,43 @@ .logic_tile 12 4 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000001000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 000000000001010000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000000001010000000000000001000000000000000000000000000 +001000000000000000000000000011000000000010000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +001000000000000000100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000001000000000000000000000000000000000000000000000000 -001000100000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 .io_tile 13 4 000000000101000010 +010011110100000000 000000000100000000 000000000100000001 -000000000100000001 -000000000100100010 +000000000100000010 000000000100010000 001100000100000000 -000000000100000000 -000001111000000000 +000000000100100000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 +000000000000010000 000000000000000000 000000000000000000 .io_tile 0 5 -000000000100000010 +000000000100001010 000100000100000000 -000000000100100000 +000000000100000000 000000000100000001 000000000100000010 000000000100000000 @@ -1243,170 +1243,170 @@ 000000000000000000 .logic_tile 1 5 -010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000001100000001000000000010110100100000000 -001000000000000000000000000011000000101001010001000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +110000000000000000000010101000000000010000100100000001 +001000000000000000000000001101001011100000010000000000 +111000000000000000000000000101100000010000100100000000 +000000000000000000000000000000101101010000100000100000 +010000000000000001100110001000001000010100000110000000 +001000000000000000000000001101010000101000000000000000 +000000000000000000000010000101011010000001010100000001 +001000000000000000000100000000010000000001010000000000 +000000000000000000000110100111000001001001000100000000 +001000000000000101000000000000101011001001000010000000 +000000000000001000000000000101101100010100000100000001 +001000000000000001000000000000100000010100000000000000 +000001000100000000000010001000000001001001000101000000 +001000100000010000000010000011001011000110000000000000 +010000000000000000000000000101101110010100000100000000 +101000000000000000000000000000110000010100000010000000 .logic_tile 2 5 -010000000000000000000000010000011000000100000100000000 -001000000000000000000011100000010000000000000010000000 -101000000000000000000010100000000000000000000000000000 -100000000000000000000100000000000000000000000000000000 -010000000000000101000000001000000001011001100100000000 -011000000000000000100000001111001101100110010010000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000101000000000000000101000000 -001000000000000000000010110000000000000001000000000000 -000000000010000000000000001000000000000000000100000001 -001000000000000000000000001111000000000010000000000100 -010000000000000000000010100000000000000000000000000000 -101000000000000000000100000000000000000000000000000000 +110010001100000000000010110001111110001100110010000000 +001000000000000101000111100000001001110011000000100001 +111000000000001011100000011101111001101001010010000000 +000000000000000111100010001101001010010100100000000000 +010001001100100000000000000001101100000010000000000000 +001000000000000011000010111111011100001011000000000000 +000000000000000111010010100000011110000001010100000000 +001000000000000101000110100111010000000010100000000000 +000000000000001101100010111101011011111000000000000000 +001010000000000001000010001001111000111100000010000000 +000000000000000000000000000101101101110000010001000000 +001000000000000000000000000101111011110000110000000000 +000001000000001101000110100001101100000111000000000000 +001000000000001001000000000111001100000001000000000000 +010000000000000000000111000001011001110000010000000000 +101000000000000001000000001001001011110000110010000000 .ramb_tile 3 5 -010000000000000000000000000000000000000000 -001000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 +010000000000000111100110101111111000000000 +001000000000010011100011110101010000000000 +111000000000001000000011101001111010000000 +000000000000001111000111101101110000000000 +010100000101011000000111101111101010000000 +011000000110011111000111110001110000000000 +000000000000000111000111110011011010000000 +001000000000001111100111101111010000000000 +000001000010001000000000000001001010000000 +001000000000000111000000001101010000000000 +000000000000000000000000001001011010000000 +001000000000000000000000000101010000000000 +000000000000100111100111110001001010000000 +001001000110000000000011101111110000000000 +110000000000001011100000010111011010000000 +111000000000001011100011100101010000000000 .logic_tile 4 5 -010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000011010000100000100000000 -001000000000000000000000000000010000000000000000000000 -000000000000000000000000010111100000000000000000000000 -001000000000000000000010110000100000000001000000000000 -000000100000000000000000000000000000000000000000000000 -001000000000001111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000001111000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -001000000000000000000000000011000000000010000000000000 -000000000000000000000110100011000000000000000100000001 -001000000000000000000000000000000000000001000000000000 +010000000000000000000111110000000001000000001000000000 +001000000000000000000110000000001110000000000000001000 +111000000000001001000000010011100000000000001000000000 +100000000000000111100010100000101001000000000000000000 +110000000000000101000111100111001000001100110011000000 +011000000100000000000100000000001011110011000001000100 +000000000000000000000010111001101101000010100000000000 +001000000000000000000111111111101100000001100000000000 +000000000000001111000000011011001110101001010000000000 +001000001110001111100010101101011001101000010000000000 +000000000000000101000000001101000001001001000100000000 +001000000000000000000010101101001000000000000000000000 +000000000001001000000000001101111000000000000100000000 +001000000000101011000011100001100000000001010010100110 +010000000000000101000000000000000000000000000000000000 +101001000000000000000010110000000000000000000000000000 .logic_tile 5 5 -110000000000000000000010100000000000010110100000100000 -001000000000000000000000001111000000101001010000000000 -101000000000001001100010100011101110111111110000000000 -100000000000001001000000001011011001100000100000000000 -010000000000001000000110101001101010111110100000000000 -111000000000000111000000000011000000111100000000000000 -000000000000000000000000000000001111000011000100000000 -001000000000000000000000000000011001000011000000000000 -000000000000110000000110000001001101110011010000000000 -001000000000000000000100001111111100110011000000000000 -000000000000001000000000000111000000101001010000000000 -001000000000001001000000001011101001000110000000000000 -000000000000000001100110000000001011111101000000000000 -001000000000000000000000000111001000111110000010000000 -010000000000000000000000000011100000110110110000000000 -101000000000000000000000001011001010111111110000100000 +010000000000000000000011100000000000000000000000000000 +001000001110000000000000000000000000000000000000000000 +111000000000001011100000001001101100000110000100000000 +100000000000000101000000000001011101000110100010000000 +010010000000000011000110110001001111101101010000000000 +111001000100000000000111000000111011101101010000000000 +000000000000000101100010110000000000111001110000000000 +001000000000000000000110101001001111110110110000000000 +000001000000000011100110010011100000010110100000000000 +001010100000000000100110010000000000010110100000000000 +000000000000000101000000001111111010000010000000000000 +001000000000000000100000001101001100000000000000000000 +000000000001010000000000010000000001001111000000000000 +001000000000100000000010100000001010001111000000000000 +000000000000000101000000000101001100010110100100000000 +001000000000000000100000001011011011000000100010000000 .logic_tile 6 5 -010000000000000000000000000011100000000000001000000000 -001000000000000000000000000000000000000000000000001000 -000000000000000000000000000111000000000000001000000000 -000000000000000000000000000000100000000000000000000000 -000000000001010000000000000000001001001100111000000000 -001000000000000000000000000000001111110011000000000000 -000000000000000000000000000000001001111100001000000000 -001000000000000000000000000000001101111100000000000000 -000000000000000101000000000111000000000000001000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000101000010000111101000001100111000000000 -001000000000000000000100000000000000110011000000000000 -000000000000000000000010100011001000001100111000000000 -001000000000001101000100000000000000110011000000000000 -000000000000000101000010101000001000001100110000000000 -001000000000000101100100000111000000110011000000000000 +010000000001010011100010100000000000000000000000000000 +001000000000100000000010100000000000000000000000000000 +111000000000000001100110001011001000100010000000000000 +000000000000001101100110111101111111001000100000000000 +000000000000000011100111111011111110000000000000000000 +001000000000000000100110010001011100101000010000000000 +000000000000001001100010100101100000000000000000000000 +001000000000001001100010100001000000111111110000000010 +000000000000001000000000010101101001011111110000000000 +001000001010000011000011100111011111111111110000000000 +000000000000000001000000000000000000000000100100000000 +001000000000000000100000000000001011000000000000000010 +000000000000001001100000000111011111100000010001000000 +001000000000000101000011111101001001100000000000000001 +000000000100000000000110011101011011110011000000000000 +001000000000001101000011110001011001000000000000000000 .logic_tile 7 5 -010000000000000000000110100000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -101000000000000000000000010000000000000000100100000000 -100000000000000000000010100000001111000000000000000000 -110000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 +010000000000000000000000000111111101000000000000000000 +001000000000000011000000000001001011010100100000000000 +001000000000000000000000001001000000101001010000000100 +000000000000000000000000001111000000000000000000000000 +010000000000001000000010100101000000000000000100000000 +111010000000000111000100000000000000000001000000000000 +000000000000000000000011110000000000000000000100000000 +001000000000000000000111011011000000000010000010000000 +000000001010000000000011100000001110101000000010000000 +001000000000010000000000000001010000010100000000000000 000000000000000101100000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000101000000000000001100000100000100000000 001000000000000000100000000000000000000000000000000000 000000000000000000000000001000000000000000000100000000 -001000000000000000000000000111000000000010000000000000 -000000100000000000000010000000000000000000000000000000 -001001000000000001000010110000000000000000000000000000 -010000000000001000000000000001111010000010000000000000 -101000000000001011000000000111101001000000100000000000 +001000000000000000000011111111000000000010000010000000 +000000000000000000000110111000000000000000000100000000 +001000000000000001000111101101000000000010000000000000 .logic_tile 8 5 -010000000000000101000000001000000000000000000100000000 -001000000000000000100000000001000000000010000000000000 -111000000000001101100000000011011101000010000000000000 -000000000000000101000000001101111100000000000000000000 -000000000000001101100000000001000000000000000100000000 -001000000000000001000010110000000000000001000000000000 -000000000000000000000000000000001010000100000100000000 +010000000000000000000010111000000001111001110000000100 +001000000000000000000110101001001010110110110000000000 +001000000000100101000000010000000000000000000000000000 +000000000000000000100011100000000000000000000000000000 +110000000000000101000000000001000000000000000100000000 +111000000000000000100010110000100000000001000000000000 +000000000000000101000110100000000000000000000100000000 +001000000000000000000000001101000000000010000000000000 +000000000000000000000000000000011000000100000100000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000010000011000000100000100000000 -001000000000000000000010000000000000000000000000000000 -000000000000000001100000010000000000000000000100000000 -001000000000000000000010000101000000000010000000000000 -000000000000000000000110000000000000000000000100000000 -001000000000000000000000001111000000000010000000000000 -000000000000011000000000001000000000000000000100000000 -001000000000000001000000000111000000000010000000000000 +000000000000000000000000000101000000000000000100000000 +001000000000000101000000000000000000000001000000000000 +000000000000000000000110100000000001000000100100000000 +001000000000000000000000000000001011000000000000000000 +110000000100000000000000000001000000000000000100000000 +011000000000000000000000000000100000000001000000000000 .logic_tile 9 5 -010000000000000101000000000000000000000000000000000000 -001000000000000000100000000000000000000000000000000000 -111000000000000000000110101101101010010000000100000000 -000000000000000000000000001001101000000000001000000001 -000000000000000101100000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -001000000000000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 +010000000000000000000010100101111001010100000000000000 +001000000000000000000010101001101001010000100000000000 +001000000000000101100110110011101001101100010000000000 +000000000000001101000010101101011011101100100000000000 +110000000000000000000110111101111000101000010000000000 +111000000000000000000010101011111001001000000000000000 +000000000000001000000010100101111110001011110000000000 +001000000000000101000010100000111001001011110000000000 +000010100000001000000000010111100000000000000100000000 +001001000000000001000010000000000000000001000000000000 +000010100000001000000110010011001101111001110000000000 +001000000000000001000010001001011101110100010010000000 +000000000000000001100110000000011110000100000100000000 +001000000000000000000000000000010000000000000000000000 +010000000000001000000010101111001011111001110000000000 +011000000000000111000111111101011010101000000000000000 .ramb_tile 10 5 -010000000000000000000000000000000000000000 -001000010000000000000000000000000000000000 +010000000001010000000000000000000000000000 +001000011010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -1425,16 +1425,16 @@ .logic_tile 11 5 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +001000000000000000000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +010000000000000000000110000000000000000000000000000000 +111000000000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +001000000000000000000011110000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +001000100000000000000011100000000000000000000000000000 +000000000000000000000000001001101100101000000100000000 +001000000000000000000000001101110000111110100000100000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -1495,170 +1495,170 @@ 000000000000000000 .logic_tile 1 6 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001100000000000000001000 -000000000000000000000000000111101100001100111000000000 -000000000000000000000000000000000000110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001111110011000000000000 -000000000000000000000000010011001000001100111000000000 -000000000000000000000010010000100000110011000000000000 -000000000000001000000110110111101000001100111000000000 -000000000000000101000010100000000000110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000010100000001101110011000000000000 -000000000000000000000010100000001001001100111000000000 -000000000000000000000000000000001101110011000000000000 -000000000000000101100000000000001001001100110000000000 -000000000000000101000000000000001011110011000000000000 +100000001110000000000000000000001110000001010100000000 +000000000000000000000010101101010000000010100000000100 +111000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +010000000000000000000110001111000000000000000100000000 +000000000000000000000000001101100000010110100000000000 +000000000000000101000110000000011010001100000100000000 +000000000000000000100000000000001111001100000000000000 +000001000000000000000000010111000001010000100100000000 +000000100000000000000010000000101001010000100000000100 +000000000000000000000000000001000000001001000110000000 +000000000000000000000000000000101111001001000000000000 +000000000000000000000000000000001110000001010100000000 +000000000000000000000010001011010000000010100000000100 +010000000000000001100000000000011110001100000100000000 +100000000000000000000000000000001111001100000000000010 .logic_tile 2 6 -000000000000000000000110100000001110000011110100000000 -000000000000000000000000000000000000000011110000000100 -101000000000001101100000000000000000011001100000000000 -100000000000000101000000001001001011100110010000000000 -010000000000000101100111010001000000000000000100000000 -110000000000000000000110100000100000000001000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -000000000000000000000000000000001010010101010000000001 -000000000000000000000000000101010000101010100000000000 -000000000000000000000000000000001010000100000100000100 -000000000000000000000000000000000000000000000000000000 -000000000001001000000000000000001110110011000000000100 -000000000000100001000000000000001010110011000000000000 -010000000000000000000000000000011000001100110000000000 -100000000000000000000000000000001001001100110000000000 +100100100000000111000000000001001010000001010100000000 +000100000000000101000010000000010000000001010000000000 +111000000000001000000011100001100000000000000100000000 +000000001110000101000100001101000000101001010000000001 +010000001110101000000000000001101100000001010000000000 +000000000001011001000011100001101001000110000000000000 +000000000000000000000011101001001101000001000000000000 +000000000000001001000100000011011001100001010000000000 +000000000000000101000010101111011010000000100000000000 +000000001010010101000010011101101000010000110000100000 +000000000000000101000000001001011101001001000000000000 +000000000000001001000000001001001111000001010000000100 +000001001110100000000010000101011000010100110000000000 +000010100001010000000010100011011000100100110000000000 +010000000000000000000000000001001111000001000000000000 +100000000000000000000010101011011001100001010000000000 .ramt_tile 3 6 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000000000010000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000001111100010000011011110000000 +000000000000001111100110001001000000000000 +001000000000001000000111110001011110000000 +000000000000100111000111011001010000000000 +010000000000000000000111100001011110000000 +110010000000000000000000000011000000001000 +000000000000001111100000000011111110000000 +000000000000001111100000000111110000000000 +000000100000000111000000001001111110000000 +000000000000000111100000000101100000000100 +000000000000000000010111001111011110000000 +000000000000001001000011111011010000000100 +000000100001000011100000011101111110000000 +000000000000101111100011100001000000000000 +110000000000000000000111000001111110000000 +010000000000000111000100001111110000000001 .logic_tile 4 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000000000000000001000000100100000000 -000000000000000000000010100000001011000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000001010000100000100000000 -000000000000000000000000000000010000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000001000000001000000000 +000000000000000000000010010000001101000000000000001000 +111000000000001000000000010000000000000000001000000000 +100000000000001011000011110000001100000000000000000000 +010000000000000000000010100000000000000000001000000000 +010000000000000000000100000000001011000000000000000000 +000000000000000101000000000001101000111100001000000000 +000000000000000000100000000000100000111100000010000000 +000000000000000101000000000111001001001000000000000000 +000000000000000000000000000000001010001000000000000000 +000010000000000101100000010000011000111111010100000000 +000001000000000000000010000111001111111111101000000010 +000000000000000011100111100011100000010110100000000000 +000000000000000001100010000000000000010110100000000000 +010000000000000000000000001111001111001001000000000000 +100000000000001101000000000011101110000001010000000000 .logic_tile 5 6 -000000001100000000000000000000000001000000100110000000 -000000000000000000000000000000001100000000000000000000 -101000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001111000000000010000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -000000000000000101000000000000000001000000100100000000 -000000000000000101000000000000001100000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -010000000000000000000000000000000000000000100100000000 -100000000000000000000000000000001101000000000000000000 +000000000000000000000110100101100000000000001000000000 +000000000000000000000011100000100000000000000000001000 +111001000000000001100000000001100000000000001000000000 +100000100000000101000000000000000000000000000000000000 +110000000000000000000000000000001000111100001000000000 +110000000010000000000000000000000000111100000000000000 +000000000000000000000000000000011100000011110000000000 +000000000000000000000010100000010000000011110000000000 +000000000000000000000110111011101001000001010000000000 +000001000000000000000010000011011110111001110000000000 +000000000000001001000000011001000001000000000100000000 +000000000000001011000010010001101100001001000001100100 +000000000000000000000111100011111110010000000110000000 +000000000000000000000100000000011001010000000000000000 +010000001110000011100000010011001001011000100000000000 +100000000000000000100011111011111100101000010000000000 .logic_tile 6 6 -100000000000100000000010101000001101000100000100000000 -000000000001010000000110110001011111001000000000000000 -101000000000001000000110000101111000010101010000000000 -100000000000000111000110110000010000010101010000000000 -110000000000000000000110100001000000000000000000000000 -010000000000000000000010101001100000111111110000000000 -000000000000000101000010101101101101000000000000000000 -000000000000001101100000001001101101010000000000000000 -000000000000001000000010011000000000111001110011000000 -000000000000000001000110010011001100110110110000000010 -000000000000000101100110010101100001011001100000000000 -000000000000000111010010100000001010011001100000000000 -000000000000000000000000000101101000010101010000000000 -000000000000000000000000000000010000010101010000000000 -010000000000000000000010100011101011010000000000000000 -100000000000000000000000001101111100000000000000000000 +000000000000000000000110100001000000000000001000000000 +000000000000000000000011100000100000000000000000001000 +001000001100001011100000000011100000000000001000000000 +000000000000000111100000000000100000000000000000000000 +110000000000000000000000000000000001000000001000000000 +010000000000000000000000000000001100000000000000000000 +000000000000011001000000000111001000111100001010000000 +000000000000100101100000000000100000111100000000000000 +000000000000000000000110000001101001001000000000000000 +000000000000000000000010100000001110001000000000000000 +000001000000001000000000010001100000000000000100000000 +000000100000001001000010010000000000000001000010000000 +000000000000000000000011000101101101011001100000000000 +000000000000000000000100001001011111000000010000000000 +010000000000000001100010000000000000000000000000000000 +110000000000000000100000000000000000000000000000000000 .logic_tile 7 6 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 -101000000000000101000000010000011100000100000100000000 -100000000000010000100010100000010000000000000000000000 -010000001010000000000110100011100001011001100100000000 -110000000000000000000100000000101101011001100000000000 -000000000000000101100000000101100000000000000100000000 -000000000000000000000000000000000000000001000000000000 -000000000000000000000000000000011100000100000100000000 -000000000000000000000000000000010000000000000000000000 -000000000000000000000010100111000000000000000100000000 -000000000000000000000110110000000000000001000000000000 -000000000000000101000000000000011110000011110100000000 -000000000000000000100000000000010000000011110000000000 -010000000000000000000000011000000000000000000100000000 -100000000000000000000010000001000000000010000000000000 +000000000001000000000000000000011110110011110110000000 +000000000000110000000011110000011010110011110000100000 +001000001010000011100000000000000000000000000000000000 +000000000000001101100000000000000000000000000000000000 +010000100000000001000000000000000000000000000000000000 +010001000000000000000000000000000000000000000000000000 +000000000000000000000000001000001100010100000000000000 +000000000000000000000000000001000000101000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +010000000000100000000000000000000000000000000000000000 +010000000001000000000010000000000000000000000000000000 .logic_tile 8 6 -000000000000001000000000011000000000000000000100000000 -000000000000000101000010100001000000000010000000000000 -111000000000001000000000010101000000000000000100000000 -000000000000000101000010000000100000000001000000000000 -000000000000010000000110100000000001000000100100000000 -000000000000100000000000000000001001000000000000000000 -000000000000000000000110100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000100000000 -000001000000000000000000001001000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -000000000000000000000000000000011010000100000100000000 +000000000110000000000000000000000000000000000000000000 +001000000000000000000011100000000000000000100100000000 +000000000000000000000000000000001101000000000000000000 +010000000000000000000110100000011100000100000100000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001110000100000100000000 000000000000000000000000000000010000000000000000000000 +000000000000000000000111000001100000010110100000000001 +000000000000000000000000000000100000010110100000000100 +000000000000000011100000000111100000000000000100000000 +000000000000000000100000000000100000000001000000000000 +000000100000000000000111010000000000000000000000000000 +000000000000000000000111100000000000000000000000000000 +000000000000000000000011101000000000000110000000000000 +000000000000000000000000001001001111001001000010000010 .logic_tile 9 6 -000000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -111000000000001000000110100101111101000100000000000000 -000000000000000101000000000000001010000100000000000000 +000000000000000000000000000101000000000000001000000000 +000000000000000000000000000000100000000000000000001000 +011010001100000000000110100000000001000000001000000000 +100001000000000000000000000000001011000000000000000000 +000000000000000000000000000000001000001100110100000000 +000000000000000000000010111011000000110011000100000000 +000010000000000011100000000000000000000000000000000000 +000000000000000000100010110000000000000000000000000000 +000000000000000000000000010000011000000100000000000000 +000000000000000000000010000000010000000000000000000000 +000001000000000000000000000011000001110000110000000000 +000000100000000000000000001101101011000000000010000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000001001100000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -000000000000000000000000000001000000000000000100000000 -000000000000000001000000000000000000000001000000000000 -000001000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000000000000000000000000000000000001000000100100000000 -000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 .ramt_tile 10 6 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -1677,54 +1677,54 @@ .logic_tile 11 6 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +011000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110010000000000000000000000000000000000000000000000000 +010001000000000000000000000000000000000000000000000000 +000000000000000001000111000000000000000000000000000000 +000000000001010000000100000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +010000000000000000000000000101100000000000000100000000 +110000000000000000000000000000100000000001000100000000 .logic_tile 12 6 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000001100000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000001011000000000000000100000000 +000000000000000000000000001101000000101001010000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .io_tile 13 6 000000000000000010 -000100000000001000 +000100000000000000 +100000000000000000 +000000000000000001 +000010011000010010 +000001011000010000 +001000000000000000 +000000000000100000 +100000000000000010 000000000000000000 -100011110000000001 -000000000000000010 -000000000000110000 -001100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000110010 +000000000000010010 000000000000010000 -000010000000000000 -000011110000000001 +000000000000000000 +000000000000000001 000000000000000010 000000000000000000 @@ -1747,170 +1747,171 @@ 000000000000000000 .logic_tile 1 7 -000000000000000000000110010001100000000000001000000000 -000000000000000000000110010000000000000000000000001000 -000000000000000001100000000000000000000000001000000000 -000000000000000000100000000000001101000000000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000011100000001001110011000000000000 -000000000000000000000000000011101000001100111000000000 -000000000000000001000000000000000000110011000000000000 -000000000000000000000110100001001000001100111000000000 -000000000000000000000000000000100000110011000000000000 -000000000000001000000000010000001000111100001000000000 -000000000000000101000010100000001011111100000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001101000000000000000000 -000000000000000000000000001000001000001100110000000000 -000000000000000000000000000101000000110011000000000000 +100000000000001000000010100001111010001010000000000000 +000000000000000111000110110011011101010000010000000000 +111000000000000101000010100111101110010100000100000000 +000000000000000000100111110000110000010100000000100000 +010100000010000000000010000011001111100000000000000000 +000000000000000101000000000000101011100000000000000000 +000000000000000000000110000111100000010000100100000001 +000000000000000000000010110000101010010000100000000000 +000000000000000000000010100000000000000000000000000000 +000010000000010101000010000000000000000000000000000000 +000000000000000000000000000101011110000001010100000000 +000000000000000101000000000000110000000001010010000000 +000000000000001000000110001000000000011001100000000000 +000000000000000001000000001001001000100110010000000001 +010000000000000000000111100011101001000000110000000000 +100000000000000000000100001001011001110000000000000000 .logic_tile 2 7 -000001000000000000000000010111100001011001100000000000 -000000000000000000000010000000001110011001100000000000 -101000000000000000000000001011111101000100000000000000 -100000000000000000000000001011101100000000000000000000 -110000000000000101000000001000000001011001100010000000 -110000000000000000000000000111001101100110010000000000 -000000000000000000000010100000000000000000000100000000 -000000000000000101000010101111000000000010000000100000 -000000000000000000000000000000011110000100000100000000 -000000000000000000000000000000000000000000000000000000 -000000000000000011100110010101101000000010100000000000 -000000000000001101100110010111110000000000000000000000 -000000000000001001100110110000000001000000100100000000 -000000000000000001000110010000001101000000000000000000 -010000000000001001100000001101001111000100000000000000 -100000000000001001100000000101001011000000000000000000 +000100000100101000000000000011000000000000000000000000 +000100000001001001000000000000100000000001000000000000 +111000000000000000000011100000001010000100000110000001 +100000000000000000000100000000010000000000001000000001 +000001000010101000000110001000011000010101010000000000 +000000000000001111000000001111010000101010100000000000 +000000000000000001000000000000000000000000100111000000 +000000000000000000000010100000001010000000001010000000 +000010000010000000000110001111011010111110000000000000 +000000001010000000000100000011011000010101000010000000 +000000000000001000000000000000000000000000100000000000 +000000000000001011000000000000001111000000000000000000 +000001001110000000000010110000000001011001100000000000 +000010100100000101000110011111001010100110010000000000 +010000001110000000000111000000000000000000000000000000 +100000000000000000000100000000000000000000000000000000 .ramb_tile 3 7 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001010000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000111100010000001111110000000 +000010000000001001100010010011110000000000 +111000000000001000000111100111001100000000 +000000000000000111000100000001010000000000 +110001000001011000000000001111011110000000 +010010100000001111000000000001010000000000 +000000000000001001000111111001011100000000 +000000000000001111100011101101110000000000 +000000100010001000000000010101111110000000 +000001000100000011000011000001010000000000 +000000000000000000000000010111111100000000 +000000000000001111000011000101110000000000 +000000000000001001000000011111011110000000 +000000000000011001000011100101110000000000 +110000000000000000000111010101111100000000 +010000000000000000000111001001110000000000 .logic_tile 4 7 -000000000000000000000010101000000000000000000100000000 -000000000000000000000000001011000000000010000000000000 -111000000000000101000010111101011010000010000000000000 -000000000000000000100111111101101101000000000000000000 -000000000000000001100010100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000001100010001000000000000000000100000000 -000000000000000000000010100001000000000010000000000000 -000000000000001000000110000000000001000000100100000000 -000000000000000001000000000000001011000000000000000000 -000000000000000000000000011000000000000000000100000000 -000000000000000000000010001001000000000010000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 -000000000000000000000000010101001101000000000000000000 -000000000000000000000010101001001111000000010000000000 +000000000000000000000010110011000000000000001000000000 +000000000000000000000010000000000000000000000000001000 +111000000000001000000011110101000000000000001000000000 +100000000000001011000110010000100000000000000000000000 +110000000000000000000110000000001000111100001000000000 +010000000000000000000000000000000000111100000000000000 +000000000000001001100110111000000000010110100000000000 +000000000000000001100011100101000000101001010000000000 +000000000010000101100000001111000001111111110100000000 +000000000000000000000010001011101000110110111000100000 +000000000000000000000010101001111011000010000000000000 +000000000000000000000111110101101001000000000000000000 +000000000000000000000000010111001001001001110000000000 +000000000000000000000011111001111101000000110000000000 +010000000000000000000000001101111011010000100000000000 +100000000000000000000000001011101011010000010000100000 .logic_tile 5 7 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000001000000110100000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000001001101110000000000100000100 -000000000000000000000010110001110000000001011000000000 -010000000000000000000000000000000000000000000000000000 -100001000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +111000100000001000000011111000000000010110100000000000 +100000000000001011000110101101000000101001010000000000 +110000000001011000000000000101000000000000000100000001 +010000000000101111000011100000100000000001000000000000 +000000000000000000000000000000000001000000100100000000 +000000000001001111000010100000001010000000001000000000 +000000001000000000000111100011100000000000000100000000 +000000001100000000000011110000100000000001000000000000 +000000000000000000000011110001111100111101010000000000 +000000000000001111000110000101110000010110100000100001 +000000100000000000000111101111111000000000000000000000 +000000000000000000000100001111011111010100100000000000 +010000000000001000000110000001011100000001010000000000 +010000000000101001000000001011000000000000000000000000 .logic_tile 6 7 -000010000000000000000000000000000001000000001000000000 -000001000000000000000000000000001001000000000000001000 -000000000001010000000000010101111000001100111000000000 -000000000000100000000010010000010000110011000000000000 -000000000000000001100000000000001000001100111000000000 -000000000000000000100000000000001111110011000000000000 -000000000000001001100000000111101000001100111000000000 -000000000000001001100000000000100000110011000000000000 -000010000000000000000000000111001000001100111000000000 -000000000000000000000000000000000000110011000000000000 -000000000000000000000000000111101000001100111000000000 -000000000000000000000000000000000000110011000000000000 -000000000000001000000110000000001000001100111000000000 -000000000000001001000100000000001010110011000000000000 -000000000000000001100110001000001000001100110000000000 -000000000000000000100100000101000000110011000000000000 +000010100000000000000010000000000000000000000000000000 +000001000000000000000100000000000000000000000000000000 +001000000000000000000000000000000001000000100100000000 +000000001100000000000000000000001110000000000000000100 +000000000000000000000011000000011010000100000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000001000000000000000000100000000 +000000000000000101000011100011000000000010000000000100 +000000000000010000000000000101100000000000000100000000 +000000001000100000000000000000100000000001000000000000 +000000000000000111000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000001000001000001000000000000000 +000000000000000000000000001011011011000100000000000010 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 .logic_tile 7 7 -000000000000000101100000011000011100000010000000000000 -000000000000000000000010101011001110000001000000000000 -101000000000001000000110011001111110000000000000000000 -100000000000000001000010101111001111000001000000000000 -000010000001000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000010000000110100000000000000000000000000000 -000000000000100000000010100000000000000000000000000000 -000000000000001001100110001111011001000100000000000000 -000000000000000001000100001001011011000000000000000000 -000000000000000001100000010001000000000000000000000000 -000000000000000000100010010001100000111111110000000000 -000000000000001001100000010001011010101010100000000000 -000000000000001001100010010000010000101010100000100000 -010000000000000001100000010000001100111000110110000000 -100000000000000000000010000011001101110100110000000000 +000010000000000000000000000000000000000000000000000000 +000000100000000000000010110000000000000000000000000000 +011000000000100000000000000111000000000000000100000000 +100000000001010000000000000000100000000001000000000001 +111001000000000000000011101101100000110000110000000000 +010000000000010000000100000101101011111001110000000000 +000000001110010111000000010111100000000000000100000000 +000000000000000000100011010000000000000001000000000100 +000000000000000000000000000000000001001111000000000000 +000100000000000000000010100000001010001111000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110100101100001100000010000000100 +000000000000000000000000001001101010010110100000000000 +000000000000000101100110100000011110000100000100000000 +000000000000000000000000000000010000000000000000000001 .logic_tile 8 7 -000000000000000000000000001011100000000000000010000000 -000000000000000000000000001001100000101001010011000000 -111000000000000000000010110000000000000000000000000000 000000000000000000000110000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +011000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000011110000100000100000000 +000000000001010000000000000000010000000000000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000010101001000000000010000000000000 +000000000001000000000110100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010100000000000000110000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000011100000100000100000000 +000000000000000000000110000000011100000100000100000000 000000000000000000000000000000010000000000000000000000 -000000000001010000000000000000000001000000100100000000 -000000000000100000000000000000001001000000000000000000 .logic_tile 9 7 +000000000000000000000000010000000000000000000000000000 +000000001010000000000010000000000000000000000000000000 +011000000000000000000000010000000000000000000000000000 +100000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000010110100100000000 +000000000000000000000010101001000000101001010100000000 +000000001110000111100000000000011100000100000000000000 +000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010100000001000000000000001101000101000000010000000 +000001000000001001000000001101110000000000000000000000 +000000000000000000000000000000000001001100110100000000 +000000000000000000000000001001001001110011000100000000 +010000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 .ramb_tile 10 7 000000000000000000000000000000000000000000 -000000011000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -1920,24 +1921,23 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 7 +000000000000010000000000001000000001001001000010000000 +000000000000100000000000000001001010000110000010000100 +001000000000001000000000000000000000000000000000000000 +000000000010000001000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000001100010100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000001000000100100000000 +000000000000000000000000000000001011000000000000000000 +000000000000000000000000000000011000000100000100000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -1945,36 +1945,36 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 12 7 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000111011000011000000001010100000000 +000010000000000000000111101001010000000010100000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +010001000000000000000000000000000000000000000000000000 +100000100000000000000000000000000000000000000000000000 .io_tile 13 7 -000001111000000010 -000100001001000000 +000000011000000010 +000100001000000000 000000000000000000 -010000000000000001 +100000000000000001 000000000000010010 000011010000010000 -001100000000000000 -000000000000000000 -000000000000000000 +001000000000000000 +000000000001100000 +000000000000000010 000000000000000000 000000000000000010 -000000000000010000 +010000000000010000 000000000000000000 000000000000000001 000000000000000010 @@ -1982,15 +1982,15 @@ .io_tile 0 8 000000000000000000 +000000000000001000 000000000000000000 000000000000000000 -000000000000000000 -000001010000000100 -000000001000000100 +000000000000000100 +000000000000001000 000100000000000000 000000000000000000 000000000000000000 -000100000000000000 +000111010000000000 000000000000000000 000000000000000000 000000000000000000 @@ -1999,166 +1999,166 @@ 000000000000000000 .logic_tile 1 8 -000000000000000000000010100000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -101000000000000101000110010000000000000000000000000000 -100000000000000101000110010000000000000000000000000000 -000000000000000000000110010011001110000000000000000000 -000000000000000000000010000011111001001000000000000000 -000000000000000001100010101011111010000000010000000000 -000000000000000000100010111101111011000000000000000000 -000000000000000000000010000001100001101001010100000000 -000000000010000001000000001101101010110110110010000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000000001001011001010010000000000000 -000000000000000000000010000001101001000000000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +100000001110101000000000000000000000000000001000000000 +000000000001010111000000000000001010000000000000001000 +111000000000000111000000000000001011001100111000000000 +000000000000000000100000000000001010110011000000000000 +110000000000000101000010100000001001001100111000000000 +110000000000011101100110110000001010110011000000000000 +000000000000000101000000000000001000001100111000000000 +000000000000001101100010110000001010110011000000000000 +000001000000100000000000000101101000001100111000000000 +000010100000000000000000000000100000110011000000000000 +000000000000000000000000000101001000001100111000000000 +000000000000000000000000000000100000110011000000000000 +000000001110000000000010000101001000001100110000000000 +000000000000000000000000000000100000110011000000000000 +010000000000000000000000001001000001000000000110000101 +100000000000000000000010001111001000001001000000000000 .logic_tile 2 8 -000000000000000000000000000111101110111101010000000100 -000000000000000000000010010000100000111101010000100101 -101000000000000000000000000000000000000000000000000000 -100000000000000111000000000000000000000000000000000000 -010000000000000001000000000000000000000000000000000000 -010000000000000101000000000000000000000000000000000000 -000000000000000000000000000101000000000000000100000000 -000000000000000000000000000000000000000001000010000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000010000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000101000000000010000010000000 -000000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000010110000000000000000000000000000 +000000000000001111100000000000000000000000100100000000 +000000000000000111000010100000001110000000000000000000 +111000000000001101100000000000000000000000000100000000 +000000000001000101000010110001000000000010000000000000 +000000001110000101100000011011101110101000000000000000 +000000000000011111000010101101010000000000000000000001 +000000000000000000000110000111101010000011110000000000 +000000000000000000000010101101000000111100000000000000 +000000000000000101000000000111100000000000000100000000 +000000000000010000100000000000100000000001000000000000 +000000000000000001100000001001011001000011000000000000 +000000000010000000000000000001001011110000000000000000 +000000000000000001100000010000000001000000100100000000 +000000000000000000000010010000001001000000000000000000 +000000000000000111000000010101001000000000110000000000 +000000000000000000000010000101011100001100000000000000 .ramt_tile 3 8 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000010100000000111100011111111001000000000 +000001000100000111100011110001010000000000 +001000000000001000000000001111111010000000 +000000000000000111000000001101010000001000 +010000000000000000000111000111001000000000 +010000000000001111000111110001110000001000 +000000000000001000000111010011011010001000 +000000000000001111000111110101110000000000 +000000000000010111010000011001001000000000 +000000000010001111100011101111010000000001 +000000000000000000000000000011111010000000 +000000000000000001000011111101110000000100 +000000000000001000000000000011001000000000 +000000000000001001000011110011010000000100 +110000000000000001100010101101011010000000 +010000000000000000100100000101110000000000 .logic_tile 4 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000001100000000000000100000000 -000000000000000000000010110000100000000001000000000000 -000000000000000001100000000000011010000100000100000000 -000000000000000000000000000000010000000000000000000000 -000000000000000000000000010000001010000100000100000000 -000000000000000000000010000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000000000000000011000000000000000100000000 -000000000000000000000000000000000000000001000000000000 -000000000000000000000000010111000000000000000100000000 -000000000000000000000011100000100000000001000000000000 -000000000000000000000000000000000001000000100100000000 -000000000000000000000011110000001111000000000000000000 +000000000001000000000010110000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +111000000000000000000010010001001111100000000000000000 +100000000000000000000110010101101010000000000010000000 +110000000000000000000110001001111100000011000000000000 +010000000000001101000000000111111011110000000000000000 +000000000000001000000000011000000000011001100000000000 +000000000000000001000010000111001111100110010000000000 +000000000001000001000000011001001111001000010000000000 +000000000000000000100011110011101110100001000000000000 +000000000001011001000110110000000001111001110010000000 +000000000000100101100011000011001011110110110010000001 +000000000000001101100000010011111111110000100100000000 +000000000000000011000010100000011101110000100000100010 +010000000000000001000000000001100000111001110000000000 +100000000000000001000010010000101100111001110001000000 .logic_tile 5 8 -000000000000000000000110010111100000000000001000000000 -000000000000000000000011100000000000000000000000001000 -111000000000000000000000000101100000000000001000000000 -000000000011010000000000000000100000000000000000000000 -010000000000000000000000001000001000001000011100000000 -010000000000000000000000001011001101000100100000000000 -000000000000000000000110010000001001010000010100000000 -000000000000000000000010001001001011100000100000000000 -000000000000000000000000010111100000010110100100000000 -000000000000000000000010000000000000010110100000000000 -000000000000000000000000011000001110111101010000000000 -000000000000000001000010001101010000111110100010000000 -000000000000000000000010000111101001011111110000000000 -000000000000000000000000001011011011111111110000000010 -110000000000001000000000000101111101011000000100000000 -010000000000000001000000000000111110011000000000000000 +000010000000001101000110000001001111000010010000000000 +000000001000000001100100000101111001011000000000000000 +001001000000000000000000011001011100000000000000000000 +000010100000000000000010001101010000101000000000000000 +110000000000000001100010100000000000010110100110000000 +110000000000000000100110111011000000101001010001000100 +000000000000000101000010110000001010100101100000000000 +000000000000000000100110101101011100011010010000000000 +000000000000000011100000010000000000000000100110000000 +000000000000000000000010000000001011000000000000000100 +000000001010000000000000010101100000000000000110000000 +000000000000000000000011010000000000000001000000000000 +000000000000000000000011100000000001000000100100000000 +000000000001000000000010000000001011000000000001000100 +010000000000000000000000000000000000000000100100000000 +100000001010000000000000000000001000000000000011000000 .logic_tile 6 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 7 8 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000011001111110010111100000000000 +000000000001010000000010111001001001000111010000000000 +001001000000000101000000000000000000000000000000000000 +000010100000000111100010111011000000000010000000000000 110000000000000101000000000000000000000000000000000000 110000000000000000100000000000000000000000000000000000 +000000000000000000000000000111101110000001010100000000 +000000000000000001000000000000000000000001010000000000 +000000000000000000000110001000000000100000010100000000 +000000001010000000000100000111001110010000100000000000 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000000000000000000001010000100000100000000 -000000000000000000000000000000000000000000000000100001 +000000000001010101000111100101100000100000010010000000 +000000000000100000000100000000001010100000010000000001 +010000000000000101000000000111011110000001010100000100 +010000000000000111000000000000000000000001010000000000 + +.logic_tile 7 8 +000000000000000001000111100101011100101001010100000100 +000000000000001101000100000001100000111110100000000000 +111000000000000000000000000001000000111111110100000000 +100000000000001101000011110101000000101001010000000010 +110000000000011000000000001111111010011111100000000000 +110001001010000101000011110111011100101011010000000000 +000000000000000111100111101001000000101001010100100000 +000000000000000000100010110011001000111001110000000000 +000000000000000000010000010011100001100000010100000000 +000000000000000101000010010000001001100000010000000100 +000001000000000101000000001011111011111001010100000000 +000000100000000000100011100011111111110000000000000010 +000000000010000000000011100001011100101000000100000000 +000000000000000000000000000000100000101000000000000010 +010000000000000011100000001011011011101000010100000000 +010000000000000001100010110011101111110100010000100000 .logic_tile 8 8 +000000000000000000000111100000001010000100000100000000 +000010100000000000000000000000000000000000000000000101 +001000000000000001100111100000000000000000000000000000 +000000000000000000100100000000000000000000000000000000 +110000000000000011100111100000011000010100000000000000 +110000000000001101100000000101000000101000000000000000 +000000100000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000011100001100000000000001 -000000000000000000000000000000011010001100000000100000 -110000000000001000000000000000000000000000000000000000 -010000000000001011000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 +000001000000000000000000001001001110000000000000000001 +000010100000000000000000000101100000000010100000100000 +000000000000001000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 000000000000000000000010100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000011100000100000100000000 -110000000000000000000000000000010000000000000100000000 +000000000000000001000100000000000000000000000000000000 +110000000000000000000000001101101010011010100000000000 +010000000000000000000000001001011110101010110000000000 .logic_tile 9 8 -000000000000000000000110000000000001000000001000000000 -000000000000000000000000000000001011000000000000001000 -001000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000000000000001000001100110100000000 -000000000000000000000000001011000000110011000100000000 -000000000000000111100110000000011010001100110100000000 -000000000000000000000000001001010000110011000100000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000001000000000000000000000101101100101000000000000000 -000010100000000000000000001001110000000010100000100000 -000000000000000000000000000001000001100000010000000000 -000000000000000000000000001011101011000000000000000000 -010000000000000000000000000101100000010110100100000000 -110000000000000000000000000000100000010110100100000000 +000000000000000000000000001000000000000000000100000000 +000010000000000000000000001101000000000010000000000000 +011000001110000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +010000000000000000000111100000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000000000000110011000000000000000000100000000 +000000000000000000000011001101000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110000000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 +000000000000000000000000000111000000000000000100000000 +000000000000000000000000000000100000000001000000000000 .ramt_tile 10 8 000000000000000000000000000000000000000000 @@ -2171,48 +2171,48 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000 +000000100001000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 8 +000000000000000000000000000000011111111111010000000000 +000000000000000000000010111101001011111111100010000000 +001000000000100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +010000000000000000000010100000000000000000000000000000 +010000000000000000000100000000000000000000000000000000 +000001000000001000000110000000000000000000000000000000 +000010000000000001000000000000000000000000000000000000 +000000000000000000000000000000011111111011100000000000 +000000000000000000000000001101001011110111010000000000 +000001000000001000000000000000000000000000000000000000 +000000100000001011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000010000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000001000000100100000001 +010000000000000101000000000000001010000000000001100000 .logic_tile 12 8 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +010001000000000000000011100000000000000000000000000000 +110010000000000000000100000000000000000000000000000000 +000000000000000000000000001000000000000000000101000000 +000000000000000000000000001011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +110000000000000111100000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 .io_tile 13 8 000000000000000000 @@ -2233,256 +2233,256 @@ 000000000000000000 .io_tile 0 9 -000000000000000000 -000000000000000000 +000001111000000000 +000000001000001000 000000000000000000 000000000000000000 000000000000000100 -000000000000001100 +000000000000000000 000100000000000000 000000000000000000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 -000010000000000000 -000001010000000000 +000000000000000000 +000000000000000000 000000000000000000 000000000000000000 .logic_tile 1 9 -100000000000000101000000010000000001000000001000000000 -000000000000000011100011100000001111000000000000001000 -101000000000000000000000000011111110001100111000000000 -100000000000000101000000000000111101110011000000000000 -010000000000000000000000001000001001110011000000000000 -010000000000000000000010110101001101001100110000000000 -000000000000000101000010100000000000001111000000000000 -000000000000000000100100000000001000001111000000000000 -000000000000000000000110000111100001001001000000000000 -000000000000000000000000000000101100001001000000000000 -000000000000001000000000000001011101101011010000000000 -000000000000000011000011101101001111101011110010000000 -000000000000000000000000000000011111001100000100000000 -000000000000000000000000000000001000001100000000000010 -010000000000001000000110010101100000010110100000000000 -100000000000000001000011010000100000010110100000000000 +000000000000000000000010100000011010000100000110000000 +000000000000000000000110110000000000000000000000000010 +111000000000000101000000000000001010000100000100000000 +000000000000000000100000000000000000000000000000000011 +010000000000000101000011101000000000000000000110000000 +110000000000000000100000001101000000000010000000000011 +000000001100000000000010100000000001000000100110100000 +000000000000001101000110110000001011000000000000000000 +000000000100000000000000000000000000000000100100000001 +000000000000000000000000000000001001000000000000000010 +000000000000000000000000000000000000000000100100000001 +000000000000000000000000000000001001000000000000100000 +000000000000000000000000000000011000000100000110000001 +000000000000000000000000000000000000000000000000000000 +010000000000000000000110000000011110000011110110000000 +100000000000000000000000000000010000000011110000000010 .logic_tile 2 9 -000000000100000000000000000101100000000000000100000000 -000000000000000000000011100000000000000001000000000001 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000100000001100000010011001110100011000000000000 +000001000000001001000010000011111001110010000000000000 +001000000000000111000000001101111110000000010000000000 +000000000000000101000000001011111010000001000000000000 +110000000000000111100010100011000000000000000000000000 +010000000000000101100100000101000000111111110000000000 +000000000000001111000010100001001011100110000000000000 +000000000000001111100100000111011000100100010000000000 +000000100000001101000010101001001101001000010000000000 +000000000000001011100111100001011100100001000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000001101000100000000000000000000000000000000 +000000000000011000000000000000011010000100000100000000 +000000000000001011000010110000000000000000000000000000 +010000000000000000000110000101100000000000000100000000 +100000000000000000000100000000000000000001000010100000 .ramb_tile 3 9 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000010100001010000000000000000000000000000 +000001010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -101000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000111100011000000000000000100000000 -000000000000000000000000000000000000000001000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +100000000000000101000000001101101101001010000000000000 +000000000000000000100000000101101001010000010000000000 +111000000000001001000011101011100000000000000000000000 +000000000000001001100011101001100000111111110000000000 +010010100001010000000000000000001110001100000100100000 +100001000000100000000000000000001100001100000000000000 +000000000000000001100000000001111100011010010000000000 +000000000000000000100010000000011000011010010000000000 +000000000000001001100000010111000000000000000110000000 +000000000000001011000010000011100000101001010000100000 +000000000000000000000000010000001101001100000110000000 +000000000000000000000011100000011000001100000000000000 +000000000001011000000110110000011110010101010000000000 +000000000000100011000011011111000000101010100001000000 +010000000000001000000000000000000000000000000000000000 +100000000000000101000000000000000000000000000000000000 .logic_tile 5 9 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -111000000000000111100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001100000000000000110100000 -000000000000000000000000000000000000000001001010100110 -000000000000000000000000000000001110000011110000000000 -000000000000000000000000000000010000000011110010000000 -000000000000000000000111100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -110010000000000111100000000000000000000000000000000000 -110001000000000000000000000000000000000000000000000000 +000001000010000111000111100000000000000000001000000000 +000000101110000000100010100000001001000000000000001000 +000000000000000101000010100000001001001100111000000000 +000000000000000101000000000000011001110011000000000000 +000000000000000000000011100001001000001100111000000000 +000000101010000000000100000000000000110011000010000000 +000000001110000000000000000001101000001100111000000000 +000000001100000000000010100000000000110011000000100000 +000010000000010000000000000000001000001100111000000000 +000000000000000000000000000000001011110011000001000000 +000000000000000000000000000000001001001100111000000110 +000000000000000000000000000000001000110011000000000000 +000010000000000000000000000001001000001100111000000110 +000000000000010000000000000000100000110011000000000000 +000000000000000000000000001000001000001100110000000000 +000000000000000000000000001101000000110011000000000000 .logic_tile 6 9 -000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 -111001000001010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -110000000000000000000000000111100000000000000110000001 -010000000000000000000000000000100000000001000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010000000000000000000010011100000000000000100000100 -000001000000000000000011000000000000000001000010000000 -000000000000000101100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 000000000000000000000010100000000000000000000000000000 +000000000000000111000010000000000000000000000000000000 +001000000000000000000110111001001000000000000000000000 +000000000000000101000010101011011001000000010000000000 +010000000000100000000010010011100000000110000000000000 +110000000100010000000111010000001110000110000010000000 +000000000000000111100010101000011000010101010000000000 +000000000000000101000000001001010000101010100000000000 +000000000000000000000000000000001110000100000100000001 +000000000100000000000000000000010000000000000000000000 +000000000000001101100010001101111000000000000000000000 +000000000000000001000000000111101001000001000000000000 +000000100000000101100110011001011011000000000000000000 +000000000000000000000110000011101011000001000000000000 +000000000000001000000000001101101110000000010000000000 +000000000000000001000000001001011011000001000000000000 .logic_tile 7 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000100000000 -000000000000000000000000001011000000000010000000000000 -110000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000010001100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010100000000000000110100000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000001000000000000011100000000000000100000000 -000000000000000101000000000000000000000001000000000000 -000000000000000101100111100000000000000000000100000000 -000000000000000000000000000111000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000001011111010000000000000000000 +000000000000000000000000000011101111000010000000000000 +001000000000000000000110010000011110000100000100000000 +000000000000000000000110100000010000000000000001000000 +010000000000000000000111101111101101000110100000000000 +110000000000000000000000000101001001001111110000000000 +000000000000001101100000010000000001000000100000000000 +000000000000000101000011010000001001000000000000000000 +000000000000000001100010000101111101111001010000000000 +000000000000000000100000001111011100111101110000000000 +000000000000001000000110000000000000000000000100000001 +000000000000001001000100001011000000000010000000000000 +000000000000000000000110000000011010000100000100000001 +000000001010000000000100000000010000000000000010000000 +000000000000001000000010001111011101000110100000000000 +000000000000000011000000000101101101000011000010000100 .logic_tile 8 9 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001011000000000010000000000000 -001000000000000000000000000101100000000000000100000000 -000000000000000000000000000000000000000001000000000000 -010000000000001000000111010011100000000000000100000000 -110000000000000001000111110000100000000001000000000000 -000000000000000001100000000000000000000000000100000000 -000000000000000000000000001101000000000010000000000000 -000000001100000000000000010000000000000000100100000000 -000000000000000000000010000000001110000000000000000000 -000000000000100000000110000000000000000000100100000000 -000000000001000000000000000000001010000000000000000000 -000000000000000001100110000000000000000000000000000000 +000000000000001000000000000000000001111001110000000000 +000000000000000101000000001101001001110110110000100000 +111000000000000000000000010000000000000000000000000000 +100000000000000000000011100000000000000000000000000000 +110000000000000000000011110000000000000000000000000000 +110000000000000000000110100000000000000000000000000000 +000000000000001111100000000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001001011010101111010100000000 +000000000000000000000000000101001011111111010000100000 +000000100000000000000011100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000001110000100000100000000 -000000000000000000000000000000010000000000000000000000 .logic_tile 9 9 -000000000000000000000000010000000001000000100100000000 -000000000000000000000011110000001011000000000000000000 -001000000000000101100000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -010000000000001000000000010000000000000000000000000000 -010000000000000101000010100000000000000000000000000000 -000000000000000000000110100000000000000000000000000000 +000000000000000000000010100000011000000100000100000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001100000000000000100000000 -000000000000000000000000000000000000000001000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000101000000000010000000000000 -000000000000100000000000000000000001000000100100000000 -000000000000010000000000000000001111000000000000000000 -000000000000000000000011100000000000000000100100000000 -000000000000010000000100000000001011000000000000000000 +011000000000000011100000000000000000000000100100000000 +100000000000000000100000000000001010000000000000000000 +110000000000000000000000000001000000000000000100000000 +010000000000100101000000000000100000000001000000000000 +000000000000010000000010100000000000000000000000000000 +000000000000100000000010100000000000000000000000000000 +000001000000000000000000010000000001000000100100000000 +000000100000000000000010010000001011000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101100000000000000100000000 +000000000000000000000000000000100000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 .ramb_tile 10 9 -000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 9 +000000000000000111100000010000000000000000000000000000 +000000000000000101100010000000000000000000000000000000 +001000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000001001101101000100100000000000 +010000000000000000000000000011111111100001100000000000 +000001000000000000000000001001011110100010100100000000 +000000000000000101000000000101011000010010100001100000 +000000000000100000000110000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000101000000000000000000000000000000000000000 +000000000001010101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000010000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 .logic_tile 12 9 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000111000000000111000000010110100000000100 +010000000000000000100000000101100000111111110000000100 +000000001010000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000001110000100000100000000 +000000000000000000000000000000000000000000000011000100 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000001000000000010000000000000000000000000000 +000000000000001001000011010000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 .io_tile 13 9 -000000000000000010 +000000000000001010 +000000000000010000 +000010000000000000 +000001110000000001 +000000000000001110 +000000000000111000 +001100000000000000 000000000000000000 000000000000000000 -000000000000000001 -000000000000011110 -000000000000111100 -001110000000000000 -000001010000000000 -000000000000000000 000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 000010000000000000 -000011110000000000 +000000010000000000 +000000000000100000 +000000000000000000 +000000000000000000 +000000000000000000 .io_tile 0 10 000000000000000010 @@ -2503,40 +2503,40 @@ 000000000000000000 .logic_tile 1 10 -100000000000000101000000000011011001010110100000000000 -000000000000000000100010101001001111001001010000000000 -101000000000000001100010100001101011101001110100000000 -100000000000000101000100001111001111000001110000000000 -010000000000000101000110001011000001000000000000000000 -110000000000000000000010111111001010100000010000000000 -000000000000000001100011101000011010111111100000000000 -000000000000000000000010111001011011111111010000000000 -000000000000000001100000010101011000111010100000000000 -000000000000000000000010001011111001101010100000000000 -000000000000001000000000000011100001000110000100000000 -000000000000000001000000000111001011010110100000000000 -000000000000001000000000011011011011011100000000000000 -000000000000000001000010001111011010111100000000000000 -010000000000000000000110010111100000010110100100000000 -100000000000000000000010000001100000000000000000000000 +000000000000000101000010100000000001000000001000000000 +000000000000000101000000000000001011000000000000001000 +000001000000000000000000000000011010001100111000000000 +000010000000000000000000000000011000110011000010000000 +000000000000000000000000000101101000001100111000000000 +000000000000000000000010100000000000110011000010000000 +000000000000000101000010100101101000001100111000000000 +000000000000000101000010100000000000110011000010000000 +000010100000000000000000000000001000001100111000000000 +000001000000000000000000000000001000110011000010000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001011110011000000000000 +000000000000000000000000000001001000001100111000000000 +000000000000000000000000000000100000110011000000100000 +000000000000000000000000000000001000001100110000000000 +000000000000000000000000000000001011110011000000100000 .logic_tile 2 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000101100000000111101011111000100100000000 -000000000000000000100000000000011010111000100000000010 -110000000000001000000010100000000000000000000000000000 -110000000000001111000100000000000000000000000000000000 -000000000000001000000000000000011110000100000000000000 -000000000000000111000000000000010000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000111000110001111100000010110100000000000 -000000000000000000000000000001001010110110110000100000 +000000001110100101000110110001011111100010000000000000 +000000000001011101100010101011011011001000100000000000 +111000000000000000000000010011001011100000000000000000 +000000000000000000000010100101011010000000000000000000 +000000000000000101100010101000000001011001100000000000 +000000000100000101000000000101001001100110010000000001 +000000000000000101100010100001000000011001100000000000 +000000000000000101000100000000101001011001100000000100 +000000000000001000000000010000000000000000000000000000 +000000000000000001000010000000000000000000000000000000 +000000000000000001000110000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000001100000000011100000100110010000000100 +000000000000000000000000000000101010100110010000000000 +010000000000000000000000011001001111111100110100000100 +100000000000000001000010000111001100110000110000000010 .ramt_tile 3 10 000000000000000000000000000000000000000000 @@ -2545,182 +2545,182 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000001000000000000000000000000000000000000 .logic_tile 4 10 -000000000000000000000000000000000000000000100100000000 -000000000000000000000000000000001110000000000001000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000011100000001100000100000110000000 -110000000000000000000000000000000000000000000001000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000001000000100100100000 +000000000000000000000000000000001111000000000000000010 +001000000000000000000000000000011010000100000100000000 +000000000000000000000010100000010000000000000000000000 +000000000000000000000110010000011110100100000000000000 +000000000000010000000011101101001100011000000000000000 +000000000000000111000110000000000000000000100100000000 +000000000000000000100010000000001011000000000000000010 +000000000000001001100000001011101101110011000000000000 +000000000000000101000000000111101001000000000000000000 +000000000000001000000110100000011010000100000100000000 +000000000000000101000000000000000000000000000000100000 +000000000001000111000000000101100000111001110010000100 +000000000000100000100000000000101110111001110001000000 +000000000000001001100011100000011100000100000100000000 +000000000000000001000000000000000000000000000000000000 .logic_tile 5 10 -000000000000000011100011100001000000000000000100000000 -000000000000000000100000000000000000000001000000000000 -111010100000000000000000000000000000000000100100000000 -100001000000000101000000000000001100000000000000000000 -110000000000000000000000000000000001000000100100000000 -110000000000000000000000000000001110000000001000000000 -000010100000100000000011101101111001000000010000000000 -000001000000010000000000000011101110000000000000000000 -000000000000000011100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001011100000000000000000000000000100000000 -000000000000000011000000001001000000000010000000000000 -000000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110010000000000001000000000000000000000000000000000000 -010001000000000101000000000000000000000000000000000000 +000000000000000101000110000001000000000000000000000000 +000000000000000000000110101001000000111111110010000000 +111000000000001000000011101000000000000000000100000000 +000000001100001011000111111011000000000010000000000000 +110000000000001000000010011001000000100000010000000000 +010000000000000111000011101101101011000110000000000000 +000000000000000101000110000000000000000000000000000000 +000000000000000101000100000000000000000000000000000000 +000000100110000000000000000101000001011001100100000000 +000000100001000000000010000000001001011001100000000000 +000010100000000000000111101000000000011001100100000000 +000001000110000000000000001001001011100110010000000000 +000000101010000000000000000001000001100110010000000001 +000000000000000000000000000000001000100110010000000000 +010000000000000000000000000101100001011001100000000000 +100000000000000000000000000000001010011001100010000000 .logic_tile 6 10 000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000001000000000010000001010000100000100000000 -110000000000000111000011100000000000000000000000000000 -000010000000000000000000000001000000000000000100000000 -000001000000000000000000000000000000000001000000000101 -000000000000000000000000000101000000000000000100000000 -000000000000000000000000000000100000000001000000000000 -000000001110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +001000000000001101100000001000000000000000000100000000 +000000000000001011000000000001000000000010000001000000 +000000000000001101100000001101100001000000000010000000 +000000000000001101000000000101101011100000010000000000 +000000000000000011100111100000000000000000000000000000 000000000000000000000011100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000000100000 +000000000000000000000000000101100001000000000000000000 +000000000000000000000000000101001011100000010000000000 +000000000000000000000000001000000000000000000110000001 +000000001110000000000000000001000000000010000000000010 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 7 10 +000000000001001000000000000000011110000100000100000001 +000000000000001001000000000000010000000000000000000000 +001000000000000000000000000000011001100000000000000000 +000000000000000000000010110101011110010000000010000000 +010000000000000000000010000111101011010110110000000000 +110000000000000111000100000011001100010110100000000000 000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000111100000000000000100000000 -000000000000000111000000000000100000000001000000000000 -000000000000000001100000000000011010000100000101000000 -000000000110000000000000000000000000000000000000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000001000000100000110000000 -000000000000000000000000000000010000000000000000000100 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000110000100 -000000000000000000000010000101000000000010000010000010 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000100000100 +000000000000000001000000001111000000000010000000000000 +000000000000001000010000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000001000000000110000000000000000000000000000000 +000000000000100000000100000000000000000000000000000000 +010000000000001000000010011001011110000000000000000000 +100000000000001001000011101101010000000010100000100100 .logic_tile 8 10 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000001101000000000010000001000000 -111000000000001001100000010000000000000000000100000000 -000000000000001001100010010101000000000010000001000000 -010000000000001000000011100000000000000000000000000000 -110000000000001001000000000000000000000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000000000000000000011000000100000110000000 +000000000000000000000000010000000000000000000100000000 +000010101000000000000010011001000000000010000000000000 +001000000000001000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +110000001000101001100000000101000000000000000100000000 +110000000000001001100000000000000000000001000000000000 +000000000000000000000000010000000001000000100100000000 +000000000000000000000010010000001011000000000000000000 +000000000000000000000000000000000000000000100100000000 +000000000000000000000000000000001010000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000011110000011110000000000 +000000000000000000000000000000000000000011110010000000 000000000000000000000000000000011000000100000100000000 -000000000000000000000000000000010000000000000000000100 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000001000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 .logic_tile 9 10 +000000000000000101000000000111001010000000000000000000 +000000000000001101100000000101011111010000000000100000 +001000001001000000000000000001000000000000000100000000 +000000000000011101000010110000000000000001000010000000 +110000000000000111100010110000000001000000100100000000 +010000000000000000100111110000001000000000000000000001 +000000000110000000000000000000011000000100000100000100 +000000000000000000000000000000010000000000000000000000 +000000100000000000000000010001000000000000000110000000 +000000000000000000000011000000000000000001000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000001000000000000000111100000000000000000000000000000 +000010100000000111000000000000000000000000000000000000 +000000000000000000000000000101000000000000000100000000 +000000000000000000000000000000100000000001000000000010 .ramt_tile 10 10 000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 10 +000000000000000000000111100000000000000000000000000000 +000000000000010000000111110000000000000000000000000000 +001000000000001000000010100000000000000000000000000000 +000001000000001111000100000000000000000000000000000000 +010000000000000000000000000101001000111111100100000000 +010000000000001101000010111011011100111110100001000000 +000000000000000111100000000000000000000000000000000000 +000000000000000111000011100000000000000000000000000000 +000000001100001000000000000000000000000000000000000000 +000000001110001111000011110000000000000000000000000000 +000000000000001000000000001101111101101111010100000000 +000000000010000011000000000101001001111111010000000100 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +110000000000100001000000001001111100101111010100000000 +110000100000000000000000001101001011111111010000000100 .logic_tile 12 10 -000000000100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000010000000000000000000000000000 +000001000000000000000011110000000000000000000000000000 +001000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +010000000000000000000000000000000001000000100111100100 +010000000000000000000000000000001000000000001001100100 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000100 +000000000100001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 .io_tile 13 10 000000000000000000 -000100000000011000 +000100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -2737,58 +2737,58 @@ 000000000000000000 .io_tile 0 11 -010000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 +000000000000000000 +100100000000000000 000000000000000000 000000000000000000 +000001010000000000 +010000001000000000 001100000000000000 -000000000000000001 -001000000000100000 -000100000000100100 -000000000000000100 -000001110000001100 +000000000000000000 +001000000000101000 +000100000000000100 +000000000000001100 +000000000000001000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 .logic_tile 1 11 -100000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -101000000000000000000010100111100000111111110000000000 -100000000000000000000110101101100000000000000000000000 -110000000000000000000000010000000000000000100100100000 -110000000000000000000010000000001110000000000000000000 -000000000000000111000000010001111011111110000000000000 -000000000000000000100010000000101100111110000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000001100000000111101001101111010000000000 -000000000000000000000000001101111001000001010000000000 -000000000000000001100110001101000000000000000000000000 -000000000010000000000000001111100000101001010000000000 -010000000000000000000110001000000000000000000100000000 -100000000000000000000000001101000000000010000000100000 +100000000000001101100111110011000000000000000101000001 +000000000000000001000111100000100000000001000010000000 +111000000000000000000000000000000000000000100100000001 +000000000000000000000000000000001111000000000010000000 +010000000000000001100011100000000000000000000000000000 +010000000000001101000100000000000000000000000000000000 +000000000000001101000110000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000000110100101001001111110000000000001 +000000000000000000000000000000011010111110000000000000 +000000000000000000000000000101101010110100110000000000 +000000000000000000000000000000111001110100110010000000 +000000000000000000000000000000001001111111000000000000 +000000000000000000000010100000011011111111000000000100 +010000000000000000000000001001001010101010100000000000 +100000000000000000000000000101001000101000100000000000 .logic_tile 2 11 -000010000000000000000000010000000001000000001000000000 -000001000000000000000010000000001001000000000000001000 -111000000000001101000000010001100000000000001000000000 -000000000000000001100010000000001111000000000000000000 -010000000000000000000111000111001000001100110100000000 -110000000000000000000000000000101001110011001000000100 -000000000000000001100010101001111011010110100000000000 -000000000000000000000110111101011000101000010000000000 -000000000000001000000010001111000001001100110100000000 -000000000000001011000000000001101001110011001000000000 -000000000000000000000010000000001100000011110000000000 -000000000000000000000000000000000000000011110000000000 -000000000000000000000000000000000001001111000100000000 -000000000000000000000010000000001001001111000000000000 -010000000000000000000000001001101000000000000000000000 -110000000000000000000000001011111101000010000000000000 +000000000000000000000111100000011111001100110000000000 +000000000000000111000000000000001110001100110000000001 +111000000000000111000000000111100000000000000100100000 +000000000000000000100000000000100000000001000000000000 +000000000000001101100000000111000001100110010000100000 +000000000000000101000000000000001100100110010000000100 +000000000000000000000000000101000000111111110010100100 +000000000000000000000000000101000000000000000000100011 +000000000000000000000000000001000000000000000100000000 +000000000000000000000000000000100000000001000000100000 +000000000000000000000000000001000000000000000100000000 +000000000000001101000000000000000000000001000000100010 +000000000000000000000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +000000000000001001100010001000000000000000000100000000 +000000000000001001100000000001000000000010000000100000 .ramb_tile 3 11 010000000000000000000000000000000000000000 @@ -2797,191 +2797,191 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -001000000000100000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 001000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -001000100000100000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 .logic_tile 4 11 -001000000000000000000000010000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -100000000000001101000000000000000000000000000000000000 -110000000000000101000010100000000000000000000000000000 -110000000000000000100100000000000000000000000000000000 -000000000000001000000000001101011001111111010100000000 -000000000000001111000010110101001111111111000000000001 -000000100000000000000000000000000000000000100000000000 -000001000000000000000000000000001010000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000111100101111100010111100000000000 -000000000000000000000100001001111011000111010000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 +000010000000000000000000010000000000000000000000000000 +000001000000000000000010010000000000000000000000000000 +001000000000000111000000011001001100101001010100100000 +000000000000001101000010010001111011110110101010000010 +000000000000000000000000001111101111001000010000000000 +000000000000001101000010100101011110010010000000000000 +000000000000000001100011000111111001100111010000000000 +000000000000001101000000000101101100110110010001000000 +000000000000000000000000011101101011101110010000000000 +000000000000000000000010001101001111011001100000100000 +000000000000000111100000000000011000101010100000000000 +000010100000000000000000000111010000010101010000000000 +000000000000001000000000000000000000000000000000000000 +000000001100001011000010000000000000000000000000000000 +010000000000000111000111100111111000101001000000000000 +100000000000000001000000000000101010101001000000000000 .logic_tile 5 11 -000000000000000101000111100000001010100011110100000000 -000000000000000101000011100001011111010011110000000000 -111000000000001101000011100011111100111001010100000000 -100000000000000101000100000000011001111001010000000000 -010000000000001000000011111011100001000110000000000000 -010010000000000011000110001011101100001111000000000000 -000000000000000000000000001011111100111101010100000000 -000000000000010000000000001001100000111100000000000000 -000000000000000000000000001001011110101000010100000000 -000000000000000000000000001011001111110100010000000000 -000001000000000101000110100101000000000000000000000000 -000000100000001101000010110101101111100000010010000000 -000000000000000000000010100001111101111001010100000000 -000000000100000000000111101111001101110000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000001010000000000000000011100000100000100000001 +000000000000000000000000000000010000000000000000000100 +000000000001010111100000000000000000000000000000000000 +000000000000100000100000000000000000000000000000000000 +000000000000000000000000000101000000000000000100000000 +000000000000000000000000000000000000000001000000000000 +000000000000000000000010010000000000000000100100000000 +000000000000000000000111000000001101000000000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000110000000000000000000000110000000 +000000000000000000000000001111000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001011000000000010000001000000 .logic_tile 6 11 -000000000000000001100000000001011000010100000000000000 -000000000000000000000010010000000000010100000000000001 -111000000000000101100000001000001101000000100000000000 -000000000000000101000010110111011111000000010000000000 -010000000000000101000010100101001111000000100010000000 -010000000000000000100010101101101000000000000000000000 -000000000000000101100000010101011000111011010000000000 -000000000000000000100010001101101110111111110000000010 -000010100000000000000000010101101110000001000000000000 -000000000000100000000010000000101011000001000000000000 -000010100000000000000000001000001111100000110000000000 -000000000000000000000000000111011000010000110000100000 -000000000000000000000110000101000001000110000000000000 -000000000000000101000000000000101000000110000000000000 -010000000001011000000000010101011000010111110100000000 -110000000000100001000010100000010000010111110000000000 +000000000000000000000000010000000000001111000000000000 +000000000000000000000010010000001010001111000010000000 +001000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000001000001000000001000011000111000000010000000 +010000000000000000000000001011001101110100000011000000 +000000000000000011100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000001110000000000010100000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000001000000000111100000000000000110000001 +110000000000000000100000000000000000000001000010000000 .logic_tile 7 11 -000000001111001000000000000000000000000000100110000000 -000000000000101001000010110000001010000000000010000010 -111000000000001001100000011000000000000000000100000001 -000000000000001001100010100001000000000010000010000011 -110010000000000001100110110000000001000000100100000000 -110000000000001101100010100000001000000000000000000101 -000000000000001000000000001101001000010000110000000000 -000000000000000101000010110101111111010000100000000000 -000000000000000000000110000000000000000000000100000100 -000100000000000000000110000001000000000010000000000001 -000010101011010000000000010011000000000000000110000000 -000001000000100000000010000000100000000001000010000001 -000000000000000000000011111011001010111111110000000000 -000000000000000000000011101001101001101111110000000101 -000000000000100000000000001011100000001001000001000000 -000000000000000000000000001101101011000000000000000000 +000000000000001000000110000001100000000000000100000000 +000000000000001001000100000000100000000001000000000000 +001000000000001000000110000001000000000000000100100000 +000000000000001001000100000000000000000001000000000000 +010000000000001001100111010011101010000100000010000000 +110000001010000001000010010101101111000000000010000101 +000000000000000000000010000000011000000100000100000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001111001100000000000000 +000000000000000000000000000000011011001100000000000000 +000000100000000000000110000000000000000000000100000101 +000000000000000000000000001101000000000010000010000101 +000000000001010000000110010000001011000010000000000000 +000000000000000000000010011111001111000001000000100000 +000000000000000000000110000000000000000000100100000000 +000000000000000000000000000000001001000000000000000000 .logic_tile 8 11 -000000000000000000000000000000000000000000000000000001 -000000000000000000000000000000000000000000000000000100 -111000000000001101000000010000000000000000000000000000 -000000000000000111100010100000000000000000000000000000 -010000000000000000000110100000000000000000000000000000 -110000000000000000000010110000000000000000000000000000 -000010100001000000000000001001101101111011110100000000 -000001001010100000000000001111111000010111110000000100 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011010000000000000000000000000000 -000000100000000101000000011011100000010110100100000000 -000001000000001011100011000011100000111111110001000000 -000000000000000101000010100000000001100000010000000000 -000000000000000000100100000111001100010000100000000000 -010000000000000000000000010000001110010111110100000000 -010000000000000000000010001101010000101011110001000000 +000010100010000000000000000011000000000000000110000000 +000001000000000000000011100000100000000001000000000000 +001000000000000000000000000000001100000100000110000000 +000000000000000000000000000000000000000000000000000000 +010001000000000101100000010000000000000000100110000000 +010000100000000000000010100000001110000000000000000000 +000000000100001000000000010111100000000000000100000000 +000000000000000101000010100000100000000001000000000100 +000000000000001000000110100101101010000010100000000000 +000000000010000101000000000101110000000011110010000000 +000000000000001000000000000011100000000000000100000001 +000000000000000101000010110000000000000001000000000000 +000000001010100101100000000000011110000100000100000000 +000000000011010000000000000000010000000000000000000001 +000010001010000000000110111011100000000000000000000000 +000001000000000000000010100101100000010110100000000011 .logic_tile 9 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000011101000000000000000000110000000 -110000000000000000000000001011000000000010000000000100 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000010000000000010010000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000011010000100000100000000 +000000000000000000000000000000010000000000000000000000 +001000000001000000000000010011000000000000000100000000 +000000000000000000000011100000100000000001000000000000 +010000000000000000000111100000001110000100000110000000 +110000000000000000000000000000000000000000000001000100 +000000000000000000000000010001100000000000000100000000 +000000000000000000000011110000100000000001000000000000 +000000000100000000000110010011000000000000000100000000 +000000000000000000000111010000100000000001000000000100 +000000000000001000000000000111100000000000000100000101 +000000000000001001000000000000100000000001000000000100 +000010100000101000000000010000000001000000100100000100 +000001000011011001000010010000001100000000000000000000 +000000000000000000000110000000000000000000100100000100 +000000000000000000000100000000001111000000000000000000 .ramb_tile 10 11 -010000001110000000000000000000000000000000 +010000000000000000000000000000000000000000 001000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +001000000001010000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000001000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 +001001000000000000000000000000000000000000 .logic_tile 11 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000001001010000000000000000000000000000000000000000 +000000000000000111100010101101001001010110110000000000 +000000000000000000100100001101111001101111110000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +110000000000000000000000011011011011000111010000000000 +010000000000000000000011100011111010010111100000000000 +000000000000000111100011100001111010101000000000000000 +000000000000000000100110110000110000101000000000100000 +000000000110000000000110000000000000000000000000000000 +000010100000000000000011100000000000000000000000000000 +000000000000001000000011100011100000000000000100000000 +000000000000000111000100000000000000000001000000000100 +000010000111010000000010000001000000100000010000000100 +000001000000100000000000000000001111100000010000000000 +000000000000000011100000001011111110001011100000000000 +000000000000000000100000001011001101101011010000000000 .logic_tile 12 11 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .io_tile 13 11 000000000000000010 -001100000000000000 -000000000000000000 -010000000000000001 +000100000000000000 +010000000000000000 +100000000000000001 000010000000100010 -000001010000010000 +000001010000110000 001100000000000000 000000000000000000 -000001110000000000 -000100001000000000 -000000000010000010 +000000000000000000 +000100000000000000 +000001011010000010 000000000001000000 000000000000000000 000000000000000001 @@ -2991,15 +2991,15 @@ .io_tile 0 12 000000000100000000 000100000100000000 +000010000100000000 +010001110100010001 000000000100000000 -010000000100000001 -000000111100000000 000000000100000000 001100000100000000 000000000100000000 001000000000000000 000100000000000000 -000000000000001100 +000000000000000100 000000000000001000 000000000000000000 000000000000000000 @@ -3007,166 +3007,166 @@ 000000000000000000 .logic_tile 1 12 -010000000000000000000000010000000001000000100100000000 -001000000000000000000011100000001000000000000000000000 -111010100000000000000000000000000000000000000000000000 -000001000000000011000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -011000000000000000000000000000000000000000000000000000 -000000000000001000000110000000000000000000000000000000 -001000000000001001000100000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000001000011011011111000000000001 -001000000000000101000000000101011110101111000011000000 -000000000001000101000000000000000000000000000000000000 -001000000000001101100000000000000000000000000000000000 -110000000000000000000000000000001110000001010000000000 -011000000000000101000000000111000000000010100000000000 +110000000000001000000110100101000000000000000100100000 +001000000000000001000111110000100000000001000000000000 +111000000000000000000010101011111010110010110000000000 +000000000000000000000100001001101001110000110010000000 +010000000000001000000010110101000001001001000000000000 +011000000000000001000110010000001100001001000000000000 +000000000000001001100000000001000001111111110000000000 +001000000000000001000000001001001010011111100000000000 +000000000000000000000000010000000000000000100100000000 +001000000000001101000010000000001101000000000000100000 +000000000000001000000000011000001010000001010000000000 +001000000000000001000010000101010000000010100000000000 +000000000000000000000000001101101010101010000000000000 +001000000000000000000000000111001100101010100000000000 +010000000000000101000000000011100001001111000000000000 +101000000000000000100000000101001001000110000000000000 .logic_tile 2 12 -010000000000001000000110100000000000000000100100000000 -001000000000000001000110010000001011000000000000000000 -111000000000000000000000000000000000000000000100000000 -000000000000001101000010101001000000000010000000000000 -110000000000001000000011100000000001000000100100000000 -111000000000000101000110100000001011000000000000000000 -000000000000000101000011100011000000010110100000000000 -001000000000000101100110110011101011011001100000000000 -000000000000000001100110010000000000000000100100000000 -001000000000000000000010000000001001000000000000000000 -000000000000001000000000000011111000111000000000000000 -001000001000000001000000001101011010100000000000000000 -000000000000000000000111001011011011000010010000000000 -001000000000000000000000000101101001000001010000000000 -010000000000000000000000000001011001000000010000000000 -011000000000000000000000001101011011000001110000000000 +110000000000000000000000000000011001001100000100000100 +001000000000000000000000000000011011001100000000000010 +111000000000000000000011110000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +010000000001100000000000000011000000010000100000000000 +001000000001010000000000000000001100010000100010000000 +000000000000001000000110100000000000000000000000000000 +001000000000001101000000000000000000000000000000000000 +000001000000001001100000001000011010111110100000000000 +001000100000001001100000001001000000111101010000000001 +000000001000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000001110000000000000000111100001001001000100000001 +001000000000000000000000000000101011001001000000000100 +010000000110000000000000000000000000000000000000000000 +101000000000000000000010000000000000000000000000000000 .ramt_tile 3 12 000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 12 -010000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -111010100000000000000000001011011100111001010000000000 -000001000000000000000000001011011111111101110010000000 -110000000000000000000010000011011110010100000100000000 -011000000000000000000100000000000000010100000000000010 -000000000000000011100000000011101101000000000000000000 -001000000001000000100000001111101101000010000000000000 -000000000000000001000000000011101111000000000000000000 -001000000000000101100010100011111101000001000000000000 -000010100001010000000110011011101100000110100000000000 -001000000000100000000011001011101111000011000001100001 -000000000000000000000000011011101110000000000000000000 -001000000000000000000010001011111100000010000000000000 -010000000000000000000000000101000001100000010100000000 -111000000000000001000000000000101110100000010000000000 +110000000000000101000000000000000000100000010000000000 +001000000000000000100000001011001010010000100000000000 +111000000000000000000010100001111101010110100100000000 +000000000000000101000000001101001001000010000000000000 +010000000000000001100010001001011001000110000100000000 +111000000000000011000010110011011000000111000000000000 +000000000000001000000000000000000000000000000000000000 +001000000000000001000000000000000000000000000000000000 +000000000000000000000000010000011011000011000100000000 +001000000000000000000010000000001110000011000000000000 +000000000000000000000000001000000000010110100000000000 +001000000000001111000011110101000000101001010000000000 +000000000000000000000000010101001111101111110000000000 +001000000000000000000011100000011101101111110001000000 +010000000000000111000000000000000000000000000000000000 +101000000000000000100000000000000000000000000000000000 .logic_tile 5 12 -010001000001000000000010100000000001000000100101000000 -001000000000000101000000000000001001000000000000000000 +110000000000000000000000011011000000000000000100100001 +001000000000000000000011011111101001001001000001000000 111000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -010000000000000000000011100000000000000000000000000000 -111000001000001101000000000000000000000000000000000000 -000000000000000000000000010001000000000000000100000000 -001000000000000101000010010000000000000001000001000000 -000000000000000000000000001101101011001000000000000001 -001000001100000000000000001111101101000000000000000000 -000001000000000000000000000000000000000000100100000000 -001010000000000000000010000000001001000000000001000000 -000000000000000000000000000101100000000000000100100000 -001000000000010000000000000000000000000001000000000000 -000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010010100000000000000010000000000000000000000000000000 +111001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000000100000000011100111100000000000000000000000000000 +001001000000000000000100000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +001000000000000000000100000000000000000000000000000000 +000000000000000000000000001011011100101011110000000000 +001000000000000000000000001111010000101001010001000000 +010000000000000000000111100000000000000000000000000000 +101000000000000000000100000000000000000000000000000000 .logic_tile 6 12 -010000000000000000000111100000000000001001000000000000 -001000000000001101000010101001001101000110000000000000 -111000000000000111000011111111111000011110100000000000 -000000000110001101000010010101111110011101000000000000 -110000000000001111000010100011101001011110100110000000 -111000000000000001000010110011111001111111111000000000 -000000000000000101000000000101011100101000000000000000 -001000000000000001100011110000110000101000000000000010 -000000000000000101100000010111111001111111100100000000 -001000000000000101000010100111011000011111100000000000 -000000000000000000000010111001011010110111110100000000 -001000000000000001000010100001001100010111110000000000 -000000000000000101100011101101011111000111010000000000 -001000000000000001000000001011011100010111100000000000 -110000000000001111100110110111001011111111100110000000 -111000000000000101000011001101001011101111010000000000 +010000000000000000000000010111000000000000000100000000 +001000000100000000000010010000000000000001000010000000 +111000000000000001100000010000000000000000000000000000 +000000100000000000100010010000000000000000000000000000 +000010100000100001100000000001101010101100000000000000 +001001001011000000100010100000011010101100000000000000 +000000000000000000000000000000000001000000100000000000 +001000000000000000000000000000001100000000000000000000 +000000000000000000000000000000000001000000100100000000 +001000000000000000000000000000001100000000000001000000 +000000001110001101100000000000000000000000000000000000 +001000000000000101000000000000000000000000000000000000 +000000000000000000000000000000001110000100000100000000 +001000000000000001000010110000010000000000000000000000 +000000000000000000000000000001011001000110100000000000 +001000000000000000000000000000111000000110100000000000 .logic_tile 7 12 -010000000000001001100000010000000001000000100100000000 -001000000000000101000010000000001001000000000000000000 -111000000000100000000111011101101000000000000000000000 -000010000000000000000111011111111110000000100010000000 -110000000000001101000010100101000000000000000100000000 -111000000010000101100110000000100000000001000000000000 -000000000000000000000111000001001010010111100000000000 -001000000000000001000110100001011111000111010000000000 -000000000000001000000000010101111011011110100000000000 -001000000000000101000010010111011111011101000000000000 -000000000110000000000000011011001010001111110000000000 -001000000000000000000010011101001010000110100000000000 -000000000000001101100111101111011000111111110010000000 -001000000000000001000010001011011001111111010000000000 -000000000000000000000110010001001100101000000000000000 -001000000000000000000010010000110000101000000000000000 +010000000000000000000000000000000000000000000000000000 +001000000000000000000010100000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +010000000000001101000010010000000001001001000000100000 +111000000000001111000010001001001010000110000010000000 +000000000000000101000000001000000000000000000000000000 +001000000000000000000010100111000000000010000000000000 +000000000000000000000000000101000000000000000100000000 +001000000000000000000000000000000000000001000000000000 +000000000000000000000000000001100000101001010000000000 +001000000000000000000000001101000000000000000001000000 +000000000000000000000000001101100000011111100000000001 +001000000000000000000000000101101010111111110000100101 +010000000000001000000000000000000000000000000000000000 +101000000000000101000000000000000000000000000000000000 .logic_tile 8 12 -010001000000001111000000000101000000000000000100000000 -001010100000000111000010000000100000000001000000000000 -111000000000000000000000000000011100000100000100000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000011111111010001011100000000000 -011000001010000000000010011101111000101011010000000000 -000000000000001000000110110000001100000100000110000000 -001000000001000001000010000000000000000000000000000000 -000000000000001000000000000000001110000100000100000000 -001000000000011001000010010000010000000000000000000000 -000000000000001000000000000000000000000000100100000000 -001000000000001001000000000000001000000000000000000000 -000000000000000000000000010000001110000100000100000000 -001000000000000001000010010000000000000000000000000000 -000000000000000000000000011011011011000111010010000000 -001000000000000000000010011111111010010111100000000000 +010000000000000000000000001011100001001001000000000001 +001000000000000000000010000001001101010110100000000100 +001000000000000000000110010111001001110100110000000001 +000000000000000101000011110000111010110100110000000000 +110000000000000000000011110000011000111000110000000000 +011000000000000000000010011001011011110100110000000000 +000000000000001000000110000011101111111111100000000000 +001000000000001011000100000000101100111111100010000010 +000000000000000000000000010000011111110000000001000000 +001000001100000000000010000000001001110000000000000000 +000000000000000001100000010000000000000000100100000001 +001000000000000000100010010000001011000000000000000000 +000000000100000001100110001000000000000000000100000000 +001000000000000000100000001101000000000010000010000000 +010000000000001000000000000011101111001000000000000000 +101000000000001001000000000000101100001000000000000000 .logic_tile 9 12 -010000000000000000000000000111000000000000000100000001 -001001000000000000000000000000100000000001000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000001100000000000000000000000000000000000000000000 -011000000000000000000000000000000000000000000000000000 -000001000000000111100000000000000000000000000000000000 -001010000000000000000000000000000000000000000000000000 -000010100000000000000000010000000000000000000000000000 -001001000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000011100000000000000000000000000000 -000000000000000000000000000101100000000000000100000000 -001000000000000000000000000000000000000001000001000000 +010000000000000000000010100000011001110000000000000000 +001000000000000101000000000000011000110000000000000001 +001000000000001000000110100001000000000000000100000000 +000000000000001011000000000000000000000001000010000000 +010000000000000101000110100000011010000100000100000000 +111000000000000000000111100000010000000000000000000100 +000000000000000000000010100000000000000000000100000000 +001000000000000101000010101001000000000010000010000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000000101000000000010000000000100 +000000000000000000000000000101100000000000000100000001 +001000000000000000000000000000100000000001000000000000 +000000000000000000000000000101100000000000000100000001 +001000000000000000000000000000000000000001000000000000 +000000000000000000000000000000000001100000010000000001 +001000000000000000000000001101001001010000100000000000 .ramt_tile 10 12 000000000000000000000000000000000000000000 @@ -3177,7 +3177,7 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000010000001010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3189,236 +3189,236 @@ .logic_tile 11 12 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +001000000000000000000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +011000001100000000000000000000000000000000000000000000 +000000000000000000000000001001100000010000100100000001 +001000000000000000000000001111101111101001010000000000 000000000000000000000000000000000000000000000000000000 +001000000000000000000010000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +001000000000000000000011110000000000000000000000000000 +000000000000000000000000001000011111111101000100000000 +001000000000000000000011111011011001111110000000000001 000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010000010000101 -001000000000000000000000000000000000000000000011100010 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +001000000000000000000010000000000000000000000000000000 .logic_tile 12 12 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000011001110000000000000000000000000000000000000000000 +001011100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +001000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 .io_tile 13 12 -000001111100000010 -000000001100000000 +000000000100000010 +000000000100000000 000000000100000000 000000000100000001 000000000101110001 -000000000101010000 +000000000101110000 +000000000100000000 000000000100000000 -000000000100010000 000010000000000000 000001010000000000 -000000000000000010 -000000000000010000 -000001110000000000 -000000000000000001 -000000000000000010 +000000000000110010 +000000000000110000 000000000000000000 +000000000000000001 +000010111000000010 +000000110000000000 .io_tile 0 13 -000000000100000000 -000100000100000000 -000000000100000000 +100000000100000000 +000100000100001000 000000000100000000 000000000100000000 000000000100000000 +001000000100000000 001100000100000000 000000000100000000 -001000000000100000 -000100000000100100 +001000000000101000 +000100000000000100 000000000000001100 000000000000001100 -000001010000000000 +000001111000000000 000000001000000000 000000000000000000 000000000000000000 .logic_tile 1 13 -010000000000000000000000000011100000000000000100000000 -001000000000000000000011000000000000000001000000000010 -111000000000001000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -011000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 -001000000000000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -011000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000001000000001000000000 +001000000000000000000010100000001000000000000000001000 +111000000000000101000000010111011001001100111000000000 +000000000000001101100010000000001000110011000000000000 +010000000000000000000010100111101000110011000000000000 +111000000000000000000010100111000000001100110000000000 +000000000000000101000010101000011001001000000000000000 +001000000000000000000000000111011100000100000000000000 +000000000000000001100000001101001111000110100100000000 +001000001010000000000000001101111010000001010000000000 +000000000000000001100000001000011100000010100100000000 +001000000001010001000000000011000000000001010000000000 +000000000000000111000000011101001101000110100100000000 +001000000000000000100010001101101010000001010000000000 +010000000000001000000000001001011001001001010000000000 +101000000000000001000000000011111110101001010000000000 .logic_tile 2 13 -010000000000000001100000000000000001000000100100000000 -001000000000000000000010110000001010000000000000000000 -111000000000001001000010100000001000000100000100000000 -000000000000001011100100000000010000000000000000000000 +010000000000000011100111000000000000000000000000000000 +001000000000000000100000000000000000000000000000000000 +111000000000000000000000000000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 110000000000000000000000000000000000000000000000000000 -011000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000001000000000000111011000000010100000000000 -001000000000000001000011100000000000000010100000100000 -000000000000001000000000000011011000101000110000000000 -001000000000000001000000000000001000101000110000000000 -000000000000001000000000000001100000000000000100000000 -001000000000001011000000000000100000000001000000000000 -110000000000000000000000000000000000000000000000000000 -011000000000000000000000000000000000000000000000000000 +011000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000001001000000000010000010000000 +000000000000000001000000000000000000000000000000000000 +001000000000000000000011110000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +001000001100000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000100100000000 +101000000000000000000000000000001010000000000000000000 .ramb_tile 3 13 -010100000000000000000000000000000000000000 +010000000000000000000000000000000000000000 001000010000000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000001100000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000101000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +001000000000100000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 .logic_tile 4 13 -010000100000000000000000001000000001100000010100000001 -001000000000000000000000001001001000010000100000000000 -111000000000001000000000000000000000000000000000000000 -100000001110001011000010100000000000000000000000000000 -110000000000000000000000001000011100101000000100100000 -111000000000000000000000001001000000010100000000000000 -000000000000000000000000000011000000010110100000000000 -001000000000000000000000000000000000010110100010000000 -000000000000001000000000000000000000000000000000000000 -001000000000000101000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 -001000000000000000100000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 +010000000000000111100000000001100000000000001000000000 +001000000000000000100010100000000000000000000000001000 +001000000000000101000000000111011011001100111000000000 +000000000000010000000000000000101010110011000000000000 +000000000000000101000000000101101000110011000000000000 +001000000000000000000000000000001010001100110000000000 +000000000000001101000000000000001000000011110000000000 +001000000000000111000000000000010000000011110000000000 +000000000000000000000000000001000000000000000100000000 +001000000000000000000000000000000000000001000000100010 +000000000000000000000000000011100000000000000100000000 +001000000000000000000011110000100000000001000000100000 +000000000000000000000110000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -110001000000000111000000000000000000000000000000000000 -111010000000000000000000000000000000000000000000000000 .logic_tile 5 13 -010000000000000001100000000001000000000000000100000000 -001000000000000000000000000000000000000001000000000000 -111000000000000000000010101000001010000010100010000000 -000000000000001101000100001101000000000001010000000000 -110000000000001011100000000000011000000100000000000000 -111000000000001001100000000000010000000000000000000000 -000000000000000101000000010001100000000000000000000000 -001000000000000000100011010000000000000001000000000000 -000010100000001000000000000000000000000000000000000000 -001000000000000001000000000000000000000000000000000000 -000000000000000000000000000011011010011110100000100000 -001000000000000000000000000101001111010110100000000000 -000000000000000000000111010000000000000000000000000000 -001000000000000000000011010000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +001000000100000000000011100000000000000000000000000000 +001001000000001000000000000000011100001100110100100000 +000010000000000011000000000000001111001100110000000000 +110000000000000000000011100000000000000000100100000000 +011000000000000000000000000000001011000000000000000000 +000000000000000000000000000011100000000000000100100001 +001000000000000000000000000000000000000001000000000110 +000000000000000000000000010000000001000000100110000000 +001000000000000000000011000000001000000000000000100000 +000000000000001000000000000001101000010101010100000000 +001000000000001101000000000000010000010101010000000010 +000000000000000000000000010000000000000000000000000000 +001000000000000000000010110000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 .logic_tile 6 13 -010000000000000000000000000101101011001111110000000000 -001000000000001101000010000011101110001001010000000000 -111000000000000000000110000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -010000000000000111100110111101101010001111110000000000 -111000001010000101000011001111101000001001010000000000 -000000000000000011100010110000000000000000100110000000 -001000000000000001000110010000001011000000000000000000 -000010100001000000000000001101011010010110000000000000 -001000000000000101000000001101011111111111000000000000 -000000000000000000000000001101001001001111110000000000 -001000000000000000000000000101011001000110100000000000 -000000000000000001000010100000000000000000000110000000 -001000001000001101000000000111000000000010000010000000 -000000000000000101100010101101100000111001110000000001 -001000000000000000000000001101001110101001010000000000 +010000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +001000000000000000000111101000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +010000000000000000000010100000000000000010000010000101 +111000000000000000000010100000000000000000000011000100 +000000000000000000000010100000000000000000000000000000 +001000000000000000000000001101000000000010000000000000 +000000000000000000000000000000000001000000100000000000 +001000000000000000000000000000001011000000000000000000 +000000000000000000000111000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +001000000000000000000000001011000000000010000000000000 .logic_tile 7 13 -010000000000100101000010001001001010001111110000000000 -001000000111010000100011111001011100000110100000000000 -111000000000000000000010100000000000000000000100000000 -000000000000000000000010101001000000000010000000000000 -010000000001000101000110110000001100000100000100000000 -011000000000100001000010000000010000000000000000000000 -000000000010000000000000010000001010000100000100000000 -001000000000000000000010100000010000000000000000000000 -000000000000001000000010000111000000100000010000000000 -001000000000001001000000000000001100100000010000100000 -000000000000000000000000010000001110000100000100000000 -001000000000000000000011010000010000000000000000000000 -000000000000001000000010000000011010000100000100000000 -001000000000000101000000000000010000000000000000000000 -000000000000000000000000000011101011010111100000000000 -001000000000000000000000000101101001001011100000000000 +010000000000000000000110000011000000000000000100000000 +001000000000000000000100000000000000000001000000000100 +001000000000000001100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +010000000000000000000010100111101000000001010000000000 +111000000000000000000000000000110000000001010000000000 +000000000000000111100110000101100000000000000100000000 +001000000000000000100100000000000000000001000000000100 +000000001110101001000000001001011000000111010000000000 +001000000001010101100000001101101111101011010000000000 +000000000000000101100000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000001000000000011011001111000011110000000010 +001000000000000101000010100011011110000011010000100001 .logic_tile 8 13 -010000100000000000000000000000011100010100000000000000 -001000000000000000000010010101000000101000000000000000 -111000000000000111000000011000000000000000000100000000 -000000000000000000000010101111000000000010000000000100 -010000000000000001000010000111100000000000000100000000 -111000001100000000000000000000000000000001000000000001 -000000000000000000000010000101101111000110100000100000 -001000000000000000000000000011111101001111110000000000 -000000000000001001100010000000000000000000000000000000 -001000001010000001100100000000000000000000000000000000 -000000000000000001000110000001011000011111100000000000 -001000000000000000000100001111111101010111110000100000 -000000000000000000000010110000011100110000000010000010 -001000000000000000000010010000011100110000000001000000 -000000000000001000000000010011000001001001000000000000 -001000000000000111000011110000001000001001000000000010 +010000000000001000000010100111100000000000000100000000 +001000001000000001000000000000100000000001000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000100000000000000000001011011001111110000000000 +111000000000000001000010000001111011001001010000000000 +000000000000000000000010100000011100000100000100000000 +001000000000000000000010100000000000000000000000000000 +000000100000000000000110000000001010000100000100000000 +001000000000000000000000000000000000000000000000000010 +000000000000000000000000000000000001000000100100000100 +001000000000000001000000000000001110000000000000000000 +000001000000001000000111100101000000000000000100000000 +001010100000000001000100000000100000000001000000000000 +010000000000000000000000000000000000000110000000000100 +101000000000000001000010001011001110001001000010000000 .logic_tile 9 13 -010000000000000000000000000000000000000000000000000000 -001010000000000000000000000000000000000000000000000000 -111000000000001000000000000000000000000000000000000000 -000000000000001011000000000000000000000000000000000000 -110010100001010000000000000000000000000000000000000000 -011001000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 -001000001010000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000011000000100000100000000 -001000000000000000000000000000000000000000000000000000 +010000000000000111100010100001111001101001000010000000 +001000000100000000100110110101101001100001010000000000 +001000000000101101100110110111100000101001010000000001 +000000000000000011000010100001100000000000000000000000 +110000000000000011100111001011111110010111110100000000 +011000000000000001100000001101101110111011110000000001 +000000000000001111000110000111101010010010100000000000 +001000000000001111000000000111101101110011110000000000 +000000000000001001000110010001001101000111110000000000 +001000001010000111100111000011101010011111110000000000 +000001000000000000000011110111011101011111110100000000 +001000000000000001000110000101111101010111110000000001 +000000000000000101100110000011011010011110100000000000 +001000000000001011100000001101001001011111110000000000 +010000000000001000000110000111111101000111010000000000 +011000000000000001000100001011101000010111100000000000 .ramb_tile 10 13 010000000000000000000000000000000000000000 @@ -3428,42 +3428,40 @@ 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000100000000000000000000000000000000000 -000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +001000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 001000001110000000000000000000000000000000 -000010101110000000000000000000000000000000 -001011000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 .logic_tile 11 13 -010000000000000000000000010000000000000000000000000000 -001000000000000000000011110000000000000000000000000000 -111000000000000000000000000111100001101001010010000000 -000000000000000000000000000111101101111001110000000000 010000000000000000000000000000000000000000000000000000 -011000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +001001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -001000000000000000000011000000000000000000000000000000 -000000000000000000000111000000001100000100000100000010 -001000000000000000000100000000010000000000000001000000 -000000000000000000000000010000000000000000000000000000 -001000000000000001000011010000000000000000000000000000 -010000000000000000000011100000000000000000000100000000 -101000000000000000000100001111000000000010000010000000 .logic_tile 12 13 010000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 @@ -3471,6 +3469,8 @@ 001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +001000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 @@ -3479,16 +3479,16 @@ 000100000100000000 000000000100000000 000000000100000000 -000001111100000000 +000000000100000000 000000000100000000 000100000100000000 000000000100000000 000000000000000000 000100000000000000 -000000000000010010 +000000000000110010 000000000000010000 -000000000000000000 -000000000000000001 +000010000000000000 +000000110000000001 000000000000000010 000000000000000000 @@ -3496,7 +3496,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000011000 +000000000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -3513,38 +3513,38 @@ .logic_tile 1 14 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001100000010110100000000000 +000000000000000000000000000000000000010110100000000000 +000000000000000000000000000001100000010110100000000000 +000000000000000000000000000000100000010110100000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 2 14 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -111000000000001000000111100000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -010000000000000000000000000000011000000100000100000000 -010000000000000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000010101000000000000000000100000000 +000000000000000000000000001001000000000010000010000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000000000001 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001010000100000110000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001100000000000000100000000 -000000000000000000000000000000000000000001000000000000 .ramt_tile 3 14 000000000000000000000000000000000000000000 @@ -3555,8 +3555,8 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3565,120 +3565,120 @@ 000000000000000000000000000000000000000000 .logic_tile 4 14 -000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000011100000000000000000000000000000 -110000000000000001000100000000000000000000000000000000 -000000000000001000000000001000000000010000100100100000 -000000000000001111000000000101001100100000010000000010 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000110001000000000000000000000000000000000000000 -000000000000001011000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -110000000000000000000000000000000000010000100001000000 -010000000000000000000000000101001111100000010000100001 - -.logic_tile 5 14 -000000000000001000000000011000011100010000000010000000 -000000000000000011000011110101001011100000000010000000 -111000000000100000000000000001001101010011000010000000 -000000000000000000000000001111001111110111100000000000 -010000000000000000000110100111101110000000000000000000 -010000000000001101000000000011110000000010100000100000 -000000000000000101000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000001000000000000111101110000000010010000000 -000000000000001011000000000011111000000000000000000100 -000000000000000001100000000000000000000000100100000000 -000000000000000001000000000000001010000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -110000000000000000000000000001000000000000000000000000 -010010000000000000000010101111001010001001000010100101 - -.logic_tile 6 14 -000000000000000000000000010000000000000000100100000000 -000000000000000000000010000000001010000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000001000111000000011010000100000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000001001000000001000000000000000000100100000 -000000000000001001000011101101000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000010110100000000000 -000000000000000000000000001101000000101001010000100010 -000000000000000000000000000000011010000100000100000000 -000000000000000000000000000000000000000000000000000000 - -.logic_tile 7 14 -000000000000000001100011100000011010000100000100000000 -000000000000000000000011100000010000000000000000000000 -111000000000000011100110000000011010000100000100000000 -000000000000000000000000000000000000000000000000000000 -010000000000000001100000000001101100010110100010000000 -010000000000000001000000000011111010100001010000000000 -000000000000000111000000001000000000000000000100000000 -000000000000000000000010000001000000000010000010000000 -000010100000001000000110000001000000000000000100000100 -000001000000000001000000000000100000000001000000000000 -000000000000000000000000000000001100000010100000000000 -000000000000000000000000000001000000000001010010000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000100100000000 -100010000000000000000000000000001010000000000000000000 - -.logic_tile 8 14 -000000000000000000000011100000000000000000100100000000 -000000000000000000000000000000001010000000000000000000 -111000000000001000000000000000000000000000100100000000 -000000000000000011000000000000001001000000000000000000 -110000000000001111000000000101100000000000000100000000 -110000000001000011000000000000100000000001000000000000 -000000000110001000000110110000000000000000000000000000 -000000000000000101000011000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000011000101000000000000000100000000 -000000000000000000000000000000000000000001000000000000 -000000000000000000000000000101100001000110000000000000 -000000000000000000000000000000101010000110000000000000 - -.logic_tile 9 14 -000010000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000001000000000000000000000000000000000000000000000000 -111000000000000000000110110000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -010000000000000000000010000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000010100101111101000001000100000000 -000000000000000000000000001111111001101011010010000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000000000001001101101111001010100000000 -000000000000000000000011111001111111110101010010100000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000111000000000000000100000000 +000000000000000000000000000000100000000001000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000011110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 + +.logic_tile 5 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 + +.logic_tile 6 14 +000000000000000101000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +010000000000000001000010110000000000000000000000000000 +110000000000000000000010010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101011010010111100000000000 +000000000000000000000000001011011000111111100000000000 +000000000000000000000000000101101011111111000100000000 +000000000000000000000000001001011110111111100000100000 +010000000000000001100000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 + +.logic_tile 7 14 +000000000000000011100000010000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +010001000000000000000011101001011101011111100000000000 +110000100000000000000000001101011000010111110000000000 +000000000000000000000111000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000101100000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000001000000110000000000000000000000000000000 +000000000000000111000100000000000000000000000000000000 +000000000000000000000000000111111111011111110100000000 +000000000000000000000000001001011110101011111000100000 +110000000000001001000000010111101101001111110100000000 +010000000000000101000010100011011010011111110000100000 + +.logic_tile 8 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000010000000000000000000001010000100000100000000 +000000000000000101000000000000000000000000000000000000 +110000000000001001000111000000000000000000000000000000 +010000000000000011000000000000000000000000000000000000 +000000000000000000000010010001100000000000000000000000 +000000000000000000000011000000000000000001000000000000 +000000000000000000000010000011000000000000000100000000 +000000000000000000000010000000100000000001000000000000 +000000000000000000000000000101100000000000000100000000 +000000000000000000000000000000100000000001000001000000 +000000000000000000000000001101011010010111100000000000 +000000000000000000000000000111011011111111100000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 + +.logic_tile 9 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000001000000000001111111110010100110100000000 +000000000000001011000000000101011010000000110010100000 +110000000000001000000010001011111011111001010100000001 +110000000000000011000000000101001111110110010000000000 +000000000000001001000000001111111001011101000100000000 +000000000000000001000000000011101110110100010010000000 +000000000000000000000000000111111110000010100000000000 +000000000000000000000000000000010000000010100000000000 +000000100000000001100000001101011111011001010100000000 +000001000000000000100000000111111100100101010000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000001001100110010000000000000000000000000000 +000000000000001001100110010000000000000000000000000000 .ramt_tile 10 14 000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3709,18 +3709,18 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 12 14 -000000000000000000000000000011100000000000000000000000 -000000000000000000000000000000100000000001000000000000 -000000000100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000111100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3729,19 +3729,19 @@ .io_tile 13 14 000000000000000010 000100000000001000 -000000000000000000 -000000000000000001 -000001010000100010 -000000001000110000 +000001111000000000 +000000001000000001 +000000000000110010 +000000000000110000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000001111000010010 -000000001000010000 +000000000000000010 +000000000000110000 000000000000000000 000000000000000001 -000000000000000010 +000001111000000010 000000000000000000 .io_tile 0 15 @@ -3776,27 +3776,27 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 2 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000111100000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 -010000000000000000000011100000000000000000000000000000 -110000000000000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001100000000000000100000000 -000000000000000000000000000000100000000001000000100000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 .ramb_tile 3 15 000000000000000000000000000000000000000000 @@ -3811,7 +3811,7 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3819,92 +3819,92 @@ .logic_tile 4 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000110100000011100000100000100000000 -110000000000000000000100000000010000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000110100000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 5 15 -000000000000001000000000011111100000101001010000000000 -000000000000001111000010100001100000111111110000000000 -111000000000000000000000000001000001010000100000000001 -000000000000011101000000000111001010011001100000000000 -110000000000001000000000010111101011111011100000000000 -110000000001011111000010100000111000111011100000000000 -000000000000001000000000001001101000001111100000000000 -000000000000000001000000001111011010001101000001000000 -000000000000000000000000010011101011100010100000000000 -000000000000000000000010001011101100010010100000000000 -000000000000000000000110000000000000101111010100000000 -000000000000000001000000000111001011011111100000100000 -000000000000001000000010000111101011000100100011000010 -000000000000000001000000001001101000100101000000000000 -110000000000000111000000011000011000111110110000000000 -110000000000000000100010101111001011111101110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 6 15 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000001000001100000000010000000100 -000000000000000000000000000101001010000000100000000010 -110000000000001000000000010000000000000000000000000000 -010000001010001111000010100000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001001000010000000000000000000000000000000 -000000000000000000000000000000001101010000000000000010 -000000000000000000000000000101001010100000000000100010 -000000000000000000000000000101000000000000000100000000 -000000000000000000000000000000100000000001000000000000 -110000000000000111100000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 - -.logic_tile 7 15 -000000000000000001100000000000000000000000000000000000 -000000000000000000100010100000000000000000000000000000 -111001000000000000000010100101011010010101010100100001 -000000000000000000000000001101100000010110100000000000 -010000000000001000000010110000000000000000000000000000 -010000000000001001000010010000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000101011011101101010100000000 -000000000000000000000000000101101001101110100001000100 000000000000000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 -000000000000000000000000001101101010010001110100000000 -000000000000000000000000001001011000111000100000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 + +.logic_tile 7 15 +000000000000001000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +001000000000000000000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +110000000000000000000110000000000000000000000000000000 +010000000000000000000100000000000000000000000000000000 +000000000000000000000000000101011001111100110110000000 +000000000000000000000000001111111111011100100000000000 +000000000000000000000000000111011110010101010100000000 +000000000000000000000011000001110000010110100001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000010000000000000000000000000000000 .logic_tile 8 15 -000000000000000000000000001011011101101000010000000000 -000000000000000000000000000111111100000110100000000000 -111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000010000000000000000000000000000 -010000000000000000000011010000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000001000000110010000000000000000000000000000 -000000000000000001000110010000000000000000000000000000 -000000000000001001000110000111101101111100100100000000 -000000000000001001000100000000001100111100100010000010 -000000000000000000000110000011111011001100000110000000 -000000000000000000000100001111101110111100110000100000 -000000000000000001100000000111101100000001010100000001 -000000000000000000100000001101000000000011110000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 9 15 000000000000000000000000000000000000000000000000000000 @@ -3925,15 +3925,15 @@ 000000000000000000000000000000000000000000000000000000 .ramb_tile 10 15 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000010001010000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3961,8 +3961,8 @@ 000000000000000000000000000000000000000000000000000000 .logic_tile 12 15 -000000000110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3981,16 +3981,16 @@ .io_tile 13 15 000000000000000010 000100000000000000 -000000000000000000 -000000000000010001 -000000000000100010 -000000000000010000 +000010000000000000 +000000110000000001 +000000000000000010 +000000000000110000 001100000000000000 000000000000000000 -000000111000000000 -000101010000000000 +000000000000000000 +000100000000000000 000000000000100010 -000000000000010000 +000011110000110000 000000000000000000 000000000000000001 000000000000000010 @@ -4071,8 +4071,8 @@ .logic_tile 4 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4089,17 +4089,17 @@ .logic_tile 5 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000100000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4151,7 +4151,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4205,7 +4205,7 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -4257,8 +4257,8 @@ 000000000000000000 000000000000000000 000000000000000000 -010000000000000000 -000100000000000000 +000000000000000000 +100100000000000010 000000000000000000 000000000000000000 000000000000000000 @@ -4267,7 +4267,7 @@ 000000000000000000 .io_tile 2 17 -000001011000000000 +000000000000000000 000100000000000000 000000000000000000 000000000000000000 @@ -4277,20 +4277,20 @@ 000000000000000000 000000000000000000 000100000000000000 -000000000000000010 -000000000000010000 +000000000000100010 +000011110000110000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 .io_tile 3 17 -100000000000000000 -000000000000000001 -100000000000000000 -010000000000000001 000000000000000000 000000000000000001 +010000000000000000 +011000000000000001 +000000000000000000 +000000000000000000 001100000000000000 000000000000000000 000000000000000000 @@ -4305,7 +4305,7 @@ .io_tile 4 17 000000000000000010 000000000000000000 -000000000000100000 +000000000000000000 000000000000000001 000000000000110010 000000000000010000 @@ -4315,13 +4315,13 @@ 000100000000000000 000000000000000000 000000000000000000 -000001111000000000 -000000000000000000 +000001110000000000 +000000001000000000 000000000000000000 000000000000000000 .io_tile 5 17 -000000000000000000 +000000000000001000 000000000000000000 000000000000000000 000000000000000000 @@ -4339,8 +4339,8 @@ 000000000000000000 .io_tile 6 17 -000000000000011000 -000000000001000000 +000000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -4358,17 +4358,17 @@ .io_tile 7 17 000000000000000000 -010000000000001000 -000000000000000000 +000000000000001001 000000000000000001 -000000000000000000 -000000000000000000 +000000000000000001 +000000000000001100 +000000000000001000 001100000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -000000000000000000 +000010000000000000 +000001110000000000 000000000000000000 000000000000000000 000000000000000000 @@ -4377,8 +4377,8 @@ .io_tile 8 17 000000000000000000 000000000000000000 -000000000000000001 -000000000000000001 +000000000000000000 +001000000000000001 000000000000000000 000000000000000000 000000000000000000 @@ -4393,10 +4393,10 @@ 000000000000000000 .io_tile 9 17 +000000000000001000 000000000000000000 -000000000000000000 -000000000000000000 -001000000000000001 +000000000000000001 +000000000000000001 000000000000000000 000000000000000000 001000000000000000 @@ -4406,7 +4406,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000001 +000000000000011001 000000000000000000 000000000000000000 @@ -4415,32 +4415,32 @@ 000000000000000000 000000000000000000 000000000000000001 -000000000000100010 -000000000000010000 +000000000000110010 +000000000000110000 000000000000000000 000000000000000000 -000000111000000000 -100000001000000000 +000000000000000000 +010000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000001 -000000000000000000 -000000000000000000 +000001010000000000 +000000001000000000 .io_tile 11 17 000000000000000010 000000000000001000 -000010000000000000 -000011010000000001 -000000000000000010 +000000000000000000 +000000000000000001 +000000000000100010 000000000000110000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000000000000 -000000000000000000 +000001111000000000 +000000001000000000 000000000000000000 000000000000000000 000000000000000000 @@ -4464,4071 +4464,7311 @@ 000000000000000000 000000000000000000 -.sym 1 i_sck$SB_IO_IN_$glb_clk -.sym 3 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 4 lvds_clock_buf -.sym 7 r_counter[0]_$glb_clk +.ram_data 3 5 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 + +.ram_data 3 7 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 + +.ram_data 3 3 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 + +.ram_data 3 1 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 + +.sym 1 r_counter[0]_$glb_clk +.sym 2 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 3 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 4 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 6 i_sck$SB_IO_IN_$glb_clk +.sym 7 lvds_clock_buf .sym 8 i_glob_clock$SB_IO_IN_$glb_clk -.sym 410 r_counter[0] -.sym 520 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 521 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 522 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 523 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 524 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 525 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 526 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 576 r_counter[0] -.sym 600 r_counter[0] -.sym 635 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[2] -.sym 636 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[3] -.sym 637 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[4] -.sym 638 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[5] -.sym 640 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[6] -.sym 748 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1[1] -.sym 749 lvds_rx_24_inst.r_push_SB_LUT4_I2_O[2] -.sym 750 w_rx_24_fifo_full -.sym 752 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1[3] -.sym 830 r_counter[0] -.sym 849 r_counter[0] -.sym 861 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[1] -.sym 862 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[2] -.sym 863 lvds_rx_24_inst.r_phase_count[1] -.sym 864 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3[1] -.sym 865 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E -.sym 866 w_rx_24_fifo_push -.sym 867 lvds_rx_24_inst.r_phase_count[0] +.sym 40 lvds_rx_09_inst.o_fifo_data[29] +.sym 44 lvds_rx_09_inst.o_fifo_data[31] +.sym 108 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 178 w_rx_24_fifo_data[15] +.sym 209 lvds_rx_09_inst.o_fifo_data[17] +.sym 291 w_rx_24_fifo_data[4] +.sym 292 w_rx_24_fifo_data[9] +.sym 293 w_rx_24_fifo_data[7] +.sym 294 w_rx_24_fifo_data[17] +.sym 295 w_rx_24_fifo_data[16] +.sym 296 w_rx_24_fifo_data[14] +.sym 297 w_rx_24_fifo_data[13] +.sym 298 w_rx_24_fifo_data[11] +.sym 303 $PACKER_VCC_NET +.sym 339 w_rx_24_fifo_data[15] +.sym 348 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 405 w_rx_24_fifo_data[12] +.sym 406 w_rx_24_fifo_data[8] +.sym 407 w_rx_24_fifo_data[19] +.sym 408 w_rx_24_fifo_data[10] +.sym 409 w_rx_24_fifo_data[3] +.sym 410 w_rx_24_fifo_data[20] +.sym 411 w_rx_24_fifo_data[5] +.sym 412 w_rx_24_fifo_data[2] +.sym 440 w_rx_24_fifo_data[17] +.sym 446 w_rx_24_fifo_data[13] +.sym 449 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 485 lvds_clock +.sym 519 w_rx_24_fifo_data[26] +.sym 521 w_rx_24_fifo_data[25] +.sym 522 w_rx_24_fifo_data[24] +.sym 523 w_rx_24_fifo_data[29] +.sym 524 w_rx_24_fifo_data[21] +.sym 525 w_rx_24_fifo_data[27] +.sym 526 w_rx_24_fifo_data[23] +.sym 544 w_rx_24_fifo_data[5] +.sym 545 i_smi_a1_SB_LUT4_I2_O[1] +.sym 552 i_smi_a3$SB_IO_IN +.sym 574 w_rx_24_fifo_data[2] +.sym 598 w_rx_24_fifo_data[19] +.sym 599 i_smi_a3$SB_IO_IN +.sym 633 lvds_rx_24_inst.r_push_SB_LUT4_I3_O[2] +.sym 634 w_rx_24_fifo_data[30] +.sym 635 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1[0] +.sym 636 w_rx_24_fifo_data[28] +.sym 638 w_rx_24_fifo_data[22] +.sym 639 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 640 lvds_rx_24_inst.r_push_SB_LUT4_I3_O[0] +.sym 672 w_rx_24_fifo_data[21] +.sym 747 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[1] +.sym 748 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[2] +.sym 749 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[3] +.sym 750 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[4] +.sym 751 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] +.sym 752 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[6] +.sym 753 w_rx_24_fifo_push +.sym 756 i_smi_a1_SB_LUT4_I1_O[1] +.sym 806 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 830 lvds_clock +.sym 852 lvds_clock +.sym 860 rx_24_fifo.wr_addr[4] +.sym 861 rx_24_fifo.wr_addr[1] +.sym 862 rx_24_fifo.wr_addr[7] +.sym 863 rx_24_fifo.wr_addr[6] +.sym 864 rx_24_fifo.wr_addr[2] +.sym 865 rx_24_fifo.wr_addr[3] +.sym 866 rx_24_fifo.wr_addr[5] +.sym 867 rx_24_fifo.wr_addr[0] +.sym 903 w_rx_24_fifo_push +.sym 911 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O .sym 940 lvds_clock -.sym 944 lvds_clock -.sym 970 lvds_clock -.sym 974 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[0] -.sym 975 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[2] -.sym 976 w_lvds_rx_24_d1_SB_LUT4_I2_O[1] -.sym 977 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_E -.sym 978 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[2] -.sym 979 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[1] -.sym 980 w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O[1] -.sym 981 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 1003 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 1089 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_D[0] -.sym 1090 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 1091 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E -.sym 1093 w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O[0] -.sym 1094 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[1] -.sym 1095 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 1097 spi_if_ins.spi.SCKr[2] -.sym 1169 $PACKER_VCC_NET +.sym 941 $PACKER_VCC_NET +.sym 944 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 959 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 975 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] +.sym 976 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 977 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 978 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 979 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] +.sym 980 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] +.sym 981 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] +.sym 1009 rx_24_fifo.wr_addr[6] +.sym 1015 rx_24_fifo.wr_addr[5] +.sym 1017 rx_24_fifo.wr_addr[0] +.sym 1042 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 1049 rx_24_fifo.wr_addr[4] +.sym 1053 rx_24_fifo.wr_addr[7] +.sym 1054 i_smi_a3$SB_IO_IN +.sym 1088 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 1089 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 1092 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E +.sym 1093 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E +.sym 1094 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 1095 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] +.sym 1151 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O .sym 1173 w_lvds_rx_09_d0 .sym 1174 w_lvds_rx_09_d1 .sym 1183 $PACKER_VCC_NET .sym 1184 lvds_clock_buf -.sym 1196 $PACKER_VCC_NET -.sym 1202 spi_if_ins.spi.r_tx_byte[3] -.sym 1207 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 1209 w_lvds_rx_24_d1_SB_LUT4_I2_O[0] -.sym 1210 i_ss$SB_IO_IN -.sym 1212 w_lvds_rx_09_d1 -.sym 1244 $PACKER_VCC_NET -.sym 1251 w_lvds_rx_09_d0 +.sym 1191 $PACKER_VCC_NET +.sym 1202 lvds_rx_24_inst.r_state_if[0] +.sym 1203 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E +.sym 1204 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[3] +.sym 1205 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFNESR_Q_E +.sym 1206 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 1207 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 1208 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] +.sym 1209 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 1231 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 1234 w_lvds_rx_09_d0 +.sym 1236 w_lvds_rx_09_d1 +.sym 1278 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] .sym 1287 lvds_clock .sym 1297 $PACKER_VCC_NET -.sym 1305 $PACKER_VCC_NET -.sym 1316 sys_ctrl_ins.reset_cmd -.sym 1327 spi_if_ins.r_tx_byte[3] -.sym 1373 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 1391 $PACKER_VCC_NET +.sym 1302 $PACKER_VCC_NET +.sym 1317 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[1] +.sym 1318 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[2] +.sym 1319 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 1320 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[0] +.sym 1321 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 1322 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[2] +.sym 1323 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0[2] +.sym 1324 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 1373 $PACKER_VCC_NET +.sym 1376 $PACKER_VCC_NET .sym 1401 w_lvds_rx_24_d0 .sym 1402 w_lvds_rx_24_d1 .sym 1411 $PACKER_VCC_NET .sym 1412 lvds_clock_buf .sym 1427 $PACKER_VCC_NET -.sym 1461 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E -.sym 1509 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R -.sym 1511 o_shdn_tx_lna$SB_IO_OUT -.sym 1621 $PACKER_VCC_NET -.sym 2084 i_smi_soe_se_SB_LUT4_I1_1_O -.sym 2403 lvds_rx_24_inst.r_push_SB_LUT4_I3_O -.sym 2504 rx_24_fifo.wr_addr_gray[3] -.sym 2506 rx_24_fifo.wr_addr_gray[6] -.sym 2509 rx_24_fifo.wr_addr_gray[2] -.sym 2510 rx_24_fifo.wr_addr[7] -.sym 2533 rx_24_fifo.wr_addr[7] -.sym 2546 $PACKER_VCC_NET -.sym 2578 r_counter[0] -.sym 2620 r_counter[0] -.sym 2637 i_glob_clock$SB_IO_IN_$glb_clk -.sym 2639 rx_24_fifo.wr_addr[0] -.sym 2640 rx_24_fifo.full_o_SB_LUT4_I3_O[2] -.sym 2641 rx_24_fifo.wr_addr[3] -.sym 2642 rx_24_fifo.wr_addr[5] -.sym 2643 rx_24_fifo.full_o_SB_LUT4_I3_O[1] -.sym 2644 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[1] -.sym 2645 rx_24_fifo.full_o_SB_LUT4_I3_O[0] -.sym 2646 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[0] -.sym 2648 rx_24_fifo.wr_addr_gray[2] -.sym 2672 rx_24_fifo.wr_addr[0] -.sym 2673 rx_24_fifo.wr_addr[2] -.sym 2706 rx_24_fifo.wr_addr[7] -.sym 2708 rx_24_fifo.wr_addr[0] -.sym 2710 rx_24_fifo.wr_addr[3] -.sym 2711 rx_24_fifo.wr_addr[5] -.sym 2714 rx_24_fifo.wr_addr[6] -.sym 2719 rx_24_fifo.wr_addr[2] -.sym 2720 rx_24_fifo.wr_addr[4] -.sym 2721 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[1] -.sym 2724 $nextpnr_ICESTORM_LC_7$O -.sym 2727 rx_24_fifo.wr_addr[0] -.sym 2730 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] -.sym 2732 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[1] -.sym 2734 rx_24_fifo.wr_addr[0] -.sym 2736 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] -.sym 2739 rx_24_fifo.wr_addr[2] -.sym 2740 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] -.sym 2742 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] -.sym 2744 rx_24_fifo.wr_addr[3] -.sym 2746 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] -.sym 2748 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] -.sym 2750 rx_24_fifo.wr_addr[4] -.sym 2752 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] -.sym 2754 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] -.sym 2757 rx_24_fifo.wr_addr[5] -.sym 2758 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] -.sym 2760 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[7] -.sym 2763 rx_24_fifo.wr_addr[6] -.sym 2764 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] -.sym 2769 rx_24_fifo.wr_addr[7] -.sym 2770 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[7] -.sym 2774 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] -.sym 2775 rx_24_fifo.full_o_SB_LUT4_I3_O[3] -.sym 2776 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 2777 rx_24_fifo.wr_addr[2] -.sym 2778 rx_24_fifo.wr_addr[4] -.sym 2779 lvds_rx_24_inst.r_push_SB_LUT4_I2_O[0] -.sym 2780 rx_24_fifo.wr_addr[6] -.sym 2781 lvds_rx_24_inst.r_push_SB_LUT4_I2_O[1] -.sym 2802 lvds_rx_24_inst.r_push_SB_LUT4_I3_O -.sym 2804 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[1] -.sym 2806 rx_24_fifo.full_o_SB_LUT4_I3_O[0] -.sym 2829 rx_24_fifo.wr_addr[3] -.sym 2830 rx_24_fifo.wr_addr[5] -.sym 2832 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[1] -.sym 2837 $PACKER_VCC_NET -.sym 2839 rx_24_fifo.wr_addr[7] -.sym 2846 rx_24_fifo.wr_addr[2] -.sym 2847 rx_24_fifo.wr_addr[4] -.sym 2849 rx_24_fifo.wr_addr[6] -.sym 2859 $nextpnr_ICESTORM_LC_4$O -.sym 2861 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[1] -.sym 2865 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[2] -.sym 2868 rx_24_fifo.wr_addr[2] -.sym 2871 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[3] -.sym 2874 rx_24_fifo.wr_addr[3] -.sym 2875 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[2] -.sym 2877 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[4] -.sym 2879 rx_24_fifo.wr_addr[4] -.sym 2881 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[3] -.sym 2883 $nextpnr_ICESTORM_LC_5$I3 -.sym 2885 rx_24_fifo.wr_addr[5] -.sym 2887 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[4] -.sym 2889 $nextpnr_ICESTORM_LC_5$COUT -.sym 2892 $PACKER_VCC_NET -.sym 2893 $nextpnr_ICESTORM_LC_5$I3 -.sym 2895 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[6] -.sym 2898 rx_24_fifo.wr_addr[6] -.sym 2902 rx_24_fifo.wr_addr[7] -.sym 2905 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[6] -.sym 2909 lvds_rx_24_inst.r_push_SB_LUT4_I3_O -.sym 2912 rx_24_fifo.wr_addr_gray[1] -.sym 2913 rx_24_fifo.wr_addr_gray[0] -.sym 2914 rx_24_fifo.wr_addr_gray[4] -.sym 2932 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 2934 w_rx_24_fifo_push -.sym 2939 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E -.sym 2942 lvds_rx_24_inst.r_push_SB_LUT4_I3_O -.sym 2950 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 2956 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 2964 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[2] -.sym 2965 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[3] -.sym 2966 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[4] -.sym 2967 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[5] -.sym 2968 rx_24_fifo.wr_addr[6] -.sym 2969 lvds_rx_24_inst.r_push_SB_LUT4_I2_O[1] -.sym 2972 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1[1] -.sym 2973 lvds_rx_24_inst.r_push_SB_LUT4_I2_O[2] -.sym 2975 lvds_rx_24_inst.r_push_SB_LUT4_I2_O[0] -.sym 2976 w_rx_24_fifo_push -.sym 2977 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[6] -.sym 2978 rx_24_fifo.wr_addr[0] -.sym 2981 rx_24_fifo.wr_addr[2] -.sym 2984 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1[3] -.sym 2988 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[1] -.sym 3007 rx_24_fifo.wr_addr[0] -.sym 3008 rx_24_fifo.wr_addr[2] -.sym 3009 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[2] -.sym 3010 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[1] -.sym 3013 w_rx_24_fifo_push -.sym 3014 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1[3] -.sym 3015 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1[1] -.sym 3016 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[6] -.sym 3019 lvds_rx_24_inst.r_push_SB_LUT4_I2_O[2] -.sym 3020 lvds_rx_24_inst.r_push_SB_LUT4_I2_O[1] -.sym 3021 lvds_rx_24_inst.r_push_SB_LUT4_I2_O[0] -.sym 3031 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[4] -.sym 3032 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[3] -.sym 3033 rx_24_fifo.wr_addr[6] -.sym 3034 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[5] +.sym 1434 lvds_rx_24_inst.r_phase_count[0] +.sym 1435 lvds_rx_24_inst.r_phase_count[1] +.sym 1466 $PACKER_VCC_NET +.sym 1470 w_lvds_rx_24_d0 +.sym 1474 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 1490 w_lvds_rx_24_d1 +.sym 1502 $PACKER_VCC_NET +.sym 1510 i_smi_a3$SB_IO_IN +.sym 1620 rx_24_fifo.wr_addr_gray_rd[4] +.sym 1879 lvds_rx_09_inst.o_fifo_data[26] +.sym 1880 lvds_rx_09_inst.o_fifo_data[28] +.sym 1881 lvds_rx_09_inst.o_fifo_data[24] +.sym 1882 lvds_rx_09_inst.o_fifo_data[30] +.sym 1883 lvds_rx_09_inst.o_fifo_data[27] +.sym 1884 lvds_rx_09_inst.o_fifo_data[22] +.sym 1885 lvds_rx_09_inst.o_fifo_data[20] +.sym 1886 lvds_rx_09_inst.o_fifo_data[18] +.sym 1978 lvds_rx_09_inst.o_fifo_data[29] +.sym 1991 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 1997 lvds_rx_09_inst.o_fifo_data[27] +.sym 2008 lvds_rx_09_inst.o_fifo_data[27] +.sym 2011 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2033 lvds_rx_09_inst.o_fifo_data[29] +.sym 2035 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2048 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 2049 lvds_clock_buf +.sym 2050 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 2063 lvds_rx_09_inst.o_fifo_data[16] +.sym 2065 lvds_rx_09_inst.o_fifo_data[14] +.sym 2067 lvds_rx_09_inst.o_fifo_data[17] +.sym 2068 lvds_rx_09_inst.o_fifo_data[15] +.sym 2069 lvds_rx_09_inst.o_fifo_data[31] +.sym 2080 lvds_rx_09_inst.o_fifo_data[18] +.sym 2098 lvds_rx_09_inst.o_fifo_data[29] +.sym 2143 w_rx_24_fifo_data[7] +.sym 2234 lvds_rx_09_inst.o_fifo_data[8] +.sym 2235 lvds_rx_09_inst.o_fifo_data[9] +.sym 2236 lvds_rx_09_inst.o_fifo_data[7] +.sym 2237 lvds_rx_09_inst.o_fifo_data[11] +.sym 2238 lvds_rx_09_inst.o_fifo_data[13] +.sym 2239 lvds_rx_09_inst.o_fifo_data[6] +.sym 2240 lvds_rx_09_inst.o_fifo_data[10] +.sym 2241 lvds_rx_09_inst.o_fifo_data[12] +.sym 2253 i_smi_a1_SB_LUT4_I3_O[1] +.sym 2255 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 2258 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 2259 w_rx_09_fifo_data[0] +.sym 2263 w_rx_24_fifo_data[4] +.sym 2268 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2276 w_rx_24_fifo_data[8] +.sym 2277 w_rx_24_fifo_data[11] +.sym 2301 w_rx_24_fifo_data[13] +.sym 2313 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2326 w_rx_24_fifo_data[13] +.sym 2328 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2366 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 2367 lvds_clock_buf +.sym 2368 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 2369 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 2372 $io_pmod[4]$iobuf_i +.sym 2373 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 2374 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 2375 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 2381 rx_09_fifo.wr_addr[6] +.sym 2385 rx_09_fifo.wr_addr[4] +.sym 2394 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 2395 w_rx_24_fifo_data[14] +.sym 2397 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 2398 w_rx_24_fifo_data[12] +.sym 2399 w_rx_24_fifo_data[1] +.sym 2400 w_rx_24_fifo_data[0] +.sym 2402 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2403 w_rx_24_fifo_data[9] +.sym 2404 w_rx_24_fifo_data[10] +.sym 2428 w_rx_24_fifo_data[5] +.sym 2429 w_rx_24_fifo_data[11] +.sym 2430 w_rx_24_fifo_data[12] +.sym 2431 w_rx_24_fifo_data[15] +.sym 2437 w_rx_24_fifo_data[2] +.sym 2439 w_rx_24_fifo_data[9] +.sym 2440 w_rx_24_fifo_data[7] +.sym 2448 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2451 w_rx_24_fifo_data[14] +.sym 2455 w_rx_24_fifo_data[2] +.sym 2456 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2462 w_rx_24_fifo_data[7] +.sym 2463 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2469 w_rx_24_fifo_data[5] +.sym 2470 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2473 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2474 w_rx_24_fifo_data[15] +.sym 2480 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2481 w_rx_24_fifo_data[14] +.sym 2485 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2487 w_rx_24_fifo_data[12] +.sym 2492 w_rx_24_fifo_data[11] +.sym 2494 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2497 w_rx_24_fifo_data[9] +.sym 2499 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2501 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 2502 lvds_clock_buf +.sym 2503 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 2504 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 2505 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[3] +.sym 2506 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 2507 w_rx_24_fifo_data[6] +.sym 2508 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[3] +.sym 2509 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[3] +.sym 2510 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 2511 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] +.sym 2517 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 2518 rx_09_fifo.rd_addr[3] +.sym 2519 rx_09_fifo.rd_addr[1] +.sym 2520 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 2530 w_rx_24_fifo_data[20] +.sym 2531 w_rx_24_fifo_data[23] +.sym 2533 w_rx_24_fifo_data[16] +.sym 2534 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2535 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2539 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 2541 w_rx_24_fifo_data[29] +.sym 2545 w_rx_24_fifo_data[27] +.sym 2546 w_rx_24_fifo_data[30] +.sym 2549 w_rx_24_fifo_data[26] +.sym 2560 w_rx_24_fifo_data[17] +.sym 2566 w_rx_24_fifo_data[8] +.sym 2568 w_rx_24_fifo_data[10] +.sym 2572 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2573 w_rx_24_fifo_data[18] +.sym 2576 w_rx_24_fifo_data[6] +.sym 2577 w_rx_24_fifo_data[3] +.sym 2583 w_rx_24_fifo_data[1] +.sym 2584 w_rx_24_fifo_data[0] +.sym 2590 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2592 w_rx_24_fifo_data[10] +.sym 2597 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2598 w_rx_24_fifo_data[6] +.sym 2602 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2605 w_rx_24_fifo_data[17] +.sym 2609 w_rx_24_fifo_data[8] +.sym 2611 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2615 w_rx_24_fifo_data[1] +.sym 2616 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2621 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2623 w_rx_24_fifo_data[18] +.sym 2626 w_rx_24_fifo_data[3] +.sym 2628 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2633 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2635 w_rx_24_fifo_data[0] +.sym 2636 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 2637 lvds_clock_buf +.sym 2638 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 2639 w_rx_24_fifo_data[18] +.sym 2640 w_rx_24_fifo_data[31] +.sym 2641 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2642 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2643 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2644 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[2] +.sym 2645 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 2646 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 2648 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[3] +.sym 2652 i_smi_a1_SB_LUT4_I2_O[1] +.sym 2653 rx_24_fifo.wr_addr[3] +.sym 2654 rx_24_fifo.wr_addr[6] +.sym 2656 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] +.sym 2658 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 2660 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[3] +.sym 2661 w_rx_24_fifo_data[3] +.sym 2662 w_rx_24_fifo_data[7] +.sym 2666 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[2] +.sym 2674 w_rx_24_fifo_data[28] +.sym 2682 rx_24_fifo.wr_addr[6] +.sym 2686 rx_24_fifo.wr_addr[3] +.sym 2694 w_rx_24_fifo_data[19] +.sym 2698 w_rx_24_fifo_data[27] +.sym 2703 w_rx_24_fifo_data[24] +.sym 2705 w_rx_24_fifo_data[22] +.sym 2707 w_rx_24_fifo_data[23] +.sym 2710 w_rx_24_fifo_data[25] +.sym 2718 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2721 w_rx_24_fifo_data[21] +.sym 2725 w_rx_24_fifo_data[24] +.sym 2728 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2737 w_rx_24_fifo_data[23] +.sym 2738 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2745 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2746 w_rx_24_fifo_data[22] +.sym 2750 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2751 w_rx_24_fifo_data[27] +.sym 2756 w_rx_24_fifo_data[19] +.sym 2757 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2761 w_rx_24_fifo_data[25] +.sym 2764 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2769 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2770 w_rx_24_fifo_data[21] +.sym 2771 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 2772 lvds_clock_buf +.sym 2773 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 2774 w_rx_24_fifo_data[24] +.sym 2775 i_smi_a1_SB_LUT4_I1_O[0] +.sym 2776 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I0[0] +.sym 2777 i_smi_a1_SB_LUT4_I1_O[1] +.sym 2778 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] +.sym 2779 w_rx_24_fifo_data[25] +.sym 2780 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I0[0] +.sym 2786 rx_24_fifo.rd_addr[3] +.sym 2787 w_rx_24_fifo_data[8] +.sym 2788 rx_24_fifo.rd_addr[7] +.sym 2794 w_rx_24_fifo_data[11] +.sym 2798 rx_24_fifo.wr_addr[4] +.sym 2799 w_rx_09_fifo_data[0] +.sym 2800 rx_24_fifo.wr_addr[1] +.sym 2802 rx_24_fifo.wr_addr[7] +.sym 2805 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E +.sym 2806 rx_24_fifo.wr_addr[2] +.sym 2808 w_rx_24_fifo_full +.sym 2817 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 2827 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] +.sym 2829 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[2] +.sym 2830 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[3] +.sym 2832 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] +.sym 2833 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] +.sym 2834 w_rx_24_fifo_push +.sym 2835 w_rx_24_fifo_data[26] +.sym 2838 w_rx_24_fifo_data[20] +.sym 2841 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[6] +.sym 2842 lvds_rx_24_inst.r_push_SB_LUT4_I3_O[0] +.sym 2843 rx_24_fifo.rd_addr_gray_wr_r[7] +.sym 2845 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 2846 lvds_rx_24_inst.r_push_SB_LUT4_I3_O[1] +.sym 2847 rx_24_fifo.full_o_SB_LUT4_I3_O[0] +.sym 2851 lvds_rx_24_inst.r_push_SB_LUT4_I3_O[2] +.sym 2854 w_rx_24_fifo_data[28] +.sym 2858 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2860 rx_24_fifo.rd_addr_gray_wr_r[7] +.sym 2861 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] +.sym 2862 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 2863 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[6] +.sym 2867 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2869 w_rx_24_fifo_data[28] +.sym 2873 lvds_rx_24_inst.r_push_SB_LUT4_I3_O[1] +.sym 2874 lvds_rx_24_inst.r_push_SB_LUT4_I3_O[0] +.sym 2875 lvds_rx_24_inst.r_push_SB_LUT4_I3_O[2] +.sym 2879 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2880 w_rx_24_fifo_data[26] +.sym 2891 w_rx_24_fifo_data[20] +.sym 2893 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 2896 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] +.sym 2898 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] +.sym 2902 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[3] +.sym 2903 rx_24_fifo.full_o_SB_LUT4_I3_O[0] +.sym 2904 w_rx_24_fifo_push +.sym 2905 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[2] +.sym 2906 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 2907 lvds_clock_buf +.sym 2908 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 2909 rx_24_fifo.rd_addr_gray_wr_r[7] +.sym 2910 rx_24_fifo.rd_addr_gray_wr[7] +.sym 2911 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[0] +.sym 2912 lvds_rx_24_inst.r_push_SB_LUT4_I3_O[1] +.sym 2913 rx_24_fifo.full_o_SB_LUT4_I3_O[0] +.sym 2914 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1[2] +.sym 2915 rx_24_fifo.rd_addr_gray_wr[3] +.sym 2916 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1[1] +.sym 2917 i_smi_a2$SB_IO_IN +.sym 2920 i_smi_a2$SB_IO_IN +.sym 2923 w_rx_24_fifo_data[22] +.sym 2924 i_smi_a1_SB_LUT4_I1_O[1] +.sym 2927 w_rx_24_fifo_push +.sym 2928 i_smi_soe_se$rename$0 +.sym 2929 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] +.sym 2930 i_smi_a1_SB_LUT4_I1_O[0] +.sym 2931 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] +.sym 2933 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 2935 rx_24_fifo.rd_addr[4] +.sym 2936 rx_24_fifo.wr_addr[0] +.sym 2938 w_rx_24_fifo_data[1] +.sym 2939 w_rx_24_fifo_push +.sym 2942 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 2945 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] +.sym 2947 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] +.sym 2955 w_rx_24_fifo_push +.sym 2962 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 2967 lvds_rx_24_inst.r_state_if[0] +.sym 2970 rx_24_fifo.wr_addr[4] +.sym 2971 rx_24_fifo.wr_addr[1] +.sym 2972 rx_24_fifo.wr_addr[7] +.sym 2973 rx_24_fifo.wr_addr[6] +.sym 2974 rx_24_fifo.wr_addr[2] +.sym 2975 rx_24_fifo.wr_addr[3] +.sym 2976 rx_24_fifo.wr_addr[5] +.sym 2989 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E +.sym 2992 w_rx_24_fifo_full +.sym 2994 $nextpnr_ICESTORM_LC_9$O +.sym 2997 rx_24_fifo.wr_addr[1] +.sym 3000 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[2] +.sym 3003 rx_24_fifo.wr_addr[2] +.sym 3004 rx_24_fifo.wr_addr[1] +.sym 3006 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[3] +.sym 3009 rx_24_fifo.wr_addr[3] +.sym 3010 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[2] +.sym 3012 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[4] +.sym 3015 rx_24_fifo.wr_addr[4] +.sym 3016 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[3] +.sym 3018 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[5] +.sym 3020 rx_24_fifo.wr_addr[5] +.sym 3022 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[4] +.sym 3024 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[6] +.sym 3026 rx_24_fifo.wr_addr[6] +.sym 3028 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[5] +.sym 3032 rx_24_fifo.wr_addr[7] +.sym 3034 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[6] +.sym 3037 w_rx_24_fifo_full +.sym 3038 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 3039 lvds_rx_24_inst.r_state_if[0] +.sym 3041 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E .sym 3042 lvds_clock_buf -.sym 3043 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 3044 w_tx_data_sys[0] -.sym 3060 $PACKER_VCC_NET -.sym 3066 w_rx_24_fifo_full -.sym 3069 w_lvds_rx_09_d0 -.sym 3070 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 3082 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 3090 $PACKER_VCC_NET -.sym 3097 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 3098 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[2] -.sym 3099 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E -.sym 3101 w_rx_24_fifo_full -.sym 3107 w_lvds_rx_24_d1_SB_LUT4_I2_O[1] -.sym 3110 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[1] -.sym 3112 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 3116 lvds_rx_24_inst.r_phase_count[1] -.sym 3117 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 3119 $PACKER_VCC_NET -.sym 3125 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3[1] -.sym 3127 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 3128 lvds_rx_24_inst.r_phase_count[0] -.sym 3129 $nextpnr_ICESTORM_LC_3$O -.sym 3132 lvds_rx_24_inst.r_phase_count[0] -.sym 3135 lvds_rx_24_inst.r_phase_count_SB_CARRY_CI_CO[2] -.sym 3137 $PACKER_VCC_NET -.sym 3138 lvds_rx_24_inst.r_phase_count[1] -.sym 3139 lvds_rx_24_inst.r_phase_count[0] -.sym 3142 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[1] -.sym 3144 $PACKER_VCC_NET -.sym 3145 lvds_rx_24_inst.r_phase_count_SB_CARRY_CI_CO[2] -.sym 3150 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[2] -.sym 3155 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 3156 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 3160 w_lvds_rx_24_d1_SB_LUT4_I2_O[1] -.sym 3161 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 3162 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 3163 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 3168 w_rx_24_fifo_full -.sym 3169 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3[1] -.sym 3173 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 3176 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E +.sym 3043 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 3044 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.sym 3045 rx_24_fifo.full_o_SB_LUT4_I3_O[3] +.sym 3046 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 3047 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.sym 3048 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.sym 3050 rx_24_fifo.rd_addr_gray[3] +.sym 3051 rx_24_fifo.rd_addr[4] +.sym 3056 rx_24_fifo.rd_addr[6] +.sym 3057 w_rx_24_fifo_data[30] +.sym 3058 w_rx_24_fifo_data[27] +.sym 3059 smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_E +.sym 3060 w_rx_24_fifo_data[26] +.sym 3063 lvds_rx_24_inst.r_state_if[0] +.sym 3064 w_rx_24_fifo_data[29] +.sym 3065 smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_E +.sym 3066 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 3068 rx_24_fifo.wr_addr[2] +.sym 3070 rx_24_fifo.wr_addr[3] +.sym 3071 rx_24_fifo.rd_addr_gray_wr_r[0] +.sym 3072 rx_24_fifo.wr_addr[5] +.sym 3073 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3075 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3077 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 3078 rx_24_fifo.wr_addr[1] +.sym 3079 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 3081 lvds_rx_24_inst.r_state_if[0] +.sym 3089 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 3099 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 3100 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 3102 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] +.sym 3106 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] +.sym 3108 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 3109 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 3111 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] +.sym 3112 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] +.sym 3128 rx_24_fifo.wr_addr[0] +.sym 3133 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 3139 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] +.sym 3142 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] +.sym 3150 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] +.sym 3156 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 3162 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 3169 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] +.sym 3175 rx_24_fifo.wr_addr[0] +.sym 3176 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O .sym 3177 lvds_clock_buf -.sym 3178 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 3180 int_miso -.sym 3182 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 3186 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 3193 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E -.sym 3233 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_D[0] -.sym 3234 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[2] -.sym 3236 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3[1] -.sym 3237 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[1] -.sym 3239 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 3241 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[1] -.sym 3242 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 3243 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_E -.sym 3245 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[1] -.sym 3246 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[1] -.sym 3247 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 3249 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[2] -.sym 3250 w_lvds_rx_24_d1_SB_LUT4_I2_O[1] -.sym 3252 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[2] -.sym 3256 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[0] -.sym 3258 w_lvds_rx_24_d1_SB_LUT4_I2_O[1] -.sym 3262 w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O[1] -.sym 3263 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 3265 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[2] -.sym 3266 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[2] -.sym 3267 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 3268 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[1] -.sym 3271 w_lvds_rx_24_d1_SB_LUT4_I2_O[1] -.sym 3272 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3[1] -.sym 3273 w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O[1] -.sym 3274 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[1] -.sym 3277 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 3278 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[2] -.sym 3279 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[1] -.sym 3283 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 3285 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 3286 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 3289 w_lvds_rx_24_d1_SB_LUT4_I2_O[1] -.sym 3290 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 3291 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[2] -.sym 3292 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 3295 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[0] -.sym 3296 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[2] -.sym 3297 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[1] -.sym 3301 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 3302 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[2] -.sym 3303 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[1] -.sym 3304 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[1] -.sym 3307 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_D[0] -.sym 3308 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 3311 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_E -.sym 3312 lvds_clock_buf -.sym 3313 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 3316 spi_if_ins.spi.r_tx_bit_count[2] -.sym 3317 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] -.sym 3318 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 3319 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 3320 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 3321 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] -.sym 3327 spi_if_ins.r_tx_byte[7] -.sym 3333 spi_if_ins.spi.SCKr[1] -.sym 3338 sys_ctrl_ins.reset_cmd -.sym 3347 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 3369 w_lvds_rx_24_d1_SB_LUT4_I2_O[1] -.sym 3373 w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O[1] -.sym 3374 w_lvds_rx_24_d1_SB_LUT4_I2_O[0] -.sym 3377 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 3380 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 3381 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[1] -.sym 3388 w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O[0] -.sym 3392 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_D[0] -.sym 3394 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E -.sym 3398 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 3406 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 3407 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 3414 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_D[0] -.sym 3419 w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O[1] -.sym 3420 w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O[0] -.sym 3421 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 3430 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 3431 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 3432 w_lvds_rx_24_d1_SB_LUT4_I2_O[0] -.sym 3433 w_lvds_rx_24_d1_SB_LUT4_I2_O[1] -.sym 3436 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 3437 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 3442 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[1] -.sym 3446 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E +.sym 3178 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 3179 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.sym 3180 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[3] +.sym 3181 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 3182 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 3185 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 3186 w_rx_24_fifo_full +.sym 3196 rx_24_fifo.rd_addr[4] +.sym 3197 rx_24_fifo.rd_addr_gray_wr_r[1] +.sym 3200 i_smi_a2$SB_IO_IN +.sym 3202 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 3204 rx_24_fifo.wr_addr[7] +.sym 3205 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 3207 rx_24_fifo.rd_addr_gray_wr[6] +.sym 3208 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 3209 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 3210 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 3213 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3220 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 3221 i_smi_a2$SB_IO_IN +.sym 3232 rx_24_fifo.wr_addr[4] +.sym 3233 rx_24_fifo.wr_addr[1] +.sym 3235 rx_24_fifo.wr_addr[6] +.sym 3242 rx_24_fifo.wr_addr[7] +.sym 3244 rx_24_fifo.wr_addr[2] +.sym 3245 rx_24_fifo.wr_addr[3] +.sym 3246 rx_24_fifo.wr_addr[5] +.sym 3247 rx_24_fifo.wr_addr[0] +.sym 3264 $nextpnr_ICESTORM_LC_13$O +.sym 3267 rx_24_fifo.wr_addr[0] +.sym 3270 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] +.sym 3273 rx_24_fifo.wr_addr[1] +.sym 3274 rx_24_fifo.wr_addr[0] +.sym 3276 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] +.sym 3278 rx_24_fifo.wr_addr[2] +.sym 3280 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] +.sym 3282 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] +.sym 3284 rx_24_fifo.wr_addr[3] +.sym 3286 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] +.sym 3288 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] +.sym 3291 rx_24_fifo.wr_addr[4] +.sym 3292 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] +.sym 3294 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] +.sym 3297 rx_24_fifo.wr_addr[5] +.sym 3298 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] +.sym 3300 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[7] +.sym 3302 rx_24_fifo.wr_addr[6] +.sym 3304 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] +.sym 3309 rx_24_fifo.wr_addr[7] +.sym 3310 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[7] +.sym 3314 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 3315 rx_24_fifo.rd_addr_gray_wr_r[0] +.sym 3316 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3317 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3318 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 3319 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 3321 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 3330 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] +.sym 3332 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 3334 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 3336 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 3337 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 3338 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E +.sym 3340 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 3346 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 3348 w_rx_24_fifo_full +.sym 3349 w_lvds_rx_24_d1 +.sym 3367 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 3368 w_lvds_rx_09_d1 +.sym 3369 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E +.sym 3370 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 3375 lvds_rx_24_inst.r_state_if[0] +.sym 3376 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 3378 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 3379 w_lvds_rx_09_d0 +.sym 3380 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 3382 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] +.sym 3386 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3393 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 3401 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3408 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 3425 lvds_rx_24_inst.r_state_if[0] +.sym 3426 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 3427 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 3431 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] +.sym 3432 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 3433 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 3438 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] +.sym 3439 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 3442 w_lvds_rx_09_d0 +.sym 3443 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 3444 w_lvds_rx_09_d1 +.sym 3445 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 3446 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E .sym 3447 lvds_clock_buf -.sym 3448 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 3449 spi_if_ins.spi.r_tx_byte[2] -.sym 3450 spi_if_ins.spi.r_tx_byte[1] -.sym 3451 spi_if_ins.spi.r_tx_byte[5] -.sym 3452 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] -.sym 3453 spi_if_ins.spi.r_tx_byte[7] -.sym 3454 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] -.sym 3455 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[3] -.sym 3456 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] -.sym 3468 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 3469 spi_if_ins.o_cs_SB_LUT4_I1_2_O -.sym 3473 spi_if_ins.spi.r_tx_bit_count[2] -.sym 3475 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 3482 spi_if_ins.r_tx_byte[4] -.sym 3504 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 3506 spi_if_ins.r_tx_byte[3] -.sym 3514 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 3517 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] -.sym 3522 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 3526 w_lvds_rx_24_d0 -.sym 3527 w_lvds_rx_24_d1 -.sym 3530 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 3537 spi_if_ins.r_tx_byte[3] -.sym 3565 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 3567 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 3568 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] -.sym 3577 w_lvds_rx_24_d0 -.sym 3580 w_lvds_rx_24_d1 -.sym 3581 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 3582 r_counter[0]_$glb_clk -.sym 3583 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 3584 spi_if_ins.spi.r_tx_byte[4] -.sym 3585 spi_if_ins.spi.r_tx_byte[0] -.sym 3588 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 3589 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] -.sym 3590 spi_if_ins.spi.r_tx_byte[6] -.sym 3592 spi_if_ins.r_tx_byte[1] -.sym 3598 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 3604 $PACKER_VCC_NET -.sym 3605 spi_if_ins.o_cs_SB_LUT4_I1_2_O -.sym 3617 spi_if_ins.r_tx_data_valid -.sym 3621 $PACKER_VCC_NET -.sym 3639 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E -.sym 3641 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R -.sym 3658 $PACKER_VCC_NET -.sym 3671 $PACKER_VCC_NET -.sym 3716 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E -.sym 3717 r_counter[0]_$glb_clk -.sym 3718 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R -.sym 3721 spi_if_ins.r_tx_byte[0] -.sym 3726 spi_if_ins.r_tx_byte[6] -.sym 3749 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 3858 spi_if_ins.r_tx_data_valid -.sym 3863 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 3870 r_tx_data[0] -.sym 3877 r_tx_data[6] -.sym 4005 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 4012 i_smi_a2$SB_IO_IN -.sym 4030 i_smi_a2$SB_IO_IN +.sym 3448 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 3449 w_rx_24_fifo_data[0] +.sym 3451 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 3453 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 3455 w_rx_24_fifo_data[1] +.sym 3461 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 3463 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E +.sym 3464 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3465 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 3466 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 3473 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3478 w_rx_24_fifo_data[1] +.sym 3502 lvds_rx_24_inst.r_state_if[0] +.sym 3504 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E +.sym 3505 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 3509 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0[2] +.sym 3510 lvds_rx_24_inst.r_state_if[0] +.sym 3512 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3513 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3514 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 3515 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 3518 w_lvds_rx_24_d0 +.sym 3520 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[3] +.sym 3522 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 3524 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] +.sym 3531 w_lvds_rx_24_d1 +.sym 3536 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3541 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0[2] +.sym 3542 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] +.sym 3543 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 3544 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 3548 lvds_rx_24_inst.r_state_if[0] +.sym 3549 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 3553 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 3554 lvds_rx_24_inst.r_state_if[0] +.sym 3555 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 3561 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[3] +.sym 3565 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 3568 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 3571 w_lvds_rx_24_d1 +.sym 3572 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 3573 w_lvds_rx_24_d0 +.sym 3574 lvds_rx_24_inst.r_state_if[0] +.sym 3577 lvds_rx_24_inst.r_state_if[0] +.sym 3578 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 3579 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0[2] +.sym 3581 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E +.sym 3582 lvds_clock_buf +.sym 3583 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 3585 rx_24_fifo.wr_addr_gray[4] +.sym 3587 rx_24_fifo.wr_addr_gray[2] +.sym 3589 rx_24_fifo.wr_addr_gray[0] +.sym 3591 rx_24_fifo.wr_addr_gray[5] +.sym 3600 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E +.sym 3607 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_DFFNESR_Q_E +.sym 3639 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[3] +.sym 3641 lvds_rx_24_inst.r_phase_count[0] +.sym 3642 lvds_rx_24_inst.r_phase_count[1] +.sym 3643 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[2] +.sym 3647 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[3] +.sym 3648 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFNESR_Q_E +.sym 3650 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 3652 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 3654 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[1] +.sym 3657 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3658 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 3662 $PACKER_VCC_NET +.sym 3663 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[2] +.sym 3665 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[0] +.sym 3669 $nextpnr_ICESTORM_LC_8$O +.sym 3672 lvds_rx_24_inst.r_phase_count[0] +.sym 3675 lvds_rx_24_inst.r_phase_count_SB_CARRY_CI_CO[2] +.sym 3677 $PACKER_VCC_NET +.sym 3678 lvds_rx_24_inst.r_phase_count[1] +.sym 3679 lvds_rx_24_inst.r_phase_count[0] +.sym 3682 $PACKER_VCC_NET +.sym 3683 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[0] +.sym 3685 lvds_rx_24_inst.r_phase_count_SB_CARRY_CI_CO[2] +.sym 3688 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[0] +.sym 3690 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 3691 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[2] +.sym 3694 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 3695 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[3] +.sym 3696 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 3697 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[2] +.sym 3700 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 3703 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 3706 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 3707 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[3] +.sym 3708 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 3709 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[1] +.sym 3712 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 3713 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[3] +.sym 3714 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[0] +.sym 3715 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[2] +.sym 3716 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFNESR_Q_E +.sym 3717 lvds_clock_buf +.sym 3718 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 3720 rx_24_fifo.wr_addr_gray_rd[5] +.sym 3723 rx_24_fifo.wr_addr_gray_rd[0] +.sym 3726 rx_24_fifo.wr_addr_gray_rd[4] +.sym 3731 $PACKER_VCC_NET +.sym 3760 i_smi_a2$SB_IO_IN +.sym 3777 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 3778 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[2] +.sym 3830 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 3836 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[2] .sym 4138 i_smi_a2$SB_IO_IN -.sym 4252 lvds_rx_24_inst.r_push_SB_LUT4_I3_O -.sym 4676 i_smi_a3$SB_IO_IN -.sym 4798 rx_24_fifo.wr_addr[7] +.sym 4159 o_shdn_tx_lna$SB_IO_OUT +.sym 4207 i_smi_a3$SB_IO_IN +.sym 4237 w_rx_09_fifo_pulled_data[16] +.sym 4238 w_rx_09_fifo_pulled_data[17] +.sym 4239 w_rx_09_fifo_pulled_data[18] +.sym 4240 w_rx_09_fifo_pulled_data[19] +.sym 4241 w_rx_09_fifo_pulled_data[20] +.sym 4242 w_rx_09_fifo_pulled_data[21] +.sym 4243 w_rx_09_fifo_pulled_data[22] +.sym 4244 w_rx_09_fifo_pulled_data[23] +.sym 4248 io_pmod[7]$SB_IO_IN +.sym 4249 io_pmod[6]$SB_IO_IN +.sym 4257 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4261 w_rx_24_fifo_data[0] +.sym 4279 lvds_rx_09_inst.o_fifo_data[16] +.sym 4280 lvds_rx_09_inst.o_fifo_data[28] +.sym 4281 lvds_rx_09_inst.o_fifo_data[24] +.sym 4286 lvds_rx_09_inst.o_fifo_data[18] +.sym 4287 lvds_rx_09_inst.o_fifo_data[25] +.sym 4297 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4300 lvds_rx_09_inst.o_fifo_data[22] +.sym 4301 lvds_rx_09_inst.o_fifo_data[20] +.sym 4303 lvds_rx_09_inst.o_fifo_data[26] +.sym 4312 lvds_rx_09_inst.o_fifo_data[24] +.sym 4314 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4319 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4320 lvds_rx_09_inst.o_fifo_data[26] +.sym 4325 lvds_rx_09_inst.o_fifo_data[22] +.sym 4326 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4332 lvds_rx_09_inst.o_fifo_data[28] +.sym 4333 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4336 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4337 lvds_rx_09_inst.o_fifo_data[25] +.sym 4343 lvds_rx_09_inst.o_fifo_data[20] +.sym 4345 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4350 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4351 lvds_rx_09_inst.o_fifo_data[18] +.sym 4355 lvds_rx_09_inst.o_fifo_data[16] +.sym 4357 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4358 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 4359 lvds_clock_buf +.sym 4360 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 4365 w_rx_09_fifo_pulled_data[24] +.sym 4366 w_rx_09_fifo_pulled_data[25] +.sym 4367 w_rx_09_fifo_pulled_data[26] +.sym 4368 w_rx_09_fifo_pulled_data[27] +.sym 4369 w_rx_09_fifo_pulled_data[28] +.sym 4370 w_rx_09_fifo_pulled_data[29] +.sym 4371 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.sym 4372 w_rx_09_fifo_pulled_data[31] +.sym 4378 rx_09_fifo.wr_addr[0] +.sym 4379 $PACKER_VCC_NET +.sym 4383 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4385 $PACKER_VCC_NET +.sym 4387 lvds_rx_09_inst.o_fifo_data[25] +.sym 4398 rx_09_fifo.wr_addr[5] +.sym 4399 rx_09_fifo.wr_addr[3] +.sym 4407 i_smi_a3$SB_IO_IN +.sym 4409 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4420 i_smi_a1_SB_LUT4_I2_O[2] +.sym 4421 lvds_rx_09_inst.o_fifo_data[15] +.sym 4424 rx_09_fifo.wr_addr[7] +.sym 4429 w_rx_09_fifo_push +.sym 4446 lvds_rx_09_inst.o_fifo_data[13] +.sym 4447 lvds_rx_09_inst.o_fifo_data[15] +.sym 4452 lvds_rx_09_inst.o_fifo_data[14] +.sym 4457 lvds_rx_09_inst.o_fifo_data[12] +.sym 4464 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4471 lvds_rx_09_inst.o_fifo_data[31] +.sym 4476 lvds_rx_09_inst.o_fifo_data[14] +.sym 4477 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4487 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4489 lvds_rx_09_inst.o_fifo_data[12] +.sym 4500 lvds_rx_09_inst.o_fifo_data[15] +.sym 4501 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4506 lvds_rx_09_inst.o_fifo_data[13] +.sym 4508 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4511 lvds_rx_09_inst.o_fifo_data[31] +.sym 4521 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 4522 lvds_clock_buf +.sym 4523 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 4524 w_rx_09_fifo_pulled_data[0] +.sym 4525 w_rx_09_fifo_pulled_data[1] +.sym 4526 w_rx_09_fifo_pulled_data[2] +.sym 4527 w_rx_09_fifo_pulled_data[3] +.sym 4528 w_rx_09_fifo_pulled_data[4] +.sym 4529 w_rx_09_fifo_pulled_data[5] +.sym 4530 w_rx_09_fifo_pulled_data[6] +.sym 4531 w_rx_09_fifo_pulled_data[7] +.sym 4532 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 4534 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4537 rx_09_fifo.rd_addr[5] +.sym 4540 io_smi_data[7]$SB_IO_OUT +.sym 4544 lvds_rx_09_inst.o_fifo_data[29] +.sym 4546 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 4549 lvds_rx_09_inst.o_fifo_data[14] +.sym 4552 $PACKER_VCC_NET +.sym 4553 i_smi_a3$SB_IO_IN +.sym 4554 rx_09_fifo.rd_addr[2] +.sym 4556 i_smi_a1_SB_LUT4_I2_O[1] +.sym 4559 $PACKER_VCC_NET +.sym 4566 lvds_rx_09_inst.o_fifo_data[9] +.sym 4573 lvds_rx_09_inst.o_fifo_data[8] +.sym 4576 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4583 lvds_rx_09_inst.o_fifo_data[7] +.sym 4584 lvds_rx_09_inst.o_fifo_data[11] +.sym 4586 lvds_rx_09_inst.o_fifo_data[6] +.sym 4587 lvds_rx_09_inst.o_fifo_data[10] +.sym 4589 io_pmod[6]$SB_IO_IN +.sym 4596 io_pmod[7]$SB_IO_IN +.sym 4599 lvds_rx_09_inst.o_fifo_data[6] +.sym 4600 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4605 lvds_rx_09_inst.o_fifo_data[7] +.sym 4607 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4610 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4612 io_pmod[7]$SB_IO_IN +.sym 4618 lvds_rx_09_inst.o_fifo_data[9] +.sym 4619 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4623 lvds_rx_09_inst.o_fifo_data[11] +.sym 4624 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4628 io_pmod[6]$SB_IO_IN +.sym 4629 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4636 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4637 lvds_rx_09_inst.o_fifo_data[8] +.sym 4641 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4643 lvds_rx_09_inst.o_fifo_data[10] +.sym 4644 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 4645 lvds_clock_buf +.sym 4646 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 4647 w_rx_09_fifo_pulled_data[8] +.sym 4648 w_rx_09_fifo_pulled_data[9] +.sym 4649 w_rx_09_fifo_pulled_data[10] +.sym 4650 w_rx_09_fifo_pulled_data[11] +.sym 4651 w_rx_09_fifo_pulled_data[12] +.sym 4652 w_rx_09_fifo_pulled_data[13] +.sym 4653 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 4654 w_rx_09_fifo_pulled_data[15] +.sym 4656 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 4663 rx_09_fifo.wr_addr[0] +.sym 4665 io_pmod[5]$SB_IO_IN +.sym 4676 w_rx_24_fifo_pulled_data[10] +.sym 4678 io_pmod[7]$SB_IO_IN +.sym 4679 smi_ctrl_ins.int_cnt_24[4] +.sym 4680 w_rx_24_fifo_pulled_data[12] +.sym 4681 w_rx_24_fifo_data[1] +.sym 4682 w_rx_24_fifo_pulled_data[13] +.sym 4688 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 4689 w_rx_24_fifo_pulled_data[13] +.sym 4691 w_rx_24_fifo_pulled_data[12] +.sym 4693 w_rx_09_fifo_data[0] +.sym 4696 i_smi_a1_SB_LUT4_I2_O[2] +.sym 4700 w_rx_24_fifo_pulled_data[10] +.sym 4703 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4704 i_smi_a1_SB_LUT4_I2_O[0] +.sym 4707 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4708 w_rx_24_fifo_pulled_data[4] +.sym 4709 w_rx_24_fifo_pulled_data[5] +.sym 4712 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4714 w_rx_24_fifo_pulled_data[2] +.sym 4716 i_smi_a1_SB_LUT4_I2_O[1] +.sym 4721 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4722 w_rx_24_fifo_pulled_data[13] +.sym 4723 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 4724 w_rx_24_fifo_pulled_data[5] +.sym 4739 w_rx_09_fifo_data[0] +.sym 4741 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4745 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4746 w_rx_24_fifo_pulled_data[2] +.sym 4747 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 4748 w_rx_24_fifo_pulled_data[10] +.sym 4751 w_rx_24_fifo_pulled_data[12] +.sym 4752 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 4753 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4754 w_rx_24_fifo_pulled_data[4] +.sym 4758 i_smi_a1_SB_LUT4_I2_O[2] +.sym 4759 i_smi_a1_SB_LUT4_I2_O[0] +.sym 4760 i_smi_a1_SB_LUT4_I2_O[1] +.sym 4767 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 4768 lvds_clock_buf +.sym 4769 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 4770 i_smi_a1_SB_LUT4_I2_O[0] +.sym 4771 w_rx_24_fifo_pulled_data[1] +.sym 4772 w_rx_24_fifo_pulled_data[2] +.sym 4773 w_rx_24_fifo_pulled_data[3] +.sym 4774 w_rx_24_fifo_pulled_data[4] +.sym 4775 w_rx_24_fifo_pulled_data[5] +.sym 4776 w_rx_24_fifo_pulled_data[6] +.sym 4777 w_rx_24_fifo_pulled_data[7] +.sym 4783 $PACKER_VCC_NET +.sym 4786 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[2] +.sym 4787 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 4788 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 4790 $io_pmod[4]$iobuf_i +.sym 4791 $PACKER_VCC_NET +.sym 4796 w_rx_24_fifo_data[15] +.sym 4798 rx_24_fifo.rd_addr_gray_wr_r[4] +.sym 4800 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4801 w_rx_24_fifo_pulled_data[27] +.sym 4802 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] .sym 4805 i_smi_a3$SB_IO_IN -.sym 4813 lvds_rx_24_inst.r_push_SB_LUT4_I3_O -.sym 4818 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[0] -.sym 4820 rx_24_fifo.full_o_SB_LUT4_I3_O[2] -.sym 4833 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 4842 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 4847 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[0] -.sym 4856 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 4858 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 4875 rx_24_fifo.full_o_SB_LUT4_I3_O[2] -.sym 4880 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 4890 lvds_rx_24_inst.r_push_SB_LUT4_I3_O +.sym 4811 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4813 $PACKER_VCC_NET +.sym 4814 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4815 i_smi_a1_SB_LUT4_I2_O[1] +.sym 4816 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4817 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4819 i_smi_a1_SB_LUT4_I2_O[1] +.sym 4821 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4823 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4824 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4825 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 4826 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4827 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 4828 w_rx_24_fifo_pulled_data[1] +.sym 4829 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4830 w_rx_24_fifo_pulled_data[11] +.sym 4835 w_rx_24_fifo_data[4] +.sym 4836 w_rx_24_fifo_pulled_data[9] +.sym 4838 w_rx_24_fifo_pulled_data[3] +.sym 4839 smi_ctrl_ins.int_cnt_24[4] +.sym 4842 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4845 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4846 $PACKER_VCC_NET +.sym 4847 smi_ctrl_ins.int_cnt_24[4] +.sym 4850 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 4851 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4852 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4853 i_smi_a1_SB_LUT4_I2_O[1] +.sym 4856 w_rx_24_fifo_pulled_data[3] +.sym 4857 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4858 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 4859 w_rx_24_fifo_pulled_data[11] +.sym 4862 w_rx_24_fifo_data[4] +.sym 4865 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4868 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4869 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4870 i_smi_a1_SB_LUT4_I2_O[1] +.sym 4871 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 4874 i_smi_a1_SB_LUT4_I2_O[1] +.sym 4875 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4876 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 4877 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4880 w_rx_24_fifo_pulled_data[9] +.sym 4881 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4882 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 4883 w_rx_24_fifo_pulled_data[1] +.sym 4886 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 4887 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 4888 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 4889 i_smi_a1_SB_LUT4_I2_O[1] +.sym 4890 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce .sym 4891 lvds_clock_buf -.sym 4892 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 4905 rx_24_fifo.wr_addr_gray[3] -.sym 4907 lvds_rx_24_inst.r_push_SB_LUT4_I3_O -.sym 4911 rx_24_fifo.wr_addr_gray[6] -.sym 4917 rx_24_fifo.full_o_SB_LUT4_I3_O[1] -.sym 4937 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 4938 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 4939 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 4943 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 4944 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 4945 lvds_rx_24_inst.r_push_SB_LUT4_I3_O -.sym 4958 rx_24_fifo.wr_addr[0] -.sym 4970 rx_24_fifo.wr_addr[0] -.sym 4973 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 4975 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 4980 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 4985 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 4991 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 4994 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 5000 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 5005 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 5006 rx_24_fifo.wr_addr[0] -.sym 5011 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 5012 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 5013 lvds_rx_24_inst.r_push_SB_LUT4_I3_O +.sym 4892 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 4893 w_rx_24_fifo_pulled_data[8] +.sym 4894 w_rx_24_fifo_pulled_data[9] +.sym 4895 w_rx_24_fifo_pulled_data[10] +.sym 4896 w_rx_24_fifo_pulled_data[11] +.sym 4897 w_rx_24_fifo_pulled_data[12] +.sym 4898 w_rx_24_fifo_pulled_data[13] +.sym 4899 w_rx_24_fifo_pulled_data[14] +.sym 4900 w_rx_24_fifo_pulled_data[15] +.sym 4906 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 4907 $PACKER_VCC_NET +.sym 4910 rx_24_fifo.wr_addr[1] +.sym 4911 w_rx_24_fifo_data[4] +.sym 4912 rx_24_fifo.wr_addr[7] +.sym 4913 rx_24_fifo.wr_addr[4] +.sym 4915 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[3] +.sym 4916 rx_24_fifo.wr_addr[2] +.sym 4917 w_rx_24_fifo_data[0] +.sym 4918 w_rx_24_fifo_push +.sym 4920 w_rx_24_fifo_pulled_data[25] +.sym 4922 w_rx_24_fifo_pulled_data[26] +.sym 4923 rx_24_fifo.rd_addr[5] +.sym 4924 i_smi_a1_SB_LUT4_I1_O[0] +.sym 4925 w_rx_24_fifo_data[13] +.sym 4926 w_rx_09_fifo_push +.sym 4927 w_rx_24_fifo_data[31] +.sym 4928 w_rx_24_fifo_pulled_data[29] +.sym 4934 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 4935 w_rx_24_fifo_data[16] +.sym 4936 w_rx_24_fifo_pulled_data[25] +.sym 4938 w_rx_24_fifo_data[29] +.sym 4941 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4942 i_smi_a1_SB_LUT4_I2_O[0] +.sym 4944 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4946 w_rx_24_fifo_pulled_data[26] +.sym 4949 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4950 w_rx_24_fifo_pulled_data[16] +.sym 4951 w_rx_24_fifo_pulled_data[17] +.sym 4952 w_rx_24_fifo_pulled_data[29] +.sym 4953 w_rx_24_fifo_pulled_data[19] +.sym 4954 w_rx_24_fifo_pulled_data[30] +.sym 4955 w_rx_24_fifo_pulled_data[21] +.sym 4960 w_rx_24_fifo_pulled_data[18] +.sym 4961 w_rx_24_fifo_pulled_data[27] +.sym 4964 w_rx_24_fifo_pulled_data[22] +.sym 4968 w_rx_24_fifo_data[16] +.sym 4970 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4973 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 4974 w_rx_24_fifo_data[29] +.sym 4979 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 4980 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4981 w_rx_24_fifo_pulled_data[25] +.sym 4982 w_rx_24_fifo_pulled_data[17] +.sym 4985 w_rx_24_fifo_pulled_data[21] +.sym 4986 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 4987 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4988 w_rx_24_fifo_pulled_data[29] +.sym 4991 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4992 w_rx_24_fifo_pulled_data[18] +.sym 4993 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 4994 w_rx_24_fifo_pulled_data[26] +.sym 4997 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 4998 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 4999 w_rx_24_fifo_pulled_data[22] +.sym 5000 w_rx_24_fifo_pulled_data[30] +.sym 5003 w_rx_24_fifo_pulled_data[16] +.sym 5004 i_smi_a1_SB_LUT4_I2_O[0] +.sym 5005 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 5006 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 5009 w_rx_24_fifo_pulled_data[19] +.sym 5010 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 5011 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 5012 w_rx_24_fifo_pulled_data[27] +.sym 5013 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce .sym 5014 lvds_clock_buf -.sym 5015 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 5044 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 5045 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 5059 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 5066 rx_24_fifo.full_o_SB_LUT4_I3_O[2] -.sym 5069 rx_24_fifo.full_o_SB_LUT4_I3_O[1] -.sym 5071 rx_24_fifo.full_o_SB_LUT4_I3_O[0] -.sym 5072 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[0] -.sym 5077 w_rx_24_fifo_full -.sym 5078 w_rx_24_fifo_push -.sym 5079 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 5080 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 5081 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] -.sym 5082 rx_24_fifo.full_o_SB_LUT4_I3_O[3] -.sym 5083 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 5084 lvds_rx_24_inst.r_push_SB_LUT4_I3_O -.sym 5085 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 5086 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 5091 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 5092 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 5096 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 5097 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 5098 w_rx_24_fifo_push -.sym 5099 w_rx_24_fifo_full -.sym 5102 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 5104 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 5108 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 5117 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 5120 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] -.sym 5121 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[0] -.sym 5123 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 5128 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 5132 rx_24_fifo.full_o_SB_LUT4_I3_O[1] -.sym 5133 rx_24_fifo.full_o_SB_LUT4_I3_O[2] -.sym 5134 rx_24_fifo.full_o_SB_LUT4_I3_O[0] -.sym 5135 rx_24_fifo.full_o_SB_LUT4_I3_O[3] -.sym 5136 lvds_rx_24_inst.r_push_SB_LUT4_I3_O -.sym 5137 lvds_clock_buf -.sym 5138 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 5151 w_lvds_rx_09_d0 -.sym 5165 int_miso -.sym 5171 lvds_rx_24_inst.r_push_SB_LUT4_I3_O -.sym 5172 i_smi_a3$SB_IO_IN -.sym 5182 lvds_rx_24_inst.r_push_SB_LUT4_I3_O -.sym 5184 rx_24_fifo.full_o_SB_LUT4_I3_O[0] -.sym 5188 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] -.sym 5189 rx_24_fifo.full_o_SB_LUT4_I3_O[1] -.sym 5204 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 5210 w_rx_24_fifo_push -.sym 5214 w_rx_24_fifo_push -.sym 5216 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 5232 rx_24_fifo.full_o_SB_LUT4_I3_O[1] -.sym 5237 rx_24_fifo.full_o_SB_LUT4_I3_O[0] -.sym 5243 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] -.sym 5259 lvds_rx_24_inst.r_push_SB_LUT4_I3_O +.sym 5015 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 5016 w_rx_24_fifo_pulled_data[16] +.sym 5017 w_rx_24_fifo_pulled_data[17] +.sym 5018 w_rx_24_fifo_pulled_data[18] +.sym 5019 w_rx_24_fifo_pulled_data[19] +.sym 5020 w_rx_24_fifo_pulled_data[20] +.sym 5021 w_rx_24_fifo_pulled_data[21] +.sym 5022 w_rx_24_fifo_pulled_data[22] +.sym 5023 w_rx_24_fifo_pulled_data[23] +.sym 5024 io_pmod[7]$SB_IO_IN +.sym 5029 rx_24_fifo.rd_addr[6] +.sym 5030 w_rx_24_fifo_data[12] +.sym 5031 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 5034 w_rx_24_fifo_data[10] +.sym 5035 w_rx_24_fifo_data[9] +.sym 5036 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 5037 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 5038 rx_24_fifo.rd_addr[4] +.sym 5039 w_rx_24_fifo_data[14] +.sym 5040 w_rx_24_fifo_pulled_data[30] +.sym 5041 rx_24_fifo.wr_addr[0] +.sym 5043 $PACKER_VCC_NET +.sym 5044 rx_24_fifo.rd_addr[2] +.sym 5045 rx_24_fifo.wr_addr[5] +.sym 5047 $PACKER_VCC_NET +.sym 5048 $PACKER_VCC_NET +.sym 5049 i_smi_a3$SB_IO_IN +.sym 5050 i_smi_a1_SB_LUT4_I1_O[0] +.sym 5057 w_rx_24_fifo_pulled_data[8] +.sym 5064 rx_24_fifo.rd_addr_gray_wr_r[0] +.sym 5065 i_smi_soe_se$rename$0 +.sym 5068 i_smi_a1_SB_LUT4_I1_O[1] +.sym 5070 rx_24_fifo.rd_addr_gray_wr_r[4] +.sym 5071 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 5076 w_rx_24_fifo_data[24] +.sym 5077 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 5081 w_rx_24_fifo_pulled_data[24] +.sym 5083 w_rx_24_fifo_data[25] +.sym 5084 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[3] +.sym 5088 rx_24_fifo.wr_addr[0] +.sym 5091 w_rx_24_fifo_data[24] +.sym 5099 i_smi_a1_SB_LUT4_I1_O[1] +.sym 5102 rx_24_fifo.wr_addr[0] +.sym 5105 rx_24_fifo.rd_addr_gray_wr_r[0] +.sym 5110 i_smi_soe_se$rename$0 +.sym 5114 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 5115 w_rx_24_fifo_pulled_data[24] +.sym 5116 w_rx_24_fifo_pulled_data[8] +.sym 5117 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 5122 w_rx_24_fifo_data[25] +.sym 5126 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[3] +.sym 5128 rx_24_fifo.rd_addr_gray_wr_r[4] +.sym 5137 i_glob_clock$SB_IO_IN_$glb_clk +.sym 5138 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 5139 w_rx_24_fifo_pulled_data[24] +.sym 5140 w_rx_24_fifo_pulled_data[25] +.sym 5141 w_rx_24_fifo_pulled_data[26] +.sym 5142 w_rx_24_fifo_pulled_data[27] +.sym 5143 w_rx_24_fifo_pulled_data[28] +.sym 5144 w_rx_24_fifo_pulled_data[29] +.sym 5145 w_rx_24_fifo_pulled_data[30] +.sym 5146 w_rx_24_fifo_pulled_data[31] +.sym 5147 io_pmod[6]$SB_IO_IN +.sym 5152 rx_24_fifo.wr_addr[2] +.sym 5153 w_rx_24_fifo_data[16] +.sym 5155 w_rx_24_fifo_data[23] +.sym 5156 rx_24_fifo.wr_addr[3] +.sym 5157 rx_24_fifo.wr_addr[1] +.sym 5158 rx_24_fifo.wr_addr[5] +.sym 5159 i_smi_a1_SB_LUT4_I1_O[1] +.sym 5160 rx_24_fifo.rd_addr_gray_wr_r[0] +.sym 5161 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] +.sym 5162 w_rx_24_fifo_data[20] +.sym 5166 w_rx_24_fifo_data[21] +.sym 5172 w_rx_24_fifo_data[1] +.sym 5174 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5180 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 5181 rx_24_fifo.rd_addr[7] +.sym 5182 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I0[0] +.sym 5184 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[4] +.sym 5185 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] +.sym 5186 rx_24_fifo.rd_addr_gray[3] +.sym 5188 rx_24_fifo.rd_addr_gray_wr_r[1] +.sym 5189 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[1] +.sym 5190 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[2] +.sym 5194 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I0[0] +.sym 5195 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1[1] +.sym 5197 rx_24_fifo.wr_addr[1] +.sym 5201 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1[2] +.sym 5205 rx_24_fifo.rd_addr_gray_wr[7] +.sym 5206 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1[0] +.sym 5209 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 5210 rx_24_fifo.rd_addr_gray_wr[3] +.sym 5215 rx_24_fifo.rd_addr_gray_wr[7] +.sym 5219 rx_24_fifo.rd_addr[7] +.sym 5225 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1[1] +.sym 5226 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1[2] +.sym 5228 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1[0] +.sym 5231 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[2] +.sym 5232 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 5234 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[1] +.sym 5238 rx_24_fifo.rd_addr_gray_wr[3] +.sym 5243 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] +.sym 5244 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 5245 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I0[0] +.sym 5246 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[4] +.sym 5251 rx_24_fifo.rd_addr_gray[3] +.sym 5255 rx_24_fifo.rd_addr_gray_wr_r[1] +.sym 5256 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[1] +.sym 5257 rx_24_fifo.wr_addr[1] +.sym 5258 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I0[0] .sym 5260 lvds_clock_buf -.sym 5261 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 5276 rx_24_fifo.wr_addr_gray[4] -.sym 5282 rx_24_fifo.wr_addr_gray[1] -.sym 5284 rx_24_fifo.wr_addr_gray[0] -.sym 5294 w_tx_data_sys[0] -.sym 5296 spi_if_ins.spi.SCKr[2] -.sym 5305 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E -.sym 5315 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 5337 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 5382 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E +.sym 5274 rx_24_fifo.rd_addr_gray_wr_r[1] +.sym 5275 rx_24_fifo.rd_addr[0] +.sym 5277 rx_24_fifo.rd_addr[1] +.sym 5278 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 5280 w_rx_24_fifo_data[28] +.sym 5281 rx_24_fifo.wr_addr[7] +.sym 5283 $PACKER_VCC_NET +.sym 5284 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 5285 rx_24_fifo.rd_addr[7] +.sym 5287 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[0] +.sym 5288 w_rx_24_fifo_pulled_data[27] +.sym 5289 w_rx_24_fifo_full +.sym 5292 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5293 i_smi_a3$SB_IO_IN +.sym 5294 rx_24_fifo.rd_addr_gray_wr_r[4] +.sym 5296 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 5303 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 5304 rx_24_fifo.full_o_SB_LUT4_I3_O[3] +.sym 5305 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 5307 rx_24_fifo.full_o_SB_LUT4_I3_O[0] +.sym 5308 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 5311 rx_24_fifo.rd_addr_gray_wr_r[7] +.sym 5312 rx_24_fifo.rd_addr_gray_wr_r[1] +.sym 5314 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 5315 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 5316 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 5318 w_rx_24_fifo_full +.sym 5319 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 5320 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] +.sym 5321 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 5322 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 5323 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 5326 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] +.sym 5327 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 5329 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 5334 w_rx_24_fifo_push +.sym 5336 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 5337 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 5338 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 5339 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 5342 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] +.sym 5343 w_rx_24_fifo_full +.sym 5344 rx_24_fifo.rd_addr_gray_wr_r[7] +.sym 5345 w_rx_24_fifo_push +.sym 5348 rx_24_fifo.rd_addr_gray_wr_r[1] +.sym 5349 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] +.sym 5354 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 5355 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 5356 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 5357 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 5360 rx_24_fifo.full_o_SB_LUT4_I3_O[0] +.sym 5361 rx_24_fifo.full_o_SB_LUT4_I3_O[3] +.sym 5362 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 5363 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 5375 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 5379 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 5382 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O .sym 5383 r_counter[0]_$glb_clk -.sym 5397 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 5398 sys_ctrl_ins.reset_cmd -.sym 5400 lvds_rx_24_inst.r_push_SB_LUT4_I3_O -.sym 5405 sys_ctrl_ins.reset_cmd -.sym 5412 spi_if_ins.spi.SCKr[1] -.sym 5415 $PACKER_VCC_NET -.sym 5431 spi_if_ins.spi.SCKr[2] -.sym 5434 spi_if_ins.spi.SCKr[1] -.sym 5437 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] -.sym 5438 spi_if_ins.r_tx_byte[7] -.sym 5453 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 5455 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 5457 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 5466 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 5467 spi_if_ins.r_tx_byte[7] -.sym 5468 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] -.sym 5480 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 5501 spi_if_ins.spi.SCKr[2] -.sym 5502 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 5503 spi_if_ins.spi.SCKr[1] -.sym 5505 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 5506 r_counter[0]_$glb_clk -.sym 5529 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E -.sym 5530 spi_if_ins.r_tx_byte[4] -.sym 5551 spi_if_ins.spi.r_tx_bit_count[2] -.sym 5553 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 5554 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] -.sym 5555 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 5560 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 5562 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 5563 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[3] -.sym 5564 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] -.sym 5565 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 5568 spi_if_ins.spi.SCKr[2] -.sym 5572 spi_if_ins.spi.SCKr[1] -.sym 5575 $PACKER_VCC_NET -.sym 5581 $nextpnr_ICESTORM_LC_11$O -.sym 5584 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 5587 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 5589 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 5590 $PACKER_VCC_NET -.sym 5595 $PACKER_VCC_NET -.sym 5596 spi_if_ins.spi.r_tx_bit_count[2] -.sym 5597 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 5600 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[3] -.sym 5601 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 5602 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] -.sym 5603 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] -.sym 5606 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 5607 $PACKER_VCC_NET -.sym 5608 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 5615 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 5620 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 5624 spi_if_ins.spi.SCKr[1] -.sym 5625 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 5626 spi_if_ins.spi.SCKr[2] -.sym 5627 spi_if_ins.spi.r_tx_bit_count[2] -.sym 5628 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 5629 r_counter[0]_$glb_clk -.sym 5630 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R -.sym 5663 spi_if_ins.r_tx_byte[7] -.sym 5664 i_smi_a3$SB_IO_IN -.sym 5672 spi_if_ins.spi.r_tx_byte[2] -.sym 5674 spi_if_ins.r_tx_byte[7] -.sym 5675 spi_if_ins.r_tx_byte[1] -.sym 5676 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 5678 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 5680 spi_if_ins.spi.r_tx_byte[3] -.sym 5682 spi_if_ins.spi.r_tx_bit_count[2] -.sym 5683 spi_if_ins.r_tx_byte[2] -.sym 5684 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 5685 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] -.sym 5686 spi_if_ins.spi.r_tx_byte[6] -.sym 5687 spi_if_ins.r_tx_byte[5] -.sym 5689 spi_if_ins.spi.r_tx_byte[1] -.sym 5690 spi_if_ins.spi.r_tx_byte[5] -.sym 5691 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] -.sym 5692 spi_if_ins.spi.r_tx_byte[7] -.sym 5699 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 5707 spi_if_ins.r_tx_byte[2] -.sym 5711 spi_if_ins.r_tx_byte[1] -.sym 5719 spi_if_ins.r_tx_byte[5] -.sym 5723 spi_if_ins.spi.r_tx_byte[1] -.sym 5724 spi_if_ins.spi.r_tx_byte[5] -.sym 5725 spi_if_ins.spi.r_tx_bit_count[2] -.sym 5731 spi_if_ins.r_tx_byte[7] -.sym 5735 spi_if_ins.spi.r_tx_bit_count[2] -.sym 5736 spi_if_ins.spi.r_tx_byte[7] -.sym 5737 spi_if_ins.spi.r_tx_byte[3] -.sym 5738 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 5741 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] -.sym 5742 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] -.sym 5743 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 5744 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 5747 spi_if_ins.spi.r_tx_bit_count[2] -.sym 5748 spi_if_ins.spi.r_tx_byte[2] -.sym 5749 spi_if_ins.spi.r_tx_byte[6] -.sym 5750 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 5751 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 5752 r_counter[0]_$glb_clk -.sym 5753 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 5771 spi_if_ins.r_tx_byte[2] -.sym 5775 spi_if_ins.r_tx_byte[5] -.sym 5782 i_ss$SB_IO_IN -.sym 5796 spi_if_ins.spi.r_tx_byte[0] -.sym 5797 spi_if_ins.r_tx_byte[0] -.sym 5799 spi_if_ins.spi.r_tx_bit_count[2] -.sym 5800 i_ss$SB_IO_IN -.sym 5802 spi_if_ins.r_tx_byte[6] -.sym 5808 spi_if_ins.r_tx_byte[4] -.sym 5811 spi_if_ins.spi.r_tx_byte[4] -.sym 5813 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 5815 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 5819 spi_if_ins.r_tx_data_valid -.sym 5830 spi_if_ins.r_tx_byte[4] -.sym 5837 spi_if_ins.r_tx_byte[0] -.sym 5853 spi_if_ins.r_tx_data_valid -.sym 5855 i_ss$SB_IO_IN -.sym 5859 spi_if_ins.spi.r_tx_byte[4] -.sym 5860 spi_if_ins.spi.r_tx_byte[0] -.sym 5861 spi_if_ins.spi.r_tx_bit_count[2] -.sym 5865 spi_if_ins.r_tx_byte[6] -.sym 5874 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E -.sym 5875 r_counter[0]_$glb_clk -.sym 5876 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 5920 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 5922 r_tx_data[6] -.sym 5925 r_tx_data[0] -.sym 5966 r_tx_data[0] -.sym 5994 r_tx_data[6] -.sym 5997 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 5384 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 5397 w_rx_09_fifo_data[0] +.sym 5398 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E +.sym 5401 $io_pmod[5]$iobuf_i +.sym 5404 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 5409 w_rx_24_fifo_data[0] +.sym 5418 w_rx_09_fifo_push +.sym 5420 rx_24_fifo.rd_addr_gray_wr[0] +.sym 5426 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 5427 rx_24_fifo.rd_addr_gray_wr_r[0] +.sym 5428 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 5429 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 5432 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] +.sym 5434 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.sym 5435 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] +.sym 5437 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.sym 5438 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.sym 5439 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] +.sym 5441 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 5442 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.sym 5444 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 5447 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[0] +.sym 5449 rx_24_fifo.wr_addr[0] +.sym 5451 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[3] +.sym 5454 rx_24_fifo.rd_addr_gray_wr_r[4] +.sym 5456 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 5459 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 5460 rx_24_fifo.rd_addr_gray_wr_r[0] +.sym 5461 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 5462 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 5465 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.sym 5466 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.sym 5467 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.sym 5468 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.sym 5471 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] +.sym 5473 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] +.sym 5478 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 5479 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 5496 rx_24_fifo.wr_addr[0] +.sym 5497 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] +.sym 5501 rx_24_fifo.rd_addr_gray_wr_r[4] +.sym 5502 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 5503 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[0] +.sym 5504 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[3] +.sym 5506 lvds_clock_buf +.sym 5507 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 5521 w_rx_24_fifo_push +.sym 5524 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 5526 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 5533 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 5536 rx_24_fifo.rd_addr[2] +.sym 5539 $PACKER_VCC_NET +.sym 5540 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 5542 w_lvds_rx_24_d0 +.sym 5549 rx_24_fifo.rd_addr_gray_wr[6] +.sym 5552 rx_24_fifo.rd_addr_gray_wr[2] +.sym 5554 rx_24_fifo.rd_addr_gray_wr[5] +.sym 5557 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 5558 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 5569 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 5573 lvds_rx_24_inst.r_state_if[0] +.sym 5577 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 5578 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] +.sym 5580 rx_24_fifo.rd_addr_gray_wr[0] +.sym 5584 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] +.sym 5585 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 5589 rx_24_fifo.rd_addr_gray_wr[0] +.sym 5595 lvds_rx_24_inst.r_state_if[0] +.sym 5596 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 5600 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 5601 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 5607 rx_24_fifo.rd_addr_gray_wr[2] +.sym 5613 rx_24_fifo.rd_addr_gray_wr[6] +.sym 5624 rx_24_fifo.rd_addr_gray_wr[5] +.sym 5629 lvds_clock_buf +.sym 5646 rx_24_fifo.rd_addr_gray_wr[2] +.sym 5650 rx_24_fifo.rd_addr_gray_wr[5] +.sym 5658 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5659 w_rx_24_fifo_data[1] +.sym 5678 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] +.sym 5679 w_lvds_rx_24_d1 +.sym 5684 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 5687 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 5688 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 5689 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 5702 w_lvds_rx_24_d0 +.sym 5707 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 5708 w_lvds_rx_24_d1 +.sym 5718 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 5719 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 5729 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] +.sym 5732 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 5742 w_lvds_rx_24_d0 +.sym 5743 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 5751 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 5752 lvds_clock_buf +.sym 5753 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 5766 rx_24_fifo.rd_addr_gray_wr[6] +.sym 5768 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 5772 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 5773 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 5774 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 5775 $PACKER_VCC_NET +.sym 5777 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E +.sym 5783 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 5796 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 5798 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 5803 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 5812 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 5813 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 5834 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 5846 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 5858 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 5872 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 5874 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 5875 lvds_clock_buf +.sym 5876 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 5897 rx_24_fifo.wr_addr_gray[2] +.sym 5925 rx_24_fifo.wr_addr_gray[5] +.sym 5927 rx_24_fifo.wr_addr_gray[4] +.sym 5931 rx_24_fifo.wr_addr_gray[0] +.sym 5957 rx_24_fifo.wr_addr_gray[5] +.sym 5975 rx_24_fifo.wr_addr_gray[0] +.sym 5996 rx_24_fifo.wr_addr_gray[4] .sym 5998 r_counter[0]_$glb_clk -.sym 6029 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 6045 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 6048 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 6052 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 6099 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 6120 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 6121 r_counter[0]_$glb_clk -.sym 6122 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 6140 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 6151 i_smi_a3$SB_IO_IN +.sym 6016 rx_24_fifo.wr_addr_gray_rd[5] +.sym 6029 rx_24_fifo.wr_addr_gray_rd[0] +.sym 6139 o_shdn_tx_lna$SB_IO_OUT .sym 6246 i_smi_a3$SB_IO_IN .sym 6294 o_shdn_tx_lna$SB_IO_OUT -.sym 6301 o_shdn_tx_lna$SB_IO_OUT -.sym 6316 i_smi_a3$SB_IO_IN -.sym 6347 smi_ctrl_ins.r_smi_test_count_24[1] -.sym 6348 i_smi_a2_SB_LUT4_I1_O[1] -.sym 6349 smi_ctrl_ins.r_smi_test_count_24[3] -.sym 6350 smi_ctrl_ins.r_smi_test_count_24[4] -.sym 6351 smi_ctrl_ins.r_smi_test_count_24[5] -.sym 6352 smi_ctrl_ins.r_smi_test_count_24[6] -.sym 6353 smi_ctrl_ins.r_smi_test_count_24[7] -.sym 6423 smi_ctrl_ins.r_smi_test_count_24[0] -.sym 6460 i_smi_a3$SB_IO_IN -.sym 6463 i_smi_a3$SB_IO_IN -.sym 6608 i_smi_a3$SB_IO_IN -.sym 6621 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E -.sym 6664 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[1] -.sym 6665 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 6666 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] +.sym 6310 o_shdn_tx_lna$SB_IO_OUT +.sym 6346 lvds_rx_09_inst.o_fifo_data[25] +.sym 6348 lvds_rx_09_inst.o_fifo_data[23] +.sym 6349 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 6350 lvds_rx_09_inst.o_fifo_data[19] +.sym 6351 io_smi_data[6]$SB_IO_OUT +.sym 6353 lvds_rx_09_inst.o_fifo_data[21] +.sym 6378 i_smi_a3$SB_IO_IN +.sym 6386 rx_09_fifo.wr_addr[4] +.sym 6387 rx_09_fifo.wr_addr[5] +.sym 6388 rx_09_fifo.wr_addr[6] +.sym 6389 rx_09_fifo.wr_addr[7] +.sym 6390 rx_09_fifo.wr_addr[0] +.sym 6391 lvds_rx_09_inst.o_fifo_data[22] +.sym 6393 $PACKER_VCC_NET +.sym 6396 rx_09_fifo.wr_addr[3] +.sym 6397 $PACKER_VCC_NET +.sym 6398 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 6400 lvds_rx_09_inst.o_fifo_data[20] +.sym 6401 $PACKER_VCC_NET +.sym 6402 lvds_rx_09_inst.o_fifo_data[19] +.sym 6404 lvds_rx_09_inst.o_fifo_data[23] +.sym 6405 lvds_rx_09_inst.o_fifo_data[18] +.sym 6406 lvds_rx_09_inst.o_fifo_data[17] +.sym 6410 lvds_rx_09_inst.o_fifo_data[16] +.sym 6411 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 6415 w_rx_09_fifo_push +.sym 6417 lvds_rx_09_inst.o_fifo_data[21] +.sym 6422 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 6423 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 6424 rx_09_fifo.rd_addr[0] +.sym 6425 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[1] +.sym 6426 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 6427 io_smi_data[7]$SB_IO_OUT +.sym 6428 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[1] +.sym 6429 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 6430 $PACKER_VCC_NET +.sym 6431 $PACKER_VCC_NET +.sym 6432 $PACKER_VCC_NET +.sym 6433 $PACKER_VCC_NET +.sym 6434 $PACKER_VCC_NET +.sym 6435 $PACKER_VCC_NET +.sym 6436 $PACKER_VCC_NET +.sym 6437 $PACKER_VCC_NET +.sym 6438 rx_09_fifo.wr_addr[0] +.sym 6439 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 6441 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 6442 rx_09_fifo.wr_addr[3] +.sym 6443 rx_09_fifo.wr_addr[4] +.sym 6444 rx_09_fifo.wr_addr[5] +.sym 6445 rx_09_fifo.wr_addr[6] +.sym 6446 rx_09_fifo.wr_addr[7] +.sym 6449 lvds_clock_buf +.sym 6450 $PACKER_VCC_NET +.sym 6451 lvds_rx_09_inst.o_fifo_data[16] +.sym 6452 lvds_rx_09_inst.o_fifo_data[17] +.sym 6453 lvds_rx_09_inst.o_fifo_data[18] +.sym 6454 lvds_rx_09_inst.o_fifo_data[19] +.sym 6455 lvds_rx_09_inst.o_fifo_data[20] +.sym 6456 lvds_rx_09_inst.o_fifo_data[21] +.sym 6457 lvds_rx_09_inst.o_fifo_data[22] +.sym 6458 lvds_rx_09_inst.o_fifo_data[23] +.sym 6459 w_rx_09_fifo_push +.sym 6466 rx_09_fifo.wr_addr[6] +.sym 6467 rx_09_fifo.wr_addr[7] +.sym 6470 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 6473 rx_09_fifo.rd_addr[2] +.sym 6475 i_smi_a3$SB_IO_IN +.sym 6481 lvds_rx_09_inst.o_fifo_data[17] +.sym 6483 w_rx_09_fifo_pulled_data[23] +.sym 6485 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 6493 rx_09_fifo.wr_addr[4] +.sym 6501 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 6502 w_rx_09_fifo_pulled_data[26] +.sym 6503 w_rx_09_fifo_pulled_data[16] +.sym 6505 w_rx_09_fifo_pulled_data[17] +.sym 6506 w_smi_data_output[7] +.sym 6507 w_rx_09_fifo_pulled_data[18] +.sym 6508 w_rx_09_fifo_pulled_data[29] +.sym 6509 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 6511 io_pmod[4]$SB_IO_IN +.sym 6512 w_rx_09_fifo_pulled_data[20] +.sym 6513 w_rx_09_fifo_pulled_data[11] +.sym 6514 w_rx_09_fifo_pulled_data[1] +.sym 6516 w_smi_data_output[6] +.sym 6517 w_rx_09_fifo_pulled_data[25] +.sym 6522 lvds_rx_09_inst.o_fifo_data[19] +.sym 6528 lvds_rx_09_inst.o_fifo_data[25] +.sym 6530 $PACKER_VCC_NET +.sym 6534 lvds_rx_09_inst.o_fifo_data[31] +.sym 6536 $PACKER_VCC_NET +.sym 6537 rx_09_fifo.rd_addr[0] +.sym 6538 rx_09_fifo.rd_addr[3] +.sym 6539 lvds_rx_09_inst.o_fifo_data[29] +.sym 6540 rx_09_fifo.rd_addr[5] +.sym 6541 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 6542 rx_09_fifo.rd_addr[1] +.sym 6545 lvds_rx_09_inst.o_fifo_data[28] +.sym 6546 rx_09_fifo.rd_addr[2] +.sym 6547 lvds_rx_09_inst.o_fifo_data[30] +.sym 6548 lvds_rx_09_inst.o_fifo_data[27] +.sym 6551 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 6552 lvds_rx_09_inst.o_fifo_data[26] +.sym 6554 lvds_rx_09_inst.o_fifo_data[24] +.sym 6557 i_smi_a1_SB_LUT4_I3_O[1] +.sym 6559 rx_09_fifo.rd_addr[4] +.sym 6560 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[1] +.sym 6561 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 6562 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[1] +.sym 6563 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 6564 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 6565 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 6566 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[1] +.sym 6567 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[1] +.sym 6568 $PACKER_VCC_NET +.sym 6569 $PACKER_VCC_NET +.sym 6570 $PACKER_VCC_NET +.sym 6571 $PACKER_VCC_NET +.sym 6572 $PACKER_VCC_NET +.sym 6573 $PACKER_VCC_NET +.sym 6574 $PACKER_VCC_NET +.sym 6575 $PACKER_VCC_NET +.sym 6576 rx_09_fifo.rd_addr[0] +.sym 6577 rx_09_fifo.rd_addr[1] +.sym 6579 rx_09_fifo.rd_addr[2] +.sym 6580 rx_09_fifo.rd_addr[3] +.sym 6581 rx_09_fifo.rd_addr[4] +.sym 6582 rx_09_fifo.rd_addr[5] +.sym 6583 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 6584 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 6587 r_counter[0]_$glb_clk +.sym 6588 $PACKER_VCC_NET +.sym 6589 i_smi_a1_SB_LUT4_I3_O[1] +.sym 6590 lvds_rx_09_inst.o_fifo_data[26] +.sym 6591 lvds_rx_09_inst.o_fifo_data[27] +.sym 6592 lvds_rx_09_inst.o_fifo_data[28] +.sym 6593 lvds_rx_09_inst.o_fifo_data[29] +.sym 6594 lvds_rx_09_inst.o_fifo_data[30] +.sym 6595 lvds_rx_09_inst.o_fifo_data[31] +.sym 6596 lvds_rx_09_inst.o_fifo_data[24] +.sym 6597 lvds_rx_09_inst.o_fifo_data[25] +.sym 6602 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 6604 rx_09_fifo.rd_addr[3] +.sym 6605 rx_09_fifo.wr_addr[5] +.sym 6606 $PACKER_VCC_NET +.sym 6609 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[3] +.sym 6610 rx_09_fifo.rd_addr[1] +.sym 6611 rx_09_fifo.wr_addr[3] +.sym 6612 $PACKER_VCC_NET +.sym 6613 rx_09_fifo.rd_addr[0] +.sym 6619 w_rx_09_fifo_data[1] +.sym 6620 i_smi_a3$SB_IO_IN +.sym 6623 rx_09_fifo.rd_addr[2] +.sym 6624 w_rx_09_fifo_data[0] +.sym 6625 rx_09_fifo.rd_addr[4] +.sym 6630 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 6632 lvds_rx_09_inst.o_fifo_data[7] +.sym 6633 $PACKER_VCC_NET +.sym 6634 w_rx_09_fifo_data[1] +.sym 6635 lvds_rx_09_inst.o_fifo_data[6] +.sym 6637 rx_09_fifo.wr_addr[3] +.sym 6638 rx_09_fifo.wr_addr[7] +.sym 6639 io_pmod[5]$SB_IO_IN +.sym 6640 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 6641 $PACKER_VCC_NET +.sym 6642 rx_09_fifo.wr_addr[5] +.sym 6643 w_rx_09_fifo_push +.sym 6644 io_pmod[6]$SB_IO_IN +.sym 6645 rx_09_fifo.wr_addr[0] +.sym 6646 rx_09_fifo.wr_addr[6] +.sym 6648 rx_09_fifo.wr_addr[4] +.sym 6649 w_rx_09_fifo_data[0] +.sym 6654 io_pmod[4]$SB_IO_IN +.sym 6657 io_pmod[7]$SB_IO_IN +.sym 6663 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 6664 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 6665 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 6666 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[3] +.sym 6667 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 6668 i_smi_a1_SB_LUT4_I3_O[1] +.sym 6669 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[3] +.sym 6670 $PACKER_VCC_NET +.sym 6671 $PACKER_VCC_NET +.sym 6672 $PACKER_VCC_NET +.sym 6673 $PACKER_VCC_NET +.sym 6674 $PACKER_VCC_NET +.sym 6675 $PACKER_VCC_NET +.sym 6676 $PACKER_VCC_NET +.sym 6677 $PACKER_VCC_NET +.sym 6678 rx_09_fifo.wr_addr[0] +.sym 6679 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 6681 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 6682 rx_09_fifo.wr_addr[3] +.sym 6683 rx_09_fifo.wr_addr[4] +.sym 6684 rx_09_fifo.wr_addr[5] +.sym 6685 rx_09_fifo.wr_addr[6] +.sym 6686 rx_09_fifo.wr_addr[7] +.sym 6689 lvds_clock_buf +.sym 6690 $PACKER_VCC_NET +.sym 6691 w_rx_09_fifo_data[0] +.sym 6692 w_rx_09_fifo_data[1] +.sym 6693 io_pmod[4]$SB_IO_IN +.sym 6694 io_pmod[5]$SB_IO_IN +.sym 6695 io_pmod[6]$SB_IO_IN +.sym 6696 io_pmod[7]$SB_IO_IN +.sym 6697 lvds_rx_09_inst.o_fifo_data[6] +.sym 6698 lvds_rx_09_inst.o_fifo_data[7] +.sym 6699 w_rx_09_fifo_push +.sym 6704 w_rx_09_fifo_pulled_data[0] .sym 6706 i_smi_a3$SB_IO_IN -.sym 6766 rx_24_fifo.wr_addr_gray_rd[2] -.sym 6767 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E -.sym 6770 rx_24_fifo.wr_addr_gray_rd[6] -.sym 6771 rx_24_fifo.wr_addr_gray_rd[3] -.sym 6809 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 6869 rx_24_fifo.empty_o_SB_LUT4_I3_I2[0] -.sym 6872 rx_24_fifo.wr_addr_gray_rd_r[2] +.sym 6710 rx_09_fifo.wr_addr[5] +.sym 6712 io_pmod[6]$SB_IO_IN +.sym 6713 rx_09_fifo.wr_addr[3] +.sym 6714 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 6716 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 6718 rx_09_fifo.rd_addr[5] +.sym 6721 i_smi_a1_SB_LUT4_I3_O[1] +.sym 6722 w_rx_09_fifo_pulled_data[15] +.sym 6727 rx_09_fifo.rd_addr[0] +.sym 6734 $PACKER_VCC_NET +.sym 6735 rx_09_fifo.rd_addr[5] +.sym 6736 i_smi_a1_SB_LUT4_I3_O[1] +.sym 6737 lvds_rx_09_inst.o_fifo_data[14] +.sym 6739 $PACKER_VCC_NET +.sym 6740 $PACKER_VCC_NET +.sym 6741 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 6743 lvds_rx_09_inst.o_fifo_data[15] +.sym 6746 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 6747 $PACKER_VCC_NET +.sym 6750 rx_09_fifo.rd_addr[0] +.sym 6751 rx_09_fifo.rd_addr[1] +.sym 6752 lvds_rx_09_inst.o_fifo_data[13] +.sym 6755 lvds_rx_09_inst.o_fifo_data[12] +.sym 6756 lvds_rx_09_inst.o_fifo_data[8] +.sym 6757 lvds_rx_09_inst.o_fifo_data[9] +.sym 6758 rx_09_fifo.rd_addr[3] +.sym 6759 lvds_rx_09_inst.o_fifo_data[11] +.sym 6761 rx_09_fifo.rd_addr[2] +.sym 6762 lvds_rx_09_inst.o_fifo_data[10] +.sym 6763 rx_09_fifo.rd_addr[4] +.sym 6766 i_smi_a1_SB_LUT4_I2_O[1] +.sym 6767 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[1] +.sym 6768 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[3] +.sym 6769 smi_ctrl_ins.int_cnt_09[4] +.sym 6770 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 6772 $PACKER_VCC_NET +.sym 6773 $PACKER_VCC_NET +.sym 6774 $PACKER_VCC_NET +.sym 6775 $PACKER_VCC_NET +.sym 6776 $PACKER_VCC_NET +.sym 6777 $PACKER_VCC_NET +.sym 6778 $PACKER_VCC_NET +.sym 6779 $PACKER_VCC_NET +.sym 6780 rx_09_fifo.rd_addr[0] +.sym 6781 rx_09_fifo.rd_addr[1] +.sym 6783 rx_09_fifo.rd_addr[2] +.sym 6784 rx_09_fifo.rd_addr[3] +.sym 6785 rx_09_fifo.rd_addr[4] +.sym 6786 rx_09_fifo.rd_addr[5] +.sym 6787 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 6788 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 6791 r_counter[0]_$glb_clk +.sym 6792 $PACKER_VCC_NET +.sym 6793 i_smi_a1_SB_LUT4_I3_O[1] +.sym 6794 lvds_rx_09_inst.o_fifo_data[10] +.sym 6795 lvds_rx_09_inst.o_fifo_data[11] +.sym 6796 lvds_rx_09_inst.o_fifo_data[12] +.sym 6797 lvds_rx_09_inst.o_fifo_data[13] +.sym 6798 lvds_rx_09_inst.o_fifo_data[14] +.sym 6799 lvds_rx_09_inst.o_fifo_data[15] +.sym 6800 lvds_rx_09_inst.o_fifo_data[8] +.sym 6801 lvds_rx_09_inst.o_fifo_data[9] +.sym 6806 i_smi_a1_SB_LUT4_I2_O[2] +.sym 6809 i_smi_a1_SB_LUT4_I1_O[0] +.sym 6810 i_smi_a2_SB_LUT4_I2_O_SB_LUT4_I0_O +.sym 6813 rx_09_fifo.wr_addr[7] +.sym 6814 i_smi_a1_SB_LUT4_I2_O[2] +.sym 6818 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 6819 w_rx_09_fifo_pulled_data[10] +.sym 6821 w_rx_24_fifo_pulled_data[15] +.sym 6823 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 6827 smi_ctrl_ins.int_cnt_24[5] +.sym 6834 w_rx_24_fifo_data[5] +.sym 6835 w_rx_24_fifo_data[4] +.sym 6836 $PACKER_VCC_NET +.sym 6837 w_rx_24_fifo_data[6] +.sym 6838 rx_24_fifo.wr_addr[2] +.sym 6840 w_rx_24_fifo_data[1] +.sym 6841 $PACKER_VCC_NET +.sym 6842 rx_24_fifo.wr_addr[7] +.sym 6844 $PACKER_VCC_NET +.sym 6845 rx_24_fifo.wr_addr[4] +.sym 6846 rx_24_fifo.wr_addr[5] +.sym 6847 rx_24_fifo.wr_addr[0] +.sym 6848 rx_24_fifo.wr_addr[1] +.sym 6849 $PACKER_VCC_NET +.sym 6850 w_rx_24_fifo_data[3] +.sym 6859 w_rx_24_fifo_data[7] +.sym 6860 rx_24_fifo.wr_addr[3] +.sym 6861 rx_24_fifo.wr_addr[6] +.sym 6862 w_rx_24_fifo_data[0] +.sym 6863 w_rx_24_fifo_push +.sym 6864 w_rx_24_fifo_data[2] +.sym 6869 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 6870 i_smi_a1_SB_LUT4_I1_O[2] +.sym 6871 smi_ctrl_ins.int_cnt_09[5] +.sym 6872 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO_CI[3] +.sym 6873 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 6874 $PACKER_VCC_NET +.sym 6875 $PACKER_VCC_NET +.sym 6876 $PACKER_VCC_NET +.sym 6877 $PACKER_VCC_NET +.sym 6878 $PACKER_VCC_NET +.sym 6879 $PACKER_VCC_NET +.sym 6880 $PACKER_VCC_NET +.sym 6881 $PACKER_VCC_NET +.sym 6882 rx_24_fifo.wr_addr[0] +.sym 6883 rx_24_fifo.wr_addr[1] +.sym 6885 rx_24_fifo.wr_addr[2] +.sym 6886 rx_24_fifo.wr_addr[3] +.sym 6887 rx_24_fifo.wr_addr[4] +.sym 6888 rx_24_fifo.wr_addr[5] +.sym 6889 rx_24_fifo.wr_addr[6] +.sym 6890 rx_24_fifo.wr_addr[7] +.sym 6893 lvds_clock_buf +.sym 6894 $PACKER_VCC_NET +.sym 6895 w_rx_24_fifo_data[0] +.sym 6896 w_rx_24_fifo_data[1] +.sym 6897 w_rx_24_fifo_data[2] +.sym 6898 w_rx_24_fifo_data[3] +.sym 6899 w_rx_24_fifo_data[4] +.sym 6900 w_rx_24_fifo_data[5] +.sym 6901 w_rx_24_fifo_data[6] +.sym 6902 w_rx_24_fifo_data[7] +.sym 6903 w_rx_24_fifo_push +.sym 6904 i_smi_a3$SB_IO_IN .sym 6907 i_smi_a3$SB_IO_IN -.sym 6968 rx_24_fifo.empty_o_SB_LUT4_I3_I2[1] -.sym 6969 rx_24_fifo.empty_o_SB_LUT4_I3_I2[2] -.sym 6971 rx_24_fifo.wr_addr_gray_rd_r[0] -.sym 6972 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I3[0] -.sym 6973 rx_24_fifo.wr_addr_gray_rd_r[1] -.sym 6974 rx_24_fifo.wr_addr_gray_rd[7] -.sym 6975 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I3[2] -.sym 7014 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 7020 int_miso -.sym 7071 rx_24_fifo.wr_addr_gray_rd[5] -.sym 7072 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I3[1] -.sym 7073 rx_24_fifo.wr_addr_gray_rd_r[5] -.sym 7075 rx_24_fifo.wr_addr_gray_rd[0] -.sym 7076 rx_24_fifo.wr_addr_gray_rd[4] -.sym 7077 rx_24_fifo.wr_addr_gray_rd[1] -.sym 7115 rx_24_fifo.wr_addr[7] -.sym 7178 rx_24_fifo.wr_addr_gray[5] -.sym 7233 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 7274 spi_if_ins.r_tx_byte[4] -.sym 7276 spi_if_ins.r_tx_byte[7] -.sym 7316 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 7322 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 7339 spi_if_ins.o_cs_SB_LUT4_I1_2_O -.sym 7379 r_tx_data[0] -.sym 7380 spi_if_ins.o_cs_SB_LUT4_I0_O[1] -.sym 7382 spi_if_ins.o_cs_SB_LUT4_I0_O[3] -.sym 7429 spi_if_ins.r_tx_byte[7] -.sym 7431 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 7435 r_tx_data[4] -.sym 7479 spi_if_ins.o_cs_SB_LUT4_I0_O[1] -.sym 7480 w_cs[2] -.sym 7481 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 7482 spi_if_ins.o_cs_SB_LUT4_I0_O[2] -.sym 7483 spi_if_ins.o_cs_SB_LUT4_I1_2_O -.sym 7484 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 7485 w_cs[3] -.sym 7523 spi_if_ins.spi.SCKr[2] -.sym 7527 i_ss$SB_IO_IN -.sym 7529 $PACKER_VCC_NET -.sym 7531 w_tx_data_sys[0] -.sym 7532 w_tx_data_io[6] -.sym 7539 w_cs[1] -.sym 7580 r_tx_data[6] -.sym 7582 r_tx_data[4] -.sym 7583 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R -.sym 7622 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 7626 spi_if_ins.spi.SCKr[1] -.sym 7631 $PACKER_VCC_NET -.sym 7636 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 7685 w_cs[1] -.sym 7689 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 7723 i_smi_a3$SB_IO_IN -.sym 7730 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 7737 w_tx_data_io[4] -.sym 7743 spi_if_ins.o_cs_SB_LUT4_I1_2_O -.sym 7745 spi_if_ins.o_cs_SB_LUT4_I0_O[1] -.sym 7786 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 7826 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 7837 spi_if_ins.w_rx_data[6] -.sym 7838 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 7924 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 7928 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 7934 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 7935 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 8044 o_shdn_tx_lna$SB_IO_OUT +.sym 6909 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 6911 i_smi_a1_SB_LUT4_I1_O[0] +.sym 6912 $PACKER_VCC_NET +.sym 6914 rx_24_fifo.wr_addr[5] +.sym 6915 rx_24_fifo.wr_addr[0] +.sym 6916 $PACKER_VCC_NET +.sym 6917 $PACKER_VCC_NET +.sym 6919 i_smi_a1_SB_LUT4_I2_O[1] +.sym 6920 i_smi_a1_SB_LUT4_I2_O[1] +.sym 6922 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 6923 rx_24_fifo.rd_addr[0] +.sym 6925 w_rx_24_fifo_data[17] +.sym 6926 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 6928 w_rx_24_fifo_pulled_data[28] +.sym 6929 rx_24_fifo.rd_addr[1] +.sym 6936 w_rx_24_fifo_data[9] +.sym 6937 w_rx_24_fifo_data[10] +.sym 6938 rx_24_fifo.rd_addr[0] +.sym 6939 rx_24_fifo.rd_addr[1] +.sym 6940 rx_24_fifo.rd_addr[6] +.sym 6942 w_rx_24_fifo_data[15] +.sym 6943 w_rx_24_fifo_data[12] +.sym 6947 $PACKER_VCC_NET +.sym 6948 w_rx_24_fifo_data[14] +.sym 6949 rx_24_fifo.rd_addr[4] +.sym 6952 rx_24_fifo.rd_addr[2] +.sym 6953 w_rx_24_fifo_data[8] +.sym 6956 w_rx_24_fifo_pull +.sym 6958 w_rx_24_fifo_data[11] +.sym 6959 rx_24_fifo.rd_addr[5] +.sym 6960 rx_24_fifo.rd_addr[3] +.sym 6961 w_rx_24_fifo_data[13] +.sym 6962 rx_24_fifo.rd_addr[7] +.sym 6964 $PACKER_VCC_NET +.sym 6967 $PACKER_VCC_NET +.sym 6970 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1[5] +.sym 6971 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO_CI[5] +.sym 6972 smi_ctrl_ins.int_cnt_24[5] +.sym 6973 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[0] +.sym 6974 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[0] +.sym 6975 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 6976 $PACKER_VCC_NET +.sym 6977 $PACKER_VCC_NET +.sym 6978 $PACKER_VCC_NET +.sym 6979 $PACKER_VCC_NET +.sym 6980 $PACKER_VCC_NET +.sym 6981 $PACKER_VCC_NET +.sym 6982 $PACKER_VCC_NET +.sym 6983 $PACKER_VCC_NET +.sym 6984 rx_24_fifo.rd_addr[0] +.sym 6985 rx_24_fifo.rd_addr[1] +.sym 6987 rx_24_fifo.rd_addr[2] +.sym 6988 rx_24_fifo.rd_addr[3] +.sym 6989 rx_24_fifo.rd_addr[4] +.sym 6990 rx_24_fifo.rd_addr[5] +.sym 6991 rx_24_fifo.rd_addr[6] +.sym 6992 rx_24_fifo.rd_addr[7] +.sym 6995 r_counter[0]_$glb_clk +.sym 6996 $PACKER_VCC_NET +.sym 6997 w_rx_24_fifo_pull +.sym 6998 w_rx_24_fifo_data[10] +.sym 6999 w_rx_24_fifo_data[11] +.sym 7000 w_rx_24_fifo_data[12] +.sym 7001 w_rx_24_fifo_data[13] +.sym 7002 w_rx_24_fifo_data[14] +.sym 7003 w_rx_24_fifo_data[15] +.sym 7004 w_rx_24_fifo_data[8] +.sym 7005 w_rx_24_fifo_data[9] +.sym 7011 io_pmod[7]$SB_IO_IN +.sym 7013 $PACKER_VCC_NET +.sym 7015 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 7016 smi_ctrl_ins.int_cnt_24[4] +.sym 7022 w_rx_24_fifo_pull +.sym 7024 rx_24_fifo.wr_addr[7] +.sym 7026 rx_24_fifo.wr_addr[6] +.sym 7027 w_rx_09_fifo_data[1] +.sym 7030 w_rx_24_fifo_data[19] +.sym 7031 w_rx_09_fifo_data[0] +.sym 7032 rx_24_fifo.wr_addr[4] +.sym 7038 rx_24_fifo.wr_addr[4] +.sym 7039 rx_24_fifo.wr_addr[1] +.sym 7040 w_rx_24_fifo_data[19] +.sym 7041 rx_24_fifo.wr_addr[7] +.sym 7042 rx_24_fifo.wr_addr[2] +.sym 7045 w_rx_24_fifo_data[16] +.sym 7046 rx_24_fifo.wr_addr[5] +.sym 7050 w_rx_24_fifo_data[20] +.sym 7051 rx_24_fifo.wr_addr[6] +.sym 7052 rx_24_fifo.wr_addr[3] +.sym 7053 w_rx_24_fifo_data[23] +.sym 7054 rx_24_fifo.wr_addr[0] +.sym 7056 $PACKER_VCC_NET +.sym 7058 w_rx_24_fifo_push +.sym 7060 $PACKER_VCC_NET +.sym 7062 w_rx_24_fifo_data[18] +.sym 7063 w_rx_24_fifo_data[17] +.sym 7064 w_rx_24_fifo_data[22] +.sym 7068 $PACKER_VCC_NET +.sym 7069 w_rx_24_fifo_data[21] +.sym 7071 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[3] +.sym 7072 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 7073 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] +.sym 7074 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 7075 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 7076 w_rx_24_fifo_pull +.sym 7077 smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_E +.sym 7078 $PACKER_VCC_NET +.sym 7079 $PACKER_VCC_NET +.sym 7080 $PACKER_VCC_NET +.sym 7081 $PACKER_VCC_NET +.sym 7082 $PACKER_VCC_NET +.sym 7083 $PACKER_VCC_NET +.sym 7084 $PACKER_VCC_NET +.sym 7085 $PACKER_VCC_NET +.sym 7086 rx_24_fifo.wr_addr[0] +.sym 7087 rx_24_fifo.wr_addr[1] +.sym 7089 rx_24_fifo.wr_addr[2] +.sym 7090 rx_24_fifo.wr_addr[3] +.sym 7091 rx_24_fifo.wr_addr[4] +.sym 7092 rx_24_fifo.wr_addr[5] +.sym 7093 rx_24_fifo.wr_addr[6] +.sym 7094 rx_24_fifo.wr_addr[7] +.sym 7097 lvds_clock_buf +.sym 7098 $PACKER_VCC_NET +.sym 7099 w_rx_24_fifo_data[16] +.sym 7100 w_rx_24_fifo_data[17] +.sym 7101 w_rx_24_fifo_data[18] +.sym 7102 w_rx_24_fifo_data[19] +.sym 7103 w_rx_24_fifo_data[20] +.sym 7104 w_rx_24_fifo_data[21] +.sym 7105 w_rx_24_fifo_data[22] +.sym 7106 w_rx_24_fifo_data[23] +.sym 7107 w_rx_24_fifo_push +.sym 7112 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 7115 i_smi_a3$SB_IO_IN +.sym 7116 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 7117 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 7118 i_smi_a1$SB_IO_IN +.sym 7121 w_rx_24_fifo_full +.sym 7125 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 7131 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 7134 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[0] +.sym 7135 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 7140 rx_24_fifo.rd_addr[2] +.sym 7141 w_rx_24_fifo_data[28] +.sym 7142 $PACKER_VCC_NET +.sym 7143 $PACKER_VCC_NET +.sym 7144 rx_24_fifo.rd_addr[0] +.sym 7148 rx_24_fifo.rd_addr[3] +.sym 7150 rx_24_fifo.rd_addr[5] +.sym 7151 w_rx_24_fifo_data[31] +.sym 7152 rx_24_fifo.rd_addr[7] +.sym 7154 rx_24_fifo.rd_addr[1] +.sym 7155 $PACKER_VCC_NET +.sym 7156 rx_24_fifo.rd_addr[6] +.sym 7157 w_rx_24_fifo_data[30] +.sym 7158 w_rx_24_fifo_data[27] +.sym 7160 w_rx_24_fifo_pull +.sym 7162 w_rx_24_fifo_data[29] +.sym 7164 w_rx_24_fifo_data[24] +.sym 7166 w_rx_24_fifo_data[26] +.sym 7169 w_rx_24_fifo_data[25] +.sym 7171 rx_24_fifo.rd_addr[4] +.sym 7172 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 7173 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 7174 w_rx_09_fifo_data[1] +.sym 7175 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3[3] +.sym 7176 w_rx_09_fifo_data[0] +.sym 7177 $io_pmod[5]$iobuf_i +.sym 7178 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 7180 $PACKER_VCC_NET +.sym 7181 $PACKER_VCC_NET +.sym 7182 $PACKER_VCC_NET +.sym 7183 $PACKER_VCC_NET +.sym 7184 $PACKER_VCC_NET +.sym 7185 $PACKER_VCC_NET +.sym 7186 $PACKER_VCC_NET +.sym 7187 $PACKER_VCC_NET +.sym 7188 rx_24_fifo.rd_addr[0] +.sym 7189 rx_24_fifo.rd_addr[1] +.sym 7191 rx_24_fifo.rd_addr[2] +.sym 7192 rx_24_fifo.rd_addr[3] +.sym 7193 rx_24_fifo.rd_addr[4] +.sym 7194 rx_24_fifo.rd_addr[5] +.sym 7195 rx_24_fifo.rd_addr[6] +.sym 7196 rx_24_fifo.rd_addr[7] +.sym 7199 r_counter[0]_$glb_clk +.sym 7200 $PACKER_VCC_NET +.sym 7201 w_rx_24_fifo_pull +.sym 7202 w_rx_24_fifo_data[26] +.sym 7203 w_rx_24_fifo_data[27] +.sym 7204 w_rx_24_fifo_data[28] +.sym 7205 w_rx_24_fifo_data[29] +.sym 7206 w_rx_24_fifo_data[30] +.sym 7207 w_rx_24_fifo_data[31] +.sym 7208 w_rx_24_fifo_data[24] +.sym 7209 w_rx_24_fifo_data[25] +.sym 7214 rx_24_fifo.rd_addr[3] +.sym 7218 rx_24_fifo.rd_addr[5] +.sym 7222 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 7227 w_lvds_rx_09_d0 +.sym 7229 w_rx_24_fifo_empty +.sym 7235 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[3] +.sym 7237 w_lvds_rx_09_d1 +.sym 7274 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 7275 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[0] +.sym 7276 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 7277 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 7278 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 7279 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 7280 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 7281 rx_24_fifo.wr_addr_gray_rd[3] +.sym 7337 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[3] +.sym 7377 w_rx_24_fifo_empty +.sym 7378 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[0] +.sym 7379 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 7380 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[3] +.sym 7381 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[3] +.sym 7383 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 7438 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 7439 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 7441 rx_24_fifo.wr_addr_gray_rd[2] +.sym 7478 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 7479 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3[1] +.sym 7480 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3[0] +.sym 7482 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 7483 lvds_rx_09_inst.r_phase_count[0] +.sym 7484 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_DFFNESR_Q_E +.sym 7521 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] +.sym 7526 rx_24_fifo.rd_addr_gray_wr_r[4] +.sym 7533 rx_24_fifo.wr_addr_gray_rd[4] +.sym 7541 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[0] +.sym 7581 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[1] +.sym 7582 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[2] +.sym 7583 lvds_rx_09_inst.r_phase_count[1] +.sym 7584 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 7585 rx_24_fifo.wr_addr_gray_rd[2] +.sym 7629 rx_24_fifo.rd_addr_gray_wr[0] +.sym 7632 w_rx_09_fifo_push +.sym 7686 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[0] +.sym 7724 rx_24_fifo.rd_addr[2] +.sym 7725 $PACKER_VCC_NET +.sym 7730 rx_24_fifo.wr_addr_gray_rd[0] +.sym 7930 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 8042 o_shdn_rx_lna$SB_IO_OUT .sym 8088 i_smi_a3$SB_IO_IN .sym 8093 io_smi_data[6]$SB_IO_OUT -.sym 8102 io_smi_data[6]$SB_IO_OUT -.sym 8118 io_smi_data[6]$SB_IO_OUT -.sym 8119 io_smi_data[2]$SB_IO_OUT -.sym 8120 w_smi_data_output[2] -.sym 8121 io_smi_data[0]$SB_IO_OUT -.sym 8122 w_smi_data_output[7] -.sym 8123 w_smi_data_output[4] -.sym 8124 io_smi_data[7]$SB_IO_OUT -.sym 8125 w_smi_data_output[6] -.sym 8161 smi_ctrl_ins.r_smi_test_count_24[1] -.sym 8167 smi_ctrl_ins.r_smi_test_count_24[7] -.sym 8169 smi_ctrl_ins.r_smi_test_count_24[0] -.sym 8178 i_smi_soe_se_SB_LUT4_I1_1_O -.sym 8179 smi_ctrl_ins.r_smi_test_count_24[3] -.sym 8180 smi_ctrl_ins.r_smi_test_count_24[4] -.sym 8182 smi_ctrl_ins.r_smi_test_count_24[6] -.sym 8186 i_smi_a2_SB_LUT4_I1_O[1] -.sym 8189 smi_ctrl_ins.r_smi_test_count_24[5] -.sym 8192 $nextpnr_ICESTORM_LC_9$O -.sym 8195 smi_ctrl_ins.r_smi_test_count_24[0] -.sym 8198 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 8201 smi_ctrl_ins.r_smi_test_count_24[1] -.sym 8202 smi_ctrl_ins.r_smi_test_count_24[0] -.sym 8204 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 8206 i_smi_a2_SB_LUT4_I1_O[1] -.sym 8208 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 8210 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] -.sym 8213 smi_ctrl_ins.r_smi_test_count_24[3] -.sym 8214 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 8216 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] -.sym 8219 smi_ctrl_ins.r_smi_test_count_24[4] -.sym 8220 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] -.sym 8222 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] -.sym 8224 smi_ctrl_ins.r_smi_test_count_24[5] -.sym 8226 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] -.sym 8228 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] -.sym 8231 smi_ctrl_ins.r_smi_test_count_24[6] -.sym 8232 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] -.sym 8237 smi_ctrl_ins.r_smi_test_count_24[7] -.sym 8238 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] -.sym 8239 i_smi_soe_se_SB_LUT4_I1_1_O -.sym 8240 i_glob_clock$SB_IO_IN_$glb_clk -.sym 8241 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 8247 w_smi_data_output[1] -.sym 8251 i_smi_soe_se_SB_LUT4_I1_1_O -.sym 8253 io_smi_data[1]$SB_IO_OUT -.sym 8260 smi_ctrl_ins.r_smi_test_count_24[5] -.sym 8266 smi_ctrl_ins.r_smi_test_count_24[3] -.sym 8286 i_smi_soe_se_SB_LUT4_I1_1_O -.sym 8290 io_smi_data[1]$SB_IO_OUT -.sym 8332 smi_ctrl_ins.r_smi_test_count_24[0] -.sym 8341 i_smi_soe_se_SB_LUT4_I1_1_O -.sym 8365 smi_ctrl_ins.r_smi_test_count_24[0] -.sym 8402 i_smi_soe_se_SB_LUT4_I1_1_O -.sym 8403 i_glob_clock$SB_IO_IN_$glb_clk -.sym 8404 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 8425 i_smi_soe_se_SB_LUT4_I1_O -.sym 8430 lvds_rx_09_inst.r_phase_count[0] -.sym 8435 i_smi_soe_se_SB_LUT4_I1_1_O -.sym 8438 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 8529 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[1] -.sym 8530 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[2] -.sym 8531 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] -.sym 8532 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] -.sym 8533 lvds_rx_09_inst.r_phase_count[1] -.sym 8534 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] -.sym 8535 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 8552 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 8554 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E -.sym 8572 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 8573 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 8580 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E -.sym 8587 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[1] -.sym 8600 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 8614 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 8615 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 8623 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[1] -.sym 8628 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 8648 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E -.sym 8649 lvds_clock_buf -.sym 8650 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 8651 lvds_rx_09_inst.r_phase_count[0] -.sym 8652 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2[1] -.sym 8653 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E -.sym 8654 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 8655 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 8656 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 8657 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E -.sym 8658 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E -.sym 8668 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 8670 $PACKER_VCC_NET -.sym 8671 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 8673 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 8681 rx_24_fifo.wr_addr_gray_rd[3] -.sym 8706 rx_24_fifo.wr_addr_gray[2] -.sym 8708 rx_24_fifo.wr_addr_gray[3] -.sym 8712 rx_24_fifo.wr_addr_gray[6] -.sym 8723 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E -.sym 8740 rx_24_fifo.wr_addr_gray[2] -.sym 8744 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E -.sym 8761 rx_24_fifo.wr_addr_gray[6] -.sym 8768 rx_24_fifo.wr_addr_gray[3] -.sym 8772 r_counter[0]_$glb_clk -.sym 8774 rx_09_fifo.wr_addr[4] -.sym 8777 rx_09_fifo.wr_addr[2] -.sym 8779 rx_09_fifo.wr_addr[5] -.sym 8781 rx_09_fifo.wr_addr[3] -.sym 8787 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E -.sym 8825 rx_24_fifo.wr_addr_gray_rd[2] -.sym 8829 rx_24_fifo.wr_addr_gray_rd[6] -.sym 8868 rx_24_fifo.wr_addr_gray_rd[6] -.sym 8887 rx_24_fifo.wr_addr_gray_rd[2] -.sym 8895 r_counter[0]_$glb_clk -.sym 8903 w_rx_24_fifo_empty -.sym 8909 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 8916 rx_09_fifo.wr_addr[4] -.sym 8930 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 8941 rx_24_fifo.empty_o_SB_LUT4_I3_I2[0] -.sym 8943 rx_24_fifo.wr_addr_gray_rd[0] -.sym 8944 rx_24_fifo.wr_addr[7] -.sym 8945 rx_24_fifo.wr_addr_gray_rd[1] -.sym 8947 rx_24_fifo.empty_o_SB_LUT4_I3_I2[2] -.sym 8949 rx_24_fifo.wr_addr_gray_rd_r[5] -.sym 8951 rx_24_fifo.wr_addr_gray_rd_r[1] -.sym 8952 rx_24_fifo.wr_addr_gray_rd_r[2] -.sym 8953 rx_24_fifo.wr_addr_gray_rd[3] -.sym 8954 rx_24_fifo.empty_o_SB_LUT4_I3_I2[1] -.sym 8957 rx_24_fifo.wr_addr_gray_rd_r[0] -.sym 8960 rx_24_fifo.wr_addr_gray_rd[7] -.sym 8968 w_rx_24_fifo_empty -.sym 8971 rx_24_fifo.wr_addr_gray_rd[7] -.sym 8977 rx_24_fifo.wr_addr_gray_rd_r[2] -.sym 8978 rx_24_fifo.wr_addr_gray_rd_r[5] -.sym 8979 rx_24_fifo.wr_addr_gray_rd_r[0] -.sym 8980 rx_24_fifo.wr_addr_gray_rd_r[1] -.sym 8989 rx_24_fifo.wr_addr_gray_rd[0] -.sym 8997 rx_24_fifo.wr_addr_gray_rd[3] -.sym 9001 rx_24_fifo.wr_addr_gray_rd[1] -.sym 9007 rx_24_fifo.wr_addr[7] -.sym 9013 rx_24_fifo.empty_o_SB_LUT4_I3_I2[0] -.sym 9014 rx_24_fifo.empty_o_SB_LUT4_I3_I2[2] -.sym 9015 w_rx_24_fifo_empty -.sym 9016 rx_24_fifo.empty_o_SB_LUT4_I3_I2[1] -.sym 9018 r_counter[0]_$glb_clk -.sym 9022 sys_ctrl_ins.reset_count[2] -.sym 9023 sys_ctrl_ins.reset_count[3] -.sym 9024 sys_ctrl_ins.reset_count[0] -.sym 9025 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E -.sym 9026 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 9027 sys_ctrl_ins.reset_count[1] -.sym 9052 w_rx_24_fifo_empty -.sym 9067 rx_24_fifo.wr_addr_gray[5] -.sym 9070 rx_24_fifo.wr_addr_gray_rd[5] -.sym 9075 rx_24_fifo.wr_addr_gray_rd[4] -.sym 9077 rx_24_fifo.wr_addr_gray[0] -.sym 9087 rx_24_fifo.wr_addr_gray[4] -.sym 9091 rx_24_fifo.wr_addr_gray[1] -.sym 9101 rx_24_fifo.wr_addr_gray[5] -.sym 9109 rx_24_fifo.wr_addr_gray_rd[4] -.sym 9115 rx_24_fifo.wr_addr_gray_rd[5] -.sym 9125 rx_24_fifo.wr_addr_gray[0] -.sym 9131 rx_24_fifo.wr_addr_gray[4] -.sym 9138 rx_24_fifo.wr_addr_gray[1] -.sym 9141 r_counter[0]_$glb_clk -.sym 9147 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 9148 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E -.sym 9168 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 9174 w_rx_09_fifo_full -.sym 9175 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 9178 w_fetch -.sym 9201 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 9211 lvds_rx_24_inst.r_push_SB_LUT4_I3_O -.sym 9254 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 9263 lvds_rx_24_inst.r_push_SB_LUT4_I3_O +.sym 8115 io_smi_data[6]$SB_IO_OUT +.sym 8119 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 8120 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 8121 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 8122 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 8123 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 8124 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 8125 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 8164 i_smi_a3$SB_IO_IN +.sym 8165 lvds_rx_09_inst.o_fifo_data[17] +.sym 8170 lvds_rx_09_inst.o_fifo_data[23] +.sym 8172 lvds_rx_09_inst.o_fifo_data[19] +.sym 8180 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8181 w_smi_data_output[6] +.sym 8183 lvds_rx_09_inst.o_fifo_data[21] +.sym 8188 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 8193 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8194 lvds_rx_09_inst.o_fifo_data[23] +.sym 8205 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8206 lvds_rx_09_inst.o_fifo_data[21] +.sym 8211 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 8219 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8220 lvds_rx_09_inst.o_fifo_data[17] +.sym 8224 i_smi_a3$SB_IO_IN +.sym 8225 w_smi_data_output[6] +.sym 8235 lvds_rx_09_inst.o_fifo_data[19] +.sym 8238 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 8239 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 8240 lvds_clock_buf +.sym 8241 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 8246 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O[1] +.sym 8247 rx_09_fifo.rd_addr[3] +.sym 8248 rx_09_fifo.rd_addr[5] +.sym 8249 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 8250 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 8252 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O[0] +.sym 8253 rx_09_fifo.rd_addr[1] +.sym 8259 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 8262 rx_09_fifo.rd_addr[2] +.sym 8263 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 8264 rx_09_fifo.rd_addr[4] +.sym 8280 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 8284 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 8294 io_smi_data[1]$SB_IO_OUT +.sym 8305 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[1] +.sym 8308 rx_09_fifo.rd_addr[1] +.sym 8310 io_smi_data[2]$SB_IO_OUT +.sym 8312 rx_09_fifo.rd_addr[3] +.sym 8325 rx_09_fifo.rd_addr[0] +.sym 8326 w_rx_09_fifo_pulled_data[27] +.sym 8327 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8329 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8331 w_rx_09_fifo_pulled_data[15] +.sym 8333 w_rx_09_fifo_pulled_data[23] +.sym 8334 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 8337 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8338 w_rx_09_fifo_pulled_data[31] +.sym 8340 w_smi_data_output[7] +.sym 8341 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 8342 w_rx_09_fifo_pulled_data[3] +.sym 8344 w_rx_09_fifo_pulled_data[5] +.sym 8345 w_rx_09_fifo_pulled_data[6] +.sym 8346 w_rx_09_fifo_pulled_data[11] +.sym 8348 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8349 i_smi_a3$SB_IO_IN +.sym 8350 w_rx_09_fifo_pulled_data[19] +.sym 8352 w_rx_09_fifo_pulled_data[21] +.sym 8353 w_rx_09_fifo_pulled_data[22] +.sym 8354 w_rx_09_fifo_pulled_data[7] +.sym 8356 w_rx_09_fifo_pulled_data[21] +.sym 8357 w_rx_09_fifo_pulled_data[5] +.sym 8358 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 8359 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8362 w_rx_09_fifo_pulled_data[23] +.sym 8363 w_rx_09_fifo_pulled_data[7] +.sym 8364 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8365 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 8370 rx_09_fifo.rd_addr[0] +.sym 8374 w_rx_09_fifo_pulled_data[11] +.sym 8375 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8376 w_rx_09_fifo_pulled_data[27] +.sym 8377 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8380 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 8381 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8382 w_rx_09_fifo_pulled_data[19] +.sym 8383 w_rx_09_fifo_pulled_data[3] +.sym 8386 i_smi_a3$SB_IO_IN +.sym 8388 w_smi_data_output[7] +.sym 8392 w_rx_09_fifo_pulled_data[31] +.sym 8393 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8394 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8395 w_rx_09_fifo_pulled_data[15] +.sym 8398 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8399 w_rx_09_fifo_pulled_data[6] +.sym 8400 w_rx_09_fifo_pulled_data[22] +.sym 8401 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 8402 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 8403 r_counter[0]_$glb_clk +.sym 8404 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 8405 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 8406 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 8407 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[1] +.sym 8408 io_smi_data[1]$SB_IO_OUT +.sym 8409 rx_09_fifo.wr_addr[0] +.sym 8411 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[3] +.sym 8412 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[0] +.sym 8417 w_rx_09_fifo_pulled_data[15] +.sym 8420 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 8422 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 8423 rx_09_fifo.rd_addr[0] +.sym 8425 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8428 rx_09_fifo.rd_addr[5] +.sym 8429 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[3] +.sym 8430 i_smi_a1_SB_LUT4_I3_O[1] +.sym 8431 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] +.sym 8432 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[1] +.sym 8433 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[1] +.sym 8434 i_smi_a3$SB_IO_IN +.sym 8439 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 8447 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 8449 w_rx_09_fifo_pulled_data[1] +.sym 8450 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8452 w_rx_09_fifo_pulled_data[25] +.sym 8453 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 8454 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8455 w_rx_09_fifo_pulled_data[20] +.sym 8456 w_rx_09_fifo_pulled_data[2] +.sym 8457 w_rx_09_fifo_pulled_data[17] +.sym 8458 w_rx_09_fifo_pulled_data[4] +.sym 8459 w_rx_09_fifo_pulled_data[18] +.sym 8460 w_rx_09_fifo_pulled_data[29] +.sym 8461 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8462 w_rx_09_fifo_pulled_data[24] +.sym 8463 w_rx_09_fifo_pulled_data[9] +.sym 8466 w_rx_09_fifo_pulled_data[12] +.sym 8467 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8468 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 8470 w_rx_09_fifo_pulled_data[8] +.sym 8471 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8474 w_rx_09_fifo_pulled_data[28] +.sym 8475 w_rx_09_fifo_pulled_data[13] +.sym 8476 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.sym 8479 w_rx_09_fifo_pulled_data[25] +.sym 8480 w_rx_09_fifo_pulled_data[9] +.sym 8481 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8482 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8485 w_rx_09_fifo_pulled_data[1] +.sym 8486 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8487 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 8488 w_rx_09_fifo_pulled_data[17] +.sym 8491 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8492 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8493 w_rx_09_fifo_pulled_data[13] +.sym 8494 w_rx_09_fifo_pulled_data[29] +.sym 8497 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 8498 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8499 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.sym 8500 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 8503 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8504 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 8505 w_rx_09_fifo_pulled_data[20] +.sym 8506 w_rx_09_fifo_pulled_data[4] +.sym 8509 w_rx_09_fifo_pulled_data[2] +.sym 8510 w_rx_09_fifo_pulled_data[18] +.sym 8511 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 8512 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8515 w_rx_09_fifo_pulled_data[12] +.sym 8516 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8517 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 8518 w_rx_09_fifo_pulled_data[28] +.sym 8521 w_rx_09_fifo_pulled_data[8] +.sym 8522 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8523 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 8524 w_rx_09_fifo_pulled_data[24] +.sym 8528 w_smi_data_output[1] +.sym 8530 io_smi_data[0]$SB_IO_OUT +.sym 8531 w_smi_data_output[2] +.sym 8532 io_smi_data[2]$SB_IO_OUT +.sym 8533 w_smi_data_output[5] +.sym 8534 w_smi_data_output[7] +.sym 8535 w_smi_data_output[6] +.sym 8540 w_rx_09_fifo_pulled_data[10] +.sym 8544 w_rx_09_fifo_pulled_data[26] +.sym 8545 w_rx_09_fifo_pulled_data[16] +.sym 8547 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 8549 rx_09_fifo.wr_addr[4] +.sym 8553 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8556 i_smi_a1_SB_LUT4_I3_O[1] +.sym 8557 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[2] +.sym 8559 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 8562 smi_ctrl_ins.int_cnt_09[5] +.sym 8563 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 8569 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 8571 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 8572 i_smi_a1_SB_LUT4_I2_O[2] +.sym 8573 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[2] +.sym 8574 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 8575 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8579 i_smi_a1_SB_LUT4_I2_O[1] +.sym 8580 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[1] +.sym 8582 smi_ctrl_ins.int_cnt_09[4] +.sym 8583 i_smi_a1_SB_LUT4_I1_O[0] +.sym 8585 w_rx_09_fifo_pulled_data[0] +.sym 8586 $PACKER_VCC_NET +.sym 8587 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 8588 smi_ctrl_ins.int_cnt_09[5] +.sym 8589 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 8592 w_rx_24_fifo_pulled_data[15] +.sym 8593 i_smi_a1_SB_LUT4_I1_O[1] +.sym 8594 $PACKER_VCC_NET +.sym 8595 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[2] +.sym 8596 smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E +.sym 8599 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 8600 w_rx_24_fifo_pulled_data[7] +.sym 8601 $nextpnr_ICESTORM_LC_5$O +.sym 8604 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8607 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[2] +.sym 8609 smi_ctrl_ins.int_cnt_09[4] +.sym 8610 $PACKER_VCC_NET +.sym 8611 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8614 $PACKER_VCC_NET +.sym 8615 smi_ctrl_ins.int_cnt_09[5] +.sym 8617 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[2] +.sym 8620 i_smi_a1_SB_LUT4_I2_O[2] +.sym 8621 w_rx_09_fifo_pulled_data[0] +.sym 8623 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 8626 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 8627 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 8628 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 8629 i_smi_a1_SB_LUT4_I2_O[1] +.sym 8632 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 8633 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 8634 w_rx_24_fifo_pulled_data[15] +.sym 8635 w_rx_24_fifo_pulled_data[7] +.sym 8638 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[2] +.sym 8639 i_smi_a1_SB_LUT4_I1_O[0] +.sym 8641 i_smi_a1_SB_LUT4_I1_O[1] +.sym 8644 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[2] +.sym 8645 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 8646 i_smi_a1_SB_LUT4_I2_O[1] +.sym 8647 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[1] +.sym 8648 smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E +.sym 8649 i_glob_clock$SB_IO_IN_$glb_clk +.sym 8650 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 8652 w_smi_data_output[4] +.sym 8653 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E +.sym 8654 smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E +.sym 8655 i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1[5] +.sym 8656 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[0] +.sym 8657 i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1[4] +.sym 8658 w_smi_data_output[3] +.sym 8663 io_pmod[4]$SB_IO_IN +.sym 8664 w_smi_data_output[7] +.sym 8668 w_smi_data_output[6] +.sym 8673 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 8679 i_smi_a1_SB_LUT4_I1_O[1] +.sym 8681 i_smi_a1_SB_LUT4_I1_O[0] +.sym 8683 io_pmod[2]$SB_IO_IN +.sym 8686 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 8694 i_smi_a1_SB_LUT4_I2_O[1] +.sym 8695 $PACKER_VCC_NET +.sym 8696 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8697 i_smi_a1_SB_LUT4_I1_O[1] +.sym 8698 w_rx_24_fifo_pulled_data[6] +.sym 8701 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 8703 $PACKER_VCC_NET +.sym 8706 i_smi_a1_SB_LUT4_I1_O[0] +.sym 8707 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 8708 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 8709 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 8710 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E +.sym 8713 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 8714 smi_ctrl_ins.int_cnt_24[4] +.sym 8716 smi_ctrl_ins.int_cnt_24[5] +.sym 8718 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 8721 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 8722 w_rx_24_fifo_pulled_data[14] +.sym 8724 $nextpnr_ICESTORM_LC_2$O +.sym 8727 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 8730 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[2] +.sym 8732 smi_ctrl_ins.int_cnt_24[4] +.sym 8733 $PACKER_VCC_NET +.sym 8738 smi_ctrl_ins.int_cnt_24[5] +.sym 8739 $PACKER_VCC_NET +.sym 8740 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[2] +.sym 8743 w_rx_24_fifo_pulled_data[14] +.sym 8744 w_rx_24_fifo_pulled_data[6] +.sym 8745 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 8746 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 8749 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 8750 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 8751 i_smi_a1_SB_LUT4_I2_O[1] +.sym 8752 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 8755 i_smi_a1_SB_LUT4_I1_O[0] +.sym 8756 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 8757 i_smi_a1_SB_LUT4_I1_O[1] +.sym 8761 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 8762 i_smi_a1_SB_LUT4_I1_O[0] +.sym 8764 i_smi_a1_SB_LUT4_I1_O[1] +.sym 8771 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E +.sym 8772 i_glob_clock$SB_IO_IN_$glb_clk +.sym 8773 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 8776 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I1[5] +.sym 8777 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[0] +.sym 8778 i_smi_a1_SB_LUT4_I3_O[0] +.sym 8779 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 8780 smi_ctrl_ins.int_cnt_24[4] +.sym 8781 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] +.sym 8782 i_smi_a2_SB_LUT4_I2_O_SB_LUT4_I0_O +.sym 8788 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 8790 rx_09_fifo.rd_addr_gray_wr_r[3] +.sym 8791 w_smi_data_output[3] +.sym 8798 i_button_SB_LUT4_I3_I2[0] +.sym 8799 w_rx_09_fifo_push +.sym 8801 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 8804 w_rx_09_fifo_full +.sym 8817 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E +.sym 8819 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 8821 $PACKER_VCC_NET +.sym 8826 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO_CI[5] +.sym 8828 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[0] +.sym 8832 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1[4] +.sym 8836 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 8837 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO_CI[3] +.sym 8839 i_smi_a1_SB_LUT4_I1_O[1] +.sym 8840 w_rx_24_fifo_pulled_data[28] +.sym 8841 i_smi_a1_SB_LUT4_I1_O[0] +.sym 8842 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 8843 w_rx_24_fifo_pulled_data[20] +.sym 8847 $nextpnr_ICESTORM_LC_0$O +.sym 8850 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO_CI[3] +.sym 8853 i_smi_a2_SB_LUT4_I2_I1[4] +.sym 8856 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1[4] +.sym 8859 $nextpnr_ICESTORM_LC_1$I3 +.sym 8862 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO_CI[5] +.sym 8865 $nextpnr_ICESTORM_LC_1$COUT +.sym 8867 $PACKER_VCC_NET +.sym 8869 $nextpnr_ICESTORM_LC_1$I3 +.sym 8873 i_smi_a1_SB_LUT4_I1_O[1] +.sym 8874 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[0] +.sym 8875 $nextpnr_ICESTORM_LC_1$COUT +.sym 8878 i_smi_a1_SB_LUT4_I1_O[1] +.sym 8880 i_smi_a1_SB_LUT4_I1_O[0] +.sym 8881 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 8885 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 8890 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 8891 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 8892 w_rx_24_fifo_pulled_data[20] +.sym 8893 w_rx_24_fifo_pulled_data[28] +.sym 8894 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E +.sym 8895 i_glob_clock$SB_IO_IN_$glb_clk +.sym 8896 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 8898 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1[4] +.sym 8899 w_tx_data_smi[1] +.sym 8900 w_tx_data_smi[0] +.sym 8901 w_tx_data_smi[3] +.sym 8902 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 8903 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[1] +.sym 8904 i_smi_a1_SB_LUT4_I1_O[3] +.sym 8917 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 8921 i_smi_a2$SB_IO_IN +.sym 8922 w_rx_24_fifo_pull +.sym 8926 i_smi_a3$SB_IO_IN +.sym 8928 rx_24_fifo.rd_addr[6] +.sym 8929 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 8930 rx_24_fifo.rd_addr[2] +.sym 8940 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1[5] +.sym 8941 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 8942 i_smi_a1_SB_LUT4_I2_O[1] +.sym 8944 smi_ctrl_ins.int_cnt_24[4] +.sym 8945 w_rx_24_fifo_empty +.sym 8949 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO_CI[5] +.sym 8950 smi_ctrl_ins.int_cnt_24[5] +.sym 8951 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 8952 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 8953 w_rx_24_fifo_pulled_data[23] +.sym 8955 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1[4] +.sym 8956 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 8960 i_smi_a1_SB_LUT4_I1_O[1] +.sym 8961 w_rx_24_fifo_pulled_data[31] +.sym 8964 i_smi_a1_SB_LUT4_I1_O[0] +.sym 8970 $nextpnr_ICESTORM_LC_17$O +.sym 8972 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1[4] +.sym 8976 $nextpnr_ICESTORM_LC_18$I3 +.sym 8978 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO_CI[5] +.sym 8986 $nextpnr_ICESTORM_LC_18$I3 +.sym 8989 smi_ctrl_ins.int_cnt_24[5] +.sym 8995 i_smi_a1_SB_LUT4_I1_O[1] +.sym 8996 i_smi_a1_SB_LUT4_I1_O[0] +.sym 8997 i_smi_a1_SB_LUT4_I2_O[1] +.sym 9001 smi_ctrl_ins.int_cnt_24[5] +.sym 9002 smi_ctrl_ins.int_cnt_24[4] +.sym 9003 w_rx_24_fifo_empty +.sym 9004 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 9007 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1[5] +.sym 9008 i_smi_a1_SB_LUT4_I1_O[0] +.sym 9009 i_smi_a1_SB_LUT4_I1_O[1] +.sym 9010 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 9013 w_rx_24_fifo_pulled_data[31] +.sym 9014 w_rx_24_fifo_pulled_data[23] +.sym 9015 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 9016 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 9017 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 9018 i_glob_clock$SB_IO_IN_$glb_clk +.sym 9019 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 9020 rx_24_fifo.empty_o_SB_LUT4_I2_I3[2] +.sym 9021 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 9022 rx_24_fifo.rd_addr[0] +.sym 9023 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_I0[0] +.sym 9024 rx_24_fifo.rd_addr[3] +.sym 9025 rx_24_fifo.rd_addr[5] +.sym 9026 rx_24_fifo.rd_addr[7] +.sym 9027 rx_24_fifo.rd_addr[1] +.sym 9039 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 9041 w_rx_24_fifo_empty +.sym 9044 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 9045 rx_24_fifo.rd_addr[3] +.sym 9049 rx_24_fifo.rd_addr[7] +.sym 9050 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 9051 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 9052 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 9054 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 9063 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 9064 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3[3] +.sym 9067 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[1] +.sym 9068 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 9069 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 9072 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] +.sym 9073 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 9075 w_rx_24_fifo_pull +.sym 9078 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 9079 smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_E +.sym 9081 rx_24_fifo.rd_addr[3] +.sym 9082 i_smi_a1_SB_LUT4_I1_O[0] +.sym 9083 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 9084 rx_24_fifo.rd_addr[1] +.sym 9085 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 9086 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 9087 rx_24_fifo.rd_addr[0] +.sym 9089 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 9090 rx_24_fifo.rd_addr[2] +.sym 9091 i_smi_a1_SB_LUT4_I1_O[1] +.sym 9100 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 9101 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 9102 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 9103 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 9106 rx_24_fifo.rd_addr[2] +.sym 9107 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 9108 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] +.sym 9109 rx_24_fifo.rd_addr[1] +.sym 9112 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 9114 rx_24_fifo.rd_addr[0] +.sym 9118 rx_24_fifo.rd_addr[3] +.sym 9119 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3[3] +.sym 9120 rx_24_fifo.rd_addr[2] +.sym 9121 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 9124 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 9126 w_rx_24_fifo_pull +.sym 9131 i_smi_a1_SB_LUT4_I1_O[0] +.sym 9132 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 9133 i_smi_a1_SB_LUT4_I1_O[1] +.sym 9137 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[1] +.sym 9138 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 9140 smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_E +.sym 9141 i_glob_clock$SB_IO_IN_$glb_clk +.sym 9142 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 9144 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 9145 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 9146 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 9147 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 9148 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[1] +.sym 9149 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[2] +.sym 9150 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 9157 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 9159 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[3] +.sym 9160 rx_24_fifo.rd_addr[1] +.sym 9161 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 9166 rx_24_fifo.rd_addr[0] +.sym 9167 rx_24_fifo.rd_addr[0] +.sym 9168 i_smi_a1_SB_LUT4_I1_O[0] +.sym 9169 w_rx_24_fifo_empty +.sym 9171 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 9174 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 9177 i_smi_a1_SB_LUT4_I1_O[1] +.sym 9185 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[0] +.sym 9188 rx_24_fifo.rd_addr[3] +.sym 9189 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 9190 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[0] +.sym 9191 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 9197 rx_24_fifo.rd_addr[5] +.sym 9198 rx_24_fifo.rd_addr[6] +.sym 9200 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[3] +.sym 9201 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 9202 w_rx_09_fifo_data[1] +.sym 9206 rx_24_fifo.rd_addr[4] +.sym 9208 w_lvds_rx_09_d0 +.sym 9210 w_lvds_rx_09_d1 +.sym 9211 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 9212 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 9217 rx_24_fifo.rd_addr[5] +.sym 9218 rx_24_fifo.rd_addr[6] +.sym 9219 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[0] +.sym 9220 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 9223 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 9224 rx_24_fifo.rd_addr[4] +.sym 9231 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[3] +.sym 9232 w_lvds_rx_09_d0 +.sym 9236 rx_24_fifo.rd_addr[3] +.sym 9237 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[0] +.sym 9238 rx_24_fifo.rd_addr[4] +.sym 9241 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[3] +.sym 9242 w_lvds_rx_09_d1 +.sym 9249 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 9250 w_rx_09_fifo_data[1] +.sym 9253 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 9256 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 9263 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce .sym 9264 lvds_clock_buf -.sym 9265 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 9266 w_tx_data_smi[2] -.sym 9267 w_tx_data_smi[3] -.sym 9268 w_tx_data_smi[0] -.sym 9269 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E -.sym 9271 w_tx_data_smi[1] -.sym 9288 $PACKER_GND_NET -.sym 9290 w_rx_24_fifo_full -.sym 9293 w_tx_data_io[0] -.sym 9294 w_cs[2] -.sym 9295 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 9296 spi_if_ins.o_cs_SB_LUT4_I1_2_O -.sym 9318 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 9324 r_tx_data[7] -.sym 9332 r_tx_data[4] -.sym 9342 r_tx_data[4] -.sym 9355 r_tx_data[7] -.sym 9386 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 9265 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 9266 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 9267 rx_24_fifo.wr_addr_gray[6] +.sym 9268 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 9270 rx_24_fifo.wr_addr_gray[3] +.sym 9271 rx_24_fifo.wr_addr_gray[1] +.sym 9272 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 9273 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[3] +.sym 9291 w_rx_09_fifo_push +.sym 9292 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_DFFNESR_Q_E +.sym 9296 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[1] +.sym 9297 rx_24_fifo.rd_addr[6] +.sym 9298 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[2] +.sym 9301 w_rx_09_fifo_full +.sym 9313 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 9317 rx_24_fifo.wr_addr_gray_rd[1] +.sym 9318 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 9320 rx_24_fifo.wr_addr_gray_rd[4] +.sym 9321 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 9322 rx_24_fifo.wr_addr_gray_rd[3] +.sym 9323 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 9324 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[0] +.sym 9327 rx_24_fifo.wr_addr_gray[3] +.sym 9330 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[3] +.sym 9332 w_rx_24_fifo_push +.sym 9335 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 9336 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 9338 rx_24_fifo.wr_addr_gray_rd[2] +.sym 9342 rx_24_fifo.wr_addr_gray_rd[2] +.sym 9349 rx_24_fifo.wr_addr_gray_rd[3] +.sym 9352 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 9354 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 9355 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 9360 rx_24_fifo.wr_addr_gray_rd[1] +.sym 9364 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 9365 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[3] +.sym 9366 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 9367 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[0] +.sym 9373 rx_24_fifo.wr_addr_gray_rd[4] +.sym 9377 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 9378 w_rx_24_fifo_push +.sym 9385 rx_24_fifo.wr_addr_gray[3] .sym 9387 r_counter[0]_$glb_clk -.sym 9389 r_tx_data[2] -.sym 9390 r_tx_data[7] -.sym 9391 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[2] -.sym 9392 r_tx_data[5] -.sym 9393 r_tx_data[3] -.sym 9394 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2[1] -.sym 9395 r_tx_data[1] -.sym 9420 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 9421 spi_if_ins.w_rx_data[6] -.sym 9432 w_tx_data_smi[0] -.sym 9434 spi_if_ins.o_cs_SB_LUT4_I0_O[2] -.sym 9439 spi_if_ins.o_cs_SB_LUT4_I0_O[1] -.sym 9441 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 9442 w_tx_data_sys[0] -.sym 9444 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 9453 w_tx_data_io[0] -.sym 9457 spi_if_ins.o_cs_SB_LUT4_I1_2_O -.sym 9460 spi_if_ins.o_cs_SB_LUT4_I0_O[3] -.sym 9481 w_tx_data_sys[0] -.sym 9482 spi_if_ins.o_cs_SB_LUT4_I0_O[1] -.sym 9483 spi_if_ins.o_cs_SB_LUT4_I0_O[3] -.sym 9484 spi_if_ins.o_cs_SB_LUT4_I0_O[2] -.sym 9489 spi_if_ins.o_cs_SB_LUT4_I0_O[1] -.sym 9499 w_tx_data_smi[0] -.sym 9500 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 9501 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 9502 w_tx_data_io[0] -.sym 9509 spi_if_ins.o_cs_SB_LUT4_I1_2_O -.sym 9510 i_glob_clock$SB_IO_IN_$glb_clk -.sym 9512 spi_if_ins.r_tx_byte[1] -.sym 9515 spi_if_ins.r_tx_byte[2] -.sym 9516 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E -.sym 9517 spi_if_ins.r_tx_byte[5] -.sym 9518 spi_if_ins.r_tx_byte[3] -.sym 9521 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_R -.sym 9537 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 9538 spi_if_ins.w_rx_data[5] -.sym 9539 r_tx_data[0] -.sym 9541 r_tx_data[6] -.sym 9542 w_cs[1] -.sym 9544 spi_if_ins.w_rx_data[5] -.sym 9564 spi_if_ins.w_rx_data[5] -.sym 9566 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 9569 w_cs[0] -.sym 9570 spi_if_ins.w_rx_data[5] -.sym 9571 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 9575 w_cs[1] -.sym 9576 w_cs[3] -.sym 9579 w_cs[2] -.sym 9581 spi_if_ins.w_rx_data[6] -.sym 9592 w_cs[3] -.sym 9593 w_cs[0] -.sym 9594 w_cs[2] -.sym 9595 w_cs[1] -.sym 9599 spi_if_ins.w_rx_data[5] -.sym 9601 spi_if_ins.w_rx_data[6] -.sym 9604 w_cs[2] -.sym 9605 w_cs[1] -.sym 9606 w_cs[3] -.sym 9607 w_cs[0] -.sym 9610 w_cs[0] -.sym 9611 w_cs[3] -.sym 9612 w_cs[1] -.sym 9613 w_cs[2] -.sym 9616 w_cs[3] -.sym 9617 w_cs[1] -.sym 9618 w_cs[2] -.sym 9619 w_cs[0] -.sym 9622 w_cs[1] -.sym 9623 w_cs[3] -.sym 9624 w_cs[0] -.sym 9625 w_cs[2] -.sym 9629 spi_if_ins.w_rx_data[5] -.sym 9630 spi_if_ins.w_rx_data[6] -.sym 9632 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 9633 r_counter[0]_$glb_clk -.sym 9634 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 9635 w_cs[0] -.sym 9636 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 9637 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 9638 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 9640 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E -.sym 9651 spi_if_ins.o_cs_SB_LUT4_I0_O[1] -.sym 9654 $PACKER_VCC_NET -.sym 9655 w_tx_data_io[4] -.sym 9661 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 9666 spi_if_ins.o_cs_SB_LUT4_I1_2_O -.sym 9667 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 9670 w_fetch -.sym 9680 w_tx_data_io[6] -.sym 9682 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 9692 w_cs[0] -.sym 9697 w_tx_data_io[4] -.sym 9703 spi_if_ins.o_cs_SB_LUT4_I1_2_O -.sym 9705 spi_if_ins.o_cs_SB_LUT4_I0_O[1] -.sym 9709 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 9711 w_tx_data_io[6] -.sym 9721 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 9724 w_tx_data_io[4] -.sym 9728 w_cs[0] -.sym 9755 spi_if_ins.o_cs_SB_LUT4_I1_2_O -.sym 9756 i_glob_clock$SB_IO_IN_$glb_clk -.sym 9757 spi_if_ins.o_cs_SB_LUT4_I0_O[1] -.sym 9758 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 9759 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E -.sym 9760 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 9762 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 9763 w_load -.sym 9765 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 9776 w_rx_data[6] -.sym 9778 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R -.sym 9779 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 9783 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 9789 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 9791 spi_if_ins.state_if[1] -.sym 9807 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 9810 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 9811 spi_if_ins.w_rx_data[6] -.sym 9816 spi_if_ins.w_rx_data[5] -.sym 9819 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 9825 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 9850 spi_if_ins.w_rx_data[6] -.sym 9852 spi_if_ins.w_rx_data[5] -.sym 9874 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 9876 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 9878 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O +.sym 9390 rx_24_fifo.rd_addr_gray_wr_r[1] +.sym 9392 rx_24_fifo.rd_addr_gray_wr[4] +.sym 9393 rx_24_fifo.rd_addr_gray_wr[5] +.sym 9395 rx_24_fifo.rd_addr_gray_wr_r[4] +.sym 9396 rx_24_fifo.rd_addr_gray_wr[2] +.sym 9403 rx_24_fifo.wr_addr_gray_rd[1] +.sym 9406 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[3] +.sym 9407 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 9408 rx_24_fifo.wr_addr_gray_rd[4] +.sym 9413 i_smi_a3$SB_IO_IN +.sym 9416 rx_24_fifo.rd_addr_gray[5] +.sym 9418 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 9420 rx_24_fifo.rd_addr[6] +.sym 9421 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 9422 rx_24_fifo.rd_addr[2] +.sym 9424 rx_24_fifo.rd_addr_gray_wr_r[1] +.sym 9432 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 9434 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 9435 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[3] +.sym 9436 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 9438 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 9440 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 9442 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 9443 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[3] +.sym 9445 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 9448 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[0] +.sym 9451 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 9454 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 9456 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[1] +.sym 9458 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[2] +.sym 9459 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[0] +.sym 9461 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 9469 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[3] +.sym 9470 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 9471 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 9472 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[0] +.sym 9475 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[3] +.sym 9476 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[2] +.sym 9477 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[0] +.sym 9478 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[1] +.sym 9481 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 9482 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 9483 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 9484 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 9487 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 9488 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 9489 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 9490 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 9493 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 9496 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 9506 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 9507 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 9508 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 9510 r_counter[0]_$glb_clk +.sym 9511 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 9512 w_rx_09_fifo_push +.sym 9518 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E +.sym 9526 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 9528 w_rx_24_fifo_empty +.sym 9530 rx_24_fifo.rd_addr_gray[4] +.sym 9532 sys_ctrl_ins.reset_cmd +.sym 9536 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 9542 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 9543 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 9554 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[1] +.sym 9557 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[3] +.sym 9560 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 9561 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 9562 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3[1] +.sym 9563 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[2] +.sym 9564 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_DFFNESR_Q_E +.sym 9565 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 9571 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3[0] +.sym 9573 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 9575 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 9579 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 9582 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 9586 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3[0] +.sym 9588 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3[1] +.sym 9592 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[2] +.sym 9593 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[3] +.sym 9594 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 9595 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 9598 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 9599 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[1] +.sym 9600 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[3] +.sym 9601 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 9612 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 9613 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 9616 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 9623 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 9624 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 9625 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 9632 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_DFFNESR_Q_E +.sym 9633 lvds_clock_buf +.sym 9634 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 9636 rx_24_fifo.rd_addr_gray[5] +.sym 9637 rx_24_fifo.rd_addr_gray[1] +.sym 9638 rx_24_fifo.rd_addr[6] +.sym 9639 rx_24_fifo.rd_addr[2] +.sym 9640 rx_24_fifo.rd_addr_gray[2] +.sym 9656 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 9662 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 9677 rx_24_fifo.wr_addr_gray_rd[0] +.sym 9678 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3[0] +.sym 9681 lvds_rx_09_inst.r_phase_count[0] +.sym 9685 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3[1] +.sym 9688 $PACKER_VCC_NET +.sym 9689 lvds_rx_09_inst.r_phase_count[0] +.sym 9698 rx_24_fifo.wr_addr_gray[2] +.sym 9703 lvds_rx_09_inst.r_phase_count[1] +.sym 9708 $nextpnr_ICESTORM_LC_6$O +.sym 9710 lvds_rx_09_inst.r_phase_count[0] +.sym 9714 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] +.sym 9716 lvds_rx_09_inst.r_phase_count[1] +.sym 9717 $PACKER_VCC_NET +.sym 9718 lvds_rx_09_inst.r_phase_count[0] +.sym 9722 $PACKER_VCC_NET +.sym 9723 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3[1] +.sym 9724 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] +.sym 9730 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3[0] +.sym 9734 rx_24_fifo.wr_addr_gray_rd[0] +.sym 9740 rx_24_fifo.wr_addr_gray[2] +.sym 9756 r_counter[0]_$glb_clk +.sym 9784 rx_24_fifo.rd_addr[6] +.sym 9825 rx_24_fifo.wr_addr_gray_rd[5] +.sym 9857 rx_24_fifo.wr_addr_gray_rd[5] .sym 9879 r_counter[0]_$glb_clk -.sym 9880 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 9881 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 9882 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 9883 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 9884 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E -.sym 9885 spi_if_ins.state_if_SB_DFFE_Q_D[1] -.sym 9886 w_fetch -.sym 9887 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 9888 spi_if_ins.state_if_SB_DFFESR_Q_D[2] -.sym 9898 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 9899 $PACKER_VCC_NET -.sym 9900 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 9902 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E -.sym 9903 w_tx_data_io[6] -.sym 9916 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 9933 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 9935 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 9945 spi_if_ins.state_if_SB_DFFESR_Q_D[2] -.sym 9970 spi_if_ins.state_if_SB_DFFESR_Q_D[2] -.sym 10001 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 10002 r_counter[0]_$glb_clk -.sym 10003 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 10008 spi_if_ins.state_if[1] -.sym 10023 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 10025 o_shdn_tx_lna$SB_IO_OUT -.sym 10143 o_shdn_rx_lna$SB_IO_OUT -.sym 10160 o_shdn_rx_lna$SB_IO_OUT +.sym 9894 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 9905 i_smi_a3$SB_IO_IN +.sym 10023 o_shdn_rx_lna$SB_IO_OUT .sym 10172 o_shdn_rx_lna$SB_IO_OUT .sym 10194 o_shdn_rx_lna$SB_IO_OUT .sym 10201 io_smi_data[2]$SB_IO_OUT .sym 10204 io_smi_data[1]$SB_IO_OUT -.sym 10213 io_smi_data[1]$SB_IO_OUT -.sym 10219 io_smi_data[2]$SB_IO_OUT -.sym 10228 i_smi_a2_SB_LUT4_I1_O[3] -.sym 10229 smi_ctrl_ins.r_smi_test_count_09[3] -.sym 10230 smi_ctrl_ins.r_smi_test_count_09[4] -.sym 10231 smi_ctrl_ins.r_smi_test_count_09[5] -.sym 10232 smi_ctrl_ins.r_smi_test_count_09[6] -.sym 10233 smi_ctrl_ins.r_smi_test_count_09[7] -.sym 10270 i_smi_a2_SB_LUT4_I1_O[1] -.sym 10272 smi_ctrl_ins.r_smi_test_count_24[4] -.sym 10275 smi_ctrl_ins.r_smi_test_count_24[7] -.sym 10279 i_smi_soe_se_SB_LUT4_I1_O -.sym 10280 i_smi_a3$SB_IO_IN -.sym 10282 smi_ctrl_ins.r_smi_test_count_24[6] -.sym 10283 i_smi_a2_SB_LUT4_I1_O[0] -.sym 10286 w_smi_data_output[2] -.sym 10288 w_smi_data_output[7] -.sym 10290 smi_ctrl_ins.r_smi_test_count_09[6] -.sym 10291 w_smi_data_output[6] -.sym 10292 smi_ctrl_ins.r_smi_test_count_09[0] -.sym 10293 smi_ctrl_ins.r_smi_test_count_24[0] -.sym 10294 i_smi_a2_SB_LUT4_I1_O[3] -.sym 10296 smi_ctrl_ins.r_smi_test_count_09[4] -.sym 10298 i_smi_a2_SB_LUT4_I1_O[2] -.sym 10299 smi_ctrl_ins.r_smi_test_count_09[7] -.sym 10302 w_smi_data_output[6] -.sym 10304 i_smi_a3$SB_IO_IN -.sym 10307 i_smi_a3$SB_IO_IN -.sym 10308 w_smi_data_output[2] -.sym 10313 i_smi_a2_SB_LUT4_I1_O[1] -.sym 10314 i_smi_a2_SB_LUT4_I1_O[3] -.sym 10315 i_smi_a2_SB_LUT4_I1_O[0] -.sym 10316 i_smi_a2_SB_LUT4_I1_O[2] -.sym 10319 smi_ctrl_ins.r_smi_test_count_09[0] -.sym 10320 i_smi_a2_SB_LUT4_I1_O[0] -.sym 10321 i_smi_a2_SB_LUT4_I1_O[2] -.sym 10322 smi_ctrl_ins.r_smi_test_count_24[0] -.sym 10325 i_smi_a2_SB_LUT4_I1_O[0] -.sym 10326 i_smi_a2_SB_LUT4_I1_O[2] -.sym 10327 smi_ctrl_ins.r_smi_test_count_09[7] -.sym 10328 smi_ctrl_ins.r_smi_test_count_24[7] -.sym 10331 smi_ctrl_ins.r_smi_test_count_09[4] -.sym 10332 smi_ctrl_ins.r_smi_test_count_24[4] -.sym 10333 i_smi_a2_SB_LUT4_I1_O[2] -.sym 10334 i_smi_a2_SB_LUT4_I1_O[0] -.sym 10339 w_smi_data_output[7] -.sym 10340 i_smi_a3$SB_IO_IN -.sym 10343 smi_ctrl_ins.r_smi_test_count_24[6] -.sym 10344 i_smi_a2_SB_LUT4_I1_O[0] -.sym 10345 i_smi_a2_SB_LUT4_I1_O[2] -.sym 10346 smi_ctrl_ins.r_smi_test_count_09[6] -.sym 10347 i_smi_soe_se_SB_LUT4_I1_O -.sym 10348 i_glob_clock$SB_IO_IN_$glb_clk -.sym 10354 smi_ctrl_ins.r_smi_test_count_09[0] -.sym 10356 smi_ctrl_ins.r_smi_test_count_09[1] -.sym 10357 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O[3] -.sym 10358 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_1_O -.sym 10360 i_smi_a2_SB_LUT4_I1_O[2] -.sym 10361 i_smi_soe_se_SB_LUT4_I1_O -.sym 10368 w_smi_data_output[4] -.sym 10392 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_1_O -.sym 10396 i_smi_soe_se$rename$0 -.sym 10397 i_smi_a2_SB_LUT4_I1_O[2] -.sym 10398 i_smi_soe_se_SB_LUT4_I1_O -.sym 10402 i_smi_soe_se$rename$0 -.sym 10403 i_smi_a2_SB_LUT4_I1_O[0] -.sym 10407 i_smi_a2_SB_LUT4_I1_O[0] -.sym 10442 i_smi_soe_se_SB_LUT4_I1_O -.sym 10448 w_smi_data_output[1] -.sym 10449 smi_ctrl_ins.r_smi_test_count_09[1] -.sym 10454 i_smi_a2_SB_LUT4_I1_O[2] -.sym 10456 smi_ctrl_ins.r_smi_test_count_24[1] -.sym 10457 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O[0] -.sym 10458 i_smi_soe_se$rename$0 -.sym 10459 i_smi_a3$SB_IO_IN -.sym 10460 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 10461 i_smi_a2_SB_LUT4_I1_O[0] -.sym 10470 i_smi_a2_SB_LUT4_I1_O[2] -.sym 10471 smi_ctrl_ins.r_smi_test_count_24[1] -.sym 10472 i_smi_a2_SB_LUT4_I1_O[0] -.sym 10473 smi_ctrl_ins.r_smi_test_count_09[1] -.sym 10494 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O[0] -.sym 10495 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 10496 i_smi_a2_SB_LUT4_I1_O[0] -.sym 10497 i_smi_soe_se$rename$0 -.sym 10506 w_smi_data_output[1] -.sym 10508 i_smi_a3$SB_IO_IN -.sym 10510 i_smi_soe_se_SB_LUT4_I1_O -.sym 10511 i_glob_clock$SB_IO_IN_$glb_clk -.sym 10515 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O[0] -.sym 10516 smi_ctrl_ins.r_last_soe_1 -.sym 10541 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 10636 $io_pmod[5]$iobuf_i -.sym 10639 $io_pmod[4]$iobuf_i -.sym 10640 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 10641 w_rx_09_fifo_data[1] -.sym 10643 w_rx_09_fifo_data[0] -.sym 10669 $io_pmod[5]$iobuf_i -.sym 10677 lvds_rx_09_inst.r_phase_count[0] -.sym 10678 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[1] -.sym 10679 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[1] -.sym 10681 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 10682 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 10685 $PACKER_VCC_NET -.sym 10688 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 10689 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 10690 lvds_rx_09_inst.r_phase_count[0] -.sym 10697 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] -.sym 10703 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[2] -.sym 10704 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E -.sym 10706 lvds_rx_09_inst.r_phase_count[1] -.sym 10707 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] -.sym 10709 $nextpnr_ICESTORM_LC_0$O -.sym 10712 lvds_rx_09_inst.r_phase_count[0] -.sym 10715 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] -.sym 10717 lvds_rx_09_inst.r_phase_count[1] -.sym 10718 $PACKER_VCC_NET -.sym 10719 lvds_rx_09_inst.r_phase_count[0] -.sym 10722 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] -.sym 10723 $PACKER_VCC_NET -.sym 10725 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] -.sym 10728 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] -.sym 10729 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] -.sym 10734 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 10735 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[2] -.sym 10736 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[1] -.sym 10737 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 10740 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] -.sym 10746 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[1] -.sym 10747 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[1] -.sym 10748 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 10749 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 10752 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 10755 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 10756 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E -.sym 10757 lvds_clock_buf -.sym 10758 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 10761 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[2] -.sym 10762 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[3] -.sym 10764 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[4] -.sym 10765 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[5] -.sym 10766 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[6] -.sym 10779 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O -.sym 10784 w_lvds_rx_09_d0 -.sym 10790 i_smi_a2_SB_LUT4_I1_O[2] -.sym 10793 i_smi_a2_SB_LUT4_I1_O[0] -.sym 10803 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] -.sym 10804 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 10805 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 10807 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 10808 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 10811 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E -.sym 10819 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 10820 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 10825 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2[1] -.sym 10827 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 10833 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 10839 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 10840 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 10841 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] -.sym 10842 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 10845 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 10846 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 10848 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 10853 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 10854 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 10857 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 10858 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] -.sym 10859 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 10860 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 10863 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 10864 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 10865 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] -.sym 10869 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2[1] -.sym 10871 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 10872 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 10875 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 10876 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 10877 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 10879 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E -.sym 10880 lvds_clock_buf -.sym 10881 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 10882 w_rx_09_fifo_push -.sym 10883 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 10884 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 10885 lvds_rx_09_inst.r_push_SB_LUT4_I2_O[2] -.sym 10886 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 10887 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] -.sym 10888 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[0] -.sym 10889 lvds_rx_09_inst.r_push_SB_LUT4_I2_I1[1] -.sym 10904 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 10941 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 10942 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 10943 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 10944 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 10949 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 10958 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 10974 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 10988 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 11000 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 11002 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 11003 lvds_clock_buf -.sym 11004 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 11006 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 11007 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 11008 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 11009 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 11010 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 11011 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 11012 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 11018 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 11029 sys_ctrl_ins.reset_cmd -.sym 11030 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 11036 sys_ctrl_ins.reset_cmd -.sym 11037 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 11050 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I3[0] -.sym 11053 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I3[2] -.sym 11072 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I3[1] -.sym 11115 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I3[0] -.sym 11116 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I3[2] -.sym 11118 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I3[1] -.sym 11126 r_counter[0]_$glb_clk -.sym 11127 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 11148 w_rx_09_fifo_full -.sym 11171 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E -.sym 11172 sys_ctrl_ins.reset_count[3] -.sym 11183 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 11184 sys_ctrl_ins.reset_count[1] -.sym 11187 sys_ctrl_ins.reset_count[2] -.sym 11189 sys_ctrl_ins.reset_cmd -.sym 11191 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 11196 sys_ctrl_ins.reset_cmd -.sym 11197 sys_ctrl_ins.reset_count[0] -.sym 11201 $nextpnr_ICESTORM_LC_12$O -.sym 11203 sys_ctrl_ins.reset_count[0] -.sym 11207 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 11209 sys_ctrl_ins.reset_count[1] -.sym 11213 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 11214 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 11216 sys_ctrl_ins.reset_count[2] -.sym 11217 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 11220 sys_ctrl_ins.reset_count[3] -.sym 11222 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 11223 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 11227 sys_ctrl_ins.reset_count[0] -.sym 11232 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 11235 sys_ctrl_ins.reset_cmd -.sym 11238 sys_ctrl_ins.reset_count[2] -.sym 11239 sys_ctrl_ins.reset_count[0] -.sym 11240 sys_ctrl_ins.reset_count[1] -.sym 11241 sys_ctrl_ins.reset_count[3] -.sym 11245 sys_ctrl_ins.reset_count[1] -.sym 11246 sys_ctrl_ins.reset_count[0] -.sym 11247 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 11248 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 10223 io_smi_data[2]$SB_IO_OUT +.sym 10224 io_smi_data[1]$SB_IO_OUT +.sym 10226 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 10227 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 10228 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O[0] +.sym 10229 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 10230 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_1_I0[0] +.sym 10231 rx_09_fifo.rd_addr[2] +.sym 10232 rx_09_fifo.rd_addr[4] +.sym 10233 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] +.sym 10269 rx_09_fifo.rd_addr[3] +.sym 10278 rx_09_fifo.rd_addr[5] +.sym 10283 rx_09_fifo.rd_addr[1] +.sym 10284 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 10285 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 10286 rx_09_fifo.rd_addr[0] +.sym 10297 rx_09_fifo.rd_addr[2] +.sym 10298 rx_09_fifo.rd_addr[4] +.sym 10300 $nextpnr_ICESTORM_LC_10$O +.sym 10303 rx_09_fifo.rd_addr[0] +.sym 10306 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] +.sym 10308 rx_09_fifo.rd_addr[1] +.sym 10310 rx_09_fifo.rd_addr[0] +.sym 10312 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] +.sym 10315 rx_09_fifo.rd_addr[2] +.sym 10316 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] +.sym 10318 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] +.sym 10321 rx_09_fifo.rd_addr[3] +.sym 10322 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] +.sym 10324 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] +.sym 10326 rx_09_fifo.rd_addr[4] +.sym 10328 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] +.sym 10330 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] +.sym 10333 rx_09_fifo.rd_addr[5] +.sym 10334 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] +.sym 10336 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] +.sym 10338 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 10340 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] +.sym 10344 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 10346 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] +.sym 10354 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 10355 rx_09_fifo.wr_addr_gray_rd_r[1] +.sym 10356 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[2] +.sym 10357 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[2] +.sym 10358 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[0] +.sym 10359 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 10360 rx_09_fifo.wr_addr_gray_rd[5] +.sym 10361 rx_09_fifo.wr_addr_gray_rd[1] +.sym 10367 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[0] +.sym 10368 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 10370 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 10371 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] +.sym 10373 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 10385 io_smi_data[7]$SB_IO_OUT +.sym 10392 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 10396 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] +.sym 10405 rx_09_fifo.wr_addr[0] +.sym 10413 io_smi_data[0]$SB_IO_OUT +.sym 10417 rx_09_fifo.rd_addr[4] +.sym 10424 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 10425 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 10432 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 10433 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 10436 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 10437 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 10441 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 10442 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 10445 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 10446 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 10451 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[0] +.sym 10452 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[3] +.sym 10453 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O[0] +.sym 10455 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O[1] +.sym 10456 rx_09_fifo.wr_addr_gray_rd_r[1] +.sym 10460 i_smi_a1_SB_LUT4_I3_O[1] +.sym 10464 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[0] +.sym 10465 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 10466 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 10467 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[3] +.sym 10471 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 10477 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 10483 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O[0] +.sym 10484 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O[1] +.sym 10488 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 10490 i_smi_a1_SB_LUT4_I3_O[1] +.sym 10500 i_smi_a1_SB_LUT4_I3_O[1] +.sym 10501 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 10502 rx_09_fifo.wr_addr_gray_rd_r[1] +.sym 10503 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 10508 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 10510 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 10511 r_counter[0]_$glb_clk +.sym 10512 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 10514 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 10515 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 10516 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 10517 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 10518 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 10519 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 10520 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 10523 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[1] +.sym 10526 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 10528 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 10529 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 10533 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 10535 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 10537 rx_09_fifo.wr_addr[0] +.sym 10538 rx_09_fifo.wr_addr_gray_rd[7] +.sym 10539 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 10540 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[3] +.sym 10542 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 10543 w_rx_09_fifo_push +.sym 10545 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 10548 $PACKER_VCC_NET +.sym 10554 w_smi_data_output[1] +.sym 10556 rx_09_fifo.rd_addr[5] +.sym 10557 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 10558 rx_09_fifo.wr_addr[0] +.sym 10559 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 10560 w_rx_09_fifo_pulled_data[16] +.sym 10561 w_rx_09_fifo_pulled_data[26] +.sym 10563 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] +.sym 10566 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[0] +.sym 10567 w_rx_09_fifo_pulled_data[10] +.sym 10568 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[3] +.sym 10569 io_pmod[2]$SB_IO_IN +.sym 10571 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 10572 i_smi_a3$SB_IO_IN +.sym 10575 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 10576 i_smi_a1_SB_LUT4_I3_O[1] +.sym 10578 w_rx_09_fifo_pulled_data[0] +.sym 10579 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 10581 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 10582 rx_09_fifo.rd_addr[4] +.sym 10583 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 10587 rx_09_fifo.wr_addr[0] +.sym 10590 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 10593 rx_09_fifo.rd_addr[4] +.sym 10594 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] +.sym 10595 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[3] +.sym 10596 rx_09_fifo.rd_addr[5] +.sym 10599 w_rx_09_fifo_pulled_data[10] +.sym 10600 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 10601 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 10602 w_rx_09_fifo_pulled_data[26] +.sym 10606 w_smi_data_output[1] +.sym 10608 i_smi_a3$SB_IO_IN +.sym 10613 rx_09_fifo.wr_addr[0] +.sym 10623 io_pmod[2]$SB_IO_IN +.sym 10624 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[0] +.sym 10625 i_smi_a1_SB_LUT4_I3_O[1] +.sym 10626 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 10629 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 10630 w_rx_09_fifo_pulled_data[16] +.sym 10631 w_rx_09_fifo_pulled_data[0] +.sym 10632 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 10633 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 10634 lvds_clock_buf +.sym 10635 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 10636 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[1] +.sym 10637 w_rx_09_fifo_full +.sym 10638 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 10639 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.sym 10640 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 10641 rx_09_fifo.full_o_SB_LUT4_I3_O[3] +.sym 10642 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 10643 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 10647 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[2] +.sym 10652 rx_09_fifo.wr_addr[4] +.sym 10654 rx_09_fifo.wr_addr[6] +.sym 10657 io_pmod[2]$SB_IO_IN +.sym 10667 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 10679 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 10680 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 10681 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[3] +.sym 10683 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] +.sym 10684 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[0] +.sym 10686 i_smi_a3$SB_IO_IN +.sym 10687 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[1] +.sym 10689 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[3] +.sym 10690 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[1] +.sym 10692 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[3] +.sym 10693 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[1] +.sym 10695 i_smi_a2_SB_LUT4_I2_O_SB_LUT4_I0_O +.sym 10696 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 10700 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[3] +.sym 10703 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[1] +.sym 10704 w_smi_data_output[2] +.sym 10706 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] +.sym 10707 i_smi_a1_SB_LUT4_I2_O[2] +.sym 10708 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[1] +.sym 10710 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 10711 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[3] +.sym 10712 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[1] +.sym 10713 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 10722 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[1] +.sym 10723 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[0] +.sym 10724 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] +.sym 10725 i_smi_a1_SB_LUT4_I2_O[2] +.sym 10728 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 10729 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 10730 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[1] +.sym 10731 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[3] +.sym 10734 w_smi_data_output[2] +.sym 10736 i_smi_a3$SB_IO_IN +.sym 10740 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[1] +.sym 10741 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 10742 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 10743 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] +.sym 10746 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[1] +.sym 10747 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 10748 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 10749 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[3] +.sym 10752 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 10753 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 10754 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 10755 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[3] +.sym 10756 i_smi_a2_SB_LUT4_I2_O_SB_LUT4_I0_O +.sym 10757 i_glob_clock$SB_IO_IN_$glb_clk +.sym 10760 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.sym 10761 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] +.sym 10762 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 10763 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[2] +.sym 10764 rx_09_fifo.rd_addr_gray_wr_r[7] +.sym 10765 i_smi_a2_SB_LUT4_I2_O_SB_LUT4_I0_O +.sym 10766 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.sym 10771 w_rx_09_fifo_push +.sym 10773 w_smi_data_output[5] +.sym 10780 w_rx_09_fifo_full +.sym 10784 i_smi_a1_SB_LUT4_I1_O[1] +.sym 10789 i_smi_a2$SB_IO_IN +.sym 10792 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] +.sym 10803 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 10804 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[3] +.sym 10805 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[1] +.sym 10809 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[3] +.sym 10810 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[1] +.sym 10811 i_smi_a2_SB_LUT4_I2_O_SB_LUT4_I0_O +.sym 10813 smi_ctrl_ins.int_cnt_09[4] +.sym 10814 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 10815 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] +.sym 10817 io_pmod[2]$SB_IO_IN +.sym 10818 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 10819 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 10821 smi_ctrl_ins.int_cnt_09[5] +.sym 10826 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] +.sym 10829 smi_ctrl_ins.int_cnt_09[5] +.sym 10839 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[1] +.sym 10840 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[3] +.sym 10841 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 10842 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 10846 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 10847 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] +.sym 10848 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] +.sym 10851 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 10853 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] +.sym 10858 smi_ctrl_ins.int_cnt_09[5] +.sym 10863 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 10864 smi_ctrl_ins.int_cnt_09[5] +.sym 10865 io_pmod[2]$SB_IO_IN +.sym 10866 smi_ctrl_ins.int_cnt_09[4] +.sym 10871 smi_ctrl_ins.int_cnt_09[4] +.sym 10875 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 10876 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[3] +.sym 10877 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[1] +.sym 10878 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 10879 i_smi_a2_SB_LUT4_I2_O_SB_LUT4_I0_O +.sym 10880 i_glob_clock$SB_IO_IN_$glb_clk +.sym 10885 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[2] +.sym 10886 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2[2] +.sym 10887 spi_if_ins.r_tx_data_valid +.sym 10888 i_smi_a1_SB_LUT4_I3_O[3] +.sym 10894 io_smi_data[4]$SB_IO_OUT +.sym 10898 w_smi_data_output[4] +.sym 10902 i_smi_a1_SB_LUT4_I3_O[1] +.sym 10912 $PACKER_VCC_NET +.sym 10915 w_tx_data_smi[1] +.sym 10916 rx_24_fifo.wr_addr[7] +.sym 10925 i_smi_a1_SB_LUT4_I1_O[0] +.sym 10926 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 10927 i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1[5] +.sym 10928 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 10937 i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1[4] +.sym 10941 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I1[5] +.sym 10942 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[2] +.sym 10943 i_smi_a2$SB_IO_IN +.sym 10944 i_smi_a1_SB_LUT4_I1_O[1] +.sym 10945 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 10950 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 10952 w_rx_24_fifo_pull +.sym 10953 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 10955 $nextpnr_ICESTORM_LC_19$O +.sym 10957 i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1[4] +.sym 10961 $nextpnr_ICESTORM_LC_20$I3 +.sym 10963 i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1[5] +.sym 10971 $nextpnr_ICESTORM_LC_20$I3 +.sym 10977 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 10980 i_smi_a2$SB_IO_IN +.sym 10981 i_smi_a1_SB_LUT4_I1_O[1] +.sym 10982 w_rx_24_fifo_pull +.sym 10983 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 10986 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 10987 i_smi_a1_SB_LUT4_I1_O[0] +.sym 10988 i_smi_a1_SB_LUT4_I1_O[1] +.sym 10993 i_smi_a1_SB_LUT4_I1_O[1] +.sym 10994 i_smi_a1_SB_LUT4_I1_O[0] +.sym 10995 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 10998 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[2] +.sym 10999 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I1[5] +.sym 11000 i_smi_a1_SB_LUT4_I1_O[1] +.sym 11001 i_smi_a1_SB_LUT4_I1_O[0] +.sym 11002 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 11003 i_glob_clock$SB_IO_IN_$glb_clk +.sym 11004 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 11006 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 11007 w_tx_data_smi[3] +.sym 11008 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[1] +.sym 11009 rx_24_fifo.wr_addr_gray_rd[7] +.sym 11011 i_smi_a1_SB_LUT4_I2_O[2] +.sym 11018 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 11020 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[2] +.sym 11025 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 11034 w_rx_09_fifo_push +.sym 11035 rx_24_fifo.rd_addr[6] +.sym 11037 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 11040 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 11046 i_smi_a2$SB_IO_IN +.sym 11050 i_button_SB_LUT4_I3_I2[0] +.sym 11052 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[0] +.sym 11053 i_smi_a1_SB_LUT4_I1_O[0] +.sym 11054 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 11056 w_rx_09_fifo_full +.sym 11058 io_pmod[2]$SB_IO_IN +.sym 11060 smi_ctrl_ins.int_cnt_24[4] +.sym 11064 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 11065 i_smi_a3$SB_IO_IN +.sym 11066 i_smi_a1$SB_IO_IN +.sym 11068 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[1] +.sym 11069 w_rx_24_fifo_full +.sym 11073 i_smi_a1_SB_LUT4_I1_O[1] +.sym 11074 i_smi_a1_SB_LUT4_I1_O[2] +.sym 11077 i_smi_a1_SB_LUT4_I1_O[3] +.sym 11085 smi_ctrl_ins.int_cnt_24[4] +.sym 11092 w_rx_09_fifo_full +.sym 11099 io_pmod[2]$SB_IO_IN +.sym 11104 w_rx_24_fifo_full +.sym 11109 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 11110 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[0] +.sym 11112 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[1] +.sym 11115 i_smi_a1_SB_LUT4_I1_O[3] +.sym 11116 i_smi_a1_SB_LUT4_I1_O[2] +.sym 11117 i_smi_a1_SB_LUT4_I1_O[1] +.sym 11118 i_smi_a1_SB_LUT4_I1_O[0] +.sym 11121 i_smi_a3$SB_IO_IN +.sym 11122 i_smi_a2$SB_IO_IN +.sym 11124 i_smi_a1$SB_IO_IN +.sym 11125 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 11126 i_glob_clock$SB_IO_IN_$glb_clk +.sym 11127 i_button_SB_LUT4_I3_I2[0] +.sym 11128 sys_ctrl_ins.o_data_out_SB_LUT4_I0_I2[1] +.sym 11129 w_tx_data_smi[0] +.sym 11131 w_cs[2] +.sym 11132 w_cs[3] +.sym 11134 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 11135 w_cs[1] +.sym 11146 io_pmod[2]$SB_IO_IN +.sym 11147 i_smi_soe_se$rename$0 +.sym 11149 i_smi_a1_SB_LUT4_I1_O[0] +.sym 11152 rx_24_fifo.rd_addr[6] +.sym 11154 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[1] +.sym 11156 w_fetch +.sym 11159 smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E +.sym 11161 rx_24_fifo.wr_addr_gray_rd[6] +.sym 11163 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 11169 rx_24_fifo.empty_o_SB_LUT4_I2_I3[2] +.sym 11170 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 11172 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[1] +.sym 11175 rx_24_fifo.rd_addr[7] +.sym 11178 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 11179 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 11180 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 11182 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[1] +.sym 11183 w_rx_24_fifo_pull +.sym 11184 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 11186 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 11187 rx_24_fifo.rd_addr[0] +.sym 11191 w_rx_24_fifo_empty +.sym 11195 rx_24_fifo.rd_addr[6] +.sym 11196 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 11202 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 11203 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[1] +.sym 11204 rx_24_fifo.rd_addr[7] +.sym 11205 rx_24_fifo.rd_addr[6] +.sym 11208 w_rx_24_fifo_pull +.sym 11209 rx_24_fifo.empty_o_SB_LUT4_I2_I3[2] +.sym 11211 w_rx_24_fifo_empty +.sym 11214 rx_24_fifo.rd_addr[0] +.sym 11220 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 11222 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 11223 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 11228 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 11233 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[1] +.sym 11240 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 11246 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 11248 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O .sym 11249 r_counter[0]_$glb_clk -.sym 11250 sys_ctrl_ins.reset_cmd -.sym 11253 spi_if_ins.w_rx_data[6] -.sym 11255 spi_if_ins.state_if_SB_DFFESR_Q_D[1] -.sym 11265 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E -.sym 11271 $PACKER_VCC_NET -.sym 11278 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 11282 i_smi_a2_SB_LUT4_I1_O[2] -.sym 11284 io_pmod[2]$SB_IO_IN -.sym 11286 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 11294 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E -.sym 11297 $PACKER_GND_NET -.sym 11319 sys_ctrl_ins.reset_cmd -.sym 11321 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 11350 $PACKER_GND_NET -.sym 11358 sys_ctrl_ins.reset_cmd -.sym 11371 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E -.sym 11372 r_counter[0]_$glb_clk -.sym 11373 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 11376 w_ioc[3] -.sym 11377 w_ioc[4] -.sym 11378 w_ioc[2] -.sym 11385 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 11388 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E -.sym 11389 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 11396 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 11397 spi_if_ins.w_rx_data[6] -.sym 11402 spi_if_ins.state_if_SB_DFFESR_Q_D[1] -.sym 11416 w_rx_24_fifo_empty -.sym 11418 w_rx_09_fifo_full -.sym 11419 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 11430 w_fetch -.sym 11432 w_rx_24_fifo_full -.sym 11435 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 11436 w_cs[2] -.sym 11442 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E -.sym 11443 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 11444 io_pmod[2]$SB_IO_IN -.sym 11449 w_rx_24_fifo_empty -.sym 11456 w_rx_24_fifo_full -.sym 11462 io_pmod[2]$SB_IO_IN -.sym 11466 w_cs[2] -.sym 11467 w_fetch -.sym 11468 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 11469 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 11478 w_rx_09_fifo_full -.sym 11494 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E -.sym 11495 i_glob_clock$SB_IO_IN_$glb_clk -.sym 11496 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 11497 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E -.sym 11498 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2[0] -.sym 11499 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 11500 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] -.sym 11501 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 11502 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 11503 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 11504 w_tx_data_io[2] -.sym 11513 spi_if_ins.spi.SCKr[1] -.sym 11517 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 11520 spi_if_ins.w_rx_data[5] -.sym 11523 w_ioc[4] -.sym 11524 spi_if_ins.state_if_SB_DFFESR_Q_D[1] -.sym 11525 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 11526 i_config[1]$SB_IO_IN -.sym 11528 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 11530 o_led1$SB_IO_OUT -.sym 11532 i_config[0]$SB_IO_IN -.sym 11538 w_tx_data_smi[2] -.sym 11539 w_tx_data_smi[3] -.sym 11540 spi_if_ins.o_cs_SB_LUT4_I1_2_O -.sym 11541 w_tx_data_io[7] -.sym 11542 spi_if_ins.o_cs_SB_LUT4_I0_O[1] -.sym 11543 w_tx_data_smi[1] -.sym 11545 w_tx_data_io[5] -.sym 11546 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 11548 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[2] -.sym 11549 w_fetch -.sym 11558 spi_if_ins.o_cs_SB_LUT4_I0_O[2] -.sym 11559 w_tx_data_io[1] -.sym 11560 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 11561 w_tx_data_io[2] -.sym 11564 w_cs[1] -.sym 11565 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 11566 w_tx_data_io[3] -.sym 11571 w_tx_data_smi[2] -.sym 11573 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 11574 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[2] -.sym 11578 spi_if_ins.o_cs_SB_LUT4_I0_O[2] -.sym 11579 w_tx_data_io[7] -.sym 11580 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 11583 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 11584 w_tx_data_io[2] -.sym 11585 spi_if_ins.o_cs_SB_LUT4_I0_O[2] -.sym 11589 w_tx_data_io[5] -.sym 11590 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 11592 spi_if_ins.o_cs_SB_LUT4_I0_O[2] -.sym 11595 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 11596 w_tx_data_smi[3] -.sym 11597 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 11598 w_tx_data_io[3] -.sym 11601 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 11602 w_fetch -.sym 11603 w_cs[1] -.sym 11607 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 11608 w_tx_data_smi[1] -.sym 11609 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 11610 w_tx_data_io[1] -.sym 11617 spi_if_ins.o_cs_SB_LUT4_I1_2_O -.sym 11618 i_glob_clock$SB_IO_IN_$glb_clk -.sym 11619 spi_if_ins.o_cs_SB_LUT4_I0_O[1] -.sym 11620 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 11621 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[1] -.sym 11622 w_tx_data_io[0] -.sym 11623 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 11624 w_tx_data_io[3] -.sym 11625 w_tx_data_io[1] -.sym 11626 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[0] -.sym 11627 w_tx_data_io[4] -.sym 11634 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2[1] -.sym 11635 w_tx_data_io[7] -.sym 11636 w_rx_data[1] -.sym 11637 w_fetch -.sym 11641 w_tx_data_io[5] -.sym 11643 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 11644 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E -.sym 11646 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 11651 io_ctrl_ins.o_pmod[2] -.sym 11661 r_tx_data[2] -.sym 11664 r_tx_data[5] -.sym 11667 r_tx_data[1] -.sym 11669 w_cs[0] -.sym 11672 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 11673 r_tx_data[3] -.sym 11675 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 11683 w_ioc[4] -.sym 11692 w_fetch -.sym 11696 r_tx_data[1] -.sym 11713 r_tx_data[2] -.sym 11718 w_fetch -.sym 11719 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 11720 w_ioc[4] -.sym 11721 w_cs[0] -.sym 11726 r_tx_data[5] -.sym 11731 r_tx_data[3] -.sym 11740 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O -.sym 11741 r_counter[0]_$glb_clk -.sym 11743 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[2] -.sym 11745 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O[2] -.sym 11746 w_rx_data[7] -.sym 11747 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[2] -.sym 11748 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[3] -.sym 11749 w_rx_data[6] -.sym 11750 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I2_O -.sym 11755 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 11758 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 11760 $PACKER_VCC_NET -.sym 11761 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 11766 w_tx_data_io[0] -.sym 11767 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 11772 i_smi_a3$SB_IO_IN -.sym 11774 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 11777 w_rx_data[2] -.sym 11778 i_smi_a2_SB_LUT4_I1_O[2] -.sym 11785 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 11788 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 11791 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 11792 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 11793 spi_if_ins.w_rx_data[6] -.sym 11797 w_load -.sym 11798 spi_if_ins.w_rx_data[5] -.sym 11800 w_cs[0] -.sym 11810 w_fetch -.sym 11811 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 11818 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 11823 spi_if_ins.w_rx_data[5] -.sym 11826 spi_if_ins.w_rx_data[6] -.sym 11832 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 11836 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 11847 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 11848 w_cs[0] -.sym 11849 w_fetch -.sym 11850 w_load -.sym 11863 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O +.sym 11250 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 11252 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[1] +.sym 11253 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 11254 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[0] +.sym 11255 w_cs[0] +.sym 11256 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 11257 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 11258 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[2] +.sym 11260 w_tx_data_smi[2] +.sym 11264 i_button_SB_LUT4_I3_I2[0] +.sym 11266 smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_E +.sym 11275 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 11279 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R +.sym 11283 w_tx_data_io[2] +.sym 11285 w_cs[1] +.sym 11293 rx_24_fifo.rd_addr[2] +.sym 11294 rx_24_fifo.rd_addr[0] +.sym 11295 rx_24_fifo.rd_addr[6] +.sym 11296 rx_24_fifo.rd_addr[3] +.sym 11297 rx_24_fifo.rd_addr[5] +.sym 11299 rx_24_fifo.rd_addr[1] +.sym 11303 rx_24_fifo.rd_addr[4] +.sym 11306 rx_24_fifo.rd_addr[7] +.sym 11324 $nextpnr_ICESTORM_LC_12$O +.sym 11327 rx_24_fifo.rd_addr[0] +.sym 11330 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] +.sym 11333 rx_24_fifo.rd_addr[1] +.sym 11334 rx_24_fifo.rd_addr[0] +.sym 11336 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] +.sym 11338 rx_24_fifo.rd_addr[2] +.sym 11340 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] +.sym 11342 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] +.sym 11344 rx_24_fifo.rd_addr[3] +.sym 11346 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] +.sym 11348 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] +.sym 11351 rx_24_fifo.rd_addr[4] +.sym 11352 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] +.sym 11354 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] +.sym 11357 rx_24_fifo.rd_addr[5] +.sym 11358 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] +.sym 11360 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] +.sym 11362 rx_24_fifo.rd_addr[6] +.sym 11364 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] +.sym 11367 rx_24_fifo.rd_addr[7] +.sym 11370 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] +.sym 11375 rx_24_fifo.wr_addr_gray_rd[1] +.sym 11376 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] +.sym 11378 rx_24_fifo.wr_addr_gray_rd[6] +.sym 11379 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] +.sym 11380 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 11386 w_rx_24_fifo_pull +.sym 11387 rx_24_fifo.rd_addr[2] +.sym 11388 spi_if_ins.w_rx_data[5] +.sym 11389 rx_24_fifo.rd_addr[6] +.sym 11391 rx_24_fifo.rd_addr[4] +.sym 11392 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 11398 $PACKER_VCC_NET +.sym 11400 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E +.sym 11402 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 11403 $PACKER_VCC_NET +.sym 11405 rx_24_fifo.rd_addr_gray_wr_r[1] +.sym 11416 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 11417 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 11418 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[0] +.sym 11419 rx_24_fifo.rd_addr[0] +.sym 11421 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 11422 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 11423 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 11425 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] +.sym 11426 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[1] +.sym 11427 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 11428 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[1] +.sym 11430 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[2] +.sym 11433 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 11438 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 11448 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 11449 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 11454 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 11460 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[2] +.sym 11461 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[0] +.sym 11462 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[1] +.sym 11473 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 11474 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 11478 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 11480 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] +.sym 11485 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 11486 rx_24_fifo.rd_addr[0] +.sym 11491 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[1] +.sym 11492 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 11494 lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O +.sym 11495 lvds_clock_buf +.sym 11496 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 11497 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R +.sym 11499 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] +.sym 11504 sys_ctrl_ins.reset_cmd +.sym 11509 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 11510 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 11511 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] +.sym 11513 w_load +.sym 11515 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 11517 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 11518 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 11519 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 11520 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] +.sym 11526 w_rx_09_fifo_push +.sym 11527 rx_24_fifo.rd_addr[6] +.sym 11531 rx_24_fifo.rd_addr_gray[2] +.sym 11547 rx_24_fifo.rd_addr_gray[4] +.sym 11556 rx_24_fifo.rd_addr_gray[5] +.sym 11557 rx_24_fifo.rd_addr_gray[2] +.sym 11560 rx_24_fifo.rd_addr_gray_wr[1] +.sym 11565 rx_24_fifo.rd_addr_gray_wr[4] +.sym 11580 rx_24_fifo.rd_addr_gray_wr[1] +.sym 11590 rx_24_fifo.rd_addr_gray[4] +.sym 11597 rx_24_fifo.rd_addr_gray[5] +.sym 11607 rx_24_fifo.rd_addr_gray_wr[4] +.sym 11613 rx_24_fifo.rd_addr_gray[2] +.sym 11618 lvds_clock_buf +.sym 11620 rx_24_fifo.rd_addr_gray_wr[6] +.sym 11622 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E +.sym 11623 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 11624 rx_24_fifo.rd_addr_gray_wr[0] +.sym 11626 rx_24_fifo.rd_addr_gray_wr[1] +.sym 11627 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_R +.sym 11637 sys_ctrl_ins.reset_cmd +.sym 11643 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] +.sym 11655 rx_24_fifo.rd_addr[6] +.sym 11663 w_rx_09_fifo_full +.sym 11672 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E +.sym 11678 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 11680 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 11684 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 11692 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 11694 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 11695 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 11696 w_rx_09_fifo_full +.sym 11730 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 11731 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 11733 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 11740 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E +.sym 11741 lvds_clock_buf +.sym 11742 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 11744 io_ctrl_ins.o_pmod[2] +.sym 11745 $PACKER_VCC_NET +.sym 11746 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E +.sym 11747 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_R +.sym 11750 io_ctrl_ins.o_pmod[1] +.sym 11767 w_tx_data_io[2] +.sym 11773 w_rx_data[1] +.sym 11786 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 11788 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 11795 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 11802 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 11804 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[2] +.sym 11810 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[1] +.sym 11825 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[1] +.sym 11826 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[2] +.sym 11831 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 11836 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[2] +.sym 11843 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 11848 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 11850 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 11863 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O .sym 11864 r_counter[0]_$glb_clk -.sym 11866 io_ctrl_ins.o_pmod[4] -.sym 11868 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O -.sym 11869 io_ctrl_ins.o_pmod[2] -.sym 11872 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 11873 io_ctrl_ins.o_pmod[3] -.sym 11879 w_rx_data[6] -.sym 11881 w_rx_data[7] -.sym 11889 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O -.sym 11890 spi_if_ins.state_if_SB_DFFESR_Q_D[1] -.sym 11897 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 11907 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 11909 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E -.sym 11915 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 11918 w_cs[1] -.sym 11920 w_fetch -.sym 11923 spi_if_ins.state_if[1] -.sym 11927 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 11928 w_load -.sym 11933 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 11937 spi_if_ins.state_if[0] -.sym 11940 w_fetch -.sym 11942 w_cs[1] -.sym 11943 w_load -.sym 11946 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 11947 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 11948 spi_if_ins.state_if[0] -.sym 11949 spi_if_ins.state_if[1] -.sym 11952 spi_if_ins.state_if[1] -.sym 11953 spi_if_ins.state_if[0] -.sym 11955 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 11964 spi_if_ins.state_if[1] -.sym 11965 spi_if_ins.state_if[0] -.sym 11966 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 11967 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 11972 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 11982 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 11983 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 11984 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 11986 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E -.sym 11987 r_counter[0]_$glb_clk -.sym 11988 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 11990 i_smi_a2_SB_LUT4_I1_O[0] -.sym 11994 i_smi_a2_SB_LUT4_I1_O[2] -.sym 11995 spi_if_ins.state_if[0] -.sym 12001 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 12013 i_config[1]$SB_IO_IN -.sym 12024 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 12030 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 12032 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 12034 spi_if_ins.state_if[1] -.sym 12038 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 12040 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 12042 spi_if_ins.state_if_SB_DFFE_Q_D[1] -.sym 12048 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 12050 spi_if_ins.state_if_SB_DFFESR_Q_D[1] -.sym 12053 spi_if_ins.state_if_SB_DFFESR_Q_D[2] -.sym 12054 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 12057 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 12059 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 12060 spi_if_ins.state_if[0] -.sym 12063 spi_if_ins.state_if[1] -.sym 12064 spi_if_ins.state_if[0] -.sym 12069 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 12070 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 12071 spi_if_ins.state_if_SB_DFFE_Q_D[1] -.sym 12076 spi_if_ins.state_if[0] -.sym 12077 spi_if_ins.state_if[1] -.sym 12078 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 12081 spi_if_ins.state_if[0] -.sym 12082 spi_if_ins.state_if[1] -.sym 12083 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 12084 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 12087 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 12088 spi_if_ins.state_if_SB_DFFESR_Q_D[2] -.sym 12089 spi_if_ins.state_if_SB_DFFESR_Q_D[1] -.sym 12090 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 12093 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 12095 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 12099 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 12100 spi_if_ins.state_if[0] -.sym 12101 spi_if_ins.state_if[1] -.sym 12102 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 12105 spi_if_ins.state_if[0] -.sym 12107 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 12108 spi_if_ins.state_if[1] -.sym 12109 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E -.sym 12110 r_counter[0]_$glb_clk -.sym 12111 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 12131 i_smi_a2$SB_IO_IN -.sym 12157 spi_if_ins.state_if_SB_DFFE_Q_D[1] -.sym 12180 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 12210 spi_if_ins.state_if_SB_DFFE_Q_D[1] -.sym 12232 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 12233 r_counter[0]_$glb_clk -.sym 12255 i_smi_a3$SB_IO_IN +.sym 11865 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 11871 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[3] +.sym 11872 w_tx_data_io[2] +.sym 11882 w_rx_data[2] +.sym 11886 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O +.sym 11889 $PACKER_VCC_NET +.sym 11890 $PACKER_VCC_NET +.sym 12010 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[0] +.sym 12305 i_config[3]$SB_IO_IN +.sym 12309 i_sck$SB_IO_IN .sym 12310 io_smi_data[0]$SB_IO_OUT .sym 12313 io_smi_data[7]$SB_IO_OUT -.sym 12330 io_smi_data[0]$SB_IO_OUT -.sym 12333 io_smi_data[7]$SB_IO_OUT -.sym 12335 w_smi_data_output[5] -.sym 12336 w_smi_data_output[3] -.sym 12341 io_smi_data[3]$SB_IO_OUT -.sym 12346 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_1_O -.sym 12349 i_smi_a2_SB_LUT4_I1_O[2] -.sym 12363 i_smi_a2_SB_LUT4_I1_O[0] -.sym 12379 smi_ctrl_ins.r_smi_test_count_09[1] -.sym 12381 smi_ctrl_ins.r_smi_test_count_09[4] -.sym 12382 smi_ctrl_ins.r_smi_test_count_09[5] -.sym 12384 smi_ctrl_ins.r_smi_test_count_09[7] -.sym 12385 smi_ctrl_ins.r_smi_test_count_09[0] -.sym 12388 smi_ctrl_ins.r_smi_test_count_09[3] -.sym 12395 i_smi_a2_SB_LUT4_I1_O[3] -.sym 12404 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_1_O -.sym 12407 smi_ctrl_ins.r_smi_test_count_09[6] -.sym 12409 $nextpnr_ICESTORM_LC_8$O -.sym 12411 smi_ctrl_ins.r_smi_test_count_09[0] -.sym 12415 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 12417 smi_ctrl_ins.r_smi_test_count_09[1] -.sym 12421 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 12424 i_smi_a2_SB_LUT4_I1_O[3] -.sym 12425 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 12427 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] -.sym 12429 smi_ctrl_ins.r_smi_test_count_09[3] -.sym 12431 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 12433 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] -.sym 12436 smi_ctrl_ins.r_smi_test_count_09[4] -.sym 12437 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] -.sym 12439 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] -.sym 12442 smi_ctrl_ins.r_smi_test_count_09[5] -.sym 12443 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] -.sym 12445 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] -.sym 12447 smi_ctrl_ins.r_smi_test_count_09[6] -.sym 12449 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] -.sym 12454 smi_ctrl_ins.r_smi_test_count_09[7] -.sym 12455 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] -.sym 12456 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_1_O -.sym 12457 i_glob_clock$SB_IO_IN_$glb_clk -.sym 12458 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 12506 i_smi_soe_se_SB_LUT4_I1_O -.sym 12511 i_smi_a2_SB_LUT4_I1_O[0] -.sym 12513 i_smi_a2_SB_LUT4_I1_O[2] -.sym 12526 w_lvds_rx_09_d1 -.sym 12542 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_1_O -.sym 12543 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O[3] -.sym 12544 i_smi_soe_se$rename$0 -.sym 12548 smi_ctrl_ins.r_smi_test_count_09[0] -.sym 12550 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O[0] -.sym 12551 smi_ctrl_ins.r_last_soe_1 -.sym 12556 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 12558 smi_ctrl_ins.r_smi_test_count_09[1] -.sym 12561 i_smi_a2_SB_LUT4_I1_O[2] -.sym 12569 i_smi_a2_SB_LUT4_I1_O[0] -.sym 12576 smi_ctrl_ins.r_smi_test_count_09[0] -.sym 12585 smi_ctrl_ins.r_smi_test_count_09[1] -.sym 12586 smi_ctrl_ins.r_smi_test_count_09[0] -.sym 12591 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O[0] -.sym 12592 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 12593 i_smi_a2_SB_LUT4_I1_O[2] -.sym 12594 smi_ctrl_ins.r_last_soe_1 -.sym 12597 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 12598 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O[0] -.sym 12599 smi_ctrl_ins.r_last_soe_1 -.sym 12600 i_smi_a2_SB_LUT4_I1_O[2] -.sym 12612 i_smi_a2_SB_LUT4_I1_O[2] -.sym 12615 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O[0] -.sym 12616 i_smi_a2_SB_LUT4_I1_O[0] -.sym 12617 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O[3] -.sym 12618 i_smi_soe_se$rename$0 -.sym 12619 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_1_O -.sym 12620 i_glob_clock$SB_IO_IN_$glb_clk -.sym 12621 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 12638 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_1_O -.sym 12654 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 12672 i_smi_soe_se$rename$0 -.sym 12682 smi_ctrl_ins.r_last_soe_1 -.sym 12709 smi_ctrl_ins.r_last_soe_1 -.sym 12717 i_smi_soe_se$rename$0 -.sym 12743 i_glob_clock$SB_IO_IN_$glb_clk -.sym 12744 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 12752 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O -.sym 12758 i_smi_soe_se$rename$0 -.sym 12777 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 12791 w_rx_09_fifo_data[1] -.sym 12793 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 12797 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O -.sym 12803 w_lvds_rx_09_d1 -.sym 12806 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 12808 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 12810 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 12815 w_lvds_rx_09_d0 -.sym 12817 w_rx_09_fifo_data[0] -.sym 12820 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 12822 w_rx_09_fifo_data[1] -.sym 12837 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 12840 w_rx_09_fifo_data[0] -.sym 12843 w_lvds_rx_09_d0 -.sym 12844 w_lvds_rx_09_d1 -.sym 12845 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 12846 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 12850 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 12852 w_lvds_rx_09_d0 -.sym 12861 w_lvds_rx_09_d1 -.sym 12864 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 12865 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 12319 io_smi_data[0]$SB_IO_OUT +.sym 12326 io_smi_data[7]$SB_IO_OUT +.sym 12327 i_sck$SB_IO_IN +.sym 12335 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[3] +.sym 12336 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 12337 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 12338 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 12339 rx_09_fifo.wr_addr_gray_rd[6] +.sym 12340 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 12341 rx_09_fifo.wr_addr_gray_rd[2] +.sym 12342 rx_09_fifo.wr_addr_gray_rd[3] +.sym 12367 i_sck$SB_IO_IN +.sym 12380 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 12381 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[0] +.sym 12382 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 12386 rx_09_fifo.wr_addr_gray_rd_r[1] +.sym 12387 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 12388 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 12389 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 12390 rx_09_fifo.rd_addr[2] +.sym 12391 rx_09_fifo.rd_addr[4] +.sym 12394 rx_09_fifo.rd_addr[3] +.sym 12396 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 12400 rx_09_fifo.rd_addr[1] +.sym 12404 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 12411 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 12413 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 12416 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 12418 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 12422 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 12423 rx_09_fifo.rd_addr[3] +.sym 12424 rx_09_fifo.rd_addr[2] +.sym 12425 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 12429 rx_09_fifo.wr_addr_gray_rd_r[1] +.sym 12430 rx_09_fifo.rd_addr[1] +.sym 12435 rx_09_fifo.rd_addr[3] +.sym 12436 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[0] +.sym 12437 rx_09_fifo.rd_addr[4] +.sym 12442 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 12447 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 12452 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 12454 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 12456 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 12457 r_counter[0]_$glb_clk +.sym 12458 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 12463 rx_09_fifo.wr_addr_gray[2] +.sym 12464 rx_09_fifo.wr_addr[3] +.sym 12465 rx_09_fifo.wr_addr_gray[6] +.sym 12466 rx_09_fifo.wr_addr_gray[1] +.sym 12467 rx_09_fifo.wr_addr[7] +.sym 12468 rx_09_fifo.wr_addr_gray[3] +.sym 12469 rx_09_fifo.wr_addr_gray[0] +.sym 12470 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 12475 rx_09_fifo.wr_addr_gray_rd[7] +.sym 12477 $PACKER_VCC_NET +.sym 12479 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 12480 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 12481 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 12486 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 12492 rx_09_fifo.rd_addr[1] +.sym 12494 rx_09_fifo.wr_addr[3] +.sym 12495 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[3] +.sym 12498 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 12499 r_counter[0] +.sym 12507 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 12508 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 12512 rx_09_fifo.wr_addr[3] +.sym 12518 rx_09_fifo.wr_addr[7] +.sym 12525 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 12540 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[3] +.sym 12542 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O[0] +.sym 12543 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[2] +.sym 12545 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 12546 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 12549 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 12550 rx_09_fifo.rd_addr[5] +.sym 12552 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[0] +.sym 12558 rx_09_fifo.wr_addr_gray[5] +.sym 12559 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 12561 rx_09_fifo.wr_addr_gray_rd[7] +.sym 12565 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 12566 w_rx_09_fifo_push +.sym 12567 rx_09_fifo.wr_addr_gray[1] +.sym 12571 rx_09_fifo.wr_addr_gray_rd[1] +.sym 12574 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 12576 w_rx_09_fifo_push +.sym 12582 rx_09_fifo.wr_addr_gray_rd[1] +.sym 12585 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 12586 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[2] +.sym 12587 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[3] +.sym 12588 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[0] +.sym 12593 rx_09_fifo.rd_addr[5] +.sym 12594 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 12598 rx_09_fifo.wr_addr_gray_rd[7] +.sym 12603 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 12604 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 12606 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O[0] +.sym 12609 rx_09_fifo.wr_addr_gray[5] +.sym 12616 rx_09_fifo.wr_addr_gray[1] +.sym 12620 r_counter[0]_$glb_clk +.sym 12622 rx_09_fifo.wr_addr_gray[4] +.sym 12623 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 12624 rx_09_fifo.wr_addr_gray[5] +.sym 12625 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[0] +.sym 12626 rx_09_fifo.wr_addr[5] +.sym 12627 rx_09_fifo.wr_addr[4] +.sym 12628 rx_09_fifo.wr_addr[6] +.sym 12629 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[2] +.sym 12634 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 12636 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 12640 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[2] +.sym 12641 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 12642 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[2] +.sym 12644 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 12646 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 12647 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 12649 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 12650 rx_09_fifo.wr_addr[7] +.sym 12651 rx_09_fifo.wr_addr[6] +.sym 12652 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 12656 rx_09_fifo.rd_addr_gray_wr_r[7] +.sym 12657 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 12664 rx_09_fifo.wr_addr[3] +.sym 12667 rx_09_fifo.wr_addr[0] +.sym 12675 rx_09_fifo.wr_addr[7] +.sym 12678 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 12680 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 12684 rx_09_fifo.wr_addr[4] +.sym 12691 rx_09_fifo.wr_addr[5] +.sym 12693 rx_09_fifo.wr_addr[6] +.sym 12695 $nextpnr_ICESTORM_LC_11$O +.sym 12698 rx_09_fifo.wr_addr[0] +.sym 12701 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[2] +.sym 12704 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 12705 rx_09_fifo.wr_addr[0] +.sym 12707 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[3] +.sym 12710 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 12711 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[2] +.sym 12713 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[4] +.sym 12716 rx_09_fifo.wr_addr[3] +.sym 12717 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[3] +.sym 12719 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[5] +.sym 12721 rx_09_fifo.wr_addr[4] +.sym 12723 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[4] +.sym 12725 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[6] +.sym 12728 rx_09_fifo.wr_addr[5] +.sym 12729 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[5] +.sym 12731 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[7] +.sym 12733 rx_09_fifo.wr_addr[6] +.sym 12735 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[6] +.sym 12738 rx_09_fifo.wr_addr[7] +.sym 12741 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[7] +.sym 12745 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 12746 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 12747 rx_09_fifo.rd_addr_gray_wr[7] +.sym 12748 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[1] +.sym 12749 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.sym 12750 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 12751 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 12752 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[0] +.sym 12756 $PACKER_VCC_NET +.sym 12758 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] +.sym 12763 io_pmod[5]$SB_IO_IN +.sym 12771 r_tx_data[6] +.sym 12772 $PACKER_VCC_NET +.sym 12773 rx_09_fifo.wr_addr[5] +.sym 12787 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 12788 w_rx_09_fifo_push +.sym 12789 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[0] +.sym 12790 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[2] +.sym 12791 rx_09_fifo.rd_addr_gray_wr_r[7] +.sym 12792 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 12795 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 12796 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 12797 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 12798 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 12799 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 12800 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 12801 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 12803 w_rx_09_fifo_full +.sym 12805 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[1] +.sym 12807 rx_09_fifo.full_o_SB_LUT4_I3_O[3] +.sym 12810 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[1] +.sym 12811 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 12812 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 12814 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 12817 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[0] +.sym 12820 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 12821 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 12822 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 12825 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[1] +.sym 12826 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[2] +.sym 12827 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[0] +.sym 12831 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 12833 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 12837 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 12838 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 12839 rx_09_fifo.full_o_SB_LUT4_I3_O[3] +.sym 12840 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 12843 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 12844 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 12845 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[0] +.sym 12846 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[1] +.sym 12849 rx_09_fifo.rd_addr_gray_wr_r[7] +.sym 12850 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 12851 w_rx_09_fifo_full +.sym 12852 w_rx_09_fifo_push +.sym 12855 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 12858 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 12861 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 12863 rx_09_fifo.full_o_SB_LUT4_I3_O[1] .sym 12866 lvds_clock_buf -.sym 12867 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 12869 rx_09_fifo.wr_addr_gray[2] -.sym 12872 rx_09_fifo.wr_addr_gray[5] -.sym 12873 rx_09_fifo.wr_addr_gray[0] -.sym 12875 lvds_rx_09_inst.r_push_SB_LUT4_I2_I1[3] -.sym 12878 i_smi_a2_SB_LUT4_I1_O[0] -.sym 12888 $io_pmod[4]$iobuf_i -.sym 12894 i_smi_a2_SB_LUT4_I1_O[0] -.sym 12896 i_smi_a2_SB_LUT4_I1_O[2] -.sym 12926 rx_09_fifo.wr_addr[6] -.sym 12930 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[1] -.sym 12932 $PACKER_VCC_NET -.sym 12933 rx_09_fifo.wr_addr[4] -.sym 12936 rx_09_fifo.wr_addr[2] -.sym 12937 rx_09_fifo.wr_addr[7] -.sym 12938 rx_09_fifo.wr_addr[5] -.sym 12940 rx_09_fifo.wr_addr[3] -.sym 12941 $nextpnr_ICESTORM_LC_1$O -.sym 12943 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[1] -.sym 12947 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[2] -.sym 12949 rx_09_fifo.wr_addr[2] -.sym 12953 $nextpnr_ICESTORM_LC_2$I3 -.sym 12956 rx_09_fifo.wr_addr[3] -.sym 12957 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[2] -.sym 12959 $nextpnr_ICESTORM_LC_2$COUT -.sym 12962 $PACKER_VCC_NET -.sym 12963 $nextpnr_ICESTORM_LC_2$I3 -.sym 12965 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[4] -.sym 12967 rx_09_fifo.wr_addr[4] -.sym 12971 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[5] -.sym 12973 rx_09_fifo.wr_addr[5] -.sym 12975 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[4] -.sym 12977 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[6] -.sym 12979 rx_09_fifo.wr_addr[6] -.sym 12981 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[5] -.sym 12984 rx_09_fifo.wr_addr[7] -.sym 12987 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[6] -.sym 12991 rx_09_fifo.wr_addr_gray[3] -.sym 12992 rx_09_fifo.wr_addr[6] -.sym 12993 rx_09_fifo.wr_addr_gray[6] -.sym 12994 rx_09_fifo.wr_addr_gray[4] -.sym 12995 rx_09_fifo.wr_addr[7] -.sym 12996 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[1] -.sym 12997 rx_09_fifo.wr_addr[0] -.sym 12998 rx_09_fifo.wr_addr_gray[1] -.sym 13015 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 13018 $PACKER_VCC_NET -.sym 13025 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 13034 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 13035 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 13036 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 13038 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 13039 lvds_rx_09_inst.r_push_SB_LUT4_I2_I1[3] -.sym 13042 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[2] -.sym 13043 rx_09_fifo.wr_addr[2] -.sym 13044 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 13045 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 13047 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[6] -.sym 13048 w_rx_09_fifo_push -.sym 13050 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E -.sym 13051 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 13052 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 13053 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[1] -.sym 13054 rx_09_fifo.wr_addr[0] -.sym 13055 lvds_rx_09_inst.r_push_SB_LUT4_I2_I1[1] -.sym 13063 w_rx_09_fifo_full -.sym 13065 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 13067 w_rx_09_fifo_full -.sym 13068 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 13072 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 13074 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 13077 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 13078 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 13083 lvds_rx_09_inst.r_push_SB_LUT4_I2_I1[3] -.sym 13084 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[6] -.sym 13085 lvds_rx_09_inst.r_push_SB_LUT4_I2_I1[1] -.sym 13086 w_rx_09_fifo_push -.sym 13089 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 13091 w_rx_09_fifo_push -.sym 13096 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 13097 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 13102 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 13104 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 13107 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[2] -.sym 13108 rx_09_fifo.wr_addr[0] -.sym 13109 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[1] -.sym 13110 rx_09_fifo.wr_addr[2] -.sym 13111 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E -.sym 13112 lvds_clock_buf -.sym 13113 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 13114 lvds_rx_09_inst.r_push_SB_LUT4_I2_O[1] -.sym 13115 lvds_rx_09_inst.r_push_SB_LUT4_I2_O[0] -.sym 13118 rx_09_fifo.full_o_SB_LUT4_I3_O[3] -.sym 13119 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 13120 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 13121 w_rx_09_fifo_full -.sym 13122 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 13130 $io_pmod[5]$iobuf_i -.sym 13140 spi_if_ins.w_rx_data[1] -.sym 13145 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 13161 rx_09_fifo.wr_addr[0] -.sym 13164 rx_09_fifo.wr_addr[6] -.sym 13167 rx_09_fifo.wr_addr[7] -.sym 13168 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[1] -.sym 13179 rx_09_fifo.wr_addr[4] -.sym 13182 rx_09_fifo.wr_addr[2] -.sym 13184 rx_09_fifo.wr_addr[5] -.sym 13186 rx_09_fifo.wr_addr[3] -.sym 13187 $nextpnr_ICESTORM_LC_6$O -.sym 13190 rx_09_fifo.wr_addr[0] -.sym 13193 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] -.sym 13195 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[1] -.sym 13197 rx_09_fifo.wr_addr[0] -.sym 13199 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] -.sym 13202 rx_09_fifo.wr_addr[2] -.sym 13203 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] -.sym 13205 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] -.sym 13207 rx_09_fifo.wr_addr[3] -.sym 13209 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] -.sym 13211 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] -.sym 13213 rx_09_fifo.wr_addr[4] -.sym 13215 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] -.sym 13217 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] -.sym 13219 rx_09_fifo.wr_addr[5] -.sym 13221 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] -.sym 13223 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[7] -.sym 13226 rx_09_fifo.wr_addr[6] -.sym 13227 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] -.sym 13230 rx_09_fifo.wr_addr[7] -.sym 13233 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[7] -.sym 13244 spi_if_ins.w_rx_data[1] -.sym 13259 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 13270 spi_if_ins.w_rx_data[6] -.sym 13361 spi_if_ins.spi.r_rx_byte[1] -.sym 13365 spi_if_ins.spi.r_rx_byte[7] -.sym 13366 spi_if_ins.spi.r_rx_byte[6] -.sym 13370 i_smi_a2_SB_LUT4_I1_O[2] -.sym 13386 i_smi_a2_SB_LUT4_I1_O[0] -.sym 13387 i_smi_a2_SB_LUT4_I1_O[2] -.sym 13388 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 13390 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] -.sym 13394 spi_if_ins.spi.SCKr[2] -.sym 13419 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 13422 spi_if_ins.spi.r_rx_byte[7] -.sym 13431 spi_if_ins.spi.r_rx_byte[6] -.sym 13447 spi_if_ins.spi.r_rx_byte[6] -.sym 13459 spi_if_ins.spi.r_rx_byte[7] -.sym 13480 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 12867 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 12868 i_smi_a1_SB_LUT4_I3_O[2] +.sym 12869 io_smi_data[3]$SB_IO_OUT +.sym 12870 spi_if_ins.r_tx_byte[3] +.sym 12871 spi_if_ins.r_tx_byte[7] +.sym 12872 io_smi_data[4]$SB_IO_OUT +.sym 12874 spi_if_ins.r_tx_byte[5] +.sym 12875 spi_if_ins.r_tx_byte[6] +.sym 12881 rx_09_fifo.rd_addr_gray_wr[2] +.sym 12889 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 12890 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 12891 $io_pmod[4]$iobuf_i +.sym 12893 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 12896 w_fetch +.sym 12903 i_smi_a1$SB_IO_IN +.sym 12910 rx_09_fifo.wr_addr[0] +.sym 12911 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 12912 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.sym 12913 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2[2] +.sym 12914 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 12915 i_smi_a1_SB_LUT4_I3_O[3] +.sym 12916 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[0] +.sym 12918 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 12919 rx_09_fifo.rd_addr_gray_wr[7] +.sym 12920 i_smi_a1_SB_LUT4_I3_O[1] +.sym 12921 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.sym 12922 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 12923 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 12924 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 12925 i_smi_a1_SB_LUT4_I1_O[1] +.sym 12927 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 12930 i_smi_a1_SB_LUT4_I2_O[2] +.sym 12933 i_smi_a1_SB_LUT4_I3_O[2] +.sym 12934 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.sym 12935 rx_09_fifo.rd_addr_gray_wr_r[3] +.sym 12937 i_smi_a1_SB_LUT4_I3_O[0] +.sym 12939 i_smi_a1_SB_LUT4_I1_O[0] +.sym 12940 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.sym 12948 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 12949 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 12950 rx_09_fifo.rd_addr_gray_wr_r[3] +.sym 12951 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 12954 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2[2] +.sym 12955 i_smi_a1_SB_LUT4_I2_O[2] +.sym 12956 i_smi_a1_SB_LUT4_I1_O[1] +.sym 12957 i_smi_a1_SB_LUT4_I1_O[0] +.sym 12960 rx_09_fifo.wr_addr[0] +.sym 12961 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 12966 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.sym 12967 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.sym 12968 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.sym 12969 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.sym 12974 rx_09_fifo.rd_addr_gray_wr[7] +.sym 12978 i_smi_a1_SB_LUT4_I3_O[1] +.sym 12979 i_smi_a1_SB_LUT4_I3_O[2] +.sym 12980 i_smi_a1_SB_LUT4_I3_O[3] +.sym 12981 i_smi_a1_SB_LUT4_I3_O[0] +.sym 12984 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 12985 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 12986 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[0] +.sym 12987 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 12989 lvds_clock_buf +.sym 12991 w_fetch +.sym 12994 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 13004 spi_if_ins.r_tx_byte[5] +.sym 13016 i_smi_a1_SB_LUT4_I2_O[2] +.sym 13017 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 13019 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 13020 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 13022 r_tx_data[7] +.sym 13024 i_smi_a2_SB_LUT4_I2_O_SB_LUT4_I0_O +.sym 13034 i_smi_a2$SB_IO_IN +.sym 13035 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[0] +.sym 13036 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 13037 i_smi_a1_SB_LUT4_I1_O[1] +.sym 13044 i_smi_a1_SB_LUT4_I3_O[0] +.sym 13045 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 13050 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 13051 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[2] +.sym 13052 i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1[5] +.sym 13054 i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1[4] +.sym 13059 $PACKER_VCC_NET +.sym 13061 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[0] +.sym 13063 i_smi_a1$SB_IO_IN +.sym 13064 $nextpnr_ICESTORM_LC_3$O +.sym 13066 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[0] +.sym 13070 i_smi_a1_SB_LUT4_I3_I0[4] +.sym 13072 i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1[4] +.sym 13076 $nextpnr_ICESTORM_LC_4$I3 +.sym 13079 i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1[5] +.sym 13082 $nextpnr_ICESTORM_LC_4$COUT +.sym 13084 $PACKER_VCC_NET +.sym 13086 $nextpnr_ICESTORM_LC_4$I3 +.sym 13090 i_smi_a1_SB_LUT4_I1_O[1] +.sym 13091 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[0] +.sym 13092 $nextpnr_ICESTORM_LC_4$COUT +.sym 13096 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 13101 i_smi_a2$SB_IO_IN +.sym 13102 i_smi_a1_SB_LUT4_I3_O[0] +.sym 13103 i_smi_a1$SB_IO_IN +.sym 13104 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[2] +.sym 13111 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 13112 r_counter[0]_$glb_clk +.sym 13113 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 13115 spi_if_ins.spi.r_rx_byte[6] +.sym 13116 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E +.sym 13117 spi_if_ins.spi.r_rx_byte[7] +.sym 13118 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 13120 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E +.sym 13121 spi_if_ins.spi.r_rx_byte[5] +.sym 13128 spi_if_ins.r_tx_data_valid +.sym 13133 w_fetch +.sym 13140 r_tx_data[3] +.sym 13147 i_smi_a1$SB_IO_IN +.sym 13149 sys_ctrl_ins.reset_cmd +.sym 13158 i_smi_a1$SB_IO_IN +.sym 13166 i_smi_a2$SB_IO_IN +.sym 13167 w_tx_data_smi[3] +.sym 13169 rx_24_fifo.wr_addr[7] +.sym 13176 rx_24_fifo.wr_addr_gray_rd[6] +.sym 13177 i_smi_a3$SB_IO_IN +.sym 13183 rx_24_fifo.wr_addr_gray_rd[7] +.sym 13196 rx_24_fifo.wr_addr_gray_rd[7] +.sym 13203 w_tx_data_smi[3] +.sym 13206 rx_24_fifo.wr_addr_gray_rd[6] +.sym 13213 rx_24_fifo.wr_addr[7] +.sym 13224 i_smi_a3$SB_IO_IN +.sym 13226 i_smi_a2$SB_IO_IN +.sym 13227 i_smi_a1$SB_IO_IN +.sym 13235 r_counter[0]_$glb_clk +.sym 13237 r_tx_data[5] +.sym 13238 r_tx_data[2] +.sym 13239 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 13240 r_tx_data[7] +.sym 13241 r_tx_data[6] +.sym 13242 r_tx_data[1] +.sym 13243 r_tx_data[4] +.sym 13244 r_tx_data[3] +.sym 13250 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 13258 i_smi_a2$SB_IO_IN +.sym 13262 r_tx_data[6] +.sym 13263 spi_if_ins.spi.r_rx_byte[7] +.sym 13264 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 13269 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E +.sym 13271 $PACKER_VCC_NET +.sym 13280 w_tx_data_smi[2] +.sym 13282 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 13283 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 13284 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 13287 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[1] +.sym 13290 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 13297 w_tx_data_smi[0] +.sym 13303 spi_if_ins.w_rx_data[5] +.sym 13305 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 13306 w_tx_data_io[2] +.sym 13307 spi_if_ins.w_rx_data[6] +.sym 13311 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 13312 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 13313 w_tx_data_smi[2] +.sym 13314 w_tx_data_io[2] +.sym 13317 w_tx_data_smi[0] +.sym 13330 spi_if_ins.w_rx_data[6] +.sym 13332 spi_if_ins.w_rx_data[5] +.sym 13335 spi_if_ins.w_rx_data[6] +.sym 13337 spi_if_ins.w_rx_data[5] +.sym 13348 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 13349 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[1] +.sym 13354 spi_if_ins.w_rx_data[5] +.sym 13356 spi_if_ins.w_rx_data[6] +.sym 13357 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 13358 r_counter[0]_$glb_clk +.sym 13359 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 13360 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 13361 spi_if_ins.w_rx_data[5] +.sym 13362 spi_if_ins.o_cs_SB_LUT4_I2_2_O[3] +.sym 13363 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 13364 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] +.sym 13365 spi_if_ins.w_rx_data[6] +.sym 13366 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 13367 r_tx_data_SB_DFFE_Q_E +.sym 13376 w_tx_data_smi[1] +.sym 13380 w_tx_data_io[4] +.sym 13382 w_tx_data_io[3] +.sym 13384 w_cs[0] +.sym 13385 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 13386 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 13387 w_ioc[1] +.sym 13388 w_fetch +.sym 13389 w_tx_data_io[0] +.sym 13391 r_tx_data_SB_DFFE_Q_E +.sym 13393 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 13394 w_tx_data_io[1] +.sym 13395 i_smi_a1$SB_IO_IN +.sym 13401 w_fetch +.sym 13403 w_ioc[1] +.sym 13404 w_cs[2] +.sym 13405 w_cs[3] +.sym 13407 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[2] +.sym 13408 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 13411 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 13412 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 13413 w_cs[3] +.sym 13414 w_rx_24_fifo_pull +.sym 13416 w_cs[1] +.sym 13421 w_cs[0] +.sym 13422 spi_if_ins.w_rx_data[6] +.sym 13424 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 13426 spi_if_ins.w_rx_data[5] +.sym 13427 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 13428 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_I0[0] +.sym 13429 w_cs[0] +.sym 13440 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 13441 w_fetch +.sym 13442 w_cs[2] +.sym 13443 w_ioc[1] +.sym 13447 spi_if_ins.w_rx_data[6] +.sym 13448 spi_if_ins.w_rx_data[5] +.sym 13452 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 13455 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[2] +.sym 13461 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 13464 w_cs[0] +.sym 13465 w_cs[1] +.sym 13466 w_cs[2] +.sym 13467 w_cs[3] +.sym 13470 w_cs[0] +.sym 13471 w_cs[2] +.sym 13472 w_cs[1] +.sym 13473 w_cs[3] +.sym 13476 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 13477 w_rx_24_fifo_pull +.sym 13478 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 13479 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_I0[0] +.sym 13480 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] .sym 13481 r_counter[0]_$glb_clk -.sym 13485 spi_if_ins.spi.SCKr[0] -.sym 13486 spi_if_ins.spi.SCKr[2] -.sym 13488 spi_if_ins.spi.SCKr[1] -.sym 13490 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 13505 spi_if_ins.state_if_SB_DFFESR_Q_D[1] -.sym 13510 spi_if_ins.spi.SCKr[1] -.sym 13512 io_ctrl_ins.pmod_dir_state[2] -.sym 13513 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 13524 spi_if_ins.w_rx_data[4] -.sym 13532 spi_if_ins.w_rx_data[3] -.sym 13534 spi_if_ins.w_rx_data[2] -.sym 13551 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 13572 spi_if_ins.w_rx_data[3] -.sym 13576 spi_if_ins.w_rx_data[4] -.sym 13582 spi_if_ins.w_rx_data[2] -.sym 13603 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O +.sym 13483 rx_24_fifo.rd_addr_gray[4] +.sym 13484 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E +.sym 13485 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E +.sym 13487 rx_24_fifo.rd_addr_gray[6] +.sym 13490 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 13496 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 13500 r_tx_data_SB_DFFE_Q_E +.sym 13501 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 13502 $io_pmod[5]$iobuf_i +.sym 13507 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 13511 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 13512 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[1] +.sym 13514 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 13528 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 13529 rx_24_fifo.wr_addr_gray[1] +.sym 13532 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 13533 rx_24_fifo.wr_addr_gray[6] +.sym 13537 w_fetch +.sym 13538 w_cs[1] +.sym 13539 w_load +.sym 13563 rx_24_fifo.wr_addr_gray[1] +.sym 13569 w_fetch +.sym 13570 w_cs[1] +.sym 13571 w_load +.sym 13581 rx_24_fifo.wr_addr_gray[6] +.sym 13587 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 13588 w_fetch +.sym 13589 w_cs[1] +.sym 13593 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O .sym 13604 r_counter[0]_$glb_clk -.sym 13606 w_rx_data[2] -.sym 13607 w_rx_data[0] -.sym 13608 w_rx_data[4] -.sym 13609 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 13610 w_rx_data[3] -.sym 13611 w_rx_data[1] -.sym 13612 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 13613 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_R -.sym 13618 spi_if_ins.w_rx_data[4] -.sym 13620 spi_if_ins.w_rx_data[2] -.sym 13623 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 13628 spi_if_ins.w_rx_data[3] -.sym 13630 io_ctrl_ins.rf_mode[0] -.sym 13632 spi_if_ins.w_rx_data[1] -.sym 13633 w_ioc[4] -.sym 13634 o_shdn_tx_lna$SB_IO_OUT -.sym 13635 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 13638 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E -.sym 13639 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O -.sym 13640 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 13648 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2[0] -.sym 13649 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E -.sym 13651 w_ioc[2] -.sym 13652 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2[1] -.sym 13653 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[0] -.sym 13656 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[1] -.sym 13657 w_ioc[3] -.sym 13658 w_ioc[4] -.sym 13660 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_R -.sym 13661 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 13665 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 13671 w_ioc[1] -.sym 13674 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] -.sym 13675 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 13677 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 13681 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2[0] -.sym 13683 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2[1] -.sym 13686 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 13688 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 13689 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 13692 w_ioc[4] -.sym 13693 w_ioc[3] -.sym 13694 w_ioc[2] -.sym 13695 w_ioc[1] -.sym 13698 w_ioc[3] -.sym 13699 w_ioc[4] -.sym 13700 w_ioc[1] -.sym 13701 w_ioc[2] -.sym 13705 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 13706 w_ioc[4] -.sym 13707 w_ioc[1] -.sym 13710 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 13712 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2[1] -.sym 13713 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] -.sym 13717 w_ioc[3] -.sym 13718 w_ioc[2] -.sym 13723 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[1] -.sym 13725 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[0] -.sym 13726 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E +.sym 13606 w_ioc[2] +.sym 13607 w_ioc[1] +.sym 13608 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 13609 w_ioc[3] +.sym 13610 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 13611 w_ioc[0] +.sym 13612 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 13613 w_ioc[4] +.sym 13614 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 13630 i_button_SB_LUT4_I3_O[1] +.sym 13633 w_ioc[0] +.sym 13634 rx_24_fifo.rd_addr_gray[6] +.sym 13636 sys_ctrl_ins.reset_cmd +.sym 13649 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E +.sym 13652 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] +.sym 13656 w_cs[0] +.sym 13660 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R +.sym 13665 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 13669 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 13676 $PACKER_VCC_NET +.sym 13682 w_cs[0] +.sym 13692 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 13694 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 13695 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] +.sym 13723 $PACKER_VCC_NET +.sym 13726 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E .sym 13727 r_counter[0]_$glb_clk -.sym 13728 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_R -.sym 13729 w_ioc[1] -.sym 13730 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 13731 w_ioc[0] -.sym 13732 i_button_SB_LUT4_I3_O[0] -.sym 13733 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[3] -.sym 13734 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[0] -.sym 13735 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 13736 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 13748 w_rx_data[2] -.sym 13751 io_pmod[2]$SB_IO_IN -.sym 13753 w_rx_data[4] -.sym 13757 w_rx_data[3] -.sym 13758 spi_if_ins.w_rx_data[6] -.sym 13760 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 13770 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[2] -.sym 13772 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 13773 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 13774 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[2] -.sym 13775 o_led1$SB_IO_OUT -.sym 13776 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 13777 i_config[0]$SB_IO_IN -.sym 13778 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 13779 i_config[1]$SB_IO_IN -.sym 13780 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O[2] -.sym 13781 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] -.sym 13782 io_ctrl_ins.pmod_dir_state[2] -.sym 13783 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[3] -.sym 13784 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O[3] -.sym 13786 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 13787 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 13788 w_ioc[0] -.sym 13790 io_ctrl_ins.rf_mode[0] -.sym 13792 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 13793 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 13794 o_shdn_tx_lna$SB_IO_OUT -.sym 13795 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 13797 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 13798 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[3] -.sym 13799 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 13800 io_ctrl_ins.o_pmod[2] -.sym 13801 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 13803 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 13805 w_ioc[0] -.sym 13809 io_ctrl_ins.pmod_dir_state[2] -.sym 13810 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 13811 o_shdn_tx_lna$SB_IO_OUT -.sym 13812 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 13815 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 13816 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 13817 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 13818 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 13822 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] -.sym 13824 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 13827 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 13828 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[3] -.sym 13829 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[2] -.sym 13830 i_config[0]$SB_IO_IN -.sym 13833 o_led1$SB_IO_OUT -.sym 13834 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[2] -.sym 13835 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 13836 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[3] -.sym 13839 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 13840 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 13841 io_ctrl_ins.rf_mode[0] -.sym 13842 io_ctrl_ins.o_pmod[2] -.sym 13845 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O[2] -.sym 13846 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 13847 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O[3] -.sym 13848 i_config[1]$SB_IO_IN -.sym 13849 io_ctrl_ins.o_data_out_SB_DFFESR_Q_E -.sym 13850 r_counter[0]_$glb_clk -.sym 13851 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R -.sym 13852 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 13853 io_ctrl_ins.o_pmod[5] -.sym 13854 io_ctrl_ins.o_pmod[0] -.sym 13855 io_ctrl_ins.o_pmod[7] -.sym 13856 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O -.sym 13857 io_ctrl_ins.o_pmod[1] -.sym 13858 io_ctrl_ins.o_pmod[6] -.sym 13859 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 13867 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 13872 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O[3] -.sym 13877 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 13878 i_smi_a2_SB_LUT4_I1_O[0] -.sym 13879 o_shdn_rx_lna$SB_IO_OUT -.sym 13882 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I2_O -.sym 13883 w_rx_data[2] -.sym 13885 w_rx_data[0] -.sym 13886 i_smi_a2_SB_LUT4_I1_O[2] -.sym 13887 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 13893 io_ctrl_ins.o_pmod[4] -.sym 13895 o_shdn_rx_lna$SB_IO_OUT -.sym 13900 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 13901 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 13902 io_ctrl_ins.pmod_dir_state[1] -.sym 13903 spi_if_ins.state_if_SB_DFFESR_Q_D[1] -.sym 13904 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 13905 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 13906 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 13907 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 13908 io_ctrl_ins.o_pmod[3] -.sym 13909 io_ctrl_ins.debug_mode[1] -.sym 13917 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 13918 spi_if_ins.w_rx_data[6] -.sym 13920 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 13922 io_ctrl_ins.o_pmod[1] -.sym 13924 io_ctrl_ins.rf_mode[2] -.sym 13926 io_ctrl_ins.debug_mode[1] -.sym 13927 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 13928 io_ctrl_ins.o_pmod[1] -.sym 13929 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 13938 io_ctrl_ins.rf_mode[2] -.sym 13939 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 13940 io_ctrl_ins.o_pmod[4] -.sym 13941 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 13946 spi_if_ins.state_if_SB_DFFESR_Q_D[1] -.sym 13950 io_ctrl_ins.o_pmod[3] -.sym 13951 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 13952 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 13953 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 13956 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 13957 io_ctrl_ins.pmod_dir_state[1] -.sym 13958 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 13959 o_shdn_rx_lna$SB_IO_OUT -.sym 13962 spi_if_ins.w_rx_data[6] -.sym 13968 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 13969 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 13970 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 13972 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 13728 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R +.sym 13731 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[1] +.sym 13732 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2_SB_LUT4_I2_O +.sym 13733 rx_24_fifo.rd_addr_gray[0] +.sym 13734 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 13735 i_button_SB_LUT4_I3_O[1] +.sym 13741 sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R +.sym 13742 w_rx_data[1] +.sym 13747 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] +.sym 13752 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 13753 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 13755 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 13758 i_button_SB_LUT4_I3_O[1] +.sym 13759 w_ioc[0] +.sym 13762 $PACKER_VCC_NET +.sym 13772 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 13775 w_ioc[0] +.sym 13776 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 13779 w_ioc[1] +.sym 13780 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] +.sym 13790 rx_24_fifo.rd_addr_gray[0] +.sym 13791 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 13794 rx_24_fifo.rd_addr_gray[6] +.sym 13796 rx_24_fifo.rd_addr_gray[1] +.sym 13804 rx_24_fifo.rd_addr_gray[6] +.sym 13816 w_ioc[0] +.sym 13817 w_ioc[1] +.sym 13818 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] +.sym 13823 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 13829 rx_24_fifo.rd_addr_gray[0] +.sym 13842 rx_24_fifo.rd_addr_gray[1] +.sym 13846 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 13847 w_ioc[0] +.sym 13848 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 13850 lvds_clock_buf +.sym 13852 io_ctrl_ins.rf_pin_state[1] +.sym 13854 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[2] +.sym 13855 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 13856 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] +.sym 13859 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 13864 rx_24_fifo.rd_addr_gray_wr[6] +.sym 13871 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 13876 w_tx_data_io[0] +.sym 13878 w_tx_data_io[1] +.sym 13883 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] +.sym 13885 io_ctrl_ins.rf_pin_state[1] +.sym 13900 w_rx_data[2] +.sym 13903 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E +.sym 13904 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 13908 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_R +.sym 13916 w_rx_data[1] +.sym 13932 w_rx_data[2] +.sym 13944 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E +.sym 13952 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_R +.sym 13968 w_rx_data[1] +.sym 13972 io_ctrl_ins.pmod_state_SB_DFFE_Q_E .sym 13973 r_counter[0]_$glb_clk -.sym 13975 io_ctrl_ins.debug_mode[1] -.sym 13976 io_ctrl_ins.debug_mode[0] -.sym 13977 io_ctrl_ins.debug_mode_SB_LUT4_I0_O -.sym 13978 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 13979 io_ctrl_ins.rf_mode[0] -.sym 13980 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 13982 io_ctrl_ins.rf_mode[2] -.sym 13989 i_config[0]$SB_IO_IN -.sym 13992 o_led1$SB_IO_OUT -.sym 13993 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O -.sym 13998 io_ctrl_ins.pmod_dir_state[1] -.sym 14001 io_ctrl_ins.mixer_en_state -.sym 14018 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O -.sym 14025 w_rx_data[4] -.sym 14027 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 14028 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O -.sym 14029 w_rx_data[3] -.sym 14030 w_rx_data[2] -.sym 14051 w_rx_data[4] -.sym 14064 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O -.sym 14067 w_rx_data[2] -.sym 14085 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 14094 w_rx_data[3] -.sym 14095 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O +.sym 13977 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] +.sym 13981 w_tx_data_io[0] +.sym 13982 w_tx_data_io[1] +.sym 13993 $PACKER_VCC_NET +.sym 13997 w_rx_data[2] +.sym 14001 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 14017 io_ctrl_ins.o_pmod[2] +.sym 14018 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[0] +.sym 14020 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_R +.sym 14025 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 14026 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[2] +.sym 14027 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E +.sym 14028 i_button_SB_LUT4_I3_O[1] +.sym 14031 w_ioc[0] +.sym 14035 o_shdn_tx_lna$SB_IO_OUT +.sym 14045 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[3] +.sym 14079 o_shdn_tx_lna$SB_IO_OUT +.sym 14080 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 14081 io_ctrl_ins.o_pmod[2] +.sym 14082 w_ioc[0] +.sym 14085 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[0] +.sym 14086 i_button_SB_LUT4_I3_O[1] +.sym 14087 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[3] +.sym 14088 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[2] +.sym 14095 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E .sym 14096 r_counter[0]_$glb_clk -.sym 14099 o_shdn_rx_lna$SB_IO_OUT -.sym 14103 o_shdn_tx_lna$SB_IO_OUT -.sym 14105 io_ctrl_ins.mixer_en_state -.sym 14121 io_ctrl_ins.debug_mode_SB_LUT4_I0_O -.sym 14125 o_shdn_tx_lna$SB_IO_OUT -.sym 14126 io_ctrl_ins.rf_mode[0] -.sym 14131 i_smi_a1$SB_IO_IN -.sym 14147 i_smi_a2$SB_IO_IN -.sym 14149 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 14151 i_smi_a3$SB_IO_IN -.sym 14155 i_smi_a1$SB_IO_IN -.sym 14157 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 14168 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 14178 i_smi_a3$SB_IO_IN -.sym 14180 i_smi_a2$SB_IO_IN -.sym 14181 i_smi_a1$SB_IO_IN -.sym 14202 i_smi_a2$SB_IO_IN -.sym 14204 i_smi_a3$SB_IO_IN -.sym 14205 i_smi_a1$SB_IO_IN -.sym 14209 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 14218 spi_if_ins.state_if_SB_DFFE_Q_E -.sym 14219 r_counter[0]_$glb_clk -.sym 14220 spi_if_ins.state_if_SB_DFFESR_Q_1_R -.sym 14239 o_tr_vc2$SB_IO_OUT +.sym 14097 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_R +.sym 14101 o_shdn_tx_lna$SB_IO_OUT +.sym 14102 o_shdn_rx_lna$SB_IO_OUT +.sym 14236 o_shdn_tx_lna$SB_IO_OUT .sym 14344 i_smi_a1$SB_IO_IN -.sym 14414 i_config[1]$SB_IO_IN -.sym 14418 i_sck$SB_IO_IN +.sym 14375 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 14418 r_counter[0] .sym 14419 io_smi_data[3]$SB_IO_OUT -.sym 14434 io_smi_data[3]$SB_IO_OUT -.sym 14436 i_sck$SB_IO_IN -.sym 14446 io_smi_data[5]$SB_IO_OUT -.sym 14449 i_smi_a3$SB_IO_IN -.sym 14451 io_smi_data[4]$SB_IO_OUT -.sym 14466 w_lvds_rx_09_d1 -.sym 14476 i_sck$SB_IO_IN -.sym 14486 i_smi_a3$SB_IO_IN -.sym 14491 smi_ctrl_ins.r_smi_test_count_09[5] -.sym 14495 w_smi_data_output[3] -.sym 14497 smi_ctrl_ins.r_smi_test_count_09[3] -.sym 14502 i_smi_a2_SB_LUT4_I1_O[2] -.sym 14504 i_smi_soe_se_SB_LUT4_I1_O -.sym 14505 smi_ctrl_ins.r_smi_test_count_24[3] -.sym 14516 i_smi_a2_SB_LUT4_I1_O[0] -.sym 14517 smi_ctrl_ins.r_smi_test_count_24[5] -.sym 14519 smi_ctrl_ins.r_smi_test_count_24[5] -.sym 14520 i_smi_a2_SB_LUT4_I1_O[0] -.sym 14521 i_smi_a2_SB_LUT4_I1_O[2] -.sym 14522 smi_ctrl_ins.r_smi_test_count_09[5] -.sym 14525 i_smi_a2_SB_LUT4_I1_O[0] -.sym 14526 i_smi_a2_SB_LUT4_I1_O[2] -.sym 14527 smi_ctrl_ins.r_smi_test_count_24[3] -.sym 14528 smi_ctrl_ins.r_smi_test_count_09[3] -.sym 14555 i_smi_a3$SB_IO_IN -.sym 14557 w_smi_data_output[3] -.sym 14565 i_smi_soe_se_SB_LUT4_I1_O -.sym 14566 i_glob_clock$SB_IO_IN_$glb_clk -.sym 14765 i_ss_SB_LUT4_I3_O -.sym 14858 $io_pmod[6]$iobuf_i -.sym 14859 $io_pmod[7]$iobuf_i +.sym 14430 io_smi_data[3]$SB_IO_OUT +.sym 14438 r_counter[0] +.sym 14444 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[3] +.sym 14445 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 14446 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[0] +.sym 14447 rx_09_fifo.wr_addr_gray_rd[0] +.sym 14448 rx_09_fifo.wr_addr_gray_rd[7] +.sym 14449 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 14450 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 14451 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 14454 io_smi_data[3]$SB_IO_OUT +.sym 14457 i_smi_a1_SB_LUT4_I1_O[1] +.sym 14459 r_tx_data[5] +.sym 14476 i_smi_a3$SB_IO_IN +.sym 14486 rx_09_fifo.wr_addr_gray[2] +.sym 14490 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_1_I0[0] +.sym 14491 rx_09_fifo.rd_addr[1] +.sym 14492 rx_09_fifo.wr_addr_gray_rd[2] +.sym 14496 rx_09_fifo.wr_addr_gray[6] +.sym 14499 rx_09_fifo.wr_addr_gray[3] +.sym 14506 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 14508 rx_09_fifo.wr_addr_gray_rd[5] +.sym 14511 rx_09_fifo.rd_addr[0] +.sym 14512 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 14514 rx_09_fifo.wr_addr_gray_rd[6] +.sym 14516 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 14522 rx_09_fifo.wr_addr_gray_rd[6] +.sym 14526 rx_09_fifo.wr_addr_gray_rd[5] +.sym 14531 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 14532 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 14540 rx_09_fifo.wr_addr_gray_rd[2] +.sym 14544 rx_09_fifo.wr_addr_gray[6] +.sym 14549 rx_09_fifo.rd_addr[1] +.sym 14550 rx_09_fifo.rd_addr[0] +.sym 14551 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 14552 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_1_I0[0] +.sym 14555 rx_09_fifo.wr_addr_gray[2] +.sym 14562 rx_09_fifo.wr_addr_gray[3] +.sym 14566 r_counter[0]_$glb_clk +.sym 14574 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[2] +.sym 14575 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[3] +.sym 14576 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[4] +.sym 14577 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[5] +.sym 14578 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[6] +.sym 14579 $io_pmod[2]$iobuf_i +.sym 14588 r_counter[0] +.sym 14590 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 14605 rx_09_fifo.rd_addr[0] +.sym 14625 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 14660 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 14667 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 14668 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 14669 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 14672 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 14678 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 14679 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 14680 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 14684 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 14685 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 14688 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 14696 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 14702 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 14706 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 14715 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 14719 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 14727 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 14728 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 14729 lvds_clock_buf +.sym 14730 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 14731 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 14732 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 14733 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[0] +.sym 14734 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[1] +.sym 14735 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_1_I0[0] +.sym 14736 rx_09_fifo.rd_addr_gray_wr_r[3] +.sym 14737 rx_09_fifo.rd_addr_gray_wr[0] +.sym 14738 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_1_I0[2] +.sym 14755 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[2] +.sym 14758 rx_09_fifo.rd_addr_gray_wr_r[3] +.sym 14766 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 14774 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 14776 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 14777 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 14778 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[6] +.sym 14780 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 14781 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 14785 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[5] +.sym 14786 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 14787 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[2] +.sym 14790 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 14791 rx_09_fifo.rd_addr_gray_wr_r[7] +.sym 14796 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 14798 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[0] +.sym 14799 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[1] +.sym 14808 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 14812 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 14819 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 14824 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[1] +.sym 14825 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[0] +.sym 14826 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[2] +.sym 14832 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 14836 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 14844 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 14847 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 14848 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[6] +.sym 14849 rx_09_fifo.rd_addr_gray_wr_r[7] +.sym 14850 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[5] +.sym 14851 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 14852 lvds_clock_buf +.sym 14853 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 14856 sys_ctrl_ins.reset_count[2] +.sym 14857 sys_ctrl_ins.reset_count[3] +.sym 14858 sys_ctrl_ins.reset_count[1] +.sym 14859 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 14860 sys_ctrl_ins.reset_count[0] +.sym 14866 rx_09_fifo.wr_addr_gray[4] .sym 14867 i_smi_a3$SB_IO_IN -.sym 14882 rx_09_fifo.wr_addr[4] -.sym 14885 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 14899 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 14907 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 14970 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 14973 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 14977 rx_09_fifo.wr_addr_gray_rd[5] -.sym 14978 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[3] -.sym 14979 rx_09_fifo.wr_addr_gray_rd_r[4] -.sym 14980 rx_09_fifo.wr_addr_gray_rd[2] -.sym 14981 rx_09_fifo.wr_addr_gray_rd[0] -.sym 14982 rx_09_fifo.wr_addr_gray_rd_r[5] -.sym 14983 rx_09_fifo.wr_addr_gray_rd_r[2] -.sym 14984 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[0] -.sym 15021 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[3] -.sym 15024 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[5] -.sym 15031 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[4] -.sym 15035 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 15042 rx_09_fifo.wr_addr[4] -.sym 15044 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 15045 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 15046 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 15059 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 15078 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 15081 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 15093 rx_09_fifo.wr_addr[4] -.sym 15094 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[5] -.sym 15095 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[3] -.sym 15096 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[4] -.sym 15097 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 15098 lvds_clock_buf -.sym 15099 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 15100 rx_09_fifo.wr_addr_gray_rd[7] -.sym 15101 rx_09_fifo.wr_addr_gray_rd[4] -.sym 15102 rx_09_fifo.wr_addr_gray_rd_r[3] -.sym 15104 rx_09_fifo.wr_addr_gray_rd[6] -.sym 15106 rx_09_fifo.wr_addr_gray_rd[3] -.sym 15107 rx_09_fifo.wr_addr_gray_rd[1] -.sym 15133 i_ss$SB_IO_IN -.sym 15146 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 15147 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[0] -.sym 15152 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 15154 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] -.sym 15163 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 15164 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 15166 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 15171 rx_09_fifo.wr_addr[0] -.sym 15174 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[0] -.sym 15183 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 15187 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 15188 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 15193 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] -.sym 15201 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 15205 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 15213 rx_09_fifo.wr_addr[0] -.sym 15216 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 15220 lvds_rx_09_inst.r_push_SB_LUT4_I3_O -.sym 15221 lvds_clock_buf -.sym 15222 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 15223 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 15229 spi_if_ins.spi.r3_rx_done -.sym 15230 spi_if_ins.spi.r2_rx_done -.sym 15241 int_miso -.sym 15253 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 15257 i_ss_SB_LUT4_I3_O -.sym 15265 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 15266 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 15268 rx_09_fifo.full_o_SB_LUT4_I3_O[3] -.sym 15270 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 15271 w_rx_09_fifo_full -.sym 15278 rx_09_fifo.wr_addr[0] -.sym 15279 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 15280 lvds_rx_09_inst.r_push_SB_LUT4_I2_O[1] -.sym 15281 lvds_rx_09_inst.r_push_SB_LUT4_I2_O[0] -.sym 15283 lvds_rx_09_inst.r_push_SB_LUT4_I2_O[2] -.sym 15285 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] -.sym 15286 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[0] -.sym 15288 w_rx_09_fifo_push -.sym 15289 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 15290 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 15293 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 15294 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 15297 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[0] -.sym 15299 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 15300 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] -.sym 15303 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 15304 rx_09_fifo.full_o_SB_LUT4_I3_O[3] -.sym 15305 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 15306 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 15321 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 15322 w_rx_09_fifo_push -.sym 15323 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 15324 w_rx_09_fifo_full -.sym 15327 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 15328 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 15334 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 15336 rx_09_fifo.wr_addr[0] -.sym 15339 lvds_rx_09_inst.r_push_SB_LUT4_I2_O[0] -.sym 15341 lvds_rx_09_inst.r_push_SB_LUT4_I2_O[2] -.sym 15342 lvds_rx_09_inst.r_push_SB_LUT4_I2_O[1] -.sym 15344 lvds_clock_buf -.sym 15345 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 15347 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 15353 spi_if_ins.spi.r_rx_done -.sym 15365 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 15396 spi_if_ins.spi.r_rx_byte[1] -.sym 15414 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 15465 spi_if_ins.spi.r_rx_byte[1] -.sym 15466 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 15467 r_counter[0]_$glb_clk -.sym 15469 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 15470 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 15471 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 15472 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 15473 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 15474 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 15476 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 15485 $PACKER_VCC_NET -.sym 15493 w_rx_data[2] -.sym 15495 w_rx_data[0] -.sym 15496 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 15500 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 15503 i_sck$SB_IO_IN -.sym 15504 spi_if_ins.w_rx_data[1] -.sym 15529 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 15530 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 15535 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 15537 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 15549 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 15574 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 15579 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 15589 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 15590 i_sck$SB_IO_IN_$glb_clk -.sym 15592 spi_if_ins.w_rx_data[3] -.sym 15593 spi_if_ins.w_rx_data[2] -.sym 15596 spi_if_ins.w_rx_data[4] -.sym 15597 spi_if_ins.w_rx_data[0] -.sym 15598 spi_if_ins.w_rx_data[5] -.sym 15613 i_mosi$SB_IO_IN -.sym 15619 spi_if_ins.w_rx_data[0] -.sym 15621 o_tr_vc2$SB_IO_OUT -.sym 15623 w_rx_data[0] -.sym 15635 spi_if_ins.spi.SCKr[0] -.sym 15641 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 15646 spi_if_ins.spi.SCKr[1] -.sym 15663 i_sck$SB_IO_IN -.sym 15679 i_sck$SB_IO_IN -.sym 15687 spi_if_ins.spi.SCKr[1] -.sym 15699 spi_if_ins.spi.SCKr[0] -.sym 15708 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 14868 lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O +.sym 14871 io_pmod[6]$SB_IO_IN +.sym 14876 rx_09_fifo.wr_addr[5] +.sym 14878 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 14886 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 14895 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 14899 rx_09_fifo.rd_addr_gray_wr[2] +.sym 14902 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_1_I0[2] +.sym 14903 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 14905 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 14909 rx_09_fifo.rd_addr_gray_wr[0] +.sym 14912 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 14915 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[2] +.sym 14917 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 14921 rx_09_fifo.rd_addr_gray_wr[1] +.sym 14923 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 14924 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 14925 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 14926 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 14928 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 14929 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 14937 rx_09_fifo.rd_addr_gray_wr[2] +.sym 14941 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 14946 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 14947 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[2] +.sym 14952 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 14953 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_1_I0[2] +.sym 14954 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 14955 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 14960 rx_09_fifo.rd_addr_gray_wr[0] +.sym 14965 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 14966 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 14970 rx_09_fifo.rd_addr_gray_wr[1] +.sym 14975 lvds_clock_buf +.sym 14977 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 14979 spi_if_ins.spi.r_tx_byte[1] +.sym 14980 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[1] +.sym 14981 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[0] +.sym 14982 spi_if_ins.spi.r_tx_byte[2] +.sym 14983 spi_if_ins.spi.r_tx_byte[6] +.sym 14984 spi_if_ins.spi.r_tx_byte[3] +.sym 15007 rx_09_fifo.rd_addr_gray_wr[1] +.sym 15010 sys_ctrl_ins.reset_cmd +.sym 15011 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 15012 i_ss$SB_IO_IN +.sym 15018 i_smi_a3$SB_IO_IN +.sym 15026 r_tx_data[3] +.sym 15029 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 15032 r_tx_data[6] +.sym 15033 i_smi_a1_SB_LUT4_I1_O[0] +.sym 15037 r_tx_data[7] +.sym 15039 i_smi_a1_SB_LUT4_I1_O[1] +.sym 15044 w_smi_data_output[4] +.sym 15046 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 15048 w_smi_data_output[3] +.sym 15049 r_tx_data[5] +.sym 15051 i_smi_a3$SB_IO_IN +.sym 15052 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 15053 i_smi_a1_SB_LUT4_I1_O[0] +.sym 15054 i_smi_a1_SB_LUT4_I1_O[1] +.sym 15057 w_smi_data_output[3] +.sym 15058 i_smi_a3$SB_IO_IN +.sym 15064 r_tx_data[3] +.sym 15069 r_tx_data[7] +.sym 15075 i_smi_a3$SB_IO_IN +.sym 15078 w_smi_data_output[4] +.sym 15087 r_tx_data[5] +.sym 15093 r_tx_data[6] +.sym 15097 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 15098 r_counter[0]_$glb_clk +.sym 15101 spi_if_ins.r_tx_byte[2] +.sym 15102 spi_if_ins.r_tx_byte[4] +.sym 15103 spi_if_ins.r_tx_byte[1] +.sym 15104 o_miso_$_TBUF__Y_E +.sym 15105 spi_if_ins.r_tx_byte[0] +.sym 15107 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 15111 i_smi_a1$SB_IO_IN +.sym 15117 sys_ctrl_ins.reset_cmd +.sym 15120 spi_if_ins.r_tx_byte[7] +.sym 15121 i_smi_a1_SB_LUT4_I1_O[0] +.sym 15122 r_tx_data[3] +.sym 15125 o_miso_$_TBUF__Y_E +.sym 15126 r_tx_data[2] +.sym 15132 i_ss$SB_IO_IN +.sym 15133 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 15134 r_tx_data[1] +.sym 15143 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E +.sym 15145 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 15146 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 15150 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 15157 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 15171 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 15176 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 15177 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 15192 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 15195 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 15220 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E +.sym 15221 r_counter[0]_$glb_clk +.sym 15222 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 15226 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 15228 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 15230 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 15238 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 15239 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E +.sym 15240 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 15242 io_pmod[7]$SB_IO_IN +.sym 15248 r_tx_data[4] +.sym 15255 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 15256 spi_if_ins.state_if[1] +.sym 15257 spi_if_ins.spi.r_rx_byte[6] +.sym 15258 r_tx_data[0] +.sym 15266 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 15275 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 15277 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 15278 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 15282 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 15291 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 15293 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 15295 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 15304 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 15309 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 15310 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 15311 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 15316 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 15323 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 15333 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 15334 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 15335 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 15342 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 15343 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 15344 i_sck$SB_IO_IN_$glb_clk +.sym 15346 w_load +.sym 15348 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 15350 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 15353 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 15358 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 15362 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 15363 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 15365 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 15368 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 15370 w_tx_data_io[7] +.sym 15374 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 15379 w_load +.sym 15381 spi_if_ins.spi.r_rx_byte[5] +.sym 15387 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 15388 w_tx_data_io[7] +.sym 15390 w_tx_data_io[4] +.sym 15391 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] +.sym 15393 w_tx_data_io[6] +.sym 15395 sys_ctrl_ins.o_data_out_SB_LUT4_I0_I2[1] +.sym 15397 w_tx_data_io[5] +.sym 15400 w_tx_data_io[3] +.sym 15401 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 15402 w_tx_data_smi[1] +.sym 15403 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 15405 w_tx_data_smi[3] +.sym 15408 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 15409 w_tx_data_io[1] +.sym 15414 r_tx_data_SB_DFFE_Q_E +.sym 15415 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 15416 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 15417 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 15420 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 15421 w_tx_data_io[5] +.sym 15423 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 15426 sys_ctrl_ins.o_data_out_SB_LUT4_I0_I2[1] +.sym 15427 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 15432 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 15433 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 15434 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 15435 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 15438 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 15439 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 15440 w_tx_data_io[7] +.sym 15445 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 15446 w_tx_data_io[6] +.sym 15450 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 15451 w_tx_data_io[1] +.sym 15452 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 15453 w_tx_data_smi[1] +.sym 15457 w_tx_data_io[4] +.sym 15459 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 15462 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 15463 w_tx_data_smi[3] +.sym 15464 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 15465 w_tx_data_io[3] +.sym 15466 r_tx_data_SB_DFFE_Q_E +.sym 15467 i_glob_clock$SB_IO_IN_$glb_clk +.sym 15468 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] +.sym 15469 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 15474 r_tx_data[0] +.sym 15479 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 15483 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 15485 w_tx_data_io[5] +.sym 15486 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 15489 w_tx_data_io[6] +.sym 15494 sys_ctrl_ins.reset_cmd +.sym 15495 spi_if_ins.w_rx_data[6] +.sym 15497 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 15498 rx_24_fifo.rd_addr_gray[4] +.sym 15499 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 15504 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 15516 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 15517 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 15521 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 15522 w_cs[0] +.sym 15523 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 15524 spi_if_ins.spi.r_rx_byte[7] +.sym 15527 w_tx_data_smi[0] +.sym 15529 spi_if_ins.spi.r_rx_byte[6] +.sym 15530 w_cs[3] +.sym 15533 w_cs[1] +.sym 15537 w_cs[2] +.sym 15538 w_tx_data_io[0] +.sym 15541 spi_if_ins.spi.r_rx_byte[5] +.sym 15543 w_cs[3] +.sym 15544 w_cs[1] +.sym 15545 w_cs[2] +.sym 15546 w_cs[0] +.sym 15552 spi_if_ins.spi.r_rx_byte[5] +.sym 15555 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 15556 w_tx_data_io[0] +.sym 15557 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 15558 w_tx_data_smi[0] +.sym 15563 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 15567 w_cs[2] +.sym 15568 w_cs[0] +.sym 15569 w_cs[3] +.sym 15570 w_cs[1] +.sym 15573 spi_if_ins.spi.r_rx_byte[6] +.sym 15582 spi_if_ins.spi.r_rx_byte[7] +.sym 15585 w_cs[0] +.sym 15586 w_cs[2] +.sym 15587 w_cs[1] +.sym 15588 w_cs[3] +.sym 15589 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 15590 r_counter[0]_$glb_clk +.sym 15592 spi_if_ins.w_rx_data[1] +.sym 15594 spi_if_ins.w_rx_data[0] +.sym 15595 spi_if_ins.w_rx_data[4] +.sym 15596 spi_if_ins.w_rx_data[3] +.sym 15598 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E +.sym 15599 spi_if_ins.w_rx_data[2] +.sym 15603 i_smi_a1$SB_IO_IN +.sym 15607 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 15609 spi_if_ins.state_if[0] +.sym 15614 w_tx_data_sys[0] +.sym 15618 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 15622 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 15633 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 15639 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 15641 w_fetch +.sym 15644 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 15647 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 15649 w_load +.sym 15653 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[1] +.sym 15660 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[0] +.sym 15661 w_cs[0] +.sym 15663 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[3] +.sym 15664 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 15669 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[3] +.sym 15672 w_fetch +.sym 15674 w_cs[0] +.sym 15675 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 15678 w_load +.sym 15679 w_cs[0] +.sym 15680 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[1] +.sym 15681 w_fetch +.sym 15690 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[0] +.sym 15708 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 15709 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 15711 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 15712 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O .sym 15713 r_counter[0]_$glb_clk -.sym 15715 $PACKER_GND_NET -.sym 15718 w_tx_data_io[6] -.sym 15720 w_tx_data_io[5] -.sym 15721 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[2] -.sym 15722 w_tx_data_io[7] -.sym 15740 o_led0$SB_IO_OUT -.sym 15744 w_rx_data[6] -.sym 15745 i_button$SB_IO_IN -.sym 15746 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[1] -.sym 15748 $PACKER_GND_NET -.sym 15749 w_rx_data[0] -.sym 15750 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[3] -.sym 15756 spi_if_ins.w_rx_data[3] -.sym 15758 w_ioc[0] -.sym 15760 spi_if_ins.w_rx_data[4] -.sym 15761 spi_if_ins.w_rx_data[0] -.sym 15762 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 15764 w_ioc[1] -.sym 15765 spi_if_ins.w_rx_data[2] -.sym 15766 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 15767 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] -.sym 15768 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 15770 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 15774 spi_if_ins.w_rx_data[1] -.sym 15775 w_ioc[4] -.sym 15778 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15782 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2[1] -.sym 15783 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 15791 spi_if_ins.w_rx_data[2] -.sym 15795 spi_if_ins.w_rx_data[0] -.sym 15803 spi_if_ins.w_rx_data[4] -.sym 15807 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 15808 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] -.sym 15809 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2[1] -.sym 15810 w_ioc[0] -.sym 15813 spi_if_ins.w_rx_data[3] -.sym 15820 spi_if_ins.w_rx_data[1] -.sym 15825 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 15826 w_ioc[4] -.sym 15827 w_ioc[0] -.sym 15828 w_ioc[1] -.sym 15831 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 15832 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15833 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 15835 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 15714 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 15715 io_ctrl_ins.o_pmod[6] +.sym 15716 io_ctrl_ins.o_pmod[7] +.sym 15717 io_ctrl_ins.o_pmod[5] +.sym 15718 io_ctrl_ins.o_pmod[0] +.sym 15719 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[2] +.sym 15720 io_ctrl_ins.o_pmod[4] +.sym 15721 io_ctrl_ins.o_pmod[3] +.sym 15722 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 15727 io_ctrl_ins.pmod_dir_state[5] +.sym 15728 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E +.sym 15730 spi_if_ins.state_if[1] +.sym 15731 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E +.sym 15738 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 15739 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 15741 w_ioc[0] +.sym 15746 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 15756 spi_if_ins.w_rx_data[1] +.sym 15759 spi_if_ins.w_rx_data[4] +.sym 15760 spi_if_ins.w_rx_data[3] +.sym 15763 spi_if_ins.w_rx_data[2] +.sym 15764 w_ioc[2] +.sym 15765 w_ioc[1] +.sym 15766 spi_if_ins.w_rx_data[0] +.sym 15767 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 15771 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 15779 w_ioc[4] +.sym 15782 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] +.sym 15783 w_ioc[3] +.sym 15787 w_ioc[4] +.sym 15790 spi_if_ins.w_rx_data[2] +.sym 15796 spi_if_ins.w_rx_data[1] +.sym 15801 w_ioc[1] +.sym 15802 w_ioc[4] +.sym 15803 w_ioc[3] +.sym 15804 w_ioc[2] +.sym 15810 spi_if_ins.w_rx_data[3] +.sym 15815 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 15816 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] +.sym 15819 spi_if_ins.w_rx_data[0] +.sym 15825 w_ioc[3] +.sym 15827 w_ioc[4] +.sym 15828 w_ioc[2] +.sym 15833 spi_if_ins.w_rx_data[4] +.sym 15835 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] .sym 15836 r_counter[0]_$glb_clk -.sym 15838 io_ctrl_ins.pmod_dir_state[5] -.sym 15839 io_ctrl_ins.pmod_dir_state[3] -.sym 15840 i_button_SB_LUT4_I3_O[1] -.sym 15841 io_ctrl_ins.pmod_dir_state[2] -.sym 15842 io_ctrl_ins.pmod_dir_state[4] -.sym 15843 io_ctrl_ins.pmod_dir_state[6] -.sym 15844 io_ctrl_ins.pmod_dir_state[7] -.sym 15845 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O[3] -.sym 15850 w_rx_data[2] -.sym 15851 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] -.sym 15852 w_rx_data[1] -.sym 15853 $PACKER_VCC_NET -.sym 15854 w_rx_data[0] -.sym 15863 w_rx_data[4] -.sym 15864 w_tx_data_io[6] -.sym 15867 w_rx_data[3] -.sym 15869 w_rx_data[1] -.sym 15871 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15879 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 15880 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 15881 w_ioc[0] -.sym 15885 spi_if_ins.w_rx_data[1] -.sym 15886 w_ioc[4] -.sym 15887 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 15888 io_ctrl_ins.o_pmod[5] -.sym 15889 spi_if_ins.w_rx_data[0] -.sym 15890 io_ctrl_ins.o_pmod[7] -.sym 15891 o_tr_vc2$SB_IO_OUT -.sym 15893 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15894 w_ioc[4] -.sym 15895 io_ctrl_ins.pmod_dir_state[5] -.sym 15897 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 15901 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 15903 w_ioc[1] -.sym 15904 io_ctrl_ins.pmod_dir_state[3] -.sym 15905 i_button$SB_IO_IN -.sym 15906 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 15909 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 15910 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 15914 spi_if_ins.w_rx_data[1] -.sym 15918 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 15919 w_ioc[4] -.sym 15920 w_ioc[1] -.sym 15921 w_ioc[0] -.sym 15925 spi_if_ins.w_rx_data[0] -.sym 15930 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 15931 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 15932 i_button$SB_IO_IN -.sym 15933 io_ctrl_ins.o_pmod[7] -.sym 15936 io_ctrl_ins.pmod_dir_state[3] -.sym 15937 o_tr_vc2$SB_IO_OUT -.sym 15938 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 15939 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15942 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 15943 io_ctrl_ins.pmod_dir_state[5] -.sym 15944 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 15945 io_ctrl_ins.o_pmod[5] -.sym 15948 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 15949 w_ioc[1] -.sym 15950 w_ioc[0] -.sym 15951 w_ioc[4] -.sym 15955 w_ioc[0] -.sym 15957 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 15958 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O +.sym 15838 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 15839 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O +.sym 15840 io_ctrl_ins.led1_state_SB_DFFESR_Q_E +.sym 15841 i_button_SB_LUT4_I3_I2[0] +.sym 15842 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2_SB_LUT4_I2_O +.sym 15843 o_led1$SB_IO_OUT +.sym 15844 o_led0$SB_IO_OUT +.sym 15845 i_button_SB_LUT4_I3_I2[2] +.sym 15852 w_ioc[0] +.sym 15856 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 15857 io_ctrl_ins.o_pmod[6] +.sym 15859 io_ctrl_ins.o_pmod[7] +.sym 15861 io_ctrl_ins.o_pmod[5] +.sym 15863 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 15864 io_ctrl_ins.o_pmod[0] +.sym 15866 i_button_SB_LUT4_I3_O[1] +.sym 15869 w_ioc[0] +.sym 15871 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 15881 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 15883 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 15887 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 15888 w_ioc[1] +.sym 15889 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[1] +.sym 15890 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O +.sym 15892 w_ioc[0] +.sym 15893 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 15907 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2_SB_LUT4_I2_O +.sym 15924 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 15926 w_ioc[0] +.sym 15930 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2_SB_LUT4_I2_O +.sym 15937 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 15942 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[1] +.sym 15943 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 15948 w_ioc[0] +.sym 15949 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 15950 w_ioc[1] +.sym 15958 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O .sym 15959 r_counter[0]_$glb_clk -.sym 15961 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 15962 io_ctrl_ins.rf_pin_state[7] -.sym 15963 io_ctrl_ins.rf_pin_state[6] -.sym 15964 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[1] -.sym 15966 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[3] -.sym 15967 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O -.sym 15968 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_O -.sym 15976 io_ctrl_ins.pmod_dir_state[2] -.sym 15977 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 15979 $PACKER_VCC_NET -.sym 15987 w_rx_data[5] -.sym 15989 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 15990 w_rx_data[2] -.sym 15992 w_rx_data[0] -.sym 15996 io_ctrl_ins.rf_pin_state[7] -.sym 16003 io_ctrl_ins.debug_mode[0] -.sym 16004 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O -.sym 16005 w_rx_data[5] -.sym 16008 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 16009 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 16010 o_led0$SB_IO_OUT -.sym 16011 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 16012 io_ctrl_ins.o_pmod[0] -.sym 16013 w_rx_data[7] -.sym 16016 w_rx_data[6] -.sym 16018 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 16021 w_rx_data[0] -.sym 16024 io_ctrl_ins.mixer_en_state -.sym 16026 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 16029 w_rx_data[1] -.sym 16035 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 16036 io_ctrl_ins.debug_mode[0] -.sym 16037 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 16038 io_ctrl_ins.o_pmod[0] -.sym 16041 w_rx_data[5] -.sym 16050 w_rx_data[0] -.sym 16056 w_rx_data[7] -.sym 16060 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 16061 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 16068 w_rx_data[1] -.sym 16074 w_rx_data[6] -.sym 16077 o_led0$SB_IO_OUT -.sym 16078 io_ctrl_ins.mixer_en_state -.sym 16079 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 16080 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 16081 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O +.sym 15960 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 15961 i_button_SB_LUT4_I3_I2[1] +.sym 15963 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] +.sym 15964 io_ctrl_ins.debug_mode[1] +.sym 15965 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 15966 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 15967 io_ctrl_ins.rf_mode[0] +.sym 15968 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 15974 o_led0$SB_IO_OUT +.sym 15976 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2[2] +.sym 15979 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[1] +.sym 15993 w_rx_data[1] +.sym 15994 i_button_SB_LUT4_I3_O[1] +.sym 16005 i_button_SB_LUT4_I3_I2[0] +.sym 16007 o_led1$SB_IO_OUT +.sym 16013 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2_SB_LUT4_I2_O +.sym 16015 w_rx_data[2] +.sym 16017 i_button_SB_LUT4_I3_I2[2] +.sym 16018 i_button_SB_LUT4_I3_I2[1] +.sym 16019 w_rx_data[1] +.sym 16023 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 16029 io_ctrl_ins.debug_mode[1] +.sym 16030 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16032 io_ctrl_ins.rf_mode[0] +.sym 16036 w_rx_data[1] +.sym 16048 io_ctrl_ins.rf_mode[0] +.sym 16050 i_button_SB_LUT4_I3_I2[0] +.sym 16054 w_rx_data[2] +.sym 16059 i_button_SB_LUT4_I3_I2[2] +.sym 16060 i_button_SB_LUT4_I3_I2[0] +.sym 16061 io_ctrl_ins.debug_mode[1] +.sym 16062 o_led1$SB_IO_OUT +.sym 16077 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 16078 i_button_SB_LUT4_I3_I2[1] +.sym 16079 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16080 io_ctrl_ins.debug_mode[1] +.sym 16081 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2_SB_LUT4_I2_O .sym 16082 r_counter[0]_$glb_clk -.sym 16084 io_ctrl_ins.rf_pin_state[2] -.sym 16085 io_ctrl_ins.rf_pin_state[0] -.sym 16086 io_ctrl_ins.rf_pin_state[1] -.sym 16088 io_ctrl_ins.rf_pin_state[3] -.sym 16090 io_ctrl_ins.rf_pin_state[4] -.sym 16091 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 16100 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O -.sym 16108 o_tr_vc2$SB_IO_OUT -.sym 16110 o_rx_h_tx_l$SB_IO_OUT -.sym 16115 o_shdn_rx_lna$SB_IO_OUT -.sym 16126 io_ctrl_ins.debug_mode[0] -.sym 16127 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I2_O -.sym 16128 w_rx_data[2] -.sym 16130 w_rx_data[3] -.sym 16132 io_ctrl_ins.rf_mode[2] -.sym 16133 w_rx_data[4] -.sym 16134 io_ctrl_ins.debug_mode[0] -.sym 16138 w_rx_data[0] -.sym 16139 w_rx_data[1] -.sym 16141 io_ctrl_ins.debug_mode[1] -.sym 16144 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 16161 w_rx_data[1] -.sym 16167 w_rx_data[0] -.sym 16170 io_ctrl_ins.debug_mode[1] -.sym 16171 io_ctrl_ins.rf_mode[2] -.sym 16172 io_ctrl_ins.debug_mode[0] -.sym 16173 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 16176 w_rx_data[3] -.sym 16183 w_rx_data[2] -.sym 16188 io_ctrl_ins.debug_mode[0] -.sym 16191 io_ctrl_ins.debug_mode[1] -.sym 16202 w_rx_data[4] -.sym 16204 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I2_O +.sym 16085 io_ctrl_ins.led1_state_SB_LUT4_I3_O[0] +.sym 16087 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16088 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] +.sym 16089 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[0] +.sym 16090 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] +.sym 16101 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 16105 i_button_SB_LUT4_I3_O[1] +.sym 16106 w_ioc[0] +.sym 16114 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 16118 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 16119 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 16126 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 16127 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] +.sym 16129 o_shdn_rx_lna$SB_IO_OUT +.sym 16136 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] +.sym 16137 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] +.sym 16140 w_ioc[0] +.sym 16142 io_ctrl_ins.led1_state_SB_LUT4_I3_O[0] +.sym 16143 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] +.sym 16145 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 16148 io_ctrl_ins.o_pmod[1] +.sym 16153 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] +.sym 16154 i_button_SB_LUT4_I3_O[1] +.sym 16155 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] +.sym 16170 w_ioc[0] +.sym 16171 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 16172 o_shdn_rx_lna$SB_IO_OUT +.sym 16173 io_ctrl_ins.o_pmod[1] +.sym 16194 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] +.sym 16195 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] +.sym 16196 i_button_SB_LUT4_I3_O[1] +.sym 16197 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] +.sym 16200 io_ctrl_ins.led1_state_SB_LUT4_I3_O[0] +.sym 16201 i_button_SB_LUT4_I3_O[1] +.sym 16202 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] +.sym 16203 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] +.sym 16204 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] .sym 16205 r_counter[0]_$glb_clk -.sym 16206 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 16207 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 16212 o_rx_h_tx_l_b$SB_IO_OUT -.sym 16213 o_tr_vc2$SB_IO_OUT -.sym 16214 o_rx_h_tx_l$SB_IO_OUT -.sym 16221 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 16227 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16206 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 16224 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E .sym 16236 i_button$SB_IO_IN -.sym 16249 io_ctrl_ins.rf_pin_state[0] -.sym 16250 io_ctrl_ins.debug_mode_SB_LUT4_I0_O -.sym 16255 io_ctrl_ins.rf_mode[2] -.sym 16256 io_ctrl_ins.rf_pin_state[2] -.sym 16258 io_ctrl_ins.rf_pin_state[1] +.sym 16248 io_ctrl_ins.rf_pin_state[1] +.sym 16254 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] .sym 16259 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 16261 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 16287 io_ctrl_ins.rf_pin_state[1] -.sym 16288 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 16290 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 16311 io_ctrl_ins.rf_pin_state[2] -.sym 16312 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 16313 io_ctrl_ins.rf_mode[2] -.sym 16314 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 16323 io_ctrl_ins.rf_mode[2] -.sym 16324 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 16325 io_ctrl_ins.rf_pin_state[0] -.sym 16326 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 16327 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 16266 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 16274 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 16278 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 16299 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 16300 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 16301 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 16302 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 16305 io_ctrl_ins.rf_pin_state[1] +.sym 16306 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 16308 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 16327 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E .sym 16328 r_counter[0]_$glb_clk .sym 16453 i_config[3]$SB_IO_IN .sym 16455 i_button$SB_IO_IN +.sym 16497 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O +.sym 16517 w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O .sym 16523 i_smi_a3$SB_IO_IN -.sym 16553 i_ss_SB_LUT4_I3_O -.sym 16595 w_smi_data_output[5] -.sym 16606 i_smi_a3$SB_IO_IN -.sym 16618 w_smi_data_output[4] -.sym 16640 w_smi_data_output[5] -.sym 16642 i_smi_a3$SB_IO_IN -.sym 16659 i_smi_a3$SB_IO_IN -.sym 16670 w_smi_data_output[4] -.sym 16673 i_smi_a3$SB_IO_IN -.sym 16700 i_ss_SB_LUT4_I3_O -.sym 16736 io_smi_data[5]$SB_IO_OUT -.sym 16989 $io_pmod[7]$iobuf_i -.sym 16991 io_pmod[5]$SB_IO_IN -.sym 17007 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 17012 io_pmod[4]$SB_IO_IN -.sym 17017 io_pmod[5]$SB_IO_IN -.sym 17031 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O -.sym 17062 io_pmod[4]$SB_IO_IN -.sym 17064 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 17067 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 17068 io_pmod[5]$SB_IO_IN -.sym 17083 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O -.sym 17084 lvds_clock_buf -.sym 17085 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 17087 $io_pmod[2]$iobuf_i -.sym 17094 io_pmod[4]$SB_IO_IN -.sym 17102 i_ss$SB_IO_IN -.sym 17103 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 17109 $PACKER_VCC_NET -.sym 17115 $io_pmod[6]$iobuf_i -.sym 17128 rx_09_fifo.wr_addr_gray_rd[4] -.sym 17131 rx_09_fifo.wr_addr_gray[5] -.sym 17132 rx_09_fifo.wr_addr_gray[0] -.sym 17135 rx_09_fifo.wr_addr_gray_rd[5] -.sym 17136 rx_09_fifo.wr_addr_gray[2] -.sym 17137 rx_09_fifo.wr_addr_gray_rd_r[3] -.sym 17145 rx_09_fifo.wr_addr_gray_rd_r[4] -.sym 17148 rx_09_fifo.wr_addr_gray_rd_r[5] -.sym 17149 rx_09_fifo.wr_addr_gray_rd_r[2] -.sym 17154 rx_09_fifo.wr_addr_gray_rd[2] -.sym 17155 rx_09_fifo.wr_addr_gray_rd[0] -.sym 17160 rx_09_fifo.wr_addr_gray[5] -.sym 17166 rx_09_fifo.wr_addr_gray_rd_r[3] -.sym 17167 rx_09_fifo.wr_addr_gray_rd_r[4] -.sym 17168 rx_09_fifo.wr_addr_gray_rd_r[5] -.sym 17169 rx_09_fifo.wr_addr_gray_rd_r[2] -.sym 17173 rx_09_fifo.wr_addr_gray_rd[4] -.sym 17181 rx_09_fifo.wr_addr_gray[2] -.sym 17187 rx_09_fifo.wr_addr_gray[0] -.sym 17190 rx_09_fifo.wr_addr_gray_rd[5] -.sym 17196 rx_09_fifo.wr_addr_gray_rd[2] -.sym 17202 rx_09_fifo.wr_addr_gray_rd[0] +.sym 16553 rx_09_fifo.rd_addr_gray[3] +.sym 16554 rx_09_fifo.rd_addr_gray[6] +.sym 16555 rx_09_fifo.rd_addr_gray[5] +.sym 16556 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 16557 rx_09_fifo.rd_addr_gray[2] +.sym 16558 rx_09_fifo.rd_addr_gray[0] +.sym 16559 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 16560 rx_09_fifo.rd_addr_gray[4] +.sym 16583 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 16596 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 16597 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 16598 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 16601 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 16602 rx_09_fifo.wr_addr_gray_rd[3] +.sym 16604 rx_09_fifo.rd_addr[0] +.sym 16605 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[0] +.sym 16607 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] +.sym 16610 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 16611 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 16613 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 16614 rx_09_fifo.wr_addr_gray_rd[0] +.sym 16615 rx_09_fifo.wr_addr[7] +.sym 16616 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 16617 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] +.sym 16618 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 16619 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[3] +.sym 16625 rx_09_fifo.wr_addr_gray[0] +.sym 16628 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 16629 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 16630 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 16631 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 16634 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[0] +.sym 16635 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 16636 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[3] +.sym 16637 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 16643 rx_09_fifo.wr_addr_gray_rd[3] +.sym 16646 rx_09_fifo.wr_addr_gray[0] +.sym 16652 rx_09_fifo.wr_addr[7] +.sym 16659 rx_09_fifo.rd_addr[0] +.sym 16660 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 16665 rx_09_fifo.wr_addr_gray_rd[0] +.sym 16670 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] +.sym 16671 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 16672 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 16673 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] +.sym 16675 r_counter[0]_$glb_clk +.sym 16681 rx_09_fifo.rd_addr_gray_wr[6] +.sym 16682 rx_09_fifo.rd_addr_gray_wr[3] +.sym 16684 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 16685 rx_09_fifo.rd_addr_gray_wr[2] +.sym 16686 rx_09_fifo.rd_addr_gray_wr[4] +.sym 16688 rx_09_fifo.rd_addr_gray_wr[5] +.sym 16698 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 16704 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 16720 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 16724 rx_09_fifo.rd_addr_gray[0] +.sym 16726 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] +.sym 16736 w_rx_09_fifo_push +.sym 16741 w_smi_data_output[5] +.sym 16745 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 16749 io_smi_data[4]$SB_IO_OUT +.sym 16759 rx_09_fifo.wr_addr[3] +.sym 16762 rx_09_fifo.wr_addr[7] +.sym 16767 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 16773 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 16775 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 16777 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 16779 rx_09_fifo.wr_addr[4] +.sym 16780 rx_09_fifo.wr_addr[6] +.sym 16784 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 16785 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 16786 rx_09_fifo.wr_addr[5] +.sym 16790 $nextpnr_ICESTORM_LC_7$O +.sym 16792 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 16796 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] +.sym 16798 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 16802 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] +.sym 16804 rx_09_fifo.wr_addr[3] +.sym 16806 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] +.sym 16808 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] +.sym 16811 rx_09_fifo.wr_addr[4] +.sym 16812 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] +.sym 16814 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] +.sym 16816 rx_09_fifo.wr_addr[5] +.sym 16818 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] +.sym 16820 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] +.sym 16822 rx_09_fifo.wr_addr[6] +.sym 16824 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] +.sym 16829 rx_09_fifo.wr_addr[7] +.sym 16830 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] +.sym 16833 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 16834 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 16835 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 16836 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 16838 r_counter[0]_$glb_clk +.sym 16839 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 16841 rx_09_fifo.wr_addr_gray_rd[4] +.sym 16842 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] +.sym 16843 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] +.sym 16845 io_smi_data[5]$SB_IO_OUT +.sym 16846 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 16847 spi_if_ins.spi.SCKr[0] +.sym 16871 io_smi_data[4]$SB_IO_OUT +.sym 16875 $io_pmod[2]$iobuf_i +.sym 16881 rx_09_fifo.rd_addr_gray_wr[6] +.sym 16882 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 16883 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[2] +.sym 16885 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[4] +.sym 16886 rx_09_fifo.rd_addr_gray_wr[4] +.sym 16888 rx_09_fifo.rd_addr_gray_wr[5] +.sym 16890 rx_09_fifo.rd_addr_gray_wr[3] +.sym 16891 rx_09_fifo.rd_addr_gray[0] +.sym 16892 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[3] +.sym 16894 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[5] +.sym 16902 w_rx_09_fifo_push +.sym 16904 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_1_I0[2] +.sym 16909 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_1_I0[0] +.sym 16910 rx_09_fifo.rd_addr_gray_wr_r[3] +.sym 16914 rx_09_fifo.rd_addr_gray_wr[6] +.sym 16922 rx_09_fifo.rd_addr_gray_wr[5] +.sym 16926 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[5] +.sym 16927 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 16928 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[4] +.sym 16929 w_rx_09_fifo_push +.sym 16932 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_1_I0[2] +.sym 16933 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[3] +.sym 16934 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_1_I0[0] +.sym 16935 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[4] +.sym 16938 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[2] +.sym 16940 rx_09_fifo.rd_addr_gray_wr_r[3] +.sym 16945 rx_09_fifo.rd_addr_gray_wr[3] +.sym 16953 rx_09_fifo.rd_addr_gray[0] +.sym 16956 rx_09_fifo.rd_addr_gray_wr[4] +.sym 16961 lvds_clock_buf +.sym 16965 spi_if_ins.spi.r_tx_bit_count[2] +.sym 16966 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 16967 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 16968 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R +.sym 16969 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 16970 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 16975 i_sck$SB_IO_IN +.sym 16981 i_ss$SB_IO_IN +.sym 16984 rx_09_fifo.rd_addr_gray_wr[1] +.sym 16989 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17007 sys_ctrl_ins.reset_count[3] +.sym 17017 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17018 sys_ctrl_ins.reset_count[0] +.sym 17024 sys_ctrl_ins.reset_count[1] +.sym 17026 sys_ctrl_ins.reset_count[0] +.sym 17030 sys_ctrl_ins.reset_count[2] +.sym 17031 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 17033 sys_ctrl_ins.reset_cmd +.sym 17036 $nextpnr_ICESTORM_LC_16$O +.sym 17039 sys_ctrl_ins.reset_count[0] +.sym 17042 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 17044 sys_ctrl_ins.reset_count[1] +.sym 17048 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] +.sym 17049 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17050 sys_ctrl_ins.reset_count[2] +.sym 17052 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 17056 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17057 sys_ctrl_ins.reset_count[3] +.sym 17058 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] +.sym 17061 sys_ctrl_ins.reset_count[1] +.sym 17063 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17064 sys_ctrl_ins.reset_count[0] +.sym 17067 sys_ctrl_ins.reset_count[3] +.sym 17068 sys_ctrl_ins.reset_count[1] +.sym 17069 sys_ctrl_ins.reset_count[2] +.sym 17070 sys_ctrl_ins.reset_count[0] +.sym 17075 sys_ctrl_ins.reset_count[0] +.sym 17083 sys_ctrl_ins.reset_count_SB_DFFESR_Q_E +.sym 17084 r_counter[0]_$glb_clk +.sym 17085 sys_ctrl_ins.reset_cmd +.sym 17086 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] +.sym 17087 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 17088 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] +.sym 17089 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 17090 spi_if_ins.spi.r_tx_byte[5] +.sym 17091 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] +.sym 17092 spi_if_ins.spi.r_tx_byte[7] +.sym 17093 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[2] +.sym 17104 io_pmod[4]$SB_IO_IN +.sym 17109 i_ss$SB_IO_IN +.sym 17110 io_pmod[2]$SB_IO_IN +.sym 17111 i_ss$SB_IO_IN +.sym 17113 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 17129 spi_if_ins.r_tx_byte[3] +.sym 17130 spi_if_ins.r_tx_byte[1] +.sym 17132 spi_if_ins.r_tx_byte[0] +.sym 17133 sys_ctrl_ins.reset_cmd +.sym 17136 spi_if_ins.r_tx_byte[2] +.sym 17137 spi_if_ins.r_tx_byte[4] +.sym 17140 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17142 spi_if_ins.r_tx_byte[6] +.sym 17147 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 17154 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 17160 sys_ctrl_ins.reset_cmd +.sym 17162 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17173 spi_if_ins.r_tx_byte[1] +.sym 17178 spi_if_ins.r_tx_byte[4] +.sym 17187 spi_if_ins.r_tx_byte[0] +.sym 17191 spi_if_ins.r_tx_byte[2] +.sym 17198 spi_if_ins.r_tx_byte[6] +.sym 17203 spi_if_ins.r_tx_byte[3] +.sym 17206 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E .sym 17207 r_counter[0]_$glb_clk -.sym 17210 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] -.sym 17213 rx_09_fifo.wr_addr_gray_rd_r[6] -.sym 17214 rx_09_fifo.wr_addr_gray_rd_r[7] -.sym 17216 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[1] -.sym 17244 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E -.sym 17250 rx_09_fifo.wr_addr_gray[3] -.sym 17252 rx_09_fifo.wr_addr_gray[6] -.sym 17254 rx_09_fifo.wr_addr[7] -.sym 17256 rx_09_fifo.wr_addr_gray_rd[3] -.sym 17261 rx_09_fifo.wr_addr_gray[4] -.sym 17265 rx_09_fifo.wr_addr_gray[1] -.sym 17283 rx_09_fifo.wr_addr[7] -.sym 17290 rx_09_fifo.wr_addr_gray[4] -.sym 17297 rx_09_fifo.wr_addr_gray_rd[3] -.sym 17307 rx_09_fifo.wr_addr_gray[6] -.sym 17319 rx_09_fifo.wr_addr_gray[3] -.sym 17328 rx_09_fifo.wr_addr_gray[1] +.sym 17208 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 17211 spi_if_ins.spi.r_rx_bit_count[2] +.sym 17213 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 17214 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E +.sym 17233 o_miso_$_TBUF__Y_E +.sym 17240 i_mosi$SB_IO_IN +.sym 17257 i_ss$SB_IO_IN +.sym 17261 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O +.sym 17269 r_tx_data[2] +.sym 17271 r_tx_data[4] +.sym 17276 spi_if_ins.r_tx_data_valid +.sym 17277 r_tx_data[1] +.sym 17281 r_tx_data[0] +.sym 17291 r_tx_data[2] +.sym 17298 r_tx_data[4] +.sym 17304 r_tx_data[1] +.sym 17308 i_ss$SB_IO_IN +.sym 17314 r_tx_data[0] +.sym 17325 i_ss$SB_IO_IN +.sym 17327 spi_if_ins.r_tx_data_valid +.sym 17329 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O .sym 17330 r_counter[0]_$glb_clk -.sym 17363 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 17379 spi_if_ins.spi.r3_rx_done -.sym 17380 spi_if_ins.spi.r_rx_done -.sym 17396 spi_if_ins.spi.r2_rx_done -.sym 17406 spi_if_ins.spi.r3_rx_done -.sym 17407 spi_if_ins.spi.r2_rx_done -.sym 17445 spi_if_ins.spi.r2_rx_done -.sym 17450 spi_if_ins.spi.r_rx_done -.sym 17453 r_counter[0]_$glb_clk -.sym 17457 spi_if_ins.spi.r_rx_bit_count[2] -.sym 17458 spi_if_ins.spi.r_rx_bit_count[1] -.sym 17460 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E -.sym 17461 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 17462 spi_if_ins.spi.r_rx_bit_count[0] -.sym 17466 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O -.sym 17467 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 17474 i_sck$SB_IO_IN -.sym 17504 i_ss$SB_IO_IN -.sym 17509 i_ss$SB_IO_IN -.sym 17514 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E -.sym 17518 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 17537 i_ss$SB_IO_IN -.sym 17538 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 17574 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 17575 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E -.sym 17576 i_sck$SB_IO_IN_$glb_clk -.sym 17577 i_ss$SB_IO_IN -.sym 17578 spi_if_ins.spi.r_rx_byte[2] -.sym 17582 spi_if_ins.spi.r_rx_byte[5] -.sym 17583 spi_if_ins.spi.r_rx_byte[4] -.sym 17584 spi_if_ins.spi.r_rx_byte[0] -.sym 17585 spi_if_ins.spi.r_rx_byte[3] -.sym 17590 i_ss$SB_IO_IN -.sym 17597 i_ss$SB_IO_IN -.sym 17627 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 17629 i_mosi$SB_IO_IN -.sym 17630 i_ss_SB_LUT4_I3_O -.sym 17632 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 17637 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 17642 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 17644 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 17646 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 17652 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 17659 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 17665 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 17670 i_mosi$SB_IO_IN -.sym 17678 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 17684 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 17697 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 17698 i_ss_SB_LUT4_I3_O -.sym 17699 i_sck$SB_IO_IN_$glb_clk -.sym 17712 i_config[3]$SB_IO_IN -.sym 17722 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 17726 $PACKER_VCC_NET -.sym 17730 $PACKER_GND_NET -.sym 17732 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 17746 spi_if_ins.spi.r_rx_byte[5] -.sym 17747 spi_if_ins.spi.r_rx_byte[4] -.sym 17748 spi_if_ins.spi.r_rx_byte[0] -.sym 17750 spi_if_ins.spi.r_rx_byte[2] -.sym 17753 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O -.sym 17757 spi_if_ins.spi.r_rx_byte[3] -.sym 17775 spi_if_ins.spi.r_rx_byte[3] -.sym 17781 spi_if_ins.spi.r_rx_byte[2] -.sym 17802 spi_if_ins.spi.r_rx_byte[4] -.sym 17808 spi_if_ins.spi.r_rx_byte[0] -.sym 17811 spi_if_ins.spi.r_rx_byte[5] +.sym 17334 spi_if_ins.spi.r_rx_bit_count[0] +.sym 17335 o_miso_$_TBUF__Y_E +.sym 17337 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 17338 spi_if_ins.spi.r_rx_bit_count[1] +.sym 17350 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 17360 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 17363 $io_pmod[2]$iobuf_i +.sym 17376 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 17395 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 17400 o_miso_$_TBUF__Y_E +.sym 17404 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 17427 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 17436 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 17451 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 17452 o_miso_$_TBUF__Y_E +.sym 17453 i_sck$SB_IO_IN_$glb_clk +.sym 17455 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 17458 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 17461 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 17462 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 17467 i_ss$SB_IO_IN +.sym 17475 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 17478 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 17479 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 17480 i_mosi$SB_IO_IN +.sym 17481 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 17486 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 17487 w_load +.sym 17499 spi_if_ins.state_if[0] +.sym 17501 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 17503 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 17504 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 17505 i_ss$SB_IO_IN +.sym 17507 spi_if_ins.state_if[0] +.sym 17509 spi_if_ins.state_if[1] +.sym 17516 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 17520 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 17523 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 17532 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 17541 i_ss$SB_IO_IN +.sym 17544 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 17553 spi_if_ins.state_if[1] +.sym 17554 spi_if_ins.state_if[0] +.sym 17556 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 17571 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 17572 spi_if_ins.state_if[1] +.sym 17573 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 17574 spi_if_ins.state_if[0] +.sym 17575 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 17576 r_counter[0]_$glb_clk +.sym 17577 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 17578 spi_if_ins.spi.r_rx_byte[0] +.sym 17579 spi_if_ins.spi.r_rx_byte[3] +.sym 17580 spi_if_ins.spi.r_rx_byte[1] +.sym 17582 spi_if_ins.spi.r_rx_byte[2] +.sym 17584 spi_if_ins.spi.r_rx_byte[4] +.sym 17590 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 17593 spi_if_ins.state_if[0] +.sym 17598 o_miso_$_TBUF__Y_E +.sym 17606 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 17609 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 17610 sys_ctrl_ins.reset_cmd +.sym 17613 io_pmod[2]$SB_IO_IN +.sym 17619 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 17625 spi_if_ins.state_if[0] +.sym 17629 spi_if_ins.o_cs_SB_LUT4_I2_2_O[3] +.sym 17630 spi_if_ins.state_if[1] +.sym 17631 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] +.sym 17632 w_tx_data_sys[0] +.sym 17646 r_tx_data_SB_DFFE_Q_E +.sym 17652 spi_if_ins.state_if[1] +.sym 17654 spi_if_ins.state_if[0] +.sym 17682 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] +.sym 17683 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 17684 spi_if_ins.o_cs_SB_LUT4_I2_2_O[3] +.sym 17685 w_tx_data_sys[0] +.sym 17698 r_tx_data_SB_DFFE_Q_E +.sym 17699 i_glob_clock$SB_IO_IN_$glb_clk +.sym 17701 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 17702 i_button_SB_LUT4_I3_O[0] +.sym 17703 io_ctrl_ins.pmod_dir_state[4] +.sym 17704 io_ctrl_ins.pmod_dir_state[3] +.sym 17705 io_ctrl_ins.pmod_dir_state[5] +.sym 17708 io_ctrl_ins.pmod_dir_state[6] +.sym 17718 spi_if_ins.state_if[1] +.sym 17719 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 17728 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 17731 i_button_SB_LUT4_I3_I2[0] +.sym 17744 spi_if_ins.spi.r_rx_byte[1] +.sym 17746 spi_if_ins.spi.r_rx_byte[2] +.sym 17750 spi_if_ins.spi.r_rx_byte[0] +.sym 17751 spi_if_ins.spi.r_rx_byte[3] +.sym 17756 spi_if_ins.spi.r_rx_byte[4] +.sym 17769 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 17770 sys_ctrl_ins.reset_cmd +.sym 17775 spi_if_ins.spi.r_rx_byte[1] +.sym 17788 spi_if_ins.spi.r_rx_byte[0] +.sym 17795 spi_if_ins.spi.r_rx_byte[4] +.sym 17801 spi_if_ins.spi.r_rx_byte[3] +.sym 17814 sys_ctrl_ins.reset_cmd +.sym 17820 spi_if_ins.spi.r_rx_byte[2] .sym 17821 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O .sym 17822 r_counter[0]_$glb_clk -.sym 17826 w_rx_data[5] -.sym 17848 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 17850 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O -.sym 17852 w_rx_data[7] +.sym 17824 w_rx_data[7] +.sym 17825 w_rx_data[5] +.sym 17826 w_rx_data[1] +.sym 17827 w_rx_data[6] +.sym 17828 w_rx_data[4] +.sym 17829 w_rx_data[2] +.sym 17830 w_rx_data[3] +.sym 17831 w_rx_data[0] +.sym 17836 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 17837 w_tx_data_io[7] +.sym 17842 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 17843 i_button_SB_LUT4_I3_O[1] +.sym 17845 spi_if_ins.state_if[0] +.sym 17848 i_config[3]$SB_IO_IN +.sym 17849 w_rx_data[4] +.sym 17850 io_ctrl_ins.o_pmod[4] +.sym 17851 w_rx_data[2] +.sym 17852 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 17853 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R .sym 17854 i_config[2]$SB_IO_IN -.sym 17869 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] -.sym 17870 io_ctrl_ins.pmod_dir_state[6] -.sym 17871 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 17875 i_button_SB_LUT4_I3_O[1] -.sym 17876 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E -.sym 17883 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[3] -.sym 17885 i_config[3]$SB_IO_IN -.sym 17886 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[0] -.sym 17887 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[1] -.sym 17890 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 17892 i_button_SB_LUT4_I3_O[0] -.sym 17895 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[2] -.sym 17916 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[2] -.sym 17917 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 17918 io_ctrl_ins.pmod_dir_state[6] -.sym 17919 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[3] -.sym 17928 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[0] -.sym 17929 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[1] -.sym 17934 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 17936 i_config[3]$SB_IO_IN -.sym 17940 i_button_SB_LUT4_I3_O[1] -.sym 17943 i_button_SB_LUT4_I3_O[0] -.sym 17944 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 17855 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O +.sym 17856 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 17859 spi_if_ins.w_rx_data[5] +.sym 17867 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 17874 w_ioc[1] +.sym 17875 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 17877 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 17879 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 17881 w_rx_data[7] +.sym 17884 w_rx_data[6] +.sym 17885 w_rx_data[4] +.sym 17887 i_button_SB_LUT4_I3_O[1] +.sym 17890 w_rx_data[5] +.sym 17895 w_rx_data[3] +.sym 17896 w_rx_data[0] +.sym 17899 w_rx_data[6] +.sym 17907 w_rx_data[7] +.sym 17912 w_rx_data[5] +.sym 17917 w_rx_data[0] +.sym 17922 w_ioc[1] +.sym 17923 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 17925 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 17929 w_rx_data[4] +.sym 17937 w_rx_data[3] +.sym 17940 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 17941 i_button_SB_LUT4_I3_O[1] +.sym 17944 io_ctrl_ins.pmod_state_SB_DFFE_Q_E .sym 17945 r_counter[0]_$glb_clk -.sym 17946 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] -.sym 17947 io_ctrl_ins.pmod_dir_state[1] -.sym 17954 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 17970 w_rx_data[5] -.sym 17971 w_rx_data[5] -.sym 17972 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 17974 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_O -.sym 17982 o_tr_vc1_b$SB_IO_OUT -.sym 17988 o_rx_h_tx_l$SB_IO_OUT -.sym 17989 w_rx_data[6] -.sym 17990 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_O -.sym 17998 w_rx_data[5] -.sym 18000 io_ctrl_ins.pmod_dir_state[4] -.sym 18002 io_ctrl_ins.pmod_dir_state[7] -.sym 18003 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 18004 w_rx_data[2] -.sym 18006 o_tr_vc1_b$SB_IO_OUT -.sym 18008 w_rx_data[3] -.sym 18012 w_rx_data[7] -.sym 18014 w_rx_data[4] -.sym 18018 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 18022 w_rx_data[5] -.sym 18030 w_rx_data[3] -.sym 18033 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 18034 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 18035 o_rx_h_tx_l$SB_IO_OUT -.sym 18036 io_ctrl_ins.pmod_dir_state[7] -.sym 18042 w_rx_data[2] -.sym 18048 w_rx_data[4] -.sym 18053 w_rx_data[6] -.sym 18060 w_rx_data[7] -.sym 18063 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 18064 o_tr_vc1_b$SB_IO_OUT -.sym 18065 io_ctrl_ins.pmod_dir_state[4] -.sym 18066 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 18067 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_O +.sym 17947 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[2] +.sym 17948 io_ctrl_ins.rf_pin_state[7] +.sym 17949 io_ctrl_ins.rf_pin_state[3] +.sym 17950 io_ctrl_ins.rf_pin_state[6] +.sym 17951 io_ctrl_ins.rf_pin_state[5] +.sym 17952 io_ctrl_ins.rf_pin_state[0] +.sym 17953 io_ctrl_ins.rf_pin_state[4] +.sym 17954 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[2] +.sym 17960 i_button_SB_LUT4_I3_O[1] +.sym 17961 w_rx_24_fifo_empty +.sym 17962 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 17963 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 17964 spi_if_ins.w_rx_data[6] +.sym 17966 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 17969 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[2] +.sym 17970 w_rx_data[1] +.sym 17971 w_rx_data[1] +.sym 17972 io_ctrl_ins.rf_pin_state[5] +.sym 17973 o_led1$SB_IO_OUT +.sym 17974 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] +.sym 17977 w_rx_data[2] +.sym 17979 w_rx_data[3] +.sym 17980 io_ctrl_ins.o_pmod[3] +.sym 17981 w_rx_data[0] +.sym 17982 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 17990 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] +.sym 17992 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[2] +.sym 17994 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2[2] +.sym 17995 i_button_SB_LUT4_I3_I2[2] +.sym 17998 w_rx_data[1] +.sym 17999 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 18000 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 18003 w_rx_data[0] +.sym 18006 io_ctrl_ins.led1_state_SB_DFFESR_Q_E +.sym 18009 w_ioc[0] +.sym 18010 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 18013 w_ioc[1] +.sym 18015 i_button_SB_LUT4_I3_I2[0] +.sym 18016 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 18021 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[2] +.sym 18022 w_ioc[0] +.sym 18023 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 18028 i_button_SB_LUT4_I3_I2[0] +.sym 18029 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 18030 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] +.sym 18033 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] +.sym 18035 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 18036 i_button_SB_LUT4_I3_I2[2] +.sym 18040 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 18041 w_ioc[0] +.sym 18042 w_ioc[1] +.sym 18047 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2[2] +.sym 18048 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 18053 w_rx_data[1] +.sym 18057 w_rx_data[0] +.sym 18064 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 18065 w_ioc[0] +.sym 18066 w_ioc[1] +.sym 18067 io_ctrl_ins.led1_state_SB_DFFESR_Q_E .sym 18068 r_counter[0]_$glb_clk -.sym 18077 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 18082 w_rx_data[0] -.sym 18089 $PACKER_VCC_NET -.sym 18092 o_rx_h_tx_l$SB_IO_OUT -.sym 18100 w_rx_data[1] -.sym 18102 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 18104 o_rx_h_tx_l_b$SB_IO_OUT -.sym 18113 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 18116 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 18117 io_ctrl_ins.o_pmod[6] -.sym 18120 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 18122 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O -.sym 18126 i_config[2]$SB_IO_IN -.sym 18127 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 18128 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 18130 o_rx_h_tx_l_b$SB_IO_OUT -.sym 18132 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 18134 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 18137 w_ioc[0] -.sym 18138 o_tr_vc1$SB_IO_OUT -.sym 18139 w_rx_data[6] -.sym 18141 w_rx_data[7] -.sym 18144 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 18147 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 18150 w_rx_data[7] -.sym 18157 w_rx_data[6] -.sym 18162 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 18163 i_config[2]$SB_IO_IN -.sym 18164 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 18165 o_tr_vc1$SB_IO_OUT -.sym 18174 w_ioc[0] -.sym 18175 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 18176 o_rx_h_tx_l_b$SB_IO_OUT -.sym 18177 io_ctrl_ins.o_pmod[6] -.sym 18182 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 18183 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 18187 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 18188 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 18190 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O +.sym 18069 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 18070 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 18071 i_button_SB_LUT4_I3_O[2] +.sym 18072 w_tx_data_io[3] +.sym 18073 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] +.sym 18074 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] +.sym 18075 w_tx_data_io[4] +.sym 18076 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 18077 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] +.sym 18078 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2_SB_LUT4_I2_O +.sym 18084 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 18090 i_button_SB_LUT4_I3_I2[0] +.sym 18094 io_ctrl_ins.rf_pin_state[3] +.sym 18097 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] +.sym 18100 o_tr_vc1$SB_IO_OUT +.sym 18111 i_button_SB_LUT4_I3_I2[1] +.sym 18114 i_button_SB_LUT4_I3_I2[0] +.sym 18119 w_rx_data[4] +.sym 18121 w_rx_data[2] +.sym 18125 o_led0$SB_IO_OUT +.sym 18126 i_button_SB_LUT4_I3_I2[2] +.sym 18130 io_ctrl_ins.debug_mode[1] +.sym 18131 w_rx_data[1] +.sym 18135 i_button_SB_LUT4_I3_I2[1] +.sym 18138 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O +.sym 18139 w_rx_data[3] +.sym 18141 w_rx_data[0] +.sym 18145 w_rx_data[0] +.sym 18156 i_button_SB_LUT4_I3_I2[1] +.sym 18157 i_button_SB_LUT4_I3_I2[0] +.sym 18158 i_button_SB_LUT4_I3_I2[2] +.sym 18159 o_led0$SB_IO_OUT +.sym 18165 w_rx_data[1] +.sym 18171 w_rx_data[4] +.sym 18176 w_rx_data[3] +.sym 18181 w_rx_data[2] +.sym 18186 io_ctrl_ins.debug_mode[1] +.sym 18188 i_button_SB_LUT4_I3_I2[1] +.sym 18190 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O .sym 18191 r_counter[0]_$glb_clk -.sym 18196 o_tr_vc1$SB_IO_OUT -.sym 18198 o_tr_vc1_b$SB_IO_OUT -.sym 18214 o_led0$SB_IO_OUT -.sym 18218 io_ctrl_ins.rf_pin_state[6] -.sym 18237 w_rx_data[0] -.sym 18238 w_rx_data[3] -.sym 18242 w_rx_data[4] -.sym 18243 w_rx_data[2] -.sym 18246 io_ctrl_ins.rf_mode[0] -.sym 18248 w_rx_data[1] -.sym 18249 io_ctrl_ins.rf_mode[2] -.sym 18261 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O -.sym 18269 w_rx_data[2] -.sym 18275 w_rx_data[0] -.sym 18280 w_rx_data[1] -.sym 18291 w_rx_data[3] -.sym 18304 w_rx_data[4] -.sym 18310 io_ctrl_ins.rf_mode[2] -.sym 18311 io_ctrl_ins.rf_mode[0] -.sym 18313 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O +.sym 18192 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 18194 o_tr_vc1$SB_IO_OUT +.sym 18195 o_tr_vc1_b$SB_IO_OUT +.sym 18196 o_tr_vc2$SB_IO_OUT +.sym 18197 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] +.sym 18198 io_ctrl_ins.mixer_en_state +.sym 18206 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 18211 i_button$SB_IO_IN +.sym 18212 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 18216 w_ioc[0] +.sym 18238 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 18242 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 18243 w_rx_data[1] +.sym 18245 io_ctrl_ins.o_pmod[0] +.sym 18248 w_ioc[0] +.sym 18249 w_rx_data[2] +.sym 18252 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 18253 w_rx_data[0] +.sym 18263 io_ctrl_ins.mixer_en_state +.sym 18276 w_rx_data[1] +.sym 18286 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 18292 w_rx_data[0] +.sym 18298 w_rx_data[2] +.sym 18303 io_ctrl_ins.mixer_en_state +.sym 18304 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 18305 io_ctrl_ins.o_pmod[0] +.sym 18306 w_ioc[0] +.sym 18313 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E .sym 18314 r_counter[0]_$glb_clk -.sym 18329 $PACKER_VCC_NET -.sym 18335 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] +.sym 18340 i_config[3]$SB_IO_IN .sym 18345 i_config[2]$SB_IO_IN -.sym 18346 o_rx_h_tx_l$SB_IO_OUT -.sym 18367 io_ctrl_ins.rf_pin_state[7] -.sym 18369 io_ctrl_ins.rf_pin_state[3] -.sym 18373 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 18375 io_ctrl_ins.debug_mode_SB_LUT4_I0_O -.sym 18376 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 18377 io_ctrl_ins.rf_mode[0] -.sym 18378 io_ctrl_ins.rf_pin_state[6] -.sym 18380 io_ctrl_ins.rf_mode[2] -.sym 18384 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 18386 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 18390 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 18391 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 18392 io_ctrl_ins.rf_mode[0] -.sym 18393 io_ctrl_ins.rf_mode[2] -.sym 18421 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 18422 io_ctrl_ins.rf_pin_state[6] -.sym 18423 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 18426 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 18427 io_ctrl_ins.rf_mode[2] -.sym 18428 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 18429 io_ctrl_ins.rf_pin_state[3] -.sym 18432 io_ctrl_ins.rf_pin_state[7] -.sym 18433 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 18435 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 18436 io_ctrl_ins.debug_mode_SB_LUT4_I0_O -.sym 18437 r_counter[0]_$glb_clk -.sym 18453 o_rx_h_tx_l_b$SB_IO_OUT +.sym 18470 o_led1$SB_IO_OUT .sym 18562 i_config[1]$SB_IO_IN .sym 18564 i_config[2]$SB_IO_IN .sym 18636 io_smi_data[4]$SB_IO_OUT .sym 18641 i_smi_a3$SB_IO_IN -.sym 18658 i_smi_a3$SB_IO_IN -.sym 18660 io_smi_data[4]$SB_IO_OUT +.sym 18645 i_smi_a3$SB_IO_IN +.sym 18651 io_smi_data[4]$SB_IO_OUT .sym 18693 $io_pmod[3]$iobuf_i -.sym 18695 io_smi_data[5]$SB_IO_OUT -.sym 18724 i_ss$SB_IO_IN -.sym 18737 i_ss$SB_IO_IN +.sym 18695 i_mosi$SB_IO_IN +.sym 18696 o_miso_$_TBUF__Y_E +.sym 18707 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 18709 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 18712 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 18716 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 18717 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] +.sym 18721 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 18723 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 18734 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 18736 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 18743 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 18745 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 18750 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 18755 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 18763 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] +.sym 18767 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 18772 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 18781 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 18782 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 18783 r_counter[0]_$glb_clk +.sym 18784 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr .sym 18785 $io_pmod[3]$iobuf_i -.sym 18814 i_ss_SB_LUT4_I3_O -.sym 18818 i_ss$SB_IO_IN -.sym 19218 io_pmod[2]$SB_IO_IN -.sym 19228 $io_pmod[2]$iobuf_i -.sym 19236 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] -.sym 19242 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[0] -.sym 19244 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[3] -.sym 19250 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[1] -.sym 19274 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[0] -.sym 19275 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[1] -.sym 19276 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] -.sym 19277 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[3] +.sym 18799 io_ctrl_ins.pmod_dir_state[3] +.sym 18802 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 18809 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] +.sym 18815 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 18818 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 18842 io_smi_data[5]$SB_IO_OUT +.sym 18843 rx_09_fifo.rd_addr_gray_wr[2] +.sym 18846 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 18853 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 18866 rx_09_fifo.rd_addr_gray[3] +.sym 18870 rx_09_fifo.rd_addr_gray[2] +.sym 18873 rx_09_fifo.rd_addr_gray[4] +.sym 18875 rx_09_fifo.rd_addr_gray[6] +.sym 18876 rx_09_fifo.rd_addr_gray[5] +.sym 18880 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 18885 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[2] +.sym 18891 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[2] +.sym 18901 rx_09_fifo.rd_addr_gray[6] +.sym 18906 rx_09_fifo.rd_addr_gray[3] +.sym 18917 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 18919 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[2] +.sym 18920 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[2] +.sym 18925 rx_09_fifo.rd_addr_gray[2] +.sym 18931 rx_09_fifo.rd_addr_gray[4] +.sym 18943 rx_09_fifo.rd_addr_gray[5] +.sym 18946 lvds_clock_buf +.sym 18963 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 18966 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 18972 $PACKER_VCC_NET +.sym 18975 $PACKER_VCC_NET +.sym 18990 w_smi_data_output[5] +.sym 18998 rx_09_fifo.wr_addr_gray_rd[4] +.sym 19002 i_sck$SB_IO_IN +.sym 19011 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 19013 rx_09_fifo.wr_addr_gray[4] +.sym 19014 i_smi_a3$SB_IO_IN +.sym 19020 spi_if_ins.spi.SCKr[0] +.sym 19028 rx_09_fifo.wr_addr_gray[4] +.sym 19034 rx_09_fifo.wr_addr_gray_rd[4] +.sym 19041 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 19053 i_smi_a3$SB_IO_IN +.sym 19054 w_smi_data_output[5] +.sym 19060 spi_if_ins.spi.SCKr[0] +.sym 19067 i_sck$SB_IO_IN +.sym 19069 r_counter[0]_$glb_clk +.sym 19083 i_ss$SB_IO_IN +.sym 19114 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 19118 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 19123 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] +.sym 19125 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R +.sym 19126 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 19132 $PACKER_VCC_NET +.sym 19135 $PACKER_VCC_NET +.sym 19138 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19139 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 19143 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 19144 $nextpnr_ICESTORM_LC_15$O +.sym 19147 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 19150 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 19152 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 19153 $PACKER_VCC_NET +.sym 19158 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19159 $PACKER_VCC_NET +.sym 19160 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 19164 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 19165 $PACKER_VCC_NET +.sym 19166 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 19169 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 19170 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 19171 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] +.sym 19178 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 19183 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 19187 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 19188 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 19189 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19190 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] +.sym 19191 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 19192 r_counter[0]_$glb_clk +.sym 19193 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R +.sym 19206 i_mosi$SB_IO_IN +.sym 19210 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 19214 o_miso_$_TBUF__Y_E +.sym 19216 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 19237 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19238 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 19239 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 19240 spi_if_ins.spi.r_tx_byte[2] +.sym 19241 spi_if_ins.spi.r_tx_byte[6] +.sym 19242 spi_if_ins.spi.r_tx_byte[3] +.sym 19245 spi_if_ins.spi.r_tx_byte[1] +.sym 19246 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[1] +.sym 19247 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[0] +.sym 19249 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 19250 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 19251 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] +.sym 19253 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] +.sym 19255 spi_if_ins.spi.r_tx_byte[5] +.sym 19257 spi_if_ins.spi.r_tx_byte[7] +.sym 19258 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[2] +.sym 19260 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 19262 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E +.sym 19263 spi_if_ins.r_tx_byte[5] +.sym 19265 spi_if_ins.r_tx_byte[7] +.sym 19266 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 19268 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19269 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 19270 spi_if_ins.spi.r_tx_byte[6] +.sym 19271 spi_if_ins.spi.r_tx_byte[2] +.sym 19274 spi_if_ins.spi.r_tx_byte[1] +.sym 19275 spi_if_ins.spi.r_tx_byte[5] +.sym 19276 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 19277 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19280 spi_if_ins.spi.r_tx_byte[7] +.sym 19281 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 19282 spi_if_ins.spi.r_tx_bit_count[2] +.sym 19283 spi_if_ins.spi.r_tx_byte[3] +.sym 19287 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 19288 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 19289 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 19293 spi_if_ins.r_tx_byte[5] +.sym 19298 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 19299 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] +.sym 19300 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[2] +.sym 19301 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] +.sym 19307 spi_if_ins.r_tx_byte[7] +.sym 19310 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 19311 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 19312 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[0] +.sym 19313 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[1] +.sym 19314 spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E .sym 19315 r_counter[0]_$glb_clk -.sym 19316 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 19358 rx_09_fifo.wr_addr_gray_rd[7] -.sym 19362 rx_09_fifo.wr_addr_gray_rd[6] -.sym 19365 rx_09_fifo.wr_addr_gray_rd[1] -.sym 19370 rx_09_fifo.wr_addr_gray_rd_r[6] -.sym 19371 rx_09_fifo.wr_addr_gray_rd_r[7] -.sym 19378 io_pmod[2]$SB_IO_IN -.sym 19398 rx_09_fifo.wr_addr_gray_rd_r[7] -.sym 19399 rx_09_fifo.wr_addr_gray_rd_r[6] -.sym 19400 io_pmod[2]$SB_IO_IN -.sym 19415 rx_09_fifo.wr_addr_gray_rd[6] -.sym 19422 rx_09_fifo.wr_addr_gray_rd[7] -.sym 19435 rx_09_fifo.wr_addr_gray_rd[1] -.sym 19438 r_counter[0]_$glb_clk -.sym 19459 io_pmod[5]$SB_IO_IN -.sym 19463 $io_pmod[7]$iobuf_i -.sym 19581 $io_pmod[6]$iobuf_i -.sym 19607 spi_if_ins.spi.r_rx_bit_count[1] -.sym 19617 i_ss$SB_IO_IN -.sym 19619 spi_if_ins.spi.r_rx_bit_count[0] -.sym 19622 spi_if_ins.spi.r_rx_bit_count[2] -.sym 19636 $nextpnr_ICESTORM_LC_10$O -.sym 19639 spi_if_ins.spi.r_rx_bit_count[0] -.sym 19642 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] -.sym 19645 spi_if_ins.spi.r_rx_bit_count[1] -.sym 19649 spi_if_ins.spi.r_rx_bit_count[2] -.sym 19652 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] -.sym 19655 spi_if_ins.spi.r_rx_bit_count[1] -.sym 19658 spi_if_ins.spi.r_rx_bit_count[0] -.sym 19667 spi_if_ins.spi.r_rx_bit_count[1] -.sym 19668 spi_if_ins.spi.r_rx_bit_count[0] -.sym 19670 spi_if_ins.spi.r_rx_bit_count[2] -.sym 19673 spi_if_ins.spi.r_rx_bit_count[2] -.sym 19674 spi_if_ins.spi.r_rx_bit_count[1] -.sym 19675 spi_if_ins.spi.r_rx_bit_count[0] -.sym 19680 spi_if_ins.spi.r_rx_bit_count[0] +.sym 19316 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 19331 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] +.sym 19365 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 19368 spi_if_ins.spi.r_rx_bit_count[0] +.sym 19371 i_ss$SB_IO_IN +.sym 19372 spi_if_ins.spi.r_rx_bit_count[1] +.sym 19376 spi_if_ins.spi.r_rx_bit_count[2] +.sym 19390 $nextpnr_ICESTORM_LC_14$O +.sym 19392 spi_if_ins.spi.r_rx_bit_count[0] +.sym 19396 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] +.sym 19399 spi_if_ins.spi.r_rx_bit_count[1] +.sym 19403 spi_if_ins.spi.r_rx_bit_count[2] +.sym 19406 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] +.sym 19418 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 19421 spi_if_ins.spi.r_rx_bit_count[0] +.sym 19422 spi_if_ins.spi.r_rx_bit_count[2] +.sym 19423 spi_if_ins.spi.r_rx_bit_count[1] +.sym 19438 i_sck$SB_IO_IN_$glb_clk +.sym 19439 i_ss$SB_IO_IN +.sym 19452 i_mosi$SB_IO_IN +.sym 19454 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E +.sym 19471 $PACKER_VCC_NET +.sym 19475 $PACKER_VCC_NET +.sym 19483 spi_if_ins.spi.r_rx_bit_count[0] +.sym 19487 spi_if_ins.spi.r_rx_bit_count[1] +.sym 19491 spi_if_ins.spi.r_rx_bit_count[2] +.sym 19494 i_ss$SB_IO_IN +.sym 19501 o_miso_$_TBUF__Y_E +.sym 19526 spi_if_ins.spi.r_rx_bit_count[0] +.sym 19535 o_miso_$_TBUF__Y_E +.sym 19544 spi_if_ins.spi.r_rx_bit_count[2] +.sym 19545 spi_if_ins.spi.r_rx_bit_count[1] +.sym 19547 spi_if_ins.spi.r_rx_bit_count[0] +.sym 19550 spi_if_ins.spi.r_rx_bit_count[0] +.sym 19552 spi_if_ins.spi.r_rx_bit_count[1] +.sym 19561 i_sck$SB_IO_IN_$glb_clk +.sym 19562 i_ss$SB_IO_IN +.sym 19575 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 19582 i_smi_soe_se$rename$0 +.sym 19615 o_miso_$_TBUF__Y_E +.sym 19618 i_mosi$SB_IO_IN +.sym 19619 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 19620 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 19631 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 19637 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 19655 i_mosi$SB_IO_IN +.sym 19673 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 19680 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 19683 o_miso_$_TBUF__Y_E .sym 19684 i_sck$SB_IO_IN_$glb_clk -.sym 19685 i_ss$SB_IO_IN -.sym 19716 $io_pmod[2]$iobuf_i -.sym 19729 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O -.sym 19732 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 19735 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 19737 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 19698 i_button_SB_LUT4_I3_I2[0] +.sym 19713 io_ctrl_ins.pmod_dir_state[6] +.sym 19730 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 19732 i_mosi$SB_IO_IN +.sym 19735 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 19741 spi_if_ins.spi.r_temp_rx_byte[3] .sym 19742 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 19758 i_mosi$SB_IO_IN -.sym 19762 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 19785 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 19790 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 19798 i_mosi$SB_IO_IN -.sym 19804 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 19745 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O +.sym 19763 i_mosi$SB_IO_IN +.sym 19768 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 19773 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 19786 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 19797 spi_if_ins.spi.r_temp_rx_byte[3] .sym 19806 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O .sym 19807 i_sck$SB_IO_IN_$glb_clk -.sym 19824 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 19961 io_ctrl_ins.pmod_dir_state[1] -.sym 19967 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O +.sym 19820 i_config[1]$SB_IO_IN +.sym 19828 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 19829 $io_pmod[2]$iobuf_i +.sym 19840 w_tx_data_io[4] +.sym 19842 w_tx_data_io[3] +.sym 19850 w_rx_data[7] +.sym 19851 w_rx_data[5] +.sym 19854 w_rx_data[4] +.sym 19856 w_rx_data[3] +.sym 19859 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 19860 spi_if_ins.state_if[0] +.sym 19861 w_rx_data[6] +.sym 19868 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 19874 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 19877 spi_if_ins.state_if[1] +.sym 19883 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 19884 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 19885 spi_if_ins.state_if[1] +.sym 19886 spi_if_ins.state_if[0] +.sym 19890 w_rx_data[7] +.sym 19897 w_rx_data[4] +.sym 19904 w_rx_data[3] +.sym 19908 w_rx_data[5] +.sym 19926 w_rx_data[6] +.sym 19929 io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E +.sym 19930 r_counter[0]_$glb_clk +.sym 19945 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 19948 i_button_SB_LUT4_I3_O[0] +.sym 19955 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 19957 io_ctrl_ins.pmod_dir_state[4] +.sym 19958 w_rx_data[2] +.sym 19967 $PACKER_VCC_NET +.sym 19979 spi_if_ins.w_rx_data[6] .sym 19984 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 19995 spi_if_ins.w_rx_data[5] -.sym 20018 spi_if_ins.w_rx_data[5] +.sym 19987 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 19991 spi_if_ins.w_rx_data[5] +.sym 19992 spi_if_ins.w_rx_data[4] +.sym 19993 spi_if_ins.w_rx_data[3] +.sym 19997 spi_if_ins.w_rx_data[1] +.sym 19999 spi_if_ins.w_rx_data[0] +.sym 20004 spi_if_ins.w_rx_data[2] +.sym 20009 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 20013 spi_if_ins.w_rx_data[5] +.sym 20021 spi_if_ins.w_rx_data[1] +.sym 20025 spi_if_ins.w_rx_data[6] +.sym 20031 spi_if_ins.w_rx_data[4] +.sym 20037 spi_if_ins.w_rx_data[2] +.sym 20044 spi_if_ins.w_rx_data[3] +.sym 20050 spi_if_ins.w_rx_data[0] .sym 20052 spi_if_ins.o_data_in_SB_DFFE_Q_E .sym 20053 r_counter[0]_$glb_clk -.sym 20081 io_ctrl_ins.debug_mode_SB_LUT4_I0_O -.sym 20109 w_rx_data[0] -.sym 20114 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_O -.sym 20122 w_rx_data[1] -.sym 20130 w_rx_data[1] -.sym 20172 w_rx_data[0] -.sym 20175 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_O +.sym 20070 spi_if_ins.o_data_in_SB_DFFE_Q_E +.sym 20074 io_pmod[2]$SB_IO_IN +.sym 20076 o_tr_vc1$SB_IO_OUT +.sym 20079 i_config[0]$SB_IO_IN +.sym 20081 io_ctrl_ins.rf_pin_state[0] +.sym 20083 io_ctrl_ins.rf_pin_state[4] +.sym 20085 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[2] +.sym 20086 i_button_SB_LUT4_I3_O[2] +.sym 20087 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[2] +.sym 20096 w_rx_data[7] +.sym 20099 w_rx_data[6] +.sym 20100 i_config[3]$SB_IO_IN +.sym 20103 i_button_SB_LUT4_I3_I2[2] +.sym 20105 w_rx_data[5] +.sym 20106 i_config[2]$SB_IO_IN +.sym 20107 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2_SB_LUT4_I2_O +.sym 20108 w_rx_data[4] +.sym 20110 w_rx_data[3] +.sym 20111 w_rx_data[0] +.sym 20131 i_config[3]$SB_IO_IN +.sym 20132 i_button_SB_LUT4_I3_I2[2] +.sym 20136 w_rx_data[7] +.sym 20144 w_rx_data[3] +.sym 20147 w_rx_data[6] +.sym 20153 w_rx_data[5] +.sym 20160 w_rx_data[0] +.sym 20166 w_rx_data[4] +.sym 20171 i_config[2]$SB_IO_IN +.sym 20173 i_button_SB_LUT4_I3_I2[2] +.sym 20175 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2_SB_LUT4_I2_O .sym 20176 r_counter[0]_$glb_clk -.sym 20190 $PACKER_VCC_NET -.sym 20196 $PACKER_GND_NET -.sym 20223 w_rx_data[5] -.sym 20237 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O -.sym 20297 w_rx_data[5] -.sym 20298 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O +.sym 20194 io_ctrl_ins.rf_pin_state[7] +.sym 20198 io_ctrl_ins.rf_pin_state[6] +.sym 20210 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 20211 o_tr_vc1_b$SB_IO_OUT +.sym 20220 i_button$SB_IO_IN +.sym 20221 o_tr_vc1_b$SB_IO_OUT +.sym 20222 o_tr_vc2$SB_IO_OUT +.sym 20223 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 20224 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 20225 io_ctrl_ins.rf_mode[0] +.sym 20226 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 20227 io_ctrl_ins.pmod_dir_state[4] +.sym 20228 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20230 io_ctrl_ins.o_pmod[4] +.sym 20231 w_ioc[0] +.sym 20232 io_ctrl_ins.o_pmod[3] +.sym 20234 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] +.sym 20235 w_ioc[0] +.sym 20236 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 20237 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] +.sym 20238 i_button_SB_LUT4_I3_I2[0] +.sym 20239 i_config[0]$SB_IO_IN +.sym 20241 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 20242 i_button_SB_LUT4_I3_O[1] +.sym 20243 i_config[1]$SB_IO_IN +.sym 20244 io_ctrl_ins.pmod_dir_state[3] +.sym 20246 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] +.sym 20247 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] +.sym 20250 i_button_SB_LUT4_I3_I2[2] +.sym 20252 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20253 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 20254 io_ctrl_ins.rf_mode[0] +.sym 20255 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 20258 i_button$SB_IO_IN +.sym 20259 i_button_SB_LUT4_I3_I2[2] +.sym 20264 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] +.sym 20265 i_button_SB_LUT4_I3_O[1] +.sym 20266 io_ctrl_ins.pmod_dir_state[3] +.sym 20267 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] +.sym 20270 i_config[1]$SB_IO_IN +.sym 20271 i_button_SB_LUT4_I3_I2[2] +.sym 20272 i_button_SB_LUT4_I3_I2[0] +.sym 20273 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20276 w_ioc[0] +.sym 20277 o_tr_vc2$SB_IO_OUT +.sym 20278 io_ctrl_ins.o_pmod[3] +.sym 20279 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 20282 io_ctrl_ins.pmod_dir_state[4] +.sym 20283 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] +.sym 20284 i_button_SB_LUT4_I3_O[1] +.sym 20285 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 20288 io_ctrl_ins.o_pmod[4] +.sym 20289 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 20290 o_tr_vc1_b$SB_IO_OUT +.sym 20291 w_ioc[0] +.sym 20294 i_button_SB_LUT4_I3_I2[0] +.sym 20295 i_button_SB_LUT4_I3_I2[2] +.sym 20296 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 20297 i_config[0]$SB_IO_IN +.sym 20298 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] .sym 20299 r_counter[0]_$glb_clk -.sym 20313 o_rx_h_tx_l$SB_IO_OUT -.sym 20322 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 20348 io_ctrl_ins.rf_pin_state[4] -.sym 20349 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 20353 io_ctrl_ins.debug_mode_SB_LUT4_I0_O -.sym 20357 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20360 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 20364 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20368 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 20372 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20393 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 20394 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] -.sym 20395 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 20396 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 20405 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 20406 io_ctrl_ins.rf_pin_state[4] -.sym 20407 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20300 io_ctrl_ins.o_data_out_SB_DFFESR_Q_R +.sym 20326 w_tx_data_io[3] +.sym 20332 w_tx_data_io[4] +.sym 20346 io_ctrl_ins.rf_pin_state[3] +.sym 20350 io_ctrl_ins.rf_pin_state[5] +.sym 20353 io_ctrl_ins.rf_pin_state[0] +.sym 20354 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] +.sym 20355 io_ctrl_ins.rf_pin_state[4] +.sym 20363 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 20369 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 20370 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20371 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 20372 io_ctrl_ins.rf_mode[0] +.sym 20373 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 20381 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] +.sym 20382 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 20383 io_ctrl_ins.rf_pin_state[5] +.sym 20384 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 20387 io_ctrl_ins.rf_pin_state[4] +.sym 20388 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 20389 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 20390 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] +.sym 20393 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 20394 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 20395 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20396 io_ctrl_ins.rf_pin_state[3] +.sym 20400 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20402 io_ctrl_ins.rf_mode[0] +.sym 20405 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 20406 io_ctrl_ins.rf_pin_state[0] +.sym 20407 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] .sym 20408 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 20421 io_ctrl_ins.debug_mode_SB_LUT4_I0_O +.sym 20421 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E .sym 20422 r_counter[0]_$glb_clk -.sym 20438 o_tr_vc1_b$SB_IO_OUT -.sym 20444 o_tr_vc1$SB_IO_OUT -.sym 20453 i_config[0]$SB_IO_IN -.sym 20456 o_led1$SB_IO_OUT +.sym 20440 o_tr_vc1$SB_IO_OUT +.sym 20444 o_tr_vc2$SB_IO_OUT +.sym 20571 i_config[0]$SB_IO_IN .sym 20672 i_config[0]$SB_IO_IN +.sym 20743 o_led1$SB_IO_OUT .sym 20748 io_smi_data[5]$SB_IO_OUT -.sym 20768 io_smi_data[5]$SB_IO_OUT -.sym 20802 i_ss_SB_LUT4_I3_O +.sym 20757 io_smi_data[5]$SB_IO_OUT +.sym 20771 r_counter[0] +.sym 20802 i_sck$SB_IO_IN .sym 20804 i_ss$SB_IO_IN .sym 20844 i_mosi$SB_IO_IN -.sym 20904 int_miso -.sym 21129 i_smi_soe_se$rename$0 -.sym 21151 int_miso -.sym 21241 $io_pmod[4]$iobuf_i -.sym 21438 $io_pmod[5]$iobuf_i -.sym 21449 i_mosi$SB_IO_IN -.sym 21537 io_pmod[2]$SB_IO_IN -.sym 21761 $PACKER_VCC_NET -.sym 21906 $PACKER_VCC_NET -.sym 21945 $io_pmod[2]$iobuf_i -.sym 21954 io_pmod[2]$SB_IO_IN -.sym 22005 io_ctrl_ins.led0_state_SB_DFFESR_Q_E -.sym 22009 o_led0$SB_IO_OUT -.sym 22011 o_led1$SB_IO_OUT -.sym 22061 w_rx_data[0] -.sym 22062 $PACKER_VCC_NET -.sym 22069 w_rx_data[1] -.sym 22153 o_led1$SB_IO_OUT -.sym 22157 io_ctrl_ins.led0_state_SB_DFFESR_Q_E -.sym 22163 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 22361 o_tr_vc2$SB_IO_OUT +.sym 20850 rx_09_fifo.rd_addr_gray[1] +.sym 20944 int_miso +.sym 20989 rx_09_fifo.rd_addr_gray_wr[1] +.sym 21029 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 21049 int_miso +.sym 21129 io_pmod[5]$SB_IO_IN +.sym 21142 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 21193 int_miso +.sym 21230 $io_pmod[4]$iobuf_i +.sym 21245 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 21297 spi_if_ins.spi.r_rx_done +.sym 21392 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 21396 spi_if_ins.spi.r2_rx_done +.sym 21397 spi_if_ins.spi.r3_rx_done +.sym 21448 spi_if_ins.state_if[0] +.sym 21455 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 21494 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 21498 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] +.sym 21501 spi_if_ins.state_if[0] +.sym 21543 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 21554 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E +.sym 21558 spi_if_ins.state_if[1] +.sym 21598 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 21599 spi_if_ins.state_if[1] +.sym 21650 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 21658 io_ctrl_ins.o_pmod[5] +.sym 21660 io_ctrl_ins.o_pmod[6] +.sym 21700 w_tx_data_io[7] +.sym 21703 w_tx_data_io[5] +.sym 21705 w_tx_data_io[6] +.sym 21741 $io_pmod[5]$iobuf_i +.sym 21742 $PACKER_VCC_NET +.sym 21746 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 21755 w_tx_data_io[5] +.sym 21757 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[1] +.sym 21759 w_tx_data_io[6] +.sym 21762 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2[2] +.sym 21800 i_button_SB_LUT4_I3_O[3] +.sym 21802 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[3] +.sym 21803 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2[2] +.sym 21805 w_tx_data_sys[0] +.sym 21806 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 21807 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[3] +.sym 21842 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[2] +.sym 21850 i_button_SB_LUT4_I3_O[2] +.sym 21853 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[2] +.sym 21856 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 21857 w_tx_data_sys[0] +.sym 21905 o_rx_h_tx_l$SB_IO_OUT +.sym 21908 o_rx_h_tx_l_b$SB_IO_OUT +.sym 21950 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] +.sym 21954 io_ctrl_ins.pmod_dir_state[6] +.sym 21957 io_ctrl_ins.pmod_dir_state[5] +.sym 21962 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E +.sym 21964 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 22167 o_led0$SB_IO_OUT +.sym 22356 o_tr_vc1_b$SB_IO_OUT .sym 22487 o_led1$SB_IO_OUT -.sym 22505 o_led1$SB_IO_OUT +.sym 22511 o_led1$SB_IO_OUT .sym 22517 int_miso -.sym 22519 i_ss_SB_LUT4_I3_O -.sym 22530 int_miso -.sym 22538 i_ss_SB_LUT4_I3_O -.sym 22563 i_mosi$SB_IO_IN -.sym 22574 i_sck$SB_IO_IN +.sym 22519 o_miso_$_TBUF__Y_E +.sym 22529 o_miso_$_TBUF__Y_E +.sym 22532 int_miso +.sym 22576 i_mosi$SB_IO_IN +.sym 22585 r_counter[0] +.sym 22623 r_counter[0] +.sym 22664 i_glob_clock$SB_IO_IN_$glb_clk .sym 22666 i_sck$SB_IO_IN .sym 22668 i_ss$SB_IO_IN +.sym 22686 r_counter[0] +.sym 22707 i_ss$SB_IO_IN .sym 22711 i_sck$SB_IO_IN +.sym 22715 i_ss$SB_IO_IN +.sym 22720 w_smi_read_req .sym 22733 i_ss$SB_IO_IN -.sym 22970 i_smi_a3$SB_IO_IN -.sym 23100 i_sck$SB_IO_IN -.sym 23223 i_ss$SB_IO_IN -.sym 23226 i_ss$SB_IO_IN -.sym 23230 $PACKER_VCC_NET -.sym 23331 o_led0$SB_IO_OUT -.sym 23722 $PACKER_VCC_NET -.sym 23960 $PACKER_VCC_NET -.sym 23964 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 24081 $PACKER_VCC_NET -.sym 24102 io_ctrl_ins.led0_state_SB_DFFESR_Q_E -.sym 24118 w_rx_data[0] -.sym 24123 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 24124 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 24126 w_rx_data[1] -.sym 24131 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 24139 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 24140 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 24141 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 24166 w_rx_data[0] -.sym 24175 w_rx_data[1] -.sym 24179 io_ctrl_ins.led0_state_SB_DFFESR_Q_E -.sym 24180 r_counter[0]_$glb_clk -.sym 24181 w_lvds_rx_09_d1_SB_LUT4_I0_O[0]_$glb_sr -.sym 24182 o_tr_vc1_b$SB_IO_OUT +.sym 22761 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 22767 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 22774 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 22804 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 22805 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 22826 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 22827 r_counter[0]_$glb_clk +.sym 22828 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr +.sym 22882 rx_09_fifo.rd_addr_gray[1] +.sym 22933 rx_09_fifo.rd_addr_gray[1] +.sym 22950 lvds_clock_buf +.sym 22955 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 22964 io_pmod[6]$SB_IO_IN +.sym 22965 i_smi_a3$SB_IO_IN +.sym 22980 i_ss$SB_IO_IN +.sym 23105 i_ss$SB_IO_IN +.sym 23122 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 23127 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 23130 spi_if_ins.r_tx_byte[7] +.sym 23134 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] +.sym 23179 spi_if_ins.r_tx_byte[7] +.sym 23180 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 23182 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] +.sym 23195 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 23196 r_counter[0]_$glb_clk +.sym 23203 $io_pmod[7]$iobuf_i +.sym 23218 spi_if_ins.r_tx_byte[7] +.sym 23225 io_pmod[4]$SB_IO_IN +.sym 23252 i_ss$SB_IO_IN +.sym 23254 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 23257 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E +.sym 23315 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 23318 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E +.sym 23319 i_sck$SB_IO_IN_$glb_clk +.sym 23320 i_ss$SB_IO_IN +.sym 23322 $io_pmod[6]$iobuf_i +.sym 23336 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 23344 io_pmod[7]$SB_IO_IN +.sym 23366 spi_if_ins.spi.r2_rx_done +.sym 23375 spi_if_ins.spi.r3_rx_done +.sym 23377 spi_if_ins.spi.r_rx_done +.sym 23395 spi_if_ins.spi.r2_rx_done +.sym 23397 spi_if_ins.spi.r3_rx_done +.sym 23421 spi_if_ins.spi.r_rx_done +.sym 23428 spi_if_ins.spi.r2_rx_done +.sym 23442 r_counter[0]_$glb_clk +.sym 23447 w_tx_data_smi[2] +.sym 23456 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 23461 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 23469 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 23473 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 23474 spi_if_ins.state_if[0] +.sym 23479 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 23487 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 23496 spi_if_ins.state_if[1] +.sym 23497 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] +.sym 23500 spi_if_ins.state_if[0] +.sym 23505 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 23513 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 23518 spi_if_ins.state_if[1] +.sym 23520 spi_if_ins.state_if[0] +.sym 23521 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 23542 spi_if_ins.state_if[1] +.sym 23544 spi_if_ins.state_if[0] +.sym 23545 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 23562 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] +.sym 23564 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 23565 r_counter[0]_$glb_clk +.sym 23566 spi_if_ins.state_if_SB_DFFESR_Q_1_R +.sym 23569 w_smi_read_req +.sym 23571 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 23579 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 23592 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 23599 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 23600 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[2] +.sym 23602 w_rx_24_fifo_empty +.sym 23608 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 23609 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 23610 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 23615 spi_if_ins.state_if[0] +.sym 23620 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] +.sym 23627 spi_if_ins.state_if[1] +.sym 23628 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 23639 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 23653 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 23654 spi_if_ins.state_if[0] +.sym 23655 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 23656 spi_if_ins.state_if[1] +.sym 23659 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] +.sym 23660 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 23661 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 23662 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 23687 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 23688 r_counter[0]_$glb_clk +.sym 23692 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 23694 $PACKER_GND_NET +.sym 23712 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 23714 w_smi_read_req +.sym 23715 $PACKER_GND_NET +.sym 23721 i_button_SB_LUT4_I3_I2[0] +.sym 23725 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 23733 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 23734 i_button_SB_LUT4_I3_O[2] +.sym 23735 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[2] +.sym 23738 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[3] +.sym 23739 i_button_SB_LUT4_I3_O[3] +.sym 23741 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[3] +.sym 23743 io_ctrl_ins.o_pmod[5] +.sym 23744 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[2] +.sym 23745 io_ctrl_ins.o_pmod[6] +.sym 23747 i_button_SB_LUT4_I3_O[1] +.sym 23749 i_button_SB_LUT4_I3_O[0] +.sym 23751 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[1] +.sym 23760 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[2] +.sym 23776 i_button_SB_LUT4_I3_O[0] +.sym 23777 i_button_SB_LUT4_I3_O[3] +.sym 23778 i_button_SB_LUT4_I3_O[1] +.sym 23779 i_button_SB_LUT4_I3_O[2] +.sym 23794 io_ctrl_ins.o_pmod[5] +.sym 23795 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[2] +.sym 23796 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[3] +.sym 23797 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[1] +.sym 23806 io_ctrl_ins.o_pmod[6] +.sym 23807 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[2] +.sym 23808 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[3] +.sym 23809 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[1] +.sym 23810 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 23811 r_counter[0]_$glb_clk +.sym 23812 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[2] +.sym 23829 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E +.sym 23834 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E +.sym 23836 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 23837 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 23847 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 23848 o_rx_h_tx_l$SB_IO_OUT +.sym 23855 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] +.sym 23857 o_rx_h_tx_l$SB_IO_OUT +.sym 23860 w_ioc[0] +.sym 23864 io_ctrl_ins.o_pmod[7] +.sym 23867 io_ctrl_ins.pmod_dir_state[6] +.sym 23868 o_rx_h_tx_l_b$SB_IO_OUT +.sym 23869 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 23872 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E +.sym 23873 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2[2] +.sym 23874 i_button_SB_LUT4_I3_O[1] +.sym 23877 o_tr_vc1$SB_IO_OUT +.sym 23881 i_button_SB_LUT4_I3_I2[0] +.sym 23883 io_ctrl_ins.pmod_dir_state[5] +.sym 23887 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 23888 io_ctrl_ins.o_pmod[7] +.sym 23889 w_ioc[0] +.sym 23890 o_rx_h_tx_l$SB_IO_OUT +.sym 23899 i_button_SB_LUT4_I3_O[1] +.sym 23900 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2[2] +.sym 23901 io_ctrl_ins.pmod_dir_state[6] +.sym 23902 o_rx_h_tx_l_b$SB_IO_OUT +.sym 23906 w_ioc[0] +.sym 23908 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 23918 i_button_SB_LUT4_I3_O[1] +.sym 23924 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] +.sym 23925 i_button_SB_LUT4_I3_I2[0] +.sym 23929 o_tr_vc1$SB_IO_OUT +.sym 23930 i_button_SB_LUT4_I3_O[1] +.sym 23931 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2[2] +.sym 23932 io_ctrl_ins.pmod_dir_state[5] +.sym 23933 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E +.sym 23934 r_counter[0]_$glb_clk +.sym 23950 io_ctrl_ins.o_pmod[7] +.sym 23956 w_ioc[0] +.sym 23957 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 23983 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 23995 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 23999 io_ctrl_ins.rf_pin_state[6] +.sym 24003 io_ctrl_ins.rf_pin_state[7] +.sym 24007 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 24028 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 24029 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 24030 io_ctrl_ins.rf_pin_state[7] +.sym 24046 io_ctrl_ins.rf_pin_state[6] +.sym 24048 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 24049 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 24056 io_ctrl_ins.rx_h_state_SB_DFFE_Q_E +.sym 24057 r_counter[0]_$glb_clk +.sym 24092 o_rx_h_tx_l_b$SB_IO_OUT +.sym 24590 o_led0$SB_IO_OUT .sym 24596 o_led0$SB_IO_OUT -.sym 24607 o_led0$SB_IO_OUT +.sym 24616 o_led0$SB_IO_OUT .sym 24621 i_smi_a3$SB_IO_IN -.sym 24664 i_ss$SB_IO_IN +.sym 24664 w_smi_read_req +.sym 24667 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] .sym 25089 io_pmod[4]$SB_IO_IN +.sym 25101 w_smi_read_req +.sym 25183 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 25213 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 25262 io_pmod[4]$SB_IO_IN .sym 25399 io_pmod[5]$SB_IO_IN +.sym 25401 io_pmod[7]$SB_IO_IN +.sym 25486 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 25488 io_pmod[5]$SB_IO_IN +.sym 25535 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 25536 io_pmod[5]$SB_IO_IN +.sym 25551 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 25552 lvds_clock_buf +.sym 25553 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr .sym 25554 i_smi_soe_se$rename$0 +.sym 25556 io_pmod[6]$SB_IO_IN +.sym 25633 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 25634 io_pmod[4]$SB_IO_IN +.sym 25666 io_pmod[4]$SB_IO_IN +.sym 25669 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 25706 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O_$glb_ce +.sym 25707 lvds_clock_buf +.sym 25708 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2]_$glb_sr .sym 25711 i_glob_clock$SB_IO_IN +.sym 25793 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 25805 w_rx_24_fifo_empty +.sym 25811 i_button_SB_LUT4_I3_I2[0] +.sym 25833 w_rx_24_fifo_empty +.sym 25861 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E +.sym 25862 i_glob_clock$SB_IO_IN_$glb_clk +.sym 25863 i_button_SB_LUT4_I3_I2[0] +.sym 25877 smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E .sym 25878 i_glob_clock$SB_IO_IN +.sym 25939 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 25946 io_pmod[2]$SB_IO_IN +.sym 25950 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 25961 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 25963 w_rx_24_fifo_empty +.sym 25982 io_pmod[2]$SB_IO_IN +.sym 25983 w_rx_24_fifo_empty +.sym 25997 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 26016 spi_if_ins.state_if_SB_DFFE_Q_E +.sym 26017 r_counter[0]_$glb_clk +.sym 26018 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 26028 io_pmod[2]$SB_IO_IN +.sym 26037 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 26094 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E +.sym 26096 $PACKER_GND_NET +.sym 26112 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 26139 $PACKER_GND_NET +.sym 26171 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E +.sym 26172 r_counter[0]_$glb_clk +.sym 26173 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S .sym 26174 io_pmod[2]$SB_IO_IN -.sym 26183 $PACKER_VCC_NET -.sym 26735 o_tr_vc1_b$SB_IO_OUT -.sym 26746 o_tr_vc1_b$SB_IO_OUT -.sym 26958 o_rx_h_tx_l_b$SB_IO_OUT -.sym 27275 $PACKER_VCC_NET -.sym 27283 $PACKER_VCC_NET +.sym 26500 $PACKER_GND_NET +.sym 26501 w_smi_read_req +.sym 26653 o_rx_h_tx_l$SB_IO_OUT +.sym 26961 o_rx_h_tx_l_b$SB_IO_OUT +.sym 27277 i_mosi$SB_IO_IN +.sym 27283 w_smi_read_req .sym 27285 i_smi_a3$SB_IO_IN -.sym 27298 i_smi_a3$SB_IO_IN -.sym 27301 $PACKER_VCC_NET -.sym 27305 i_smi_soe_se$rename$0 +.sym 27293 i_smi_a3$SB_IO_IN +.sym 27299 w_smi_read_req +.sym 27305 io_pmod[5]$SB_IO_IN +.sym 27307 io_pmod[6]$SB_IO_IN .sym 27310 $io_pmod[4]$iobuf_i -.sym 27328 $io_pmod[4]$iobuf_i +.sym 27319 $io_pmod[4]$iobuf_i .sym 27335 $io_pmod[3]$iobuf_i +.sym 27365 $io_pmod[5]$iobuf_i .sym 27370 $io_pmod[5]$iobuf_i .sym 27373 $io_pmod[7]$iobuf_i -.sym 27381 $io_pmod[5]$iobuf_i -.sym 27391 $io_pmod[7]$iobuf_i -.sym 27395 $PACKER_VCC_NET +.sym 27383 $io_pmod[5]$iobuf_i +.sym 27384 $io_pmod[7]$iobuf_i .sym 27400 $io_pmod[3]$iobuf_i .sym 27403 $io_pmod[6]$iobuf_i .sym 27410 $io_pmod[6]$iobuf_i .sym 27413 $io_pmod[3]$iobuf_i -.sym 27425 io_pmod[2]$SB_IO_IN .sym 27429 i_glob_clock$SB_IO_IN .sym 27451 i_glob_clock$SB_IO_IN -.sym 27457 $PACKER_VCC_NET -.sym 27459 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] +.sym 27459 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] .sym 27460 $PACKER_VCC_NET -.sym 27475 $PACKER_VCC_NET -.sym 27483 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] +.sym 27471 $PACKER_VCC_NET +.sym 27479 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 27485 io_pmod[2]$SB_IO_IN +.sym 27488 $io_pmod[2]$iobuf_i .sym 27514 i_smi_a3$SB_IO_IN .sym 27519 $io_pmod[2]$iobuf_i .sym 27524 i_smi_a3$SB_IO_IN .sym 27532 i_smi_a3$SB_IO_IN -.sym 27537 $io_pmod[2]$iobuf_i -.sym 27545 o_tr_vc2$SB_IO_OUT -.sym 27549 $PACKER_VCC_NET +.sym 27539 $io_pmod[2]$iobuf_i +.sym 27549 w_smi_read_req .sym 27551 i_smi_a3$SB_IO_IN .sym 27552 $PACKER_GND_NET -.sym 27559 $PACKER_GND_NET .sym 27566 i_smi_a3$SB_IO_IN -.sym 27571 $PACKER_VCC_NET +.sym 27572 $PACKER_GND_NET +.sym 27573 w_smi_read_req .sym 27582 o_rx_h_tx_l$SB_IO_OUT -.sym 27593 o_rx_h_tx_l$SB_IO_OUT +.sym 27600 o_rx_h_tx_l$SB_IO_OUT .sym 27605 o_tr_vc1$SB_IO_OUT .sym 27608 o_tr_vc2$SB_IO_OUT -.sym 27619 o_tr_vc2$SB_IO_OUT -.sym 27625 o_tr_vc1$SB_IO_OUT +.sym 27617 o_tr_vc2$SB_IO_OUT +.sym 27629 o_tr_vc1$SB_IO_OUT .sym 27631 o_rx_h_tx_l_b$SB_IO_OUT .sym 27634 o_tr_vc1_b$SB_IO_OUT -.sym 27648 o_tr_vc1_b$SB_IO_OUT -.sym 27649 o_rx_h_tx_l_b$SB_IO_OUT -.sym 27833 r_counter[0] -.sym 27843 rx_24_fifo.wr_addr[0] -.sym 27848 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[1] -.sym 27849 rx_24_fifo.wr_addr[0] -.sym 27852 rx_24_fifo.wr_addr[2] -.sym 27853 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] -.sym 27856 rx_24_fifo.wr_addr[3] -.sym 27857 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] -.sym 27860 rx_24_fifo.wr_addr[4] -.sym 27861 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] -.sym 27864 rx_24_fifo.wr_addr[5] -.sym 27865 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] -.sym 27868 rx_24_fifo.wr_addr[6] -.sym 27869 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] -.sym 27872 rx_24_fifo.wr_addr[7] -.sym 27873 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[7] -.sym 27875 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[1] -.sym 27880 rx_24_fifo.wr_addr[2] -.sym 27884 rx_24_fifo.wr_addr[3] -.sym 27885 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[2] -.sym 27888 rx_24_fifo.wr_addr[4] -.sym 27889 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[3] -.sym 27892 rx_24_fifo.wr_addr[5] -.sym 27893 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[4] -.sym 27895 $PACKER_VCC_NET -.sym 27897 $nextpnr_ICESTORM_LC_5$I3 -.sym 27900 rx_24_fifo.wr_addr[6] -.sym 27904 rx_24_fifo.wr_addr[7] -.sym 27905 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[6] -.sym 27914 rx_24_fifo.wr_addr[0] -.sym 27915 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[2] -.sym 27916 rx_24_fifo.wr_addr[2] -.sym 27917 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[1] -.sym 27918 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[6] -.sym 27919 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1[1] -.sym 27920 w_rx_24_fifo_push -.sym 27921 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1[3] -.sym 27923 lvds_rx_24_inst.r_push_SB_LUT4_I2_O[0] -.sym 27924 lvds_rx_24_inst.r_push_SB_LUT4_I2_O[1] -.sym 27925 lvds_rx_24_inst.r_push_SB_LUT4_I2_O[2] -.sym 27930 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[3] -.sym 27931 lvds_rx_24_inst.r_push_SB_LUT4_I2_I0[4] -.sym 27932 rx_24_fifo.wr_addr[6] -.sym 27933 lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3[5] -.sym 27939 lvds_rx_24_inst.r_phase_count[0] -.sym 27943 lvds_rx_24_inst.r_phase_count[1] -.sym 27944 $PACKER_VCC_NET -.sym 27945 lvds_rx_24_inst.r_phase_count[0] -.sym 27946 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[1] -.sym 27948 $PACKER_VCC_NET -.sym 27949 lvds_rx_24_inst.r_phase_count_SB_CARRY_CI_CO[2] -.sym 27953 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[2] -.sym 27956 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 27957 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 27958 w_lvds_rx_24_d1_SB_LUT4_I2_O[1] -.sym 27959 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 27960 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 27961 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 27964 w_rx_24_fifo_full -.sym 27965 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3[1] -.sym 27969 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 27970 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[2] -.sym 27971 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[1] -.sym 27972 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 27973 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[2] -.sym 27974 w_lvds_rx_24_d1_SB_LUT4_I2_O[1] -.sym 27975 w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O[1] -.sym 27976 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[1] -.sym 27977 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3[1] -.sym 27979 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 27980 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[1] -.sym 27981 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[2] -.sym 27983 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 27984 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 27985 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 27986 w_lvds_rx_24_d1_SB_LUT4_I2_O[1] -.sym 27987 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[2] -.sym 27988 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 27989 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 27991 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[0] -.sym 27992 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[1] -.sym 27993 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[2] -.sym 27994 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 27995 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[2] -.sym 27996 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q[1] -.sym 27997 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[1] -.sym 28000 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_D[0] -.sym 28001 lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 28008 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 28009 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 28010 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_D[0] -.sym 28015 w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O[0] -.sym 28016 w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O[1] -.sym 28017 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 28022 w_lvds_rx_24_d1_SB_LUT4_I2_O[0] -.sym 28023 w_lvds_rx_24_d1_SB_LUT4_I2_O[1] -.sym 28024 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 28025 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 28028 w_lvds_rx_24_d1_SB_LUT4_I2_O[3] -.sym 28029 w_lvds_rx_24_d1_SB_LUT4_I2_O[2] -.sym 28030 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1[1] -.sym 28034 spi_if_ins.r_tx_byte[3] -.sym 28055 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 28056 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] -.sym 28057 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 28064 w_lvds_rx_24_d1 -.sym 28065 w_lvds_rx_24_d0 -.sym 28066 $PACKER_VCC_NET -.sym 28322 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[0] -.sym 28332 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 28333 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 28342 rx_24_fifo.full_o_SB_LUT4_I3_O[2] -.sym 28346 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 28357 rx_24_fifo.wr_addr[0] -.sym 28360 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 28361 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 28362 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 28366 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 28372 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 28373 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 28374 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 28380 rx_24_fifo.wr_addr[0] -.sym 28381 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 28384 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 28385 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 28388 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 28389 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 28390 w_rx_24_fifo_push -.sym 28391 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 28392 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 28393 w_rx_24_fifo_full -.sym 28396 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 28397 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 28398 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 28402 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 28407 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[0] -.sym 28408 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] -.sym 28409 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 28410 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 28414 rx_24_fifo.full_o_SB_LUT4_I3_O[0] -.sym 28415 rx_24_fifo.full_o_SB_LUT4_I3_O[1] -.sym 28416 rx_24_fifo.full_o_SB_LUT4_I3_O[2] -.sym 28417 rx_24_fifo.full_o_SB_LUT4_I3_O[3] -.sym 28420 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 28421 w_rx_24_fifo_push -.sym 28430 rx_24_fifo.full_o_SB_LUT4_I3_O[1] -.sym 28434 rx_24_fifo.full_o_SB_LUT4_I3_O[0] -.sym 28438 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] -.sym 28450 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 28487 spi_if_ins.r_tx_byte[7] -.sym 28488 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] -.sym 28489 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 28497 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E -.sym 28511 spi_if_ins.spi.SCKr[2] -.sym 28512 spi_if_ins.spi.SCKr[1] -.sym 28513 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 28515 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 28519 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 28520 $PACKER_VCC_NET -.sym 28523 spi_if_ins.spi.r_tx_bit_count[2] -.sym 28524 $PACKER_VCC_NET -.sym 28525 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 28526 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] -.sym 28527 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] -.sym 28528 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 28529 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[3] -.sym 28531 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 28532 $PACKER_VCC_NET -.sym 28533 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 28537 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O -.sym 28541 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 28542 spi_if_ins.spi.SCKr[2] -.sym 28543 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 28544 spi_if_ins.spi.r_tx_bit_count[2] -.sym 28545 spi_if_ins.spi.SCKr[1] -.sym 28546 spi_if_ins.r_tx_byte[2] -.sym 28550 spi_if_ins.r_tx_byte[1] -.sym 28554 spi_if_ins.r_tx_byte[5] -.sym 28559 spi_if_ins.spi.r_tx_byte[1] -.sym 28560 spi_if_ins.spi.r_tx_byte[5] -.sym 28561 spi_if_ins.spi.r_tx_bit_count[2] -.sym 28562 spi_if_ins.r_tx_byte[7] -.sym 28566 spi_if_ins.spi.r_tx_byte[3] -.sym 28567 spi_if_ins.spi.r_tx_byte[7] -.sym 28568 spi_if_ins.spi.r_tx_bit_count[2] -.sym 28569 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 28570 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] -.sym 28571 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[1] -.sym 28572 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] -.sym 28573 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 28574 spi_if_ins.spi.r_tx_byte[2] -.sym 28575 spi_if_ins.spi.r_tx_byte[6] -.sym 28576 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0[3] -.sym 28577 spi_if_ins.spi.r_tx_bit_count[2] -.sym 28578 spi_if_ins.r_tx_byte[4] -.sym 28582 spi_if_ins.r_tx_byte[0] -.sym 28596 i_ss$SB_IO_IN -.sym 28597 spi_if_ins.r_tx_data_valid -.sym 28599 spi_if_ins.spi.r_tx_byte[0] -.sym 28600 spi_if_ins.spi.r_tx_byte[4] -.sym 28601 spi_if_ins.spi.r_tx_bit_count[2] -.sym 28602 spi_if_ins.r_tx_byte[6] -.sym 28618 r_tx_data[0] -.sym 28638 r_tx_data[6] -.sym 28658 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 28707 smi_ctrl_ins.r_smi_test_count_24[0] -.sym 28712 smi_ctrl_ins.r_smi_test_count_24[1] -.sym 28713 smi_ctrl_ins.r_smi_test_count_24[0] -.sym 28716 i_smi_a2_SB_LUT4_I1_O[1] -.sym 28717 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 28720 smi_ctrl_ins.r_smi_test_count_24[3] -.sym 28721 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 28724 smi_ctrl_ins.r_smi_test_count_24[4] -.sym 28725 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] -.sym 28728 smi_ctrl_ins.r_smi_test_count_24[5] -.sym 28729 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] -.sym 28732 smi_ctrl_ins.r_smi_test_count_24[6] -.sym 28733 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] -.sym 28736 smi_ctrl_ins.r_smi_test_count_24[7] -.sym 28737 smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] -.sym 28745 smi_ctrl_ins.r_smi_test_count_24[0] -.sym 28812 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 28813 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 28814 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[1] -.sym 28818 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 28842 rx_24_fifo.wr_addr_gray[2] -.sym 28849 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E -.sym 28858 rx_24_fifo.wr_addr_gray[6] -.sym 28862 rx_24_fifo.wr_addr_gray[3] -.sym 28878 rx_24_fifo.wr_addr_gray_rd[6] -.sym 28890 rx_24_fifo.wr_addr_gray_rd[2] -.sym 28898 rx_24_fifo.wr_addr_gray_rd[7] -.sym 28902 rx_24_fifo.wr_addr_gray_rd_r[0] -.sym 28903 rx_24_fifo.wr_addr_gray_rd_r[1] -.sym 28904 rx_24_fifo.wr_addr_gray_rd_r[2] -.sym 28905 rx_24_fifo.wr_addr_gray_rd_r[5] -.sym 28910 rx_24_fifo.wr_addr_gray_rd[0] -.sym 28914 rx_24_fifo.wr_addr_gray_rd[3] -.sym 28918 rx_24_fifo.wr_addr_gray_rd[1] -.sym 28922 rx_24_fifo.wr_addr[7] -.sym 28926 rx_24_fifo.empty_o_SB_LUT4_I3_I2[0] -.sym 28927 rx_24_fifo.empty_o_SB_LUT4_I3_I2[1] -.sym 28928 rx_24_fifo.empty_o_SB_LUT4_I3_I2[2] -.sym 28929 w_rx_24_fifo_empty -.sym 28934 rx_24_fifo.wr_addr_gray[5] -.sym 28938 rx_24_fifo.wr_addr_gray_rd[4] -.sym 28942 rx_24_fifo.wr_addr_gray_rd[5] -.sym 28950 rx_24_fifo.wr_addr_gray[0] -.sym 28954 rx_24_fifo.wr_addr_gray[4] -.sym 28958 rx_24_fifo.wr_addr_gray[1] -.sym 28986 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 28994 r_tx_data[4] -.sym 29002 r_tx_data[7] -.sym 29038 w_tx_data_sys[0] -.sym 29039 spi_if_ins.o_cs_SB_LUT4_I0_O[1] -.sym 29040 spi_if_ins.o_cs_SB_LUT4_I0_O[2] -.sym 29041 spi_if_ins.o_cs_SB_LUT4_I0_O[3] -.sym 29045 spi_if_ins.o_cs_SB_LUT4_I0_O[1] -.sym 29050 w_tx_data_smi[0] -.sym 29051 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 29052 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 29053 w_tx_data_io[0] -.sym 29062 w_cs[3] -.sym 29063 w_cs[1] -.sym 29064 w_cs[2] -.sym 29065 w_cs[0] -.sym 29068 spi_if_ins.w_rx_data[5] -.sym 29069 spi_if_ins.w_rx_data[6] -.sym 29070 w_cs[0] -.sym 29071 w_cs[3] -.sym 29072 w_cs[1] -.sym 29073 w_cs[2] -.sym 29074 w_cs[0] -.sym 29075 w_cs[1] -.sym 29076 w_cs[2] -.sym 29077 w_cs[3] -.sym 29078 w_cs[0] -.sym 29079 w_cs[3] -.sym 29080 w_cs[1] -.sym 29081 w_cs[2] -.sym 29082 w_cs[0] -.sym 29083 w_cs[3] -.sym 29084 w_cs[2] -.sym 29085 w_cs[1] -.sym 29088 spi_if_ins.w_rx_data[5] -.sym 29089 spi_if_ins.w_rx_data[6] -.sym 29092 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 29093 w_tx_data_io[6] -.sym 29100 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 29101 w_tx_data_io[4] -.sym 29105 w_cs[0] -.sym 29136 spi_if_ins.w_rx_data[6] -.sym 29137 spi_if_ins.w_rx_data[5] -.sym 29152 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 29153 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] -.sym 29162 spi_if_ins.state_if_SB_DFFESR_Q_D[2] -.sym 29220 i_smi_a3$SB_IO_IN -.sym 29221 w_smi_data_output[6] -.sym 29224 i_smi_a3$SB_IO_IN -.sym 29225 w_smi_data_output[2] -.sym 29226 i_smi_a2_SB_LUT4_I1_O[0] -.sym 29227 i_smi_a2_SB_LUT4_I1_O[1] -.sym 29228 i_smi_a2_SB_LUT4_I1_O[2] -.sym 29229 i_smi_a2_SB_LUT4_I1_O[3] -.sym 29230 i_smi_a2_SB_LUT4_I1_O[0] -.sym 29231 smi_ctrl_ins.r_smi_test_count_24[0] -.sym 29232 i_smi_a2_SB_LUT4_I1_O[2] -.sym 29233 smi_ctrl_ins.r_smi_test_count_09[0] -.sym 29234 i_smi_a2_SB_LUT4_I1_O[0] -.sym 29235 smi_ctrl_ins.r_smi_test_count_24[7] -.sym 29236 i_smi_a2_SB_LUT4_I1_O[2] -.sym 29237 smi_ctrl_ins.r_smi_test_count_09[7] -.sym 29238 i_smi_a2_SB_LUT4_I1_O[0] -.sym 29239 smi_ctrl_ins.r_smi_test_count_24[4] -.sym 29240 i_smi_a2_SB_LUT4_I1_O[2] -.sym 29241 smi_ctrl_ins.r_smi_test_count_09[4] -.sym 29244 i_smi_a3$SB_IO_IN -.sym 29245 w_smi_data_output[7] -.sym 29246 i_smi_a2_SB_LUT4_I1_O[0] -.sym 29247 smi_ctrl_ins.r_smi_test_count_24[6] -.sym 29248 i_smi_a2_SB_LUT4_I1_O[2] -.sym 29249 smi_ctrl_ins.r_smi_test_count_09[6] -.sym 29254 i_smi_a2_SB_LUT4_I1_O[0] -.sym 29255 smi_ctrl_ins.r_smi_test_count_24[1] -.sym 29256 i_smi_a2_SB_LUT4_I1_O[2] -.sym 29257 smi_ctrl_ins.r_smi_test_count_09[1] -.sym 29270 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O[0] -.sym 29271 i_smi_soe_se$rename$0 -.sym 29272 i_smi_a2_SB_LUT4_I1_O[0] -.sym 29273 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 29280 i_smi_a3$SB_IO_IN -.sym 29281 w_smi_data_output[1] -.sym 29315 lvds_rx_09_inst.r_phase_count[0] -.sym 29319 lvds_rx_09_inst.r_phase_count[1] -.sym 29320 $PACKER_VCC_NET -.sym 29321 lvds_rx_09_inst.r_phase_count[0] -.sym 29322 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] -.sym 29324 $PACKER_VCC_NET -.sym 29325 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] -.sym 29328 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] -.sym 29329 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[1] -.sym 29330 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 29331 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[1] -.sym 29332 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[2] -.sym 29333 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 29337 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q[0] -.sym 29338 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 29339 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[1] -.sym 29340 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[1] -.sym 29341 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 29344 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 29345 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 29349 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 29350 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 29351 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 29352 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] -.sym 29353 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 29355 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] -.sym 29356 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 29357 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 29360 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 29361 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 29362 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] -.sym 29363 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 29364 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 29365 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 29367 lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O[0] -.sym 29368 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] -.sym 29369 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 29371 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 29372 lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2[1] -.sym 29373 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 29375 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 29376 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 29377 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 29378 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 29390 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 29398 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 29406 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 29435 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I3[0] -.sym 29436 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I3[1] -.sym 29437 rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I3[2] -.sym 29443 sys_ctrl_ins.reset_count[0] -.sym 29448 sys_ctrl_ins.reset_count[1] -.sym 29450 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 29452 sys_ctrl_ins.reset_count[2] -.sym 29453 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 29454 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 29456 sys_ctrl_ins.reset_count[3] -.sym 29457 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 29461 sys_ctrl_ins.reset_count[0] -.sym 29464 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 29465 sys_ctrl_ins.reset_cmd -.sym 29466 sys_ctrl_ins.reset_count[3] -.sym 29467 sys_ctrl_ins.reset_count[2] -.sym 29468 sys_ctrl_ins.reset_count[1] -.sym 29469 sys_ctrl_ins.reset_count[0] -.sym 29470 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S -.sym 29472 sys_ctrl_ins.reset_count[1] -.sym 29473 sys_ctrl_ins.reset_count[0] -.sym 29490 $PACKER_GND_NET -.sym 29497 sys_ctrl_ins.reset_cmd -.sym 29506 w_rx_24_fifo_empty -.sym 29510 w_rx_24_fifo_full -.sym 29514 io_pmod[2]$SB_IO_IN -.sym 29518 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 29519 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 29520 w_cs[2] -.sym 29521 w_fetch -.sym 29526 w_rx_09_fifo_full -.sym 29539 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 29540 w_tx_data_smi[2] -.sym 29541 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[2] -.sym 29543 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 29544 w_tx_data_io[7] -.sym 29545 spi_if_ins.o_cs_SB_LUT4_I0_O[2] -.sym 29547 w_tx_data_io[2] -.sym 29548 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 29549 spi_if_ins.o_cs_SB_LUT4_I0_O[2] -.sym 29551 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 29552 w_tx_data_io[5] -.sym 29553 spi_if_ins.o_cs_SB_LUT4_I0_O[2] -.sym 29554 w_tx_data_smi[3] -.sym 29555 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 29556 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 29557 w_tx_data_io[3] -.sym 29559 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 29560 w_cs[1] -.sym 29561 w_fetch -.sym 29562 w_tx_data_smi[1] -.sym 29563 smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3[0] -.sym 29564 spi_if_ins.o_cs_SB_LUT4_I1_O[2] -.sym 29565 w_tx_data_io[1] -.sym 29570 r_tx_data[1] -.sym 29582 r_tx_data[2] -.sym 29586 w_ioc[4] -.sym 29587 w_cs[0] -.sym 29588 w_fetch -.sym 29589 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 29590 r_tx_data[5] -.sym 29594 r_tx_data[3] -.sym 29602 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] -.sym 29608 spi_if_ins.w_rx_data[5] -.sym 29609 spi_if_ins.w_rx_data[6] -.sym 29613 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O -.sym 29617 spi_if_ins.o_data_in_SB_DFFE_Q_E -.sym 29622 w_fetch -.sym 29623 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 29624 w_load -.sym 29625 w_cs[0] -.sym 29635 w_fetch -.sym 29636 w_cs[1] -.sym 29637 w_load -.sym 29638 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 29639 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 29640 spi_if_ins.state_if[0] -.sym 29641 spi_if_ins.state_if[1] -.sym 29643 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 29644 spi_if_ins.state_if[0] -.sym 29645 spi_if_ins.state_if[1] -.sym 29650 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 29651 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 29652 spi_if_ins.state_if[0] -.sym 29653 spi_if_ins.state_if[1] -.sym 29654 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 29663 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 29664 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 29665 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 29668 spi_if_ins.state_if[0] -.sym 29669 spi_if_ins.state_if[1] -.sym 29671 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 29672 spi_if_ins.state_if_SB_DFFE_Q_D[1] -.sym 29673 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 29675 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 29676 spi_if_ins.state_if[0] -.sym 29677 spi_if_ins.state_if[1] -.sym 29678 spi_if_ins.state_if[0] -.sym 29679 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 29680 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 29681 spi_if_ins.state_if[1] -.sym 29682 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 29683 spi_if_ins.state_if_SB_DFFESR_Q_D[1] -.sym 29684 spi_if_ins.state_if_SB_DFFESR_Q_D[2] -.sym 29685 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 29688 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] -.sym 29689 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 29690 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 29691 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 29692 spi_if_ins.state_if[0] -.sym 29693 spi_if_ins.state_if[1] -.sym 29695 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] -.sym 29696 spi_if_ins.state_if[1] -.sym 29697 spi_if_ins.state_if[0] -.sym 29714 spi_if_ins.state_if_SB_DFFE_Q_D[1] -.sym 29731 smi_ctrl_ins.r_smi_test_count_09[0] -.sym 29736 smi_ctrl_ins.r_smi_test_count_09[1] -.sym 29740 i_smi_a2_SB_LUT4_I1_O[3] -.sym 29741 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] -.sym 29744 smi_ctrl_ins.r_smi_test_count_09[3] -.sym 29745 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] -.sym 29748 smi_ctrl_ins.r_smi_test_count_09[4] -.sym 29749 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[4] -.sym 29752 smi_ctrl_ins.r_smi_test_count_09[5] -.sym 29753 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[5] -.sym 29756 smi_ctrl_ins.r_smi_test_count_09[6] -.sym 29757 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[6] -.sym 29760 smi_ctrl_ins.r_smi_test_count_09[7] -.sym 29761 smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3[7] -.sym 29765 smi_ctrl_ins.r_smi_test_count_09[0] -.sym 29772 smi_ctrl_ins.r_smi_test_count_09[1] -.sym 29773 smi_ctrl_ins.r_smi_test_count_09[0] -.sym 29774 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O[0] -.sym 29775 smi_ctrl_ins.r_last_soe_1 -.sym 29776 i_smi_a2_SB_LUT4_I1_O[2] -.sym 29777 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 29778 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O[0] -.sym 29779 smi_ctrl_ins.r_last_soe_1 -.sym 29780 i_smi_a2_SB_LUT4_I1_O[2] -.sym 29781 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 29789 i_smi_a2_SB_LUT4_I1_O[2] -.sym 29790 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O[0] -.sym 29791 i_smi_soe_se$rename$0 -.sym 29792 i_smi_a2_SB_LUT4_I1_O[0] -.sym 29793 smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O[3] -.sym 29802 smi_ctrl_ins.r_last_soe_1 -.sym 29806 i_smi_soe_se$rename$0 -.sym 29828 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 29829 w_rx_09_fifo_data[1] -.sym 29840 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 29841 w_rx_09_fifo_data[0] -.sym 29842 w_lvds_rx_09_d1 -.sym 29843 w_lvds_rx_09_d0 -.sym 29844 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 29845 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 29848 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 29849 w_lvds_rx_09_d0 -.sym 29856 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O[0] -.sym 29857 w_lvds_rx_09_d1 -.sym 29859 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[1] -.sym 29864 rx_09_fifo.wr_addr[2] -.sym 29868 rx_09_fifo.wr_addr[3] -.sym 29869 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[2] -.sym 29871 $PACKER_VCC_NET -.sym 29873 $nextpnr_ICESTORM_LC_2$I3 -.sym 29876 rx_09_fifo.wr_addr[4] -.sym 29880 rx_09_fifo.wr_addr[5] -.sym 29881 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[4] -.sym 29884 rx_09_fifo.wr_addr[6] -.sym 29885 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[5] -.sym 29888 rx_09_fifo.wr_addr[7] -.sym 29889 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[6] -.sym 29891 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[1] -.sym 29892 w_rx_09_fifo_full -.sym 29893 lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[2] -.sym 29896 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 29897 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 29900 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 29901 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 29902 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[6] -.sym 29903 lvds_rx_09_inst.r_push_SB_LUT4_I2_I1[1] -.sym 29904 w_rx_09_fifo_push -.sym 29905 lvds_rx_09_inst.r_push_SB_LUT4_I2_I1[3] -.sym 29908 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 29909 w_rx_09_fifo_push -.sym 29912 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 29913 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[5] -.sym 29916 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[3] -.sym 29917 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[4] -.sym 29918 rx_09_fifo.wr_addr[0] -.sym 29919 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[2] -.sym 29920 rx_09_fifo.wr_addr[2] -.sym 29921 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[1] -.sym 29923 rx_09_fifo.wr_addr[0] -.sym 29928 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[1] -.sym 29929 rx_09_fifo.wr_addr[0] -.sym 29932 rx_09_fifo.wr_addr[2] -.sym 29933 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] -.sym 29936 rx_09_fifo.wr_addr[3] -.sym 29937 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] -.sym 29940 rx_09_fifo.wr_addr[4] -.sym 29941 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] -.sym 29944 rx_09_fifo.wr_addr[5] -.sym 29945 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] -.sym 29948 rx_09_fifo.wr_addr[6] -.sym 29949 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] -.sym 29952 rx_09_fifo.wr_addr[7] -.sym 29953 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[7] -.sym 29994 spi_if_ins.spi.r_rx_byte[6] -.sym 30002 spi_if_ins.spi.r_rx_byte[7] -.sym 30026 spi_if_ins.w_rx_data[3] -.sym 30030 spi_if_ins.w_rx_data[4] -.sym 30034 spi_if_ins.w_rx_data[2] -.sym 30052 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2[0] -.sym 30053 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2[1] -.sym 30055 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 30056 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 30057 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30058 w_ioc[1] -.sym 30059 w_ioc[4] -.sym 30060 w_ioc[3] -.sym 30061 w_ioc[2] -.sym 30062 w_ioc[4] -.sym 30063 w_ioc[3] -.sym 30064 w_ioc[1] -.sym 30065 w_ioc[2] -.sym 30067 w_ioc[1] -.sym 30068 w_ioc[4] -.sym 30069 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 30071 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] -.sym 30072 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 30073 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2[1] -.sym 30076 w_ioc[2] -.sym 30077 w_ioc[3] -.sym 30080 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[0] -.sym 30081 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[1] -.sym 30084 w_ioc[0] -.sym 30085 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 30086 o_shdn_tx_lna$SB_IO_OUT -.sym 30087 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 30088 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30089 io_ctrl_ins.pmod_dir_state[2] -.sym 30090 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] -.sym 30091 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30092 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] -.sym 30093 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] -.sym 30096 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 30097 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] -.sym 30098 i_config[0]$SB_IO_IN -.sym 30099 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 30100 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[2] -.sym 30101 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O[3] -.sym 30102 o_led1$SB_IO_OUT -.sym 30103 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 30104 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[2] -.sym 30105 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[3] -.sym 30106 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 30107 io_ctrl_ins.o_pmod[2] -.sym 30108 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 30109 io_ctrl_ins.rf_mode[0] -.sym 30110 i_config[1]$SB_IO_IN -.sym 30111 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 30112 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O[2] -.sym 30113 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O[3] -.sym 30114 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 30115 io_ctrl_ins.o_pmod[1] -.sym 30116 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 30117 io_ctrl_ins.debug_mode[1] -.sym 30122 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 30123 io_ctrl_ins.o_pmod[4] -.sym 30124 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 30125 io_ctrl_ins.rf_mode[2] -.sym 30126 spi_if_ins.state_if_SB_DFFESR_Q_D[1] -.sym 30130 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 30131 io_ctrl_ins.o_pmod[3] -.sym 30132 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 30133 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 30134 o_shdn_rx_lna$SB_IO_OUT -.sym 30135 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 30136 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30137 io_ctrl_ins.pmod_dir_state[1] -.sym 30138 spi_if_ins.w_rx_data[6] -.sym 30143 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 30144 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 30145 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 30146 w_rx_data[4] -.sym 30157 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O -.sym 30158 w_rx_data[2] -.sym 30173 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[0] -.sym 30174 w_rx_data[3] -.sym 30183 i_smi_a1$SB_IO_IN -.sym 30184 i_smi_a3$SB_IO_IN -.sym 30185 i_smi_a2$SB_IO_IN -.sym 30199 i_smi_a2$SB_IO_IN -.sym 30200 i_smi_a1$SB_IO_IN -.sym 30201 i_smi_a3$SB_IO_IN -.sym 30202 spi_if_ins.state_if_SB_DFFESR_Q_D[0] -.sym 30242 i_smi_a2_SB_LUT4_I1_O[0] -.sym 30243 smi_ctrl_ins.r_smi_test_count_24[5] -.sym 30244 i_smi_a2_SB_LUT4_I1_O[2] -.sym 30245 smi_ctrl_ins.r_smi_test_count_09[5] -.sym 30246 i_smi_a2_SB_LUT4_I1_O[0] -.sym 30247 smi_ctrl_ins.r_smi_test_count_24[3] -.sym 30248 i_smi_a2_SB_LUT4_I1_O[2] -.sym 30249 smi_ctrl_ins.r_smi_test_count_09[3] -.sym 30268 i_smi_a3$SB_IO_IN -.sym 30269 w_smi_data_output[3] -.sym 30368 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 30369 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] -.sym 30374 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 30386 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 30390 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 30398 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[4] -.sym 30399 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0[5] -.sym 30400 rx_09_fifo.wr_addr[4] -.sym 30401 lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3[3] -.sym 30402 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[0] -.sym 30406 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 30412 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 30413 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 30414 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] -.sym 30418 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 30422 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 30429 rx_09_fifo.wr_addr[0] -.sym 30430 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 30435 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[0] -.sym 30436 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] -.sym 30437 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] -.sym 30438 rx_09_fifo.full_o_SB_LUT4_I3_O[0] -.sym 30439 rx_09_fifo.full_o_SB_LUT4_I3_O[1] -.sym 30440 rx_09_fifo.full_o_SB_LUT4_I3_O[2] -.sym 30441 rx_09_fifo.full_o_SB_LUT4_I3_O[3] -.sym 30450 w_rx_09_fifo_push -.sym 30451 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[7] -.sym 30452 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[6] -.sym 30453 w_rx_09_fifo_full -.sym 30456 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 30457 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[2] -.sym 30460 rx_09_fifo.wr_addr[0] -.sym 30461 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O[1] -.sym 30463 lvds_rx_09_inst.r_push_SB_LUT4_I2_O[0] -.sym 30464 lvds_rx_09_inst.r_push_SB_LUT4_I2_O[1] -.sym 30465 lvds_rx_09_inst.r_push_SB_LUT4_I2_O[2] -.sym 30494 spi_if_ins.spi.r_rx_byte[1] -.sym 30502 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 30518 spi_if_ins.spi.r_temp_rx_byte[6] -.sym 30522 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 30538 i_sck$SB_IO_IN -.sym 30542 spi_if_ins.spi.SCKr[1] -.sym 30550 spi_if_ins.spi.SCKr[0] -.sym 30558 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 27642 o_rx_h_tx_l_b$SB_IO_OUT +.sym 27650 o_tr_vc1_b$SB_IO_OUT +.sym 27688 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27689 lvds_rx_09_inst.o_fifo_data[27] +.sym 27704 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27705 lvds_rx_09_inst.o_fifo_data[29] +.sym 27752 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27753 w_rx_24_fifo_data[13] +.sym 27780 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27781 w_rx_24_fifo_data[2] +.sym 27784 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27785 w_rx_24_fifo_data[7] +.sym 27788 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27789 w_rx_24_fifo_data[5] +.sym 27792 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27793 w_rx_24_fifo_data[15] +.sym 27796 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27797 w_rx_24_fifo_data[14] +.sym 27800 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27801 w_rx_24_fifo_data[12] +.sym 27804 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27805 w_rx_24_fifo_data[11] +.sym 27808 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27809 w_rx_24_fifo_data[9] +.sym 27812 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27813 w_rx_24_fifo_data[10] +.sym 27816 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27817 w_rx_24_fifo_data[6] +.sym 27820 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27821 w_rx_24_fifo_data[17] +.sym 27824 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27825 w_rx_24_fifo_data[8] +.sym 27828 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27829 w_rx_24_fifo_data[1] +.sym 27832 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27833 w_rx_24_fifo_data[18] +.sym 27836 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27837 w_rx_24_fifo_data[3] +.sym 27840 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27841 w_rx_24_fifo_data[0] +.sym 27844 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27845 w_rx_24_fifo_data[24] +.sym 27852 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27853 w_rx_24_fifo_data[23] +.sym 27856 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27857 w_rx_24_fifo_data[22] +.sym 27860 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27861 w_rx_24_fifo_data[27] +.sym 27864 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27865 w_rx_24_fifo_data[19] +.sym 27868 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27869 w_rx_24_fifo_data[25] +.sym 27872 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27873 w_rx_24_fifo_data[21] +.sym 27874 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 27875 rx_24_fifo.rd_addr_gray_wr_r[7] +.sym 27876 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[6] +.sym 27877 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] +.sym 27880 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27881 w_rx_24_fifo_data[28] +.sym 27883 lvds_rx_24_inst.r_push_SB_LUT4_I3_O[0] +.sym 27884 lvds_rx_24_inst.r_push_SB_LUT4_I3_O[1] +.sym 27885 lvds_rx_24_inst.r_push_SB_LUT4_I3_O[2] +.sym 27888 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27889 w_rx_24_fifo_data[26] +.sym 27896 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 27897 w_rx_24_fifo_data[20] +.sym 27900 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] +.sym 27901 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] +.sym 27902 rx_24_fifo.full_o_SB_LUT4_I3_O[0] +.sym 27903 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[2] +.sym 27904 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[3] +.sym 27905 w_rx_24_fifo_push +.sym 27907 rx_24_fifo.wr_addr[1] +.sym 27912 rx_24_fifo.wr_addr[2] +.sym 27913 rx_24_fifo.wr_addr[1] +.sym 27916 rx_24_fifo.wr_addr[3] +.sym 27917 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[2] +.sym 27920 rx_24_fifo.wr_addr[4] +.sym 27921 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[3] +.sym 27924 rx_24_fifo.wr_addr[5] +.sym 27925 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[4] +.sym 27928 rx_24_fifo.wr_addr[6] +.sym 27929 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[5] +.sym 27932 rx_24_fifo.wr_addr[7] +.sym 27933 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[6] +.sym 27935 lvds_rx_24_inst.r_state_if[0] +.sym 27936 w_rx_24_fifo_full +.sym 27937 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 27938 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 27942 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] +.sym 27946 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] +.sym 27950 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] +.sym 27954 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 27958 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 27962 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] +.sym 27969 rx_24_fifo.wr_addr[0] +.sym 27971 rx_24_fifo.wr_addr[0] +.sym 27976 rx_24_fifo.wr_addr[1] +.sym 27977 rx_24_fifo.wr_addr[0] +.sym 27980 rx_24_fifo.wr_addr[2] +.sym 27981 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] +.sym 27984 rx_24_fifo.wr_addr[3] +.sym 27985 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] +.sym 27988 rx_24_fifo.wr_addr[4] +.sym 27989 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] +.sym 27992 rx_24_fifo.wr_addr[5] +.sym 27993 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] +.sym 27996 rx_24_fifo.wr_addr[6] +.sym 27997 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] +.sym 28000 rx_24_fifo.wr_addr[7] +.sym 28001 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3[7] +.sym 28002 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28006 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 28019 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 28020 lvds_rx_24_inst.r_state_if[0] +.sym 28021 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 28023 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] +.sym 28024 w_lvds_rx_09_d1_SB_LUT4_I0_O[1] +.sym 28025 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 28028 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 28029 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] +.sym 28030 w_lvds_rx_09_d1 +.sym 28031 w_lvds_rx_09_d0 +.sym 28032 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 28033 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 28034 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28038 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0[2] +.sym 28039 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 28040 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] +.sym 28041 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 28044 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 28045 lvds_rx_24_inst.r_state_if[0] +.sym 28047 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 28048 lvds_rx_24_inst.r_state_if[0] +.sym 28049 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 28050 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[3] +.sym 28056 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 28057 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 28058 w_lvds_rx_24_d1 +.sym 28059 w_lvds_rx_24_d0 +.sym 28060 lvds_rx_24_inst.r_state_if[0] +.sym 28061 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 28063 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 28064 lvds_rx_24_inst.r_state_if[0] +.sym 28065 lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0[2] +.sym 28067 lvds_rx_24_inst.r_phase_count[0] +.sym 28071 lvds_rx_24_inst.r_phase_count[1] +.sym 28072 $PACKER_VCC_NET +.sym 28073 lvds_rx_24_inst.r_phase_count[0] +.sym 28074 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[0] +.sym 28076 $PACKER_VCC_NET +.sym 28077 lvds_rx_24_inst.r_phase_count_SB_CARRY_CI_CO[2] +.sym 28079 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 28080 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[2] +.sym 28081 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[0] +.sym 28082 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 28083 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[3] +.sym 28084 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[2] +.sym 28085 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 28088 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28089 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 28090 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[0] +.sym 28091 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[3] +.sym 28092 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[1] +.sym 28093 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 28094 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[0] +.sym 28095 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 28096 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[2] +.sym 28097 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[3] +.sym 28117 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3[0] +.sym 28121 lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3[2] +.sym 28196 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28197 lvds_rx_09_inst.o_fifo_data[24] +.sym 28200 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28201 lvds_rx_09_inst.o_fifo_data[26] +.sym 28204 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28205 lvds_rx_09_inst.o_fifo_data[22] +.sym 28208 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28209 lvds_rx_09_inst.o_fifo_data[28] +.sym 28212 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28213 lvds_rx_09_inst.o_fifo_data[25] +.sym 28216 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28217 lvds_rx_09_inst.o_fifo_data[20] +.sym 28220 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28221 lvds_rx_09_inst.o_fifo_data[18] +.sym 28224 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28225 lvds_rx_09_inst.o_fifo_data[16] +.sym 28228 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28229 lvds_rx_09_inst.o_fifo_data[14] +.sym 28236 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28237 lvds_rx_09_inst.o_fifo_data[12] +.sym 28244 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28245 lvds_rx_09_inst.o_fifo_data[15] +.sym 28248 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28249 lvds_rx_09_inst.o_fifo_data[13] +.sym 28253 lvds_rx_09_inst.o_fifo_data[31] +.sym 28260 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28261 lvds_rx_09_inst.o_fifo_data[6] +.sym 28264 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28265 lvds_rx_09_inst.o_fifo_data[7] +.sym 28268 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28269 io_pmod[7]$SB_IO_IN +.sym 28272 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28273 lvds_rx_09_inst.o_fifo_data[9] +.sym 28276 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28277 lvds_rx_09_inst.o_fifo_data[11] +.sym 28280 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28281 io_pmod[6]$SB_IO_IN +.sym 28284 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28285 lvds_rx_09_inst.o_fifo_data[8] +.sym 28288 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28289 lvds_rx_09_inst.o_fifo_data[10] +.sym 28290 w_rx_24_fifo_pulled_data[13] +.sym 28291 w_rx_24_fifo_pulled_data[5] +.sym 28292 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 28293 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28304 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28305 w_rx_09_fifo_data[0] +.sym 28306 w_rx_24_fifo_pulled_data[10] +.sym 28307 w_rx_24_fifo_pulled_data[2] +.sym 28308 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 28309 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28310 w_rx_24_fifo_pulled_data[12] +.sym 28311 w_rx_24_fifo_pulled_data[4] +.sym 28312 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 28313 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28315 i_smi_a1_SB_LUT4_I2_O[0] +.sym 28316 i_smi_a1_SB_LUT4_I2_O[1] +.sym 28317 i_smi_a1_SB_LUT4_I2_O[2] +.sym 28323 smi_ctrl_ins.int_cnt_24[4] +.sym 28324 $PACKER_VCC_NET +.sym 28325 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28326 i_smi_a1_SB_LUT4_I2_O[1] +.sym 28327 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 28328 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 28329 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 28330 w_rx_24_fifo_pulled_data[11] +.sym 28331 w_rx_24_fifo_pulled_data[3] +.sym 28332 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 28333 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28336 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28337 w_rx_24_fifo_data[4] +.sym 28338 i_smi_a1_SB_LUT4_I2_O[1] +.sym 28339 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 28340 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 28341 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 28342 i_smi_a1_SB_LUT4_I2_O[1] +.sym 28343 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 28344 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 28345 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 28346 w_rx_24_fifo_pulled_data[9] +.sym 28347 w_rx_24_fifo_pulled_data[1] +.sym 28348 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 28349 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28350 i_smi_a1_SB_LUT4_I2_O[1] +.sym 28351 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 28352 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 28353 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 28356 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28357 w_rx_24_fifo_data[16] +.sym 28360 lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28361 w_rx_24_fifo_data[29] +.sym 28362 w_rx_24_fifo_pulled_data[25] +.sym 28363 w_rx_24_fifo_pulled_data[17] +.sym 28364 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28365 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 28366 w_rx_24_fifo_pulled_data[29] +.sym 28367 w_rx_24_fifo_pulled_data[21] +.sym 28368 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28369 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 28370 w_rx_24_fifo_pulled_data[26] +.sym 28371 w_rx_24_fifo_pulled_data[18] +.sym 28372 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28373 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 28374 w_rx_24_fifo_pulled_data[30] +.sym 28375 w_rx_24_fifo_pulled_data[22] +.sym 28376 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28377 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 28378 i_smi_a1_SB_LUT4_I2_O[0] +.sym 28379 w_rx_24_fifo_pulled_data[16] +.sym 28380 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28381 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 28382 w_rx_24_fifo_pulled_data[27] +.sym 28383 w_rx_24_fifo_pulled_data[19] +.sym 28384 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28385 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 28389 w_rx_24_fifo_data[24] +.sym 28390 i_smi_a1_SB_LUT4_I1_O[1] +.sym 28396 rx_24_fifo.wr_addr[0] +.sym 28397 rx_24_fifo.rd_addr_gray_wr_r[0] +.sym 28398 i_smi_soe_se$rename$0 +.sym 28402 w_rx_24_fifo_pulled_data[8] +.sym 28403 w_rx_24_fifo_pulled_data[24] +.sym 28404 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28405 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 28409 w_rx_24_fifo_data[25] +.sym 28412 rx_24_fifo.rd_addr_gray_wr_r[4] +.sym 28413 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[3] +.sym 28418 rx_24_fifo.rd_addr_gray_wr[7] +.sym 28422 rx_24_fifo.rd_addr[7] +.sym 28427 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1[0] +.sym 28428 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1[1] +.sym 28429 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1[2] +.sym 28431 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 28432 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[1] +.sym 28433 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[2] +.sym 28434 rx_24_fifo.rd_addr_gray_wr[3] +.sym 28438 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I0[0] +.sym 28439 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[4] +.sym 28440 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 28441 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[5] +.sym 28442 rx_24_fifo.rd_addr_gray[3] +.sym 28446 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I0[0] +.sym 28447 rx_24_fifo.rd_addr_gray_wr_r[1] +.sym 28448 lvds_rx_24_inst.r_push_SB_LUT4_I3_I1[1] +.sym 28449 rx_24_fifo.wr_addr[1] +.sym 28450 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 28451 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 28452 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 28453 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 28454 w_rx_24_fifo_push +.sym 28455 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[7] +.sym 28456 rx_24_fifo.rd_addr_gray_wr_r[7] +.sym 28457 w_rx_24_fifo_full +.sym 28460 rx_24_fifo.rd_addr_gray_wr_r[1] +.sym 28461 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] +.sym 28462 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 28463 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 28464 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 28465 rx_24_fifo.rd_addr_gray_wr_r[6] +.sym 28466 rx_24_fifo.full_o_SB_LUT4_I3_O[0] +.sym 28467 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 28468 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 28469 rx_24_fifo.full_o_SB_LUT4_I3_O[3] +.sym 28474 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 28478 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 28482 rx_24_fifo.rd_addr_gray_wr_r[0] +.sym 28483 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 28484 rx_24_fifo.rd_addr_gray_wr_r[5] +.sym 28485 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 28486 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.sym 28487 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.sym 28488 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.sym 28489 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.sym 28492 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] +.sym 28493 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[6] +.sym 28496 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 28497 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 28508 rx_24_fifo.wr_addr[0] +.sym 28509 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] +.sym 28510 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[0] +.sym 28511 rx_24_fifo.rd_addr_gray_wr_r[4] +.sym 28512 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 28513 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[3] +.sym 28516 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 28517 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[5] +.sym 28518 rx_24_fifo.rd_addr_gray_wr[0] +.sym 28524 lvds_rx_24_inst.r_state_if[0] +.sym 28525 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 28528 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 28529 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 28530 rx_24_fifo.rd_addr_gray_wr[2] +.sym 28534 rx_24_fifo.rd_addr_gray_wr[6] +.sym 28542 rx_24_fifo.rd_addr_gray_wr[5] +.sym 28548 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 28549 w_lvds_rx_24_d1 +.sym 28556 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 28557 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 28564 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 28565 w_lvds_rx_24_d1_SB_LUT4_I0_O[1] +.sym 28572 lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1[3] +.sym 28573 w_lvds_rx_24_d0 +.sym 28582 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 28590 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 28598 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 28606 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 28614 rx_24_fifo.wr_addr_gray[5] +.sym 28626 rx_24_fifo.wr_addr_gray[0] +.sym 28638 rx_24_fifo.wr_addr_gray[4] +.sym 28708 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28709 lvds_rx_09_inst.o_fifo_data[23] +.sym 28716 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28717 lvds_rx_09_inst.o_fifo_data[21] +.sym 28721 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O +.sym 28724 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28725 lvds_rx_09_inst.o_fifo_data[17] +.sym 28728 i_smi_a3$SB_IO_IN +.sym 28729 w_smi_data_output[6] +.sym 28736 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28737 lvds_rx_09_inst.o_fifo_data[19] +.sym 28738 w_rx_09_fifo_pulled_data[5] +.sym 28739 w_rx_09_fifo_pulled_data[21] +.sym 28740 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28741 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 28742 w_rx_09_fifo_pulled_data[7] +.sym 28743 w_rx_09_fifo_pulled_data[23] +.sym 28744 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28745 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 28749 rx_09_fifo.rd_addr[0] +.sym 28750 w_rx_09_fifo_pulled_data[11] +.sym 28751 w_rx_09_fifo_pulled_data[27] +.sym 28752 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28753 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 28754 w_rx_09_fifo_pulled_data[3] +.sym 28755 w_rx_09_fifo_pulled_data[19] +.sym 28756 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28757 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 28760 i_smi_a3$SB_IO_IN +.sym 28761 w_smi_data_output[7] +.sym 28762 w_rx_09_fifo_pulled_data[15] +.sym 28763 w_rx_09_fifo_pulled_data[31] +.sym 28764 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28765 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 28766 w_rx_09_fifo_pulled_data[6] +.sym 28767 w_rx_09_fifo_pulled_data[22] +.sym 28768 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28769 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 28770 w_rx_09_fifo_pulled_data[9] +.sym 28771 w_rx_09_fifo_pulled_data[25] +.sym 28772 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28773 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 28774 w_rx_09_fifo_pulled_data[1] +.sym 28775 w_rx_09_fifo_pulled_data[17] +.sym 28776 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28777 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 28778 w_rx_09_fifo_pulled_data[13] +.sym 28779 w_rx_09_fifo_pulled_data[29] +.sym 28780 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28781 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 28782 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 28783 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.sym 28784 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28785 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 28786 w_rx_09_fifo_pulled_data[4] +.sym 28787 w_rx_09_fifo_pulled_data[20] +.sym 28788 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28789 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 28790 w_rx_09_fifo_pulled_data[2] +.sym 28791 w_rx_09_fifo_pulled_data[18] +.sym 28792 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28793 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 28794 w_rx_09_fifo_pulled_data[12] +.sym 28795 w_rx_09_fifo_pulled_data[28] +.sym 28796 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28797 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 28798 w_rx_09_fifo_pulled_data[8] +.sym 28799 w_rx_09_fifo_pulled_data[24] +.sym 28800 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28801 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 28803 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28807 smi_ctrl_ins.int_cnt_09[4] +.sym 28808 $PACKER_VCC_NET +.sym 28809 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28811 smi_ctrl_ins.int_cnt_09[5] +.sym 28812 $PACKER_VCC_NET +.sym 28813 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[2] +.sym 28815 w_rx_09_fifo_pulled_data[0] +.sym 28816 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 28817 i_smi_a1_SB_LUT4_I2_O[2] +.sym 28818 i_smi_a1_SB_LUT4_I2_O[1] +.sym 28819 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 28820 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 28821 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 28822 w_rx_24_fifo_pulled_data[15] +.sym 28823 w_rx_24_fifo_pulled_data[7] +.sym 28824 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 28825 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28827 i_smi_a1_SB_LUT4_I1_O[0] +.sym 28828 i_smi_a1_SB_LUT4_I1_O[1] +.sym 28829 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[2] +.sym 28830 i_smi_a1_SB_LUT4_I2_O[1] +.sym 28831 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[1] +.sym 28832 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[2] +.sym 28833 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 28835 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28839 smi_ctrl_ins.int_cnt_24[4] +.sym 28840 $PACKER_VCC_NET +.sym 28843 smi_ctrl_ins.int_cnt_24[5] +.sym 28844 $PACKER_VCC_NET +.sym 28845 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[2] +.sym 28846 w_rx_24_fifo_pulled_data[14] +.sym 28847 w_rx_24_fifo_pulled_data[6] +.sym 28848 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 28849 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28850 i_smi_a1_SB_LUT4_I2_O[1] +.sym 28851 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[1] +.sym 28852 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1[2] +.sym 28853 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O[3] +.sym 28855 i_smi_a1_SB_LUT4_I1_O[1] +.sym 28856 i_smi_a1_SB_LUT4_I1_O[0] +.sym 28857 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 28859 i_smi_a1_SB_LUT4_I1_O[1] +.sym 28860 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 28861 i_smi_a1_SB_LUT4_I1_O[0] +.sym 28867 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO_CI[3] +.sym 28872 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1[4] +.sym 28876 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO_CI[5] +.sym 28879 $PACKER_VCC_NET +.sym 28881 $nextpnr_ICESTORM_LC_1$I3 +.sym 28883 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[0] +.sym 28884 i_smi_a1_SB_LUT4_I1_O[1] +.sym 28885 $nextpnr_ICESTORM_LC_1$COUT +.sym 28887 i_smi_a1_SB_LUT4_I1_O[1] +.sym 28888 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 28889 i_smi_a1_SB_LUT4_I1_O[0] +.sym 28893 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28894 w_rx_24_fifo_pulled_data[28] +.sym 28895 w_rx_24_fifo_pulled_data[20] +.sym 28896 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28897 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 28899 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1[4] +.sym 28904 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO_CI[5] +.sym 28909 $nextpnr_ICESTORM_LC_18$I3 +.sym 28913 smi_ctrl_ins.int_cnt_24[5] +.sym 28915 i_smi_a1_SB_LUT4_I1_O[1] +.sym 28916 i_smi_a1_SB_LUT4_I2_O[1] +.sym 28917 i_smi_a1_SB_LUT4_I1_O[0] +.sym 28918 smi_ctrl_ins.int_cnt_24[5] +.sym 28919 smi_ctrl_ins.int_cnt_24[4] +.sym 28920 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28921 w_rx_24_fifo_empty +.sym 28922 i_smi_a1_SB_LUT4_I1_O[0] +.sym 28923 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1[5] +.sym 28924 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 28925 i_smi_a1_SB_LUT4_I1_O[1] +.sym 28926 w_rx_24_fifo_pulled_data[31] +.sym 28927 w_rx_24_fifo_pulled_data[23] +.sym 28928 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 28929 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 28934 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 28935 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[1] +.sym 28936 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[2] +.sym 28937 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[3] +.sym 28938 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0[0] +.sym 28939 rx_24_fifo.rd_addr[1] +.sym 28940 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 28941 rx_24_fifo.rd_addr[2] +.sym 28944 rx_24_fifo.rd_addr[0] +.sym 28945 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 28946 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 28947 rx_24_fifo.rd_addr[2] +.sym 28948 rx_24_fifo.rd_addr[3] +.sym 28949 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3[3] +.sym 28952 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 28953 w_rx_24_fifo_pull +.sym 28955 i_smi_a1_SB_LUT4_I1_O[0] +.sym 28956 i_smi_a1_SB_LUT4_I1_O[1] +.sym 28957 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 28960 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[1] +.sym 28961 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 28962 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I0[0] +.sym 28963 rx_24_fifo.rd_addr[5] +.sym 28964 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[0] +.sym 28965 rx_24_fifo.rd_addr[6] +.sym 28968 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 28969 rx_24_fifo.rd_addr[4] +.sym 28972 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[3] +.sym 28973 w_lvds_rx_09_d0 +.sym 28975 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[0] +.sym 28976 rx_24_fifo.rd_addr[3] +.sym 28977 rx_24_fifo.rd_addr[4] +.sym 28980 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[3] +.sym 28981 w_lvds_rx_09_d1 +.sym 28984 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 28985 w_rx_09_fifo_data[1] +.sym 28988 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 28989 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 28994 rx_24_fifo.wr_addr_gray_rd[2] +.sym 28998 rx_24_fifo.wr_addr_gray_rd[3] +.sym 29003 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[0] +.sym 29004 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 29005 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[2] +.sym 29006 rx_24_fifo.wr_addr_gray_rd[1] +.sym 29010 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[0] +.sym 29011 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 29012 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 29013 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[3] +.sym 29014 rx_24_fifo.wr_addr_gray_rd[4] +.sym 29020 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 29021 w_rx_24_fifo_push +.sym 29022 rx_24_fifo.wr_addr_gray[3] +.sym 29030 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[0] +.sym 29031 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 29032 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 29033 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O[3] +.sym 29034 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[0] +.sym 29035 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[1] +.sym 29036 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[2] +.sym 29037 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[3] +.sym 29038 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 29039 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 29040 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 29041 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 29042 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 29043 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 29044 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 29045 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 29048 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 29049 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 29055 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 29056 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[2] +.sym 29057 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 29060 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3[0] +.sym 29061 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3[1] +.sym 29062 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 29063 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 29064 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[2] +.sym 29065 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[3] +.sym 29066 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 29067 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[1] +.sym 29068 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[1] +.sym 29069 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[3] +.sym 29076 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 29077 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 29081 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1[0] +.sym 29083 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 29084 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 29085 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 29091 lvds_rx_09_inst.r_phase_count[0] +.sym 29095 lvds_rx_09_inst.r_phase_count[1] +.sym 29096 $PACKER_VCC_NET +.sym 29097 lvds_rx_09_inst.r_phase_count[0] +.sym 29098 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3[1] +.sym 29100 $PACKER_VCC_NET +.sym 29101 lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO[2] +.sym 29105 lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3[0] +.sym 29106 rx_24_fifo.wr_addr_gray_rd[0] +.sym 29110 rx_24_fifo.wr_addr_gray[2] +.sym 29138 rx_24_fifo.wr_addr_gray_rd[5] +.sym 29219 rx_09_fifo.rd_addr[0] +.sym 29224 rx_09_fifo.rd_addr[1] +.sym 29225 rx_09_fifo.rd_addr[0] +.sym 29228 rx_09_fifo.rd_addr[2] +.sym 29229 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] +.sym 29232 rx_09_fifo.rd_addr[3] +.sym 29233 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] +.sym 29236 rx_09_fifo.rd_addr[4] +.sym 29237 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] +.sym 29240 rx_09_fifo.rd_addr[5] +.sym 29241 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] +.sym 29244 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 29245 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] +.sym 29248 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 29249 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] +.sym 29250 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[3] +.sym 29251 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[0] +.sym 29252 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 29253 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 29254 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 29258 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 29264 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O[0] +.sym 29265 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O[1] +.sym 29268 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 29269 i_smi_a1_SB_LUT4_I3_O[1] +.sym 29274 rx_09_fifo.wr_addr_gray_rd_r[1] +.sym 29275 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 29276 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 29277 i_smi_a1_SB_LUT4_I3_O[1] +.sym 29278 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 29284 rx_09_fifo.wr_addr[0] +.sym 29285 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 29286 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] +.sym 29287 rx_09_fifo.rd_addr[4] +.sym 29288 rx_09_fifo.rd_addr[5] +.sym 29289 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O[3] +.sym 29290 w_rx_09_fifo_pulled_data[10] +.sym 29291 w_rx_09_fifo_pulled_data[26] +.sym 29292 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 29293 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_I3[3] +.sym 29296 i_smi_a3$SB_IO_IN +.sym 29297 w_smi_data_output[1] +.sym 29301 rx_09_fifo.wr_addr[0] +.sym 29306 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[0] +.sym 29307 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 29308 i_smi_a1_SB_LUT4_I3_O[1] +.sym 29309 io_pmod[2]$SB_IO_IN +.sym 29310 w_rx_09_fifo_pulled_data[0] +.sym 29311 w_rx_09_fifo_pulled_data[16] +.sym 29312 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[1] +.sym 29313 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 29314 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 29315 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[1] +.sym 29316 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 29317 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1[3] +.sym 29322 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[0] +.sym 29323 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[1] +.sym 29324 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0[2] +.sym 29325 i_smi_a1_SB_LUT4_I2_O[2] +.sym 29326 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 29327 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[1] +.sym 29328 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 29329 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1[3] +.sym 29332 i_smi_a3$SB_IO_IN +.sym 29333 w_smi_data_output[2] +.sym 29334 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 29335 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[1] +.sym 29336 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 29337 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1[3] +.sym 29338 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 29339 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[1] +.sym 29340 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 29341 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1[3] +.sym 29342 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 29343 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 29344 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 29345 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[3] +.sym 29350 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 29351 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[1] +.sym 29352 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 29353 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1[3] +.sym 29355 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[0] +.sym 29356 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] +.sym 29357 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 29360 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1[1] +.sym 29361 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 29365 smi_ctrl_ins.int_cnt_09[5] +.sym 29366 smi_ctrl_ins.int_cnt_09[4] +.sym 29367 smi_ctrl_ins.int_cnt_09[5] +.sym 29368 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 29369 io_pmod[2]$SB_IO_IN +.sym 29373 smi_ctrl_ins.int_cnt_09[4] +.sym 29374 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[2] +.sym 29375 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[1] +.sym 29376 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 29377 smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1[3] +.sym 29379 i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1[4] +.sym 29384 i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1[5] +.sym 29389 $nextpnr_ICESTORM_LC_20$I3 +.sym 29393 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3[1] +.sym 29394 w_rx_24_fifo_pull +.sym 29395 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1[2] +.sym 29396 i_smi_a2$SB_IO_IN +.sym 29397 i_smi_a1_SB_LUT4_I1_O[1] +.sym 29399 i_smi_a1_SB_LUT4_I1_O[1] +.sym 29400 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3[1] +.sym 29401 i_smi_a1_SB_LUT4_I1_O[0] +.sym 29403 i_smi_a1_SB_LUT4_I1_O[1] +.sym 29404 i_smi_a1_SB_LUT4_I1_O[0] +.sym 29405 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2[1] +.sym 29406 i_smi_a1_SB_LUT4_I1_O[0] +.sym 29407 smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I1[5] +.sym 29408 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[2] +.sym 29409 i_smi_a1_SB_LUT4_I1_O[1] +.sym 29417 smi_ctrl_ins.int_cnt_24[4] +.sym 29418 w_rx_09_fifo_full +.sym 29422 io_pmod[2]$SB_IO_IN +.sym 29426 w_rx_24_fifo_full +.sym 29431 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[0] +.sym 29432 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[1] +.sym 29433 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 29434 i_smi_a1_SB_LUT4_I1_O[0] +.sym 29435 i_smi_a1_SB_LUT4_I1_O[1] +.sym 29436 i_smi_a1_SB_LUT4_I1_O[2] +.sym 29437 i_smi_a1_SB_LUT4_I1_O[3] +.sym 29439 i_smi_a1$SB_IO_IN +.sym 29440 i_smi_a2$SB_IO_IN +.sym 29441 i_smi_a3$SB_IO_IN +.sym 29442 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[1] +.sym 29443 rx_24_fifo.rd_addr[7] +.sym 29444 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 29445 rx_24_fifo.rd_addr[6] +.sym 29447 w_rx_24_fifo_pull +.sym 29448 w_rx_24_fifo_empty +.sym 29449 rx_24_fifo.empty_o_SB_LUT4_I2_I3[2] +.sym 29453 rx_24_fifo.rd_addr[0] +.sym 29455 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3[0] +.sym 29456 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 29457 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 29458 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 29462 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[1] +.sym 29466 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 29470 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 29475 rx_24_fifo.rd_addr[0] +.sym 29480 rx_24_fifo.rd_addr[1] +.sym 29481 rx_24_fifo.rd_addr[0] +.sym 29484 rx_24_fifo.rd_addr[2] +.sym 29485 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[2] +.sym 29488 rx_24_fifo.rd_addr[3] +.sym 29489 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[3] +.sym 29492 rx_24_fifo.rd_addr[4] +.sym 29493 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[4] +.sym 29496 rx_24_fifo.rd_addr[5] +.sym 29497 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[5] +.sym 29500 rx_24_fifo.rd_addr[6] +.sym 29501 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[6] +.sym 29504 rx_24_fifo.rd_addr[7] +.sym 29505 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3[7] +.sym 29508 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 29509 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 29510 rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 29515 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[0] +.sym 29516 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[1] +.sym 29517 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[2] +.sym 29524 rx_24_fifo.full_o_SB_LUT4_I3_O[1] +.sym 29525 rx_24_fifo.full_o_SB_LUT4_I3_O[2] +.sym 29528 rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O[1] +.sym 29529 rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.sym 29532 rx_24_fifo.rd_addr[0] +.sym 29533 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 29536 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 29537 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[1] +.sym 29542 rx_24_fifo.rd_addr_gray_wr[1] +.sym 29550 rx_24_fifo.rd_addr_gray[4] +.sym 29554 rx_24_fifo.rd_addr_gray[5] +.sym 29562 rx_24_fifo.rd_addr_gray_wr[4] +.sym 29566 rx_24_fifo.rd_addr_gray[2] +.sym 29571 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 29572 w_rx_09_fifo_full +.sym 29573 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[3] +.sym 29595 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O[0] +.sym 29596 w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2[0] +.sym 29597 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 29608 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[1] +.sym 29609 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[2] +.sym 29610 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D[1] +.sym 29614 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[2] +.sym 29618 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 29624 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 29625 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 29732 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 29733 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 29736 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 29737 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 29738 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0[0] +.sym 29739 rx_09_fifo.rd_addr[2] +.sym 29740 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 29741 rx_09_fifo.rd_addr[3] +.sym 29744 rx_09_fifo.wr_addr_gray_rd_r[1] +.sym 29745 rx_09_fifo.rd_addr[1] +.sym 29747 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[0] +.sym 29748 rx_09_fifo.rd_addr[3] +.sym 29749 rx_09_fifo.rd_addr[4] +.sym 29750 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 29754 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[4] +.sym 29760 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 29761 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[3] +.sym 29764 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 29765 w_rx_09_fifo_push +.sym 29766 rx_09_fifo.wr_addr_gray_rd[1] +.sym 29770 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[0] +.sym 29771 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 29772 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[2] +.sym 29773 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[3] +.sym 29776 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 29777 rx_09_fifo.rd_addr[5] +.sym 29778 rx_09_fifo.wr_addr_gray_rd[7] +.sym 29783 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O[0] +.sym 29784 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 29785 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O[2] +.sym 29786 rx_09_fifo.wr_addr_gray[5] +.sym 29790 rx_09_fifo.wr_addr_gray[1] +.sym 29795 rx_09_fifo.wr_addr[0] +.sym 29800 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 29801 rx_09_fifo.wr_addr[0] +.sym 29804 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 29805 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[2] +.sym 29808 rx_09_fifo.wr_addr[3] +.sym 29809 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[3] +.sym 29812 rx_09_fifo.wr_addr[4] +.sym 29813 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[4] +.sym 29816 rx_09_fifo.wr_addr[5] +.sym 29817 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[5] +.sym 29820 rx_09_fifo.wr_addr[6] +.sym 29821 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[6] +.sym 29824 rx_09_fifo.wr_addr[7] +.sym 29825 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3[7] +.sym 29827 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 29828 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[1] +.sym 29829 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[2] +.sym 29831 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[0] +.sym 29832 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[1] +.sym 29833 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1[2] +.sym 29836 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 29837 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 29838 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 29839 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 29840 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 29841 rx_09_fifo.full_o_SB_LUT4_I3_O[3] +.sym 29842 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[0] +.sym 29843 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[1] +.sym 29844 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 29845 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 29846 w_rx_09_fifo_push +.sym 29847 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 29848 rx_09_fifo.rd_addr_gray_wr_r[7] +.sym 29849 w_rx_09_fifo_full +.sym 29852 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 29853 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 29856 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 29857 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 29862 rx_09_fifo.rd_addr_gray_wr_r[3] +.sym 29863 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 29864 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 29865 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 29866 i_smi_a1_SB_LUT4_I1_O[0] +.sym 29867 i_smi_a1_SB_LUT4_I1_O[1] +.sym 29868 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2[2] +.sym 29869 i_smi_a1_SB_LUT4_I2_O[2] +.sym 29872 rx_09_fifo.wr_addr[0] +.sym 29873 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 29874 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.sym 29875 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.sym 29876 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.sym 29877 rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.sym 29878 rx_09_fifo.rd_addr_gray_wr[7] +.sym 29882 i_smi_a1_SB_LUT4_I3_O[0] +.sym 29883 i_smi_a1_SB_LUT4_I3_O[1] +.sym 29884 i_smi_a1_SB_LUT4_I3_O[2] +.sym 29885 i_smi_a1_SB_LUT4_I3_O[3] +.sym 29886 rx_09_fifo.rd_addr_gray_wr_r[0] +.sym 29887 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 29888 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[0] +.sym 29889 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 29891 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2[0] +.sym 29896 i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1[4] +.sym 29900 i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1[5] +.sym 29903 $PACKER_VCC_NET +.sym 29905 $nextpnr_ICESTORM_LC_4$I3 +.sym 29907 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[0] +.sym 29908 i_smi_a1_SB_LUT4_I1_O[1] +.sym 29909 $nextpnr_ICESTORM_LC_4$COUT +.sym 29910 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 29914 i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1[2] +.sym 29915 i_smi_a1_SB_LUT4_I3_O[0] +.sym 29916 i_smi_a2$SB_IO_IN +.sym 29917 i_smi_a1$SB_IO_IN +.sym 29926 rx_24_fifo.wr_addr_gray_rd[7] +.sym 29933 w_tx_data_smi[3] +.sym 29934 rx_24_fifo.wr_addr_gray_rd[6] +.sym 29938 rx_24_fifo.wr_addr[7] +.sym 29947 i_smi_a2$SB_IO_IN +.sym 29948 i_smi_a1$SB_IO_IN +.sym 29949 i_smi_a3$SB_IO_IN +.sym 29954 w_tx_data_smi[2] +.sym 29955 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 29956 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 29957 w_tx_data_io[2] +.sym 29961 w_tx_data_smi[0] +.sym 29968 spi_if_ins.w_rx_data[5] +.sym 29969 spi_if_ins.w_rx_data[6] +.sym 29972 spi_if_ins.w_rx_data[5] +.sym 29973 spi_if_ins.w_rx_data[6] +.sym 29980 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 29981 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O[1] +.sym 29984 spi_if_ins.w_rx_data[6] +.sym 29985 spi_if_ins.w_rx_data[5] +.sym 29990 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 29991 w_ioc[1] +.sym 29992 w_cs[2] +.sym 29993 w_fetch +.sym 29996 spi_if_ins.w_rx_data[5] +.sym 29997 spi_if_ins.w_rx_data[6] +.sym 30000 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 30001 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O[2] +.sym 30002 spi_if_ins.o_cs_SB_DFFESR_Q_R[0] +.sym 30006 w_cs[0] +.sym 30007 w_cs[2] +.sym 30008 w_cs[3] +.sym 30009 w_cs[1] +.sym 30010 w_cs[0] +.sym 30011 w_cs[1] +.sym 30012 w_cs[3] +.sym 30013 w_cs[2] +.sym 30014 smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_I0[0] +.sym 30015 rx_24_fifo.wr_addr_gray_rd_r[7] +.sym 30016 rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 30017 w_rx_24_fifo_pull +.sym 30022 rx_24_fifo.wr_addr_gray[1] +.sym 30027 w_fetch +.sym 30028 w_load +.sym 30029 w_cs[1] +.sym 30034 rx_24_fifo.wr_addr_gray[6] +.sym 30039 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 30040 w_cs[1] +.sym 30041 w_fetch +.sym 30042 spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O +.sym 30053 w_cs[0] +.sym 30059 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 30060 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 30061 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] +.sym 30078 $PACKER_VCC_NET +.sym 30082 rx_24_fifo.rd_addr_gray[6] +.sym 30091 w_ioc[0] +.sym 30092 w_ioc[1] +.sym 30093 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] +.sym 30097 io_ctrl_ins.pmod_state_SB_DFFE_Q_E +.sym 30098 rx_24_fifo.rd_addr_gray[0] +.sym 30106 rx_24_fifo.rd_addr_gray[1] +.sym 30111 w_ioc[0] +.sym 30112 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 30113 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 30118 w_rx_data[2] +.sym 30129 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E +.sym 30133 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_R +.sym 30142 w_rx_data[1] +.sym 30166 io_ctrl_ins.o_pmod[2] +.sym 30167 o_shdn_tx_lna$SB_IO_OUT +.sym 30168 w_ioc[0] +.sym 30169 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 30170 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[0] +.sym 30171 i_button_SB_LUT4_I3_O[1] +.sym 30172 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[2] +.sym 30173 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2[3] +.sym 30242 rx_09_fifo.wr_addr_gray_rd[6] +.sym 30246 rx_09_fifo.wr_addr_gray_rd[5] +.sym 30252 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[5] +.sym 30253 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 30254 rx_09_fifo.wr_addr_gray_rd[2] +.sym 30258 rx_09_fifo.wr_addr_gray[6] +.sym 30262 smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_1_I0[0] +.sym 30263 rx_09_fifo.rd_addr[0] +.sym 30264 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 30265 rx_09_fifo.rd_addr[1] +.sym 30266 rx_09_fifo.wr_addr_gray[2] +.sym 30270 rx_09_fifo.wr_addr_gray[3] +.sym 30276 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 30277 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 30278 rx_09_fifo.full_o_SB_LUT4_I3_O[2] +.sym 30282 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 30286 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 30290 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 30294 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 30298 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 30302 rx_09_fifo.full_o_SB_LUT4_I3_O[1] +.sym 30306 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 30310 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[1] +.sym 30314 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 30319 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[0] +.sym 30320 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[1] +.sym 30321 lvds_rx_09_inst.r_push_SB_LUT4_I3_O[2] +.sym 30322 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 30326 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 30330 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 30334 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 30335 rx_09_fifo.rd_addr_gray_wr_r[7] +.sym 30336 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[6] +.sym 30337 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[5] +.sym 30340 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[4] +.sym 30341 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[5] +.sym 30342 rx_09_fifo.rd_addr_gray_wr[2] +.sym 30346 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[1] +.sym 30352 rx_09_fifo.full_o_SB_LUT4_I3_O[0] +.sym 30353 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[2] +.sym 30354 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_1_I0[2] +.sym 30355 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[1] +.sym 30356 rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D[2] +.sym 30357 rx_09_fifo.rd_addr_gray_wr_r[6] +.sym 30358 rx_09_fifo.rd_addr_gray_wr[0] +.sym 30364 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[7] +.sym 30365 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O[6] +.sym 30366 rx_09_fifo.rd_addr_gray_wr[1] +.sym 30370 i_smi_a1_SB_LUT4_I1_O[0] +.sym 30371 i_smi_a1_SB_LUT4_I1_O[1] +.sym 30372 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 30373 i_smi_a3$SB_IO_IN +.sym 30376 i_smi_a3$SB_IO_IN +.sym 30377 w_smi_data_output[3] +.sym 30378 r_tx_data[3] +.sym 30382 r_tx_data[7] +.sym 30388 i_smi_a3$SB_IO_IN +.sym 30389 w_smi_data_output[4] +.sym 30394 r_tx_data[5] +.sym 30398 r_tx_data[6] +.sym 30404 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 30405 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 30416 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 30417 spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D[1] +.sym 30438 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 30443 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 30444 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 30445 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O[2] +.sym 30446 spi_if_ins.spi.r_temp_rx_byte[6] +.sym 30453 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 30459 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 30460 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 30461 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.sym 30462 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 30467 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 30468 w_tx_data_io[5] +.sym 30469 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 30472 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 30473 sys_ctrl_ins.o_data_out_SB_LUT4_I0_I2[1] +.sym 30474 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 30475 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 30476 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 30477 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 30479 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 30480 w_tx_data_io[7] +.sym 30481 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 30484 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 30485 w_tx_data_io[6] +.sym 30486 w_tx_data_smi[1] +.sym 30487 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 30488 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 30489 w_tx_data_io[1] +.sym 30492 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 30493 w_tx_data_io[4] +.sym 30494 w_tx_data_smi[3] +.sym 30495 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 30496 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 30497 w_tx_data_io[3] +.sym 30498 w_cs[0] +.sym 30499 w_cs[1] +.sym 30500 w_cs[2] +.sym 30501 w_cs[3] +.sym 30502 spi_if_ins.spi.r_rx_byte[5] +.sym 30506 w_tx_data_smi[0] +.sym 30507 spi_if_ins.o_cs_SB_LUT4_I2_O[1] +.sym 30508 spi_if_ins.o_cs_SB_LUT4_I2_O[2] +.sym 30509 w_tx_data_io[0] +.sym 30513 spi_if_ins.o_load_cmd_SB_DFFESR_Q_E +.sym 30514 w_cs[1] +.sym 30515 w_cs[2] +.sym 30516 w_cs[3] +.sym 30517 w_cs[0] +.sym 30518 spi_if_ins.spi.r_rx_byte[6] +.sym 30522 spi_if_ins.spi.r_rx_byte[7] +.sym 30526 w_cs[0] +.sym 30527 w_cs[1] +.sym 30528 w_cs[2] +.sym 30529 w_cs[3] +.sym 30530 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[3] +.sym 30535 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 30536 w_cs[0] +.sym 30537 w_fetch +.sym 30538 w_fetch +.sym 30539 w_load +.sym 30540 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[1] +.sym 30541 w_cs[0] +.sym 30546 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D[0] +.sym 30559 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 30560 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 30561 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] .sym 30562 spi_if_ins.w_rx_data[2] -.sym 30566 spi_if_ins.w_rx_data[0] -.sym 30570 spi_if_ins.w_rx_data[4] -.sym 30574 w_ioc[0] -.sym 30575 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[1] -.sym 30576 spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O[2] -.sym 30577 io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2[1] -.sym 30578 spi_if_ins.w_rx_data[3] -.sym 30582 spi_if_ins.w_rx_data[1] -.sym 30586 w_ioc[4] -.sym 30587 w_ioc[1] -.sym 30588 w_ioc[0] -.sym 30589 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 30591 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 30592 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30593 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 30594 spi_if_ins.w_rx_data[1] -.sym 30598 w_ioc[0] -.sym 30599 w_ioc[4] -.sym 30600 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 30601 w_ioc[1] -.sym 30602 spi_if_ins.w_rx_data[0] -.sym 30606 io_ctrl_ins.o_pmod[7] -.sym 30607 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 30608 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 30609 i_button$SB_IO_IN -.sym 30610 o_tr_vc2$SB_IO_OUT -.sym 30611 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 30612 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30613 io_ctrl_ins.pmod_dir_state[3] -.sym 30614 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 30615 io_ctrl_ins.pmod_dir_state[5] -.sym 30616 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 30617 io_ctrl_ins.o_pmod[5] -.sym 30618 w_ioc[1] -.sym 30619 w_ioc[4] -.sym 30620 w_ioc[0] -.sym 30621 sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3[2] -.sym 30624 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 30625 w_ioc[0] -.sym 30626 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 30627 io_ctrl_ins.o_pmod[0] -.sym 30628 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[2] -.sym 30629 io_ctrl_ins.debug_mode[0] -.sym 30630 w_rx_data[5] -.sym 30634 w_rx_data[0] -.sym 30638 w_rx_data[7] -.sym 30644 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O[0] -.sym 30645 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 30646 w_rx_data[1] -.sym 30650 w_rx_data[6] -.sym 30654 io_ctrl_ins.mixer_en_state -.sym 30655 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 30656 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 30657 o_led0$SB_IO_OUT -.sym 30658 w_rx_data[1] -.sym 30662 w_rx_data[0] -.sym 30666 io_ctrl_ins.debug_mode[0] -.sym 30667 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 30668 io_ctrl_ins.rf_mode[2] -.sym 30669 io_ctrl_ins.debug_mode[1] -.sym 30670 w_rx_data[3] -.sym 30674 w_rx_data[2] -.sym 30680 io_ctrl_ins.debug_mode[0] -.sym 30681 io_ctrl_ins.debug_mode[1] -.sym 30686 w_rx_data[4] -.sym 30695 io_ctrl_ins.rf_pin_state[1] -.sym 30696 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 30697 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 30710 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 30711 io_ctrl_ins.rf_mode[2] -.sym 30712 io_ctrl_ins.rf_pin_state[2] -.sym 30713 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 30718 io_ctrl_ins.rf_pin_state[0] -.sym 30719 io_ctrl_ins.rf_mode[2] -.sym 30720 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 30721 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 30764 i_smi_a3$SB_IO_IN -.sym 30765 w_smi_data_output[5] -.sym 30777 i_smi_a3$SB_IO_IN -.sym 30784 i_smi_a3$SB_IO_IN -.sym 30785 w_smi_data_output[4] -.sym 30868 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 30869 io_pmod[4]$SB_IO_IN -.sym 30872 lvds_rx_09_inst.r_data_SB_LUT4_I3_I2[0] -.sym 30873 io_pmod[5]$SB_IO_IN -.sym 30882 rx_09_fifo.wr_addr_gray[5] -.sym 30886 rx_09_fifo.wr_addr_gray_rd_r[2] -.sym 30887 rx_09_fifo.wr_addr_gray_rd_r[3] -.sym 30888 rx_09_fifo.wr_addr_gray_rd_r[4] -.sym 30889 rx_09_fifo.wr_addr_gray_rd_r[5] -.sym 30890 rx_09_fifo.wr_addr_gray_rd[4] -.sym 30894 rx_09_fifo.wr_addr_gray[2] -.sym 30898 rx_09_fifo.wr_addr_gray[0] -.sym 30902 rx_09_fifo.wr_addr_gray_rd[5] -.sym 30906 rx_09_fifo.wr_addr_gray_rd[2] -.sym 30910 rx_09_fifo.wr_addr_gray_rd[0] -.sym 30914 rx_09_fifo.wr_addr[7] -.sym 30918 rx_09_fifo.wr_addr_gray[4] -.sym 30922 rx_09_fifo.wr_addr_gray_rd[3] -.sym 30930 rx_09_fifo.wr_addr_gray[6] -.sym 30938 rx_09_fifo.wr_addr_gray[3] -.sym 30942 rx_09_fifo.wr_addr_gray[1] -.sym 30948 spi_if_ins.spi.r3_rx_done -.sym 30949 spi_if_ins.spi.r2_rx_done -.sym 30970 spi_if_ins.spi.r2_rx_done -.sym 30974 spi_if_ins.spi.r_rx_done -.sym 30984 i_ss$SB_IO_IN -.sym 30985 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 31006 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] -.sym 31010 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 31014 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 31018 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 31022 i_mosi$SB_IO_IN -.sym 31026 spi_if_ins.spi.r_temp_rx_byte[5] -.sym 31030 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 31038 spi_if_ins.spi.r_temp_rx_byte[0] -.sym 31042 spi_if_ins.spi.r_rx_byte[3] -.sym 31046 spi_if_ins.spi.r_rx_byte[2] -.sym 31058 spi_if_ins.spi.r_rx_byte[4] -.sym 31062 spi_if_ins.spi.r_rx_byte[0] -.sym 31066 spi_if_ins.spi.r_rx_byte[5] -.sym 31086 io_ctrl_ins.pmod_dir_state[6] -.sym 31087 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 31088 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[2] -.sym 31089 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O[3] -.sym 31096 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[0] -.sym 31097 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O[1] -.sym 31100 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 31101 i_config[3]$SB_IO_IN -.sym 31104 i_button_SB_LUT4_I3_O[0] -.sym 31105 i_button_SB_LUT4_I3_O[1] -.sym 31106 w_rx_data[5] -.sym 31110 w_rx_data[3] -.sym 31114 o_rx_h_tx_l$SB_IO_OUT -.sym 31115 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 31116 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 31117 io_ctrl_ins.pmod_dir_state[7] -.sym 31118 w_rx_data[2] -.sym 31122 w_rx_data[4] -.sym 31126 w_rx_data[6] -.sym 31130 w_rx_data[7] -.sym 31134 o_tr_vc1_b$SB_IO_OUT -.sym 31135 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 31136 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 31137 io_ctrl_ins.pmod_dir_state[4] -.sym 31140 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 31141 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 31142 w_rx_data[7] -.sym 31146 w_rx_data[6] -.sym 31150 o_tr_vc1$SB_IO_OUT -.sym 31151 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 31152 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 31153 i_config[2]$SB_IO_IN -.sym 31158 io_ctrl_ins.o_pmod[6] -.sym 31159 o_rx_h_tx_l_b$SB_IO_OUT -.sym 31160 w_ioc[0] -.sym 31161 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3[3] -.sym 31164 io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O[1] -.sym 31165 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 31168 io_ctrl_ins.led0_state_SB_LUT4_I3_O[1] -.sym 31169 spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.sym 31170 w_rx_data[2] -.sym 31174 w_rx_data[0] -.sym 31178 w_rx_data[1] -.sym 31186 w_rx_data[3] -.sym 31194 w_rx_data[4] -.sym 31200 io_ctrl_ins.rf_mode[0] -.sym 31201 io_ctrl_ins.rf_mode[2] -.sym 31202 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 31203 io_ctrl_ins.rf_mode[0] -.sym 31204 io_ctrl_ins.rf_mode[2] -.sym 31205 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31223 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 31224 io_ctrl_ins.rf_pin_state[6] -.sym 31225 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 31226 io_ctrl_ins.rf_pin_state[3] -.sym 31227 io_ctrl_ins.rf_mode[2] -.sym 31228 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31229 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 31231 io_ctrl_ins.rf_pin_state[7] -.sym 31232 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 31233 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] -.sym 31269 i_ss$SB_IO_IN -.sym 31398 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[0] -.sym 31399 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[1] -.sym 31400 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[2] -.sym 31401 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2[3] -.sym 31431 rx_09_fifo.wr_addr_gray_rd_r[6] -.sym 31432 rx_09_fifo.wr_addr_gray_rd_r[7] -.sym 31433 io_pmod[2]$SB_IO_IN -.sym 31442 rx_09_fifo.wr_addr_gray_rd[6] -.sym 31446 rx_09_fifo.wr_addr_gray_rd[7] -.sym 31454 rx_09_fifo.wr_addr_gray_rd[1] -.sym 31491 spi_if_ins.spi.r_rx_bit_count[0] -.sym 31496 spi_if_ins.spi.r_rx_bit_count[1] -.sym 31500 spi_if_ins.spi.r_rx_bit_count[2] -.sym 31501 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] -.sym 31504 spi_if_ins.spi.r_rx_bit_count[1] -.sym 31505 spi_if_ins.spi.r_rx_bit_count[0] -.sym 31511 spi_if_ins.spi.r_rx_bit_count[0] -.sym 31512 spi_if_ins.spi.r_rx_bit_count[2] -.sym 31513 spi_if_ins.spi.r_rx_bit_count[1] -.sym 31515 spi_if_ins.spi.r_rx_bit_count[0] -.sym 31516 spi_if_ins.spi.r_rx_bit_count[2] -.sym 31517 spi_if_ins.spi.r_rx_bit_count[1] -.sym 31521 spi_if_ins.spi.r_rx_bit_count[0] -.sym 31522 spi_if_ins.spi.r_temp_rx_byte[1] -.sym 31538 spi_if_ins.spi.r_temp_rx_byte[4] -.sym 31542 spi_if_ins.spi.r_temp_rx_byte[3] -.sym 31546 i_mosi$SB_IO_IN -.sym 31550 spi_if_ins.spi.r_temp_rx_byte[2] -.sym 31594 spi_if_ins.w_rx_data[5] -.sym 31618 w_rx_data[1] -.sym 31646 w_rx_data[0] -.sym 31678 w_rx_data[5] -.sym 31694 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 31695 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31696 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 30566 spi_if_ins.w_rx_data[1] +.sym 30570 w_ioc[1] +.sym 30571 w_ioc[4] +.sym 30572 w_ioc[3] +.sym 30573 w_ioc[2] +.sym 30574 spi_if_ins.w_rx_data[3] +.sym 30580 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 30581 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] +.sym 30582 spi_if_ins.w_rx_data[0] +.sym 30587 w_ioc[2] +.sym 30588 w_ioc[4] +.sym 30589 w_ioc[3] +.sym 30590 spi_if_ins.w_rx_data[4] +.sym 30604 w_ioc[0] +.sym 30605 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 30609 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2_SB_LUT4_I2_O +.sym 30610 rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 30616 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[1] +.sym 30617 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 30619 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 30620 w_ioc[1] +.sym 30621 w_ioc[0] +.sym 30626 w_rx_data[1] +.sym 30636 i_button_SB_LUT4_I3_I2[0] +.sym 30637 io_ctrl_ins.rf_mode[0] +.sym 30638 w_rx_data[2] +.sym 30642 i_button_SB_LUT4_I3_I2[0] +.sym 30643 io_ctrl_ins.debug_mode[1] +.sym 30644 i_button_SB_LUT4_I3_I2[2] +.sym 30645 o_led1$SB_IO_OUT +.sym 30654 i_button_SB_LUT4_I3_I2[1] +.sym 30655 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 30656 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 30657 io_ctrl_ins.debug_mode[1] +.sym 30666 io_ctrl_ins.o_pmod[1] +.sym 30667 o_shdn_rx_lna$SB_IO_OUT +.sym 30668 w_ioc[0] +.sym 30669 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 30682 io_ctrl_ins.led0_state_SB_LUT4_I3_O[0] +.sym 30683 i_button_SB_LUT4_I3_O[1] +.sym 30684 io_ctrl_ins.led0_state_SB_LUT4_I3_O[2] +.sym 30685 io_ctrl_ins.led0_state_SB_LUT4_I3_O[3] +.sym 30686 io_ctrl_ins.led1_state_SB_LUT4_I3_O[0] +.sym 30687 i_button_SB_LUT4_I3_O[1] +.sym 30688 io_ctrl_ins.led1_state_SB_LUT4_I3_O[2] +.sym 30689 io_ctrl_ins.led1_state_SB_LUT4_I3_O[3] +.sym 30702 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 30703 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 30704 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[2] +.sym 30705 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 30707 io_ctrl_ins.rf_pin_state[1] +.sym 30708 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 30709 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 30754 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[0] +.sym 30755 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 30756 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[2] +.sym 30757 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 30758 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[0] +.sym 30759 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 30760 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[2] +.sym 30761 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[3] +.sym 30762 rx_09_fifo.wr_addr_gray_rd[3] +.sym 30766 rx_09_fifo.wr_addr_gray[0] +.sym 30770 rx_09_fifo.wr_addr[7] +.sym 30776 rx_09_fifo.rd_addr[0] +.sym 30777 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 30778 rx_09_fifo.wr_addr_gray_rd[0] +.sym 30782 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 30783 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[1] +.sym 30784 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[2] +.sym 30785 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] +.sym 30787 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2[0] +.sym 30792 rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1[2] +.sym 30796 rx_09_fifo.wr_addr[3] +.sym 30797 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[2] +.sym 30800 rx_09_fifo.wr_addr[4] +.sym 30801 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[3] +.sym 30804 rx_09_fifo.wr_addr[5] +.sym 30805 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[4] +.sym 30808 rx_09_fifo.wr_addr[6] +.sym 30809 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[5] +.sym 30812 rx_09_fifo.wr_addr[7] +.sym 30813 rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3[6] +.sym 30814 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[0] +.sym 30815 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[1] +.sym 30816 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[2] +.sym 30817 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0[3] +.sym 30818 rx_09_fifo.rd_addr_gray_wr[6] +.sym 30822 rx_09_fifo.rd_addr_gray_wr[5] +.sym 30826 rx_09_fifo.rd_addr_gray_wr_r[5] +.sym 30827 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[4] +.sym 30828 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[5] +.sym 30829 w_rx_09_fifo_push +.sym 30830 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_1_I0[0] +.sym 30831 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[3] +.sym 30832 lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_1_I0[2] +.sym 30833 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[4] +.sym 30836 rx_09_fifo.rd_addr_gray_wr_r[3] +.sym 30837 lvds_rx_09_inst.r_push_SB_LUT4_I3_I1[2] +.sym 30838 rx_09_fifo.rd_addr_gray_wr[3] +.sym 30842 rx_09_fifo.rd_addr_gray[0] +.sym 30846 rx_09_fifo.rd_addr_gray_wr[4] +.sym 30851 sys_ctrl_ins.reset_count[0] +.sym 30856 sys_ctrl_ins.reset_count[1] +.sym 30858 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 30860 sys_ctrl_ins.reset_count[2] +.sym 30861 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 30862 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 30864 sys_ctrl_ins.reset_count[3] +.sym 30865 sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[3] +.sym 30866 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 30868 sys_ctrl_ins.reset_count[1] +.sym 30869 sys_ctrl_ins.reset_count[0] +.sym 30870 sys_ctrl_ins.reset_count[3] +.sym 30871 sys_ctrl_ins.reset_count[1] +.sym 30872 sys_ctrl_ins.reset_count[2] +.sym 30873 sys_ctrl_ins.reset_count[0] +.sym 30877 sys_ctrl_ins.reset_count[0] +.sym 30884 sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S +.sym 30885 sys_ctrl_ins.reset_cmd +.sym 30890 spi_if_ins.r_tx_byte[1] +.sym 30894 spi_if_ins.r_tx_byte[4] +.sym 30898 spi_if_ins.r_tx_byte[0] +.sym 30902 spi_if_ins.r_tx_byte[2] +.sym 30906 spi_if_ins.r_tx_byte[6] +.sym 30910 spi_if_ins.r_tx_byte[3] +.sym 30918 r_tx_data[2] +.sym 30922 r_tx_data[4] +.sym 30926 r_tx_data[1] +.sym 30933 i_ss$SB_IO_IN +.sym 30934 r_tx_data[0] +.sym 30944 i_ss$SB_IO_IN +.sym 30945 spi_if_ins.r_tx_data_valid +.sym 30958 spi_if_ins.spi.r_temp_rx_byte[4] +.sym 30966 spi_if_ins.spi.r_temp_rx_byte[5] +.sym 30974 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 30978 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[0] +.sym 30988 i_ss$SB_IO_IN +.sym 30989 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 30995 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 30996 spi_if_ins.state_if[0] +.sym 30997 spi_if_ins.state_if[1] +.sym 31006 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 31007 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 31008 spi_if_ins.state_if[0] +.sym 31009 spi_if_ins.state_if[1] +.sym 31012 spi_if_ins.state_if[0] +.sym 31013 spi_if_ins.state_if[1] +.sym 31030 w_tx_data_sys[0] +.sym 31031 spi_if_ins.o_cs_SB_LUT4_I2_2_O[1] +.sym 31032 spi_if_ins.o_cs_SB_LUT4_I2_2_O[2] +.sym 31033 spi_if_ins.o_cs_SB_LUT4_I2_2_O[3] +.sym 31042 spi_if_ins.spi.r_rx_byte[1] +.sym 31050 spi_if_ins.spi.r_rx_byte[0] +.sym 31054 spi_if_ins.spi.r_rx_byte[4] +.sym 31058 spi_if_ins.spi.r_rx_byte[3] +.sym 31069 sys_ctrl_ins.reset_cmd +.sym 31070 spi_if_ins.spi.r_rx_byte[2] +.sym 31074 w_rx_data[6] +.sym 31078 w_rx_data[7] +.sym 31082 w_rx_data[5] +.sym 31086 w_rx_data[0] +.sym 31091 w_ioc[1] +.sym 31092 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 31093 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 31094 w_rx_data[4] +.sym 31098 w_rx_data[3] +.sym 31104 i_button_SB_LUT4_I3_O[1] +.sym 31105 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 31107 w_ioc[0] +.sym 31108 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 31109 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[2] +.sym 31111 i_button_SB_LUT4_I3_I2[0] +.sym 31112 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] +.sym 31113 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 31115 spi_if_ins.o_load_cmd_SB_LUT4_I2_O[1] +.sym 31116 i_button_SB_LUT4_I3_I2[2] +.sym 31117 i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 31119 w_ioc[1] +.sym 31120 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 31121 w_ioc[0] +.sym 31124 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2[2] +.sym 31125 spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O[1] +.sym 31126 w_rx_data[1] +.sym 31130 w_rx_data[0] +.sym 31135 w_ioc[0] +.sym 31136 w_ioc[1] +.sym 31137 io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R[1] +.sym 31138 w_rx_data[0] +.sym 31146 i_button_SB_LUT4_I3_I2[0] +.sym 31147 i_button_SB_LUT4_I3_I2[1] +.sym 31148 i_button_SB_LUT4_I3_I2[2] +.sym 31149 o_led0$SB_IO_OUT +.sym 31150 w_rx_data[1] +.sym 31154 w_rx_data[4] +.sym 31158 w_rx_data[3] +.sym 31162 w_rx_data[2] +.sym 31168 i_button_SB_LUT4_I3_I2[1] +.sym 31169 io_ctrl_ins.debug_mode[1] +.sym 31174 w_rx_data[1] +.sym 31185 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 31186 w_rx_data[0] +.sym 31190 w_rx_data[2] +.sym 31194 io_ctrl_ins.o_pmod[0] +.sym 31195 io_ctrl_ins.mixer_en_state +.sym 31196 w_ioc[0] +.sym 31197 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 31266 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D[1] +.sym 31272 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 31273 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 31274 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[3] +.sym 31278 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[7] +.sym 31282 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[3] +.sym 31286 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D[1] +.sym 31290 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[6] +.sym 31294 rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D[0] +.sym 31298 rx_09_fifo.rd_addr_gray[6] +.sym 31302 rx_09_fifo.rd_addr_gray[3] +.sym 31311 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1[2] +.sym 31312 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[1] +.sym 31313 io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3[2] +.sym 31314 rx_09_fifo.rd_addr_gray[2] +.sym 31318 rx_09_fifo.rd_addr_gray[4] +.sym 31326 rx_09_fifo.rd_addr_gray[5] +.sym 31334 rx_09_fifo.wr_addr_gray[4] +.sym 31338 rx_09_fifo.wr_addr_gray_rd[4] +.sym 31342 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 31352 i_smi_a3$SB_IO_IN +.sym 31353 w_smi_data_output[5] +.sym 31354 spi_if_ins.spi.SCKr[0] +.sym 31358 i_sck$SB_IO_IN +.sym 31363 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 31367 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 31368 $PACKER_VCC_NET +.sym 31371 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31372 $PACKER_VCC_NET +.sym 31373 spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3[2] +.sym 31375 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 31376 $PACKER_VCC_NET +.sym 31377 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 31379 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] +.sym 31380 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 31381 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 31385 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 31389 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 31390 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[0] +.sym 31391 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 31392 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31393 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[1] +.sym 31394 spi_if_ins.spi.r_tx_byte[2] +.sym 31395 spi_if_ins.spi.r_tx_byte[6] +.sym 31396 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 31397 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31398 spi_if_ins.spi.r_tx_byte[1] +.sym 31399 spi_if_ins.spi.r_tx_byte[5] +.sym 31400 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 31401 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31402 spi_if_ins.spi.r_tx_byte[3] +.sym 31403 spi_if_ins.spi.r_tx_byte[7] +.sym 31404 spi_if_ins.spi.r_tx_bit_count[2] +.sym 31405 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 31407 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 31408 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[1] +.sym 31409 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 31410 spi_if_ins.r_tx_byte[5] +.sym 31414 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[0] +.sym 31415 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[1] +.sym 31416 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0[2] +.sym 31417 spi_if_ins.spi.SCKr_SB_LUT4_I0_O[0] +.sym 31418 spi_if_ins.r_tx_byte[7] +.sym 31422 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[0] +.sym 31423 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[1] +.sym 31424 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[2] +.sym 31425 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3[3] +.sym 31427 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31432 spi_if_ins.spi.r_rx_bit_count[1] +.sym 31436 spi_if_ins.spi.r_rx_bit_count[2] +.sym 31437 spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3[2] +.sym 31445 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 31447 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31448 spi_if_ins.spi.r_rx_bit_count[2] +.sym 31449 spi_if_ins.spi.r_rx_bit_count[1] +.sym 31469 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31473 o_miso_$_TBUF__Y_E +.sym 31479 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31480 spi_if_ins.spi.r_rx_bit_count[2] +.sym 31481 spi_if_ins.spi.r_rx_bit_count[1] +.sym 31484 spi_if_ins.spi.r_rx_bit_count[1] +.sym 31485 spi_if_ins.spi.r_rx_bit_count[0] +.sym 31490 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 31502 i_mosi$SB_IO_IN +.sym 31514 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 31518 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 31522 i_mosi$SB_IO_IN +.sym 31526 spi_if_ins.spi.r_temp_rx_byte[2] +.sym 31530 spi_if_ins.spi.r_temp_rx_byte[0] +.sym 31538 spi_if_ins.spi.r_temp_rx_byte[1] +.sym 31546 spi_if_ins.spi.r_temp_rx_byte[3] +.sym 31554 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 31555 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 31556 spi_if_ins.state_if[0] +.sym 31557 spi_if_ins.state_if[1] +.sym 31558 w_rx_data[7] +.sym 31562 w_rx_data[4] +.sym 31566 w_rx_data[3] +.sym 31570 w_rx_data[5] +.sym 31582 w_rx_data[6] +.sym 31586 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 31590 spi_if_ins.w_rx_data[5] +.sym 31594 spi_if_ins.w_rx_data[1] +.sym 31598 spi_if_ins.w_rx_data[6] +.sym 31602 spi_if_ins.w_rx_data[4] +.sym 31606 spi_if_ins.w_rx_data[2] +.sym 31610 spi_if_ins.w_rx_data[3] +.sym 31614 spi_if_ins.w_rx_data[0] +.sym 31620 i_button_SB_LUT4_I3_I2[2] +.sym 31621 i_config[3]$SB_IO_IN +.sym 31622 w_rx_data[7] +.sym 31626 w_rx_data[3] +.sym 31630 w_rx_data[6] +.sym 31634 w_rx_data[5] +.sym 31638 w_rx_data[0] +.sym 31642 w_rx_data[4] +.sym 31648 i_button_SB_LUT4_I3_I2[2] +.sym 31649 i_config[2]$SB_IO_IN +.sym 31650 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 31651 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 31652 io_ctrl_ins.rf_mode[0] +.sym 31653 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 31656 i_button_SB_LUT4_I3_I2[2] +.sym 31657 i_button$SB_IO_IN +.sym 31658 io_ctrl_ins.pmod_dir_state[3] +.sym 31659 i_button_SB_LUT4_I3_O[1] +.sym 31660 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[2] +.sym 31661 io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O[3] +.sym 31662 i_button_SB_LUT4_I3_I2[0] +.sym 31663 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 31664 i_button_SB_LUT4_I3_I2[2] +.sym 31665 i_config[1]$SB_IO_IN +.sym 31666 io_ctrl_ins.o_pmod[3] +.sym 31667 o_tr_vc2$SB_IO_OUT +.sym 31668 w_ioc[0] +.sym 31669 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 31670 io_ctrl_ins.pmod_dir_state[4] +.sym 31671 i_button_SB_LUT4_I3_O[1] +.sym 31672 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[2] +.sym 31673 io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O[3] +.sym 31674 io_ctrl_ins.o_pmod[4] +.sym 31675 o_tr_vc1_b$SB_IO_OUT +.sym 31676 w_ioc[0] +.sym 31677 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 31678 i_button_SB_LUT4_I3_I2[0] +.sym 31679 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 31680 i_button_SB_LUT4_I3_I2[2] +.sym 31681 i_config[0]$SB_IO_IN +.sym 31686 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] +.sym 31687 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 31688 io_ctrl_ins.rf_pin_state[5] +.sym 31689 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 31690 io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0[0] +.sym 31691 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 31692 io_ctrl_ins.rf_pin_state[4] +.sym 31693 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 31694 io_ctrl_ins.rf_pin_state[3] +.sym 31695 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 31696 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] .sym 31697 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 31702 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] -.sym 31703 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] -.sym 31704 io_ctrl_ins.rf_pin_state[4] +.sym 31700 io_ctrl_ins.rf_mode[0] +.sym 31701 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] +.sym 31702 io_ctrl_ins.rf_pin_state[0] +.sym 31703 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[0] +.sym 31704 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[1] .sym 31705 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] -.sym 32167 spi_if_ins.o_load_cmd_SB_LUT4_I3_O[1] -.sym 32168 io_ctrl_ins.led1_state_SB_LUT4_I0_I2[1] -.sym 32169 w_lvds_rx_09_d1_SB_LUT4_I0_O[0] -.sym 32182 w_rx_data[0] -.sym 32190 w_rx_data[1] -.sym 32709 o_tr_vc1_b$SB_IO_OUT +.sym 31785 r_counter[0] +.sym 31828 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[1] +.sym 31829 rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O[2] +.sym 31862 rx_09_fifo.rd_addr_gray[1] +.sym 31927 spi_if_ins.r_tx_byte[7] +.sym 31928 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2[1] +.sym 31929 spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O[2] +.sym 31966 spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D[1] +.sym 31972 spi_if_ins.spi.r3_rx_done +.sym 31973 spi_if_ins.spi.r2_rx_done +.sym 31986 spi_if_ins.spi.r_rx_done +.sym 31990 spi_if_ins.spi.r2_rx_done +.sym 32003 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 32004 spi_if_ins.state_if[1] +.sym 32005 spi_if_ins.state_if[0] +.sym 32019 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 32020 spi_if_ins.state_if[0] +.sym 32021 spi_if_ins.state_if[1] +.sym 32030 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] +.sym 32042 spi_if_ins.o_load_cmd_SB_DFFESR_Q_D[1] +.sym 32043 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 32044 spi_if_ins.state_if[0] +.sym 32045 spi_if_ins.state_if[1] +.sym 32046 spi_if_ins.state_if_SB_DFFESR_Q_1_D[0] +.sym 32047 spi_if_ins.state_if_SB_DFFESR_Q_1_D[1] +.sym 32048 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 32049 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 32074 i_button_SB_LUT4_I3_O[0] +.sym 32075 i_button_SB_LUT4_I3_O[1] +.sym 32076 i_button_SB_LUT4_I3_O[2] +.sym 32077 i_button_SB_LUT4_I3_O[3] +.sym 32086 io_ctrl_ins.o_pmod[5] +.sym 32087 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[1] +.sym 32088 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[2] +.sym 32089 io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O[3] +.sym 32094 io_ctrl_ins.o_pmod[6] +.sym 32095 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[1] +.sym 32096 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[2] +.sym 32097 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O[3] +.sym 32098 io_ctrl_ins.o_pmod[7] +.sym 32099 o_rx_h_tx_l$SB_IO_OUT +.sym 32100 w_ioc[0] +.sym 32101 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 32106 i_button_SB_LUT4_I3_O[1] +.sym 32107 io_ctrl_ins.pmod_dir_state[6] +.sym 32108 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2[2] +.sym 32109 o_rx_h_tx_l_b$SB_IO_OUT +.sym 32112 io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3[1] +.sym 32113 w_ioc[0] +.sym 32118 i_button_SB_LUT4_I3_O[1] +.sym 32124 io_ctrl_ins.led0_state_SB_LUT4_I3_I0[0] +.sym 32125 i_button_SB_LUT4_I3_I2[0] +.sym 32126 i_button_SB_LUT4_I3_O[1] +.sym 32127 io_ctrl_ins.pmod_dir_state[5] +.sym 32128 io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2[2] +.sym 32129 o_tr_vc1$SB_IO_OUT +.sym 32143 io_ctrl_ins.rf_pin_state[7] +.sym 32144 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 32145 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 32155 io_ctrl_ins.debug_mode_SB_LUT4_I2_O[3] +.sym 32156 io_ctrl_ins.rf_pin_state[6] +.sym 32157 io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3[2] +.sym 32401 spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E +.sym 32472 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 32473 io_pmod[5]$SB_IO_IN +.sym 32488 lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O[0] +.sym 32489 io_pmod[4]$SB_IO_IN +.sym 32526 w_rx_24_fifo_empty +.sym 32556 w_rx_24_fifo_empty +.sym 32557 io_pmod[2]$SB_IO_IN +.sym 32562 spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +.sym 32586 $PACKER_GND_NET diff --git a/firmware/top.bin b/firmware/top.bin index 70e72a5..6aae84f 100644 Binary files a/firmware/top.bin and b/firmware/top.bin differ diff --git a/firmware/top.json b/firmware/top.json index d9fec1a..f9578d4 100644 --- a/firmware/top.json +++ b/firmware/top.json @@ -64,7 +64,7 @@ } }, "cells": { - "$specify$266": { + "$specify$291": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -95,7 +95,7 @@ "SRC": [ 6 ] } }, - "$specify$267": { + "$specify$292": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -126,7 +126,7 @@ "SRC": [ 2 ] } }, - "$specify$268": { + "$specify$293": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -157,7 +157,7 @@ "SRC": [ 2 ] } }, - "$specify$269": { + "$specify$294": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -188,7 +188,7 @@ "SRC": [ 3 ] } }, - "$specify$270": { + "$specify$295": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -219,7 +219,7 @@ "SRC": [ 3 ] } }, - "$specify$271": { + "$specify$296": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -250,7 +250,7 @@ "SRC": [ 3 ] } }, - "$specify$272": { + "$specify$297": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -281,7 +281,7 @@ "SRC": [ 4 ] } }, - "$specify$273": { + "$specify$298": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -312,7 +312,7 @@ "SRC": [ 4 ] } }, - "$specify$274": { + "$specify$299": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -343,7 +343,7 @@ "SRC": [ 4 ] } }, - "$specify$275": { + "$specify$300": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -374,7 +374,7 @@ "SRC": [ 5 ] } }, - "$specify$276": { + "$specify$301": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -405,7 +405,7 @@ "SRC": [ 5 ] } }, - "$specify$277": { + "$specify$302": { "hide_name": 1, "type": "$specify3", "parameters": { @@ -442,7 +442,7 @@ "SRC": [ 7 ] } }, - "$specify$278": { + "$specify$303": { "hide_name": 1, "type": "$specify2", "parameters": { @@ -473,7 +473,7 @@ "SRC": [ 9 ] } }, - "$specify$279": { + "$specify$304": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -508,7 +508,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$280": { + "$specify$305": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -543,7 +543,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$281": { + "$specify$306": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -578,7 +578,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$282": { + "$specify$307": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -613,7 +613,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$283": { + "$specify$308": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -648,7 +648,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$284": { + "$specify$309": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -683,7 +683,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$285": { + "$specify$310": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -718,7 +718,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$286": { + "$specify$311": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -753,7 +753,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$287": { + "$specify$312": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -788,7 +788,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$288": { + "$specify$313": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -823,7 +823,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$289": { + "$specify$314": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -858,7 +858,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$290": { + "$specify$315": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -893,7 +893,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$291": { + "$specify$316": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -928,7 +928,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$292": { + "$specify$317": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -963,7 +963,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$293": { + "$specify$318": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -998,7 +998,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$294": { + "$specify$319": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -1033,7 +1033,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$295": { + "$specify$320": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -1068,7 +1068,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$296": { + "$specify$321": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -1103,7 +1103,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$297": { + "$specify$322": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -1138,7 +1138,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$298": { + "$specify$323": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -1173,7 +1173,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$299": { + "$specify$324": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -1208,7 +1208,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$300": { + "$specify$325": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6388,7 +6388,7 @@ } }, "cells": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1593$385": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1593$410": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -6412,7 +6412,7 @@ "Y": [ 78 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1595$386": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1595$411": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -6436,7 +6436,7 @@ "Y": [ 79 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1601$387": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1601$412": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -6460,7 +6460,7 @@ "Y": [ 80 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1605$388": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1605$413": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -6484,7 +6484,7 @@ "Y": [ 81 ] } }, - "$specify$230": { + "$specify$255": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6519,7 +6519,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$231": { + "$specify$256": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6554,7 +6554,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$232": { + "$specify$257": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6589,7 +6589,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$233": { + "$specify$258": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6624,7 +6624,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$234": { + "$specify$259": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6659,7 +6659,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$235": { + "$specify$260": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6694,7 +6694,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$236": { + "$specify$261": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6729,7 +6729,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$237": { + "$specify$262": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -6764,7 +6764,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$238": { + "$specify$263": { "hide_name": 1, "type": "$specify3", "parameters": { @@ -6803,28 +6803,28 @@ } }, "netnames": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1593$385_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1593$410_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1593.33-1593.44" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1595$386_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1595$411_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1595.34-1595.45" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1601$387_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1601$412_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1601.34-1601.45" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1605$388_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1605$413_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { @@ -6989,7 +6989,7 @@ } }, "cells": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729$389": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729$414": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7013,7 +7013,7 @@ "Y": [ 78 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731$390": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731$415": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7037,7 +7037,7 @@ "Y": [ 79 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737$391": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737$416": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7061,7 +7061,7 @@ "Y": [ 80 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1741$392": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1741$417": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7085,7 +7085,7 @@ "Y": [ 81 ] } }, - "$specify$239": { + "$specify$264": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7120,7 +7120,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$240": { + "$specify$265": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7155,7 +7155,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$241": { + "$specify$266": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7190,7 +7190,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$242": { + "$specify$267": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7225,7 +7225,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$243": { + "$specify$268": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7260,7 +7260,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$244": { + "$specify$269": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7295,7 +7295,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$245": { + "$specify$270": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7330,7 +7330,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$246": { + "$specify$271": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7365,7 +7365,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$247": { + "$specify$272": { "hide_name": 1, "type": "$specify3", "parameters": { @@ -7404,28 +7404,28 @@ } }, "netnames": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729$389_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729$414_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729.33-1729.44" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731$390_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731$415_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731.35-1731.46" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737$391_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737$416_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737.34-1737.45" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1741$392_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1741$417_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { @@ -7590,7 +7590,7 @@ } }, "cells": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001$397": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001$422": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7614,7 +7614,7 @@ "Y": [ 78 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003$398": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003$423": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7638,7 +7638,7 @@ "Y": [ 79 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009$399": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009$424": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7662,7 +7662,7 @@ "Y": [ 80 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2013$400": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2013$425": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -7686,7 +7686,7 @@ "Y": [ 81 ] } }, - "$specify$257": { + "$specify$282": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7721,7 +7721,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$258": { + "$specify$283": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7756,7 +7756,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$259": { + "$specify$284": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7791,7 +7791,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$260": { + "$specify$285": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7826,7 +7826,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$261": { + "$specify$286": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7861,7 +7861,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$262": { + "$specify$287": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7896,7 +7896,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$263": { + "$specify$288": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7931,7 +7931,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$264": { + "$specify$289": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -7966,7 +7966,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$265": { + "$specify$290": { "hide_name": 1, "type": "$specify3", "parameters": { @@ -8005,28 +8005,28 @@ } }, "netnames": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001$397_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001$422_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001.34-2001.45" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003$398_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003$423_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003.35-2003.46" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009$399_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009$424_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009.35-2009.46" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2013$400_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2013$425_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { @@ -8191,7 +8191,7 @@ } }, "cells": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865$393": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865$418": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -8215,7 +8215,7 @@ "Y": [ 78 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867$394": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867$419": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -8239,7 +8239,7 @@ "Y": [ 79 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873$395": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873$420": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -8263,7 +8263,7 @@ "Y": [ 80 ] } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1877$396": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1877$421": { "hide_name": 1, "type": "$logic_and", "parameters": { @@ -8287,7 +8287,7 @@ "Y": [ 81 ] } }, - "$specify$248": { + "$specify$273": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8322,7 +8322,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$249": { + "$specify$274": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8357,7 +8357,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$250": { + "$specify$275": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8392,7 +8392,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$251": { + "$specify$276": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8427,7 +8427,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$252": { + "$specify$277": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8462,7 +8462,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$253": { + "$specify$278": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8497,7 +8497,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$254": { + "$specify$279": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8532,7 +8532,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$255": { + "$specify$280": { "hide_name": 1, "type": "$specrule", "parameters": { @@ -8567,7 +8567,7 @@ "SRC_EN": [ "1" ] } }, - "$specify$256": { + "$specify$281": { "hide_name": 1, "type": "$specify3", "parameters": { @@ -8606,28 +8606,28 @@ } }, "netnames": { - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865$393_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865$418_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865.34-1865.45" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867$394_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867$419_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867.34-1867.45" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873$395_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873$420_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873.35-1873.46" } }, - "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1877$396_Y": { + "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1877$421_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { @@ -9794,11 +9794,11 @@ "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000011101110111" + "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", @@ -9808,11 +9808,36 @@ "O": "output" }, "connections": { - "I0": [ 46 ], - "I1": [ 47 ], - "I2": [ 48 ], + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 46 ], "I3": [ 17 ], - "O": [ 49 ] + "O": [ 47 ] + } + }, + "i_button_SB_LUT4_I3_I2_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 48 ], + "I2": [ 49 ], + "I3": [ 50 ], + "O": [ 46 ] } }, "i_smi_a1_SB_LUT4_I1": { @@ -9835,12 +9860,208 @@ "connections": { "I0": [ "0" ], "I1": [ 28 ], - "I2": [ 30 ], - "I3": [ 29 ], - "O": [ 50 ] + "I2": [ 29 ], + "I3": [ 30 ], + "O": [ 51 ] } }, - "i_smi_a2_SB_LUT4_I1": { + "i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 52 ], + "I1": [ 53 ], + "I2": [ 54 ], + "I3": [ 51 ], + "O": [ 55 ] + } + }, + "i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111110001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 52 ], + "I1": [ 56 ], + "I2": [ 57 ], + "I3": [ 53 ], + "O": [ 58 ] + } + }, + "i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:296.13-327.5|smi_ctrl.v:151.29-151.43|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 59 ], + "CO": [ 56 ], + "I0": [ "0" ], + "I1": [ 60 ] + } + }, + "i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ "0" ], + "I3": [ 61 ], + "O": [ 59 ] + } + }, + "i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ "0" ], + "I3": [ 62 ], + "O": [ 63 ] + } + }, + "i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ "0" ], + "I3": [ 64 ], + "O": [ 60 ] + } + }, + "i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 65 ], + "I2": [ 53 ], + "I3": [ 57 ], + "O": [ 54 ] + } + }, + "i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 64 ], + "I1": [ 61 ], + "I2": [ 62 ], + "I3": [ 66 ], + "O": [ 65 ] + } + }, + "i_smi_a1_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -9862,14 +10083,185 @@ "I1": [ 29 ], "I2": [ 28 ], "I3": [ 30 ], - "O": [ 51 ] + "O": [ 67 ] } }, - "i_smi_soe_se_SB_LUT4_I1": { + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0100111100000000" + "LUT_INIT": "0011111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 68 ], + "I2": [ 69 ], + "I3": [ 67 ], + "O": [ 70 ] + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 71 ], + "I2": [ 72 ], + "I3": [ 67 ], + "O": [ 73 ] + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:151.59-151.73|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 64 ], + "I2": [ "1" ], + "I3": [ 74 ], + "O": [ 72 ] + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:151.59-151.73|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 61 ], + "I2": [ "1" ], + "I3": [ 62 ], + "O": [ 75 ] + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:296.13-327.5|smi_ctrl.v:151.59-151.73|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 62 ], + "CO": [ 74 ], + "I0": [ 61 ], + "I1": [ "1" ] + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011010100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 76 ], + "I1": [ 77 ], + "I2": [ 62 ], + "I3": [ 75 ], + "O": [ 78 ] + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000001100000101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 79 ], + "I1": [ 80 ], + "I2": [ 75 ], + "I3": [ 62 ], + "O": [ 81 ] + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -9884,17 +10276,447 @@ }, "connections": { "I0": [ 52 ], - "I1": [ 21 ], - "I2": [ 50 ], + "I1": [ 53 ], + "I2": [ 82 ], + "I3": [ 67 ], + "O": [ 83 ] + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 84 ], + "I2": [ 53 ], + "I3": [ 85 ], + "O": [ 82 ] + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 86 ], + "I1": [ 87 ], + "I2": [ 88 ], + "I3": [ 20 ], + "O": [ 84 ] + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:125.59-125.73|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 87 ], + "I2": [ "1" ], + "I3": [ 89 ], + "O": [ 69 ] + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:125.59-125.73|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 86 ], + "I2": [ "1" ], + "I3": [ 88 ], + "O": [ 90 ] + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:296.13-327.5|smi_ctrl.v:125.59-125.73|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 88 ], + "CO": [ 89 ], + "I0": [ 86 ], + "I1": [ "1" ] + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111110000001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 91 ], + "I1": [ 92 ], + "I2": [ 88 ], + "I3": [ 93 ], + "O": [ 94 ] + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 72 ], + "I1": [ 81 ], + "I2": [ 78 ], + "I3": [ 73 ], + "O": [ 95 ] + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100111110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 96 ], + "I1": [ 97 ], + "I2": [ 88 ], + "I3": [ 90 ], + "O": [ 93 ] + } + }, + "i_smi_a1_SB_LUT4_I3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000011111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 85 ], + "I1": [ 98 ], + "I2": [ 29 ], + "I3": [ 28 ], + "O": [ 99 ] + } + }, + "i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:296.13-327.5|smi_ctrl.v:133.25-133.39|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 100 ], + "CO": [ 85 ], + "I0": [ "0" ], + "I1": [ 101 ] + } + }, + "i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_CI_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:296.13-327.5|smi_ctrl.v:133.25-133.39|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 102 ], + "CO": [ 100 ], + "I0": [ "0" ], + "I1": [ 103 ] + } + }, + "i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ "0" ], + "I3": [ 87 ], + "O": [ 101 ] + } + }, + "i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ "0" ], + "I3": [ 86 ], + "O": [ 103 ] + } + }, + "i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ "0" ], + "I3": [ 88 ], + "O": [ 102 ] + } + }, + "i_smi_a1_SB_LUT4_I3_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 52 ], + "I1": [ 53 ], + "I2": [ 104 ], + "I3": [ 30 ], + "O": [ 105 ] + } + }, + "i_smi_a2_SB_LUT4_I2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011111110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 106 ], + "I1": [ 57 ], + "I2": [ 29 ], "I3": [ 53 ], - "O": [ 54 ] + "O": [ 98 ] } }, - "i_smi_soe_se_SB_LUT4_I1_1": { + "i_smi_a2_SB_LUT4_I2_I1_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:296.13-327.5|smi_ctrl.v:159.25-159.39|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 107 ], + "CO": [ 57 ], + "I0": [ "0" ], + "I1": [ 60 ] + } + }, + "i_smi_a2_SB_LUT4_I2_I1_SB_CARRY_CO_CI_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:296.13-327.5|smi_ctrl.v:159.25-159.39|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 63 ], + "CO": [ 107 ], + "I0": [ "0" ], + "I1": [ 59 ] + } + }, + "i_smi_a2_SB_LUT4_I2_O_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111111101000000" + "LUT_INIT": "1110000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -9908,11 +10730,11 @@ "O": "output" }, "connections": { - "I0": [ 52 ], - "I1": [ 21 ], - "I2": [ 50 ], - "I3": [ 55 ], - "O": [ 56 ] + "I0": [ 98 ], + "I1": [ 108 ], + "I2": [ 105 ], + "I3": [ 99 ], + "O": [ 109 ] } }, "i_ss_SB_LUT4_I3": { @@ -9937,7 +10759,7 @@ "I1": [ "0" ], "I2": [ "0" ], "I3": [ 44 ], - "O": [ 57 ] + "O": [ 110 ] } }, "io_ctrl_ins.debug_mode_SB_DFFESR_Q": { @@ -9957,11 +10779,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 59 ], - "E": [ 60 ], - "Q": [ 61 ], - "R": [ 55 ] + "C": [ 111 ], + "D": [ 112 ], + "E": [ 113 ], + "Q": [ 114 ], + "R": [ 104 ] } }, "io_ctrl_ins.debug_mode_SB_DFFESR_Q_1": { @@ -9981,11 +10803,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 62 ], - "E": [ 60 ], - "Q": [ 63 ], - "R": [ 55 ] + "C": [ 111 ], + "D": [ 115 ], + "E": [ 113 ], + "Q": [ 116 ], + "R": [ 104 ] } }, "io_ctrl_ins.debug_mode_SB_LUT4_I0": { @@ -10006,11 +10828,11 @@ "O": "output" }, "connections": { - "I0": [ 63 ], - "I1": [ 64 ], - "I2": [ 65 ], - "I3": [ 61 ], - "O": [ 66 ] + "I0": [ 116 ], + "I1": [ 117 ], + "I2": [ 118 ], + "I3": [ 114 ], + "O": [ 119 ] } }, "io_ctrl_ins.debug_mode_SB_LUT4_I2": { @@ -10033,34 +10855,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 63 ], - "I3": [ 61 ], - "O": [ 67 ] - } - }, - "io_ctrl_ins.debug_mode_SB_LUT4_I2_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000000001111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 68 ], - "I3": [ 65 ], - "O": [ 69 ] + "I2": [ 116 ], + "I3": [ 114 ], + "O": [ 120 ] } }, "io_ctrl_ins.i_cs_SB_DFFESR_Q": { @@ -10080,11 +10877,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 70 ], - "E": [ 71 ], - "Q": [ 72 ], - "R": [ 73 ] + "C": [ 111 ], + "D": [ 121 ], + "E": [ 122 ], + "Q": [ 123 ], + "R": [ 124 ] } }, "io_ctrl_ins.i_sys_clk_SB_DFF_Q": { @@ -10103,8 +10900,8 @@ }, "connections": { "C": [ 2 ], - "D": [ 74 ], - "Q": [ 58 ] + "D": [ 125 ], + "Q": [ 111 ] } }, "io_ctrl_ins.i_sys_clk_SB_DFF_Q_D_SB_LUT4_O": { @@ -10128,8 +10925,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 58 ], - "O": [ 74 ] + "I3": [ 111 ], + "O": [ 125 ] } }, "io_ctrl_ins.led0_state_SB_DFFESR_Q": { @@ -10149,18 +10946,43 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 62 ], - "E": [ 75 ], + "C": [ 111 ], + "D": [ 115 ], + "E": [ 126 ], "Q": [ 26 ], - "R": [ 55 ] + "R": [ 104 ] } }, - "io_ctrl_ins.led0_state_SB_DFFESR_Q_E_SB_LUT4_O": { + "io_ctrl_ins.led0_state_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111111111000000" + "LUT_INIT": "0000101110111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 127 ], + "I1": [ 116 ], + "I2": [ 46 ], + "I3": [ 26 ], + "O": [ 128 ] + } + }, + "io_ctrl_ins.led0_state_SB_LUT4_I3_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011111111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10175,60 +10997,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 76 ], - "I2": [ 48 ], - "I3": [ 55 ], - "O": [ 75 ] - } - }, - "io_ctrl_ins.led0_state_SB_LUT4_I3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000011101110111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 77 ], - "I1": [ 78 ], - "I2": [ 48 ], - "I3": [ 26 ], - "O": [ 79 ] - } - }, - "io_ctrl_ins.led0_state_SB_LUT4_I3_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0111000001110111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 47 ], - "I1": [ 80 ], - "I2": [ 81 ], - "I3": [ 63 ], - "O": [ 82 ] + "I1": [ 50 ], + "I2": [ 49 ], + "I3": [ 48 ], + "O": [ 129 ] } }, "io_ctrl_ins.led1_state_SB_DFFESR_Q": { @@ -10248,18 +11020,43 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 59 ], - "E": [ 75 ], + "C": [ 111 ], + "D": [ 112 ], + "E": [ 126 ], "Q": [ 27 ], - "R": [ 55 ] + "R": [ 104 ] } }, - "io_ctrl_ins.led1_state_SB_LUT4_I0": { + "io_ctrl_ins.led1_state_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1000111111111111" + "LUT_INIT": "1111111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 130 ], + "I2": [ 46 ], + "I3": [ 104 ], + "O": [ 126 ] + } + }, + "io_ctrl_ins.led1_state_SB_LUT4_I3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000101110111011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10273,18 +11070,18 @@ "O": "output" }, "connections": { - "I0": [ 27 ], - "I1": [ 48 ], - "I2": [ 83 ], - "I3": [ 84 ], - "O": [ 85 ] + "I0": [ 127 ], + "I1": [ 114 ], + "I2": [ 46 ], + "I3": [ 27 ], + "O": [ 131 ] } }, - "io_ctrl_ins.led1_state_SB_LUT4_I0_I2_SB_LUT4_O": { + "io_ctrl_ins.led1_state_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0111000001110111" + "LUT_INIT": "0011010111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10298,11 +11095,11 @@ "O": "output" }, "connections": { - "I0": [ 47 ], - "I1": [ 86 ], - "I2": [ 81 ], - "I3": [ 61 ], - "O": [ 83 ] + "I0": [ 132 ], + "I1": [ 8 ], + "I2": [ 48 ], + "I3": [ 133 ], + "O": [ 134 ] } }, "io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q": { @@ -10321,9 +11118,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 87 ], - "E": [ 66 ], + "C": [ 111 ], + "D": [ 135 ], + "E": [ 119 ], "Q": [ 8 ] } }, @@ -10346,35 +11143,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 88 ], - "I2": [ 64 ], - "I3": [ 67 ], - "O": [ 87 ] - } - }, - "io_ctrl_ins.lna_rx_shutdown_state_SB_LUT4_I0": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0111000001110111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 8 ], - "I1": [ 78 ], - "I2": [ 89 ], - "I3": [ 90 ], - "O": [ 84 ] + "I1": [ 136 ], + "I2": [ 118 ], + "I3": [ 120 ], + "O": [ 135 ] } }, "io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q": { @@ -10393,9 +11165,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 91 ], - "E": [ 66 ], + "C": [ 111 ], + "D": [ 137 ], + "E": [ 119 ], "Q": [ 9 ] } }, @@ -10417,18 +11189,18 @@ "O": "output" }, "connections": { - "I0": [ 64 ], - "I1": [ 65 ], - "I2": [ 92 ], - "I3": [ 67 ], - "O": [ 91 ] + "I0": [ 118 ], + "I1": [ 117 ], + "I2": [ 138 ], + "I3": [ 120 ], + "O": [ 137 ] } }, - "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0": { + "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0111000001110111" + "LUT_INIT": "0011010111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10442,11 +11214,11 @@ "O": "output" }, "connections": { - "I0": [ 9 ], - "I1": [ 78 ], - "I2": [ 89 ], - "I3": [ 93 ], - "O": [ 94 ] + "I0": [ 139 ], + "I1": [ 9 ], + "I2": [ 48 ], + "I3": [ 133 ], + "O": [ 140 ] } }, "io_ctrl_ins.mixer_en_state_SB_DFFE_Q": { @@ -10465,10 +11237,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 95 ], - "E": [ 66 ], - "Q": [ 77 ] + "C": [ 111 ], + "D": [ 141 ], + "E": [ 119 ], + "Q": [ 142 ] } }, "io_ctrl_ins.mixer_en_state_SB_DFFE_Q_D_SB_LUT4_O": { @@ -10489,11 +11261,36 @@ "O": "output" }, "connections": { - "I0": [ 96 ], - "I1": [ 65 ], - "I2": [ 64 ], - "I3": [ 67 ], - "O": [ 95 ] + "I0": [ 143 ], + "I1": [ 118 ], + "I2": [ 117 ], + "I3": [ 120 ], + "O": [ 141 ] + } + }, + "io_ctrl_ins.mixer_en_state_SB_LUT4_I1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011010111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 144 ], + "I1": [ 142 ], + "I2": [ 48 ], + "I3": [ 133 ], + "O": [ 145 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q": { @@ -10513,11 +11310,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 85 ], - "E": [ 97 ], - "Q": [ 98 ], - "R": [ 99 ] + "C": [ 111 ], + "D": [ 146 ], + "E": [ 147 ], + "Q": [ 148 ], + "R": [ 149 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_1": { @@ -10537,18 +11334,18 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 100 ], - "E": [ 97 ], - "Q": [ 101 ], - "R": [ 99 ] + "C": [ 111 ], + "D": [ 150 ], + "E": [ 147 ], + "Q": [ 151 ], + "R": [ 149 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1000111111111111" + "LUT_INIT": "0010111111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10562,11 +11359,11 @@ "O": "output" }, "connections": { - "I0": [ 13 ], - "I1": [ 48 ], - "I2": [ 102 ], - "I3": [ 103 ], - "O": [ 100 ] + "I0": [ 152 ], + "I1": [ 129 ], + "I2": [ 153 ], + "I3": [ 154 ], + "O": [ 150 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2": { @@ -10586,18 +11383,18 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 104 ], - "E": [ 97 ], - "Q": [ 105 ], - "R": [ 99 ] + "C": [ 111 ], + "D": [ 155 ], + "E": [ 147 ], + "Q": [ 156 ], + "R": [ 149 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1000111111111111" + "LUT_INIT": "0010111111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10611,11 +11408,11 @@ "O": "output" }, "connections": { - "I0": [ 14 ], - "I1": [ 48 ], - "I2": [ 106 ], - "I3": [ 107 ], - "O": [ 104 ] + "I0": [ 157 ], + "I1": [ 129 ], + "I2": [ 158 ], + "I3": [ 159 ], + "O": [ 155 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3": { @@ -10635,43 +11432,18 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 108 ], - "E": [ 109 ], - "Q": [ 110 ], - "R": [ 111 ] + "C": [ 111 ], + "D": [ 160 ], + "E": [ 161 ], + "Q": [ 162 ], + "R": [ 163 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000111111111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 112 ], - "I3": [ 94 ], - "O": [ 108 ] - } - }, - "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0111000001110111" + "LUT_INIT": "1111001011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10685,14 +11457,14 @@ "O": "output" }, "connections": { - "I0": [ 47 ], - "I1": [ 113 ], - "I2": [ 81 ], - "I3": [ 68 ], - "O": [ 112 ] + "I0": [ 164 ], + "I1": [ 129 ], + "I2": [ 165 ], + "I3": [ 140 ], + "O": [ 160 ] } }, - "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O": { + "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -10712,16 +11484,16 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 114 ], - "I3": [ 115 ], - "O": [ 109 ] + "I2": [ 127 ], + "I3": [ 166 ], + "O": [ 165 ] } }, - "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2_SB_LUT4_O": { + "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000001100000000" + "LUT_INIT": "1100111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10736,17 +11508,17 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 116 ], - "I2": [ 117 ], - "I3": [ 89 ], - "O": [ 114 ] + "I1": [ 48 ], + "I2": [ 49 ], + "I3": [ 147 ], + "O": [ 161 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_R_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0011000000000000" + "LUT_INIT": "0000000000111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10761,10 +11533,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 117 ], - "I2": [ 89 ], - "I3": [ 81 ], - "O": [ 111 ] + "I1": [ 48 ], + "I2": [ 50 ], + "I3": [ 133 ], + "O": [ 163 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_4": { @@ -10784,22 +11556,22 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 118 ], - "E": [ 119 ], - "Q": [ 120 ], - "R": [ 121 ] + "C": [ 111 ], + "D": [ 167 ], + "E": [ 168 ], + "Q": [ 169 ], + "R": [ 170 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_4_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000111111111111" + "LUT_INIT": "1111100011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -10809,11 +11581,11 @@ "O": "output" }, "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 122 ], - "I3": [ 123 ], - "O": [ 118 ] + "I0": [ 171 ], + "I1": [ 172 ], + "I2": [ 173 ], + "I3": [ 174 ], + "O": [ 167 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_5": { @@ -10833,18 +11605,18 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 124 ], - "E": [ 119 ], - "Q": [ 125 ], - "R": [ 121 ] + "C": [ 111 ], + "D": [ 175 ], + "E": [ 168 ], + "Q": [ 176 ], + "R": [ 170 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111001011111111" + "LUT_INIT": "1111100011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10858,11 +11630,11 @@ "O": "output" }, "connections": { - "I0": [ 126 ], - "I1": [ 89 ], - "I2": [ 127 ], - "I3": [ 128 ], - "O": [ 124 ] + "I0": [ 177 ], + "I1": [ 172 ], + "I2": [ 178 ], + "I3": [ 179 ], + "O": [ 175 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6": { @@ -10882,43 +11654,18 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 129 ], - "E": [ 119 ], - "Q": [ 130 ], - "R": [ 121 ] + "C": [ 111 ], + "D": [ 180 ], + "E": [ 168 ], + "Q": [ 181 ], + "R": [ 170 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000111111111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 49 ], - "I3": [ 131 ], - "O": [ 129 ] - } - }, - "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0100111100000000" + "LUT_INIT": "1111001011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -10932,39 +11679,14 @@ "O": "output" }, "connections": { - "I0": [ 132 ], - "I1": [ 116 ], - "I2": [ 121 ], - "I3": [ 115 ], - "O": [ 119 ] + "I0": [ 182 ], + "I1": [ 129 ], + "I2": [ 47 ], + "I3": [ 183 ], + "O": [ 180 ] } }, - "io_ctrl_ins.o_data_out_SB_DFFESR_Q_E_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111001100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 121 ], - "I2": [ 116 ], - "I3": [ 115 ], - "O": [ 97 ] - } - }, - "io_ctrl_ins.o_data_out_SB_DFFESR_Q_R_SB_LUT4_O": { + "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -10984,9 +11706,84 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 81 ], - "I3": [ 121 ], - "O": [ 99 ] + "I2": [ 147 ], + "I3": [ 127 ], + "O": [ 168 ] + } + }, + "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 49 ], + "I2": [ 50 ], + "I3": [ 133 ], + "O": [ 170 ] + } + }, + "io_ctrl_ins.o_data_out_SB_DFFESR_Q_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0010111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 184 ], + "I1": [ 129 ], + "I2": [ 134 ], + "I3": [ 131 ], + "O": [ 146 ] + } + }, + "io_ctrl_ins.o_data_out_SB_DFFESR_Q_R_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 48 ], + "I2": [ 50 ], + "I3": [ 170 ], + "O": [ 149 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESS_Q": { @@ -11006,11 +11803,11 @@ "S": "input" }, "connections": { - "C": [ 58 ], - "D": [ 133 ], - "E": [ 97 ], - "Q": [ 134 ], - "S": [ 99 ] + "C": [ 111 ], + "D": [ 185 ], + "E": [ 147 ], + "Q": [ 186 ], + "S": [ 149 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESS_Q_D_SB_LUT4_O": { @@ -11031,11 +11828,11 @@ "O": "output" }, "connections": { - "I0": [ 135 ], - "I1": [ 89 ], - "I2": [ 82 ], - "I3": [ 79 ], - "O": [ 133 ] + "I0": [ 187 ], + "I1": [ 129 ], + "I2": [ 145 ], + "I3": [ 128 ], + "O": [ 185 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q": { @@ -11054,10 +11851,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 136 ], - "E": [ 137 ], - "Q": [ 138 ] + "C": [ 111 ], + "D": [ 188 ], + "E": [ 189 ], + "Q": [ 182 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_1": { @@ -11076,10 +11873,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 139 ], - "E": [ 137 ], - "Q": [ 126 ] + "C": [ 111 ], + "D": [ 190 ], + "E": [ 189 ], + "Q": [ 191 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_2": { @@ -11098,10 +11895,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 140 ], - "E": [ 137 ], - "Q": [ 141 ] + "C": [ 111 ], + "D": [ 192 ], + "E": [ 189 ], + "Q": [ 193 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_3": { @@ -11120,10 +11917,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 142 ], - "E": [ 137 ], - "Q": [ 143 ] + "C": [ 111 ], + "D": [ 194 ], + "E": [ 189 ], + "Q": [ 157 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_4": { @@ -11142,10 +11939,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 144 ], - "E": [ 137 ], - "Q": [ 145 ] + "C": [ 111 ], + "D": [ 195 ], + "E": [ 189 ], + "Q": [ 152 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_5": { @@ -11164,10 +11961,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 146 ], - "E": [ 137 ], - "Q": [ 93 ] + "C": [ 111 ], + "D": [ 196 ], + "E": [ 189 ], + "Q": [ 164 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_6": { @@ -11186,10 +11983,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 59 ], - "E": [ 137 ], - "Q": [ 90 ] + "C": [ 111 ], + "D": [ 112 ], + "E": [ 189 ], + "Q": [ 184 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_7": { @@ -11208,10 +12005,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 62 ], - "E": [ 137 ], - "Q": [ 135 ] + "C": [ 111 ], + "D": [ 115 ], + "E": [ 189 ], + "Q": [ 187 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q": { @@ -11230,10 +12027,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 136 ], - "E": [ 147 ], - "Q": [ 46 ] + "C": [ 111 ], + "D": [ 188 ], + "E": [ 197 ], + "Q": [ 198 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_1": { @@ -11252,10 +12049,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 139 ], - "E": [ 147 ], - "Q": [ 148 ] + "C": [ 111 ], + "D": [ 190 ], + "E": [ 197 ], + "Q": [ 177 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_2": { @@ -11274,10 +12071,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 140 ], - "E": [ 147 ], - "Q": [ 149 ] + "C": [ 111 ], + "D": [ 192 ], + "E": [ 197 ], + "Q": [ 171 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_3": { @@ -11296,10 +12093,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 142 ], - "E": [ 147 ], - "Q": [ 150 ] + "C": [ 111 ], + "D": [ 194 ], + "E": [ 197 ], + "Q": [ 199 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_4": { @@ -11318,10 +12115,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 144 ], - "E": [ 147 ], - "Q": [ 151 ] + "C": [ 111 ], + "D": [ 195 ], + "E": [ 197 ], + "Q": [ 200 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_5": { @@ -11340,10 +12137,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 146 ], - "E": [ 147 ], - "Q": [ 113 ] + "C": [ 111 ], + "D": [ 196 ], + "E": [ 197 ], + "Q": [ 139 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_6": { @@ -11362,10 +12159,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 59 ], - "E": [ 147 ], - "Q": [ 86 ] + "C": [ 111 ], + "D": [ 112 ], + "E": [ 197 ], + "Q": [ 132 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_7": { @@ -11384,10 +12181,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 62 ], - "E": [ 147 ], - "Q": [ 80 ] + "C": [ 111 ], + "D": [ 115 ], + "E": [ 197 ], + "Q": [ 144 ] } }, "io_ctrl_ins.rf_mode_SB_DFFESR_Q": { @@ -11407,11 +12204,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 142 ], - "E": [ 60 ], - "Q": [ 65 ], - "R": [ 55 ] + "C": [ 111 ], + "D": [ 194 ], + "E": [ 113 ], + "Q": [ 117 ], + "R": [ 104 ] } }, "io_ctrl_ins.rf_mode_SB_DFFESR_Q_1": { @@ -11431,11 +12228,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 144 ], - "E": [ 60 ], - "Q": [ 64 ], - "R": [ 55 ] + "C": [ 111 ], + "D": [ 195 ], + "E": [ 113 ], + "Q": [ 118 ], + "R": [ 104 ] } }, "io_ctrl_ins.rf_mode_SB_DFFESR_Q_2": { @@ -11455,11 +12252,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 146 ], - "E": [ 60 ], - "Q": [ 68 ], - "R": [ 55 ] + "C": [ 111 ], + "D": [ 196 ], + "E": [ 113 ], + "Q": [ 166 ], + "R": [ 104 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q": { @@ -11478,10 +12275,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 136 ], - "E": [ 152 ], - "Q": [ 153 ] + "C": [ 111 ], + "D": [ 188 ], + "E": [ 201 ], + "Q": [ 202 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_1": { @@ -11500,10 +12297,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 139 ], - "E": [ 152 ], - "Q": [ 154 ] + "C": [ 111 ], + "D": [ 190 ], + "E": [ 201 ], + "Q": [ 203 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_2": { @@ -11522,10 +12319,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 140 ], - "E": [ 152 ], - "Q": [ 155 ] + "C": [ 111 ], + "D": [ 192 ], + "E": [ 201 ], + "Q": [ 204 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_3": { @@ -11544,10 +12341,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 142 ], - "E": [ 152 ], - "Q": [ 156 ] + "C": [ 111 ], + "D": [ 194 ], + "E": [ 201 ], + "Q": [ 205 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_4": { @@ -11566,10 +12363,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 144 ], - "E": [ 152 ], - "Q": [ 157 ] + "C": [ 111 ], + "D": [ 195 ], + "E": [ 201 ], + "Q": [ 206 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_5": { @@ -11588,10 +12385,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 146 ], - "E": [ 152 ], - "Q": [ 92 ] + "C": [ 111 ], + "D": [ 196 ], + "E": [ 201 ], + "Q": [ 138 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_6": { @@ -11610,10 +12407,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 59 ], - "E": [ 152 ], - "Q": [ 88 ] + "C": [ 111 ], + "D": [ 112 ], + "E": [ 201 ], + "Q": [ 136 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_7": { @@ -11632,10 +12429,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 62 ], - "E": [ 152 ], - "Q": [ 96 ] + "C": [ 111 ], + "D": [ 115 ], + "E": [ 201 ], + "Q": [ 143 ] } }, "io_ctrl_ins.rx_h_b_state_SB_DFFE_Q": { @@ -11654,9 +12451,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 158 ], - "E": [ 66 ], + "C": [ 111 ], + "D": [ 207 ], + "E": [ 119 ], "Q": [ 4 ] } }, @@ -11679,17 +12476,17 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 67 ], - "I2": [ 154 ], - "I3": [ 159 ], - "O": [ 158 ] + "I1": [ 120 ], + "I2": [ 203 ], + "I3": [ 208 ], + "O": [ 207 ] } }, - "io_ctrl_ins.rx_h_b_state_SB_LUT4_I1": { + "io_ctrl_ins.rx_h_b_state_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0011010111111111" + "LUT_INIT": "0000101110111011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -11703,14 +12500,14 @@ "O": "output" }, "connections": { - "I0": [ 148 ], - "I1": [ 4 ], - "I2": [ 132 ], - "I3": [ 117 ], - "O": [ 128 ] + "I0": [ 129 ], + "I1": [ 191 ], + "I2": [ 209 ], + "I3": [ 4 ], + "O": [ 179 ] } }, - "io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2": { + "io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -11730,62 +12527,12 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 117 ], - "I3": [ 132 ], - "O": [ 78 ] + "I2": [ 209 ], + "I3": [ 210 ], + "O": [ 201 ] } }, - "io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000111100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 132 ], - "I3": [ 117 ], - "O": [ 47 ] - } - }, - "io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 160 ], - "I1": [ 161 ], - "I2": [ 162 ], - "I3": [ 163 ], - "O": [ 117 ] - } - }, - "io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O_SB_LUT4_O": { + "io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -11805,34 +12552,34 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 48 ], + "I2": [ 133 ], + "I3": [ 48 ], + "O": [ 209 ] + } + }, + "io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 46 ], "I3": [ 16 ], - "O": [ 127 ] - } - }, - "io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1011111111111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 161 ], - "I1": [ 160 ], - "I2": [ 132 ], - "I3": [ 164 ], - "O": [ 89 ] + "O": [ 178 ] } }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q": { @@ -11851,9 +12598,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 165 ], - "E": [ 66 ], + "C": [ 111 ], + "D": [ 211 ], + "E": [ 119 ], "Q": [ 3 ] } }, @@ -11876,17 +12623,17 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 153 ], - "I2": [ 67 ], - "I3": [ 159 ], - "O": [ 165 ] + "I1": [ 202 ], + "I2": [ 120 ], + "I3": [ 208 ], + "O": [ 211 ] } }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1010100000101010" + "LUT_INIT": "1000101010100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -11900,18 +12647,18 @@ "O": "output" }, "connections": { - "I0": [ 67 ], - "I1": [ 68 ], - "I2": [ 65 ], - "I3": [ 64 ], - "O": [ 159 ] + "I0": [ 120 ], + "I1": [ 118 ], + "I2": [ 166 ], + "I3": [ 117 ], + "O": [ 208 ] } }, - "io_ctrl_ins.rx_h_state_SB_LUT4_I0": { + "io_ctrl_ins.rx_h_state_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0111000001110111" + "LUT_INIT": "0011010111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -11925,11 +12672,36 @@ "O": "output" }, "connections": { - "I0": [ 3 ], - "I1": [ 78 ], - "I2": [ 89 ], - "I3": [ 138 ], - "O": [ 131 ] + "I0": [ 198 ], + "I1": [ 3 ], + "I2": [ 48 ], + "I3": [ 133 ], + "O": [ 183 ] + } + }, + "io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 49 ], + "I1": [ 212 ], + "I2": [ 213 ], + "I3": [ 214 ], + "O": [ 133 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_DFFE_Q": { @@ -11948,9 +12720,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 166 ], - "E": [ 66 ], + "C": [ 111 ], + "D": [ 215 ], + "E": [ 119 ], "Q": [ 6 ] } }, @@ -11972,18 +12744,18 @@ "O": "output" }, "connections": { - "I0": [ 69 ], - "I1": [ 64 ], - "I2": [ 156 ], - "I3": [ 67 ], - "O": [ 166 ] + "I0": [ 216 ], + "I1": [ 118 ], + "I2": [ 205 ], + "I3": [ 120 ], + "O": [ 215 ] } }, - "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0": { + "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0111000001110111" + "LUT_INIT": "0011010111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -11997,18 +12769,18 @@ "O": "output" }, "connections": { - "I0": [ 6 ], - "I1": [ 78 ], - "I2": [ 89 ], - "I3": [ 143 ], - "O": [ 107 ] + "I0": [ 199 ], + "I1": [ 6 ], + "I2": [ 48 ], + "I3": [ 133 ], + "O": [ 158 ] } }, - "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O_SB_LUT4_O": { + "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0111000001110111" + "LUT_INIT": "0000101110111011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -12022,11 +12794,11 @@ "O": "output" }, "connections": { - "I0": [ 47 ], - "I1": [ 150 ], - "I2": [ 81 ], - "I3": [ 65 ], - "O": [ 106 ] + "I0": [ 127 ], + "I1": [ 117 ], + "I2": [ 46 ], + "I3": [ 14 ], + "O": [ 159 ] } }, "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q": { @@ -12045,9 +12817,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 167 ], - "E": [ 66 ], + "C": [ 111 ], + "D": [ 217 ], + "E": [ 119 ], "Q": [ 5 ] } }, @@ -12069,22 +12841,22 @@ "O": "output" }, "connections": { - "I0": [ 69 ], - "I1": [ 64 ], - "I2": [ 155 ], - "I3": [ 67 ], - "O": [ 167 ] + "I0": [ 216 ], + "I1": [ 118 ], + "I2": [ 204 ], + "I3": [ 120 ], + "O": [ 217 ] } }, - "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0": { + "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000011101110111" + "LUT_INIT": "0000000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", @@ -12094,14 +12866,14 @@ "O": "output" }, "connections": { - "I0": [ 5 ], - "I1": [ 78 ], - "I2": [ 48 ], - "I3": [ 15 ], - "O": [ 123 ] + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 166 ], + "I3": [ 117 ], + "O": [ 216 ] } }, - "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O_SB_LUT4_O": { + "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -12119,11 +12891,36 @@ "O": "output" }, "connections": { - "I0": [ 89 ], - "I1": [ 141 ], - "I2": [ 47 ], - "I3": [ 149 ], - "O": [ 122 ] + "I0": [ 129 ], + "I1": [ 193 ], + "I2": [ 209 ], + "I3": [ 5 ], + "O": [ 174 ] + } + }, + "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 46 ], + "I3": [ 15 ], + "O": [ 173 ] } }, "io_ctrl_ins.tr_vc_2_state_SB_DFFE_Q": { @@ -12142,9 +12939,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 168 ], - "E": [ 66 ], + "C": [ 111 ], + "D": [ 218 ], + "E": [ 119 ], "Q": [ 7 ] } }, @@ -12166,18 +12963,18 @@ "O": "output" }, "connections": { - "I0": [ 157 ], - "I1": [ 65 ], - "I2": [ 64 ], - "I3": [ 67 ], - "O": [ 168 ] + "I0": [ 206 ], + "I1": [ 118 ], + "I2": [ 117 ], + "I3": [ 120 ], + "O": [ 218 ] } }, - "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0": { + "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0111000001110111" + "LUT_INIT": "0011010111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -12191,18 +12988,18 @@ "O": "output" }, "connections": { - "I0": [ 7 ], - "I1": [ 78 ], - "I2": [ 89 ], - "I3": [ 145 ], - "O": [ 103 ] + "I0": [ 200 ], + "I1": [ 7 ], + "I2": [ 48 ], + "I3": [ 133 ], + "O": [ 153 ] } }, - "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O_SB_LUT4_O": { + "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0111000001110111" + "LUT_INIT": "0000101110111011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -12216,11 +13013,11 @@ "O": "output" }, "connections": { - "I0": [ 47 ], - "I1": [ 151 ], - "I2": [ 81 ], - "I3": [ 64 ], - "O": [ 102 ] + "I0": [ 127 ], + "I1": [ 118 ], + "I2": [ 46 ], + "I3": [ 13 ], + "O": [ 154 ] } }, "io_pmod_$_TBUF__Y": { @@ -12256,7 +13053,7 @@ "Y": "output" }, "connections": { - "A": [ "1" ], + "A": [ 219 ], "E": [ 30 ], "Y": [ 18 ] } @@ -12278,11 +13075,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 170 ], - "E": [ 171 ], + "C": [ 220 ], + "D": [ 221 ], + "E": [ 222 ], "Q": [ 25 ], - "R": [ 55 ] + "R": [ 104 ] } }, "io_pmod_SB_DFFNESR_Q_1": { @@ -12302,11 +13099,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 172 ], - "E": [ 171 ], + "C": [ 220 ], + "D": [ 223 ], + "E": [ 222 ], "Q": [ 24 ], - "R": [ 55 ] + "R": [ 104 ] } }, "io_pmod_SB_DFFNESR_Q_2": { @@ -12326,11 +13123,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 173 ], - "E": [ 171 ], + "C": [ 220 ], + "D": [ 224 ], + "E": [ 222 ], "Q": [ 23 ], - "R": [ 55 ] + "R": [ 104 ] } }, "io_pmod_SB_DFFNESR_Q_3": { @@ -12350,11 +13147,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 174 ], - "E": [ 171 ], + "C": [ 220 ], + "D": [ 225 ], + "E": [ 222 ], "Q": [ 22 ], - "R": [ 55 ] + "R": [ 104 ] } }, "io_pmod_SB_DFFSS_Q": { @@ -12373,17 +13170,17 @@ "S": "input" }, "connections": { - "C": [ 58 ], - "D": [ 175 ], + "C": [ 111 ], + "D": [ 226 ], "Q": [ 20 ], - "S": [ 55 ] + "S": [ 104 ] } }, "io_pmod_SB_DFFSS_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0001000000000000" + "LUT_INIT": "1111100010001000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -12397,18 +13194,43 @@ "O": "output" }, "connections": { - "I0": [ 176 ], - "I1": [ 177 ], - "I2": [ 178 ], - "I3": [ 179 ], - "O": [ 175 ] + "I0": [ 227 ], + "I1": [ 228 ], + "I2": [ 229 ], + "I3": [ 230 ], + "O": [ 226 ] } }, - "io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2_SB_LUT4_O": { + "io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000000000000001" + "LUT_INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 231 ], + "I3": [ 232 ], + "O": [ 229 ] + } + }, + "io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -12422,18 +13244,18 @@ "O": "output" }, "connections": { - "I0": [ 180 ], - "I1": [ 181 ], - "I2": [ 182 ], - "I3": [ 183 ], - "O": [ 179 ] + "I0": [ 233 ], + "I1": [ 234 ], + "I2": [ 235 ], + "I3": [ 236 ], + "O": [ 230 ] } }, - "io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2_SB_LUT4_O_1": { + "io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000001100000000" + "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -12448,10 +13270,85 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 184 ], - "I2": [ 185 ], - "I3": [ 20 ], - "O": [ 178 ] + "I1": [ 237 ], + "I2": [ 238 ], + "I3": [ 239 ], + "O": [ 228 ] + } + }, + "io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 240 ], + "I2": [ 241 ], + "I3": [ 242 ], + "O": [ 227 ] + } + }, + "io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 243 ], + "I3": [ 244 ], + "O": [ 240 ] + } + }, + "io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011101010100011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 245 ], + "I1": [ 246 ], + "I2": [ 240 ], + "I3": [ 247 ], + "O": [ 242 ] } }, "io_smi_data_SB_LUT4_O": { @@ -12475,7 +13372,7 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ 30 ], - "I3": [ 186 ], + "I3": [ 248 ], "O": [ 39 ] } }, @@ -12500,7 +13397,7 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ 30 ], - "I3": [ 187 ], + "I3": [ 249 ], "O": [ 38 ] } }, @@ -12525,7 +13422,7 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ 30 ], - "I3": [ 188 ], + "I3": [ 250 ], "O": [ 37 ] } }, @@ -12550,7 +13447,7 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ 30 ], - "I3": [ 189 ], + "I3": [ 251 ], "O": [ 36 ] } }, @@ -12575,7 +13472,7 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ 30 ], - "I3": [ 190 ], + "I3": [ 252 ], "O": [ 35 ] } }, @@ -12600,7 +13497,7 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ 30 ], - "I3": [ 191 ], + "I3": [ 253 ], "O": [ 34 ] } }, @@ -12625,7 +13522,7 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ 30 ], - "I3": [ 192 ], + "I3": [ 254 ], "O": [ 33 ] } }, @@ -12649,9 +13546,9 @@ }, "connections": { "CLOCK_ENABLE": [ "1" ], - "D_IN_0": [ 193 ], - "D_IN_1": [ 194 ], - "INPUT_CLK": [ 169 ], + "D_IN_0": [ 255 ], + "D_IN_1": [ 256 ], + "INPUT_CLK": [ 220 ], "PACKAGE_PIN": [ 10 ] } }, @@ -12676,9 +13573,9 @@ }, "connections": { "CLOCK_ENABLE": [ "1" ], - "D_IN_0": [ 195 ], - "D_IN_1": [ 196 ], - "INPUT_CLK": [ 169 ], + "D_IN_0": [ 257 ], + "D_IN_1": [ 258 ], + "INPUT_CLK": [ 220 ], "PACKAGE_PIN": [ 11 ] } }, @@ -12700,7 +13597,7 @@ }, "connections": { "CLOCK_ENABLE": [ "1" ], - "D_IN_0": [ 197 ], + "D_IN_0": [ 259 ], "PACKAGE_PIN": [ 12 ] } }, @@ -12718,8 +13615,8 @@ "USER_SIGNAL_TO_GLOBAL_BUFFER": "input" }, "connections": { - "GLOBAL_BUFFER_OUTPUT": [ 169 ], - "USER_SIGNAL_TO_GLOBAL_BUFFER": [ 197 ] + "GLOBAL_BUFFER_OUTPUT": [ 220 ], + "USER_SIGNAL_TO_GLOBAL_BUFFER": [ 259 ] } }, "lvds_rx_09_inst.r_data_SB_DFFNESR_Q": { @@ -12739,11 +13636,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 198 ], - "E": [ 171 ], - "Q": [ 199 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 260 ], + "E": [ 222 ], + "Q": [ 261 ], + "R": [ 104 ] } }, "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_1": { @@ -12763,61 +13660,635 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 200 ], - "E": [ 171 ], - "Q": [ 201 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 262 ], + "E": [ 222 ], + "Q": [ 263 ], + "R": [ 104 ] } }, - "lvds_rx_09_inst.r_data_SB_LUT4_I3": { + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_10": { "hide_name": 0, - "type": "SB_LUT4", + "type": "SB_DFFNESR", "parameters": { - "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" }, "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 202 ], - "I3": [ 199 ], - "O": [ 173 ] + "C": [ 220 ], + "D": [ 264 ], + "E": [ 222 ], + "Q": [ 265 ], + "R": [ 104 ] } }, - "lvds_rx_09_inst.r_data_SB_LUT4_I3_1": { + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_11": { "hide_name": 0, - "type": "SB_LUT4", + "type": "SB_DFFNESR", "parameters": { - "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" }, "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 202 ], - "I3": [ 201 ], - "O": [ 174 ] + "C": [ 220 ], + "D": [ 266 ], + "E": [ 222 ], + "Q": [ 267 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_12": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 268 ], + "E": [ 222 ], + "Q": [ 269 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_13": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 270 ], + "E": [ 222 ], + "Q": [ 271 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_14": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 272 ], + "E": [ 222 ], + "Q": [ 273 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_15": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 274 ], + "E": [ 222 ], + "Q": [ 275 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_16": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 276 ], + "E": [ 222 ], + "Q": [ 277 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_17": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 278 ], + "E": [ 222 ], + "Q": [ 279 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_18": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 280 ], + "E": [ 222 ], + "Q": [ 281 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_19": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 282 ], + "E": [ 222 ], + "Q": [ 283 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_2": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 284 ], + "E": [ 222 ], + "Q": [ 285 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_20": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 286 ], + "E": [ 222 ], + "Q": [ 287 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_21": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 288 ], + "E": [ 222 ], + "Q": [ 289 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_22": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 290 ], + "E": [ 222 ], + "Q": [ 291 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_23": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 292 ], + "E": [ 222 ], + "Q": [ 293 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_24": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 294 ], + "E": [ 222 ], + "Q": [ 295 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_25": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 296 ], + "E": [ 222 ], + "Q": [ 297 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_26": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 298 ], + "E": [ 222 ], + "Q": [ 299 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_27": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 300 ], + "E": [ 222 ], + "Q": [ 301 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_3": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 302 ], + "E": [ 222 ], + "Q": [ 303 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_4": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 304 ], + "E": [ 222 ], + "Q": [ 305 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_5": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 306 ], + "E": [ 222 ], + "Q": [ 307 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_6": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 308 ], + "E": [ 222 ], + "Q": [ 309 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_7": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 310 ], + "E": [ 222 ], + "Q": [ 311 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_8": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 312 ], + "E": [ 222 ], + "Q": [ 313 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_data_SB_DFFNESR_Q_9": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 314 ], + "E": [ 222 ], + "Q": [ 315 ], + "R": [ 104 ] } }, "lvds_rx_09_inst.r_phase_count_SB_CARRY_CI": { @@ -12835,9 +14306,9 @@ "I1": "input" }, "connections": { - "CI": [ 203 ], - "CO": [ 204 ], - "I0": [ 205 ], + "CI": [ 316 ], + "CO": [ 317 ], + "I0": [ 318 ], "I1": [ "1" ] } }, @@ -12860,10 +14331,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 205 ], + "I1": [ 318 ], "I2": [ "1" ], - "I3": [ 203 ], - "O": [ 206 ] + "I3": [ 316 ], + "O": [ 319 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O": { @@ -12887,8 +14358,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 207 ], - "O": [ 205 ] + "I3": [ 320 ], + "O": [ 318 ] } }, "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_1": { @@ -12912,8 +14383,56 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 208 ], - "O": [ 203 ] + "I3": [ 321 ], + "O": [ 316 ] + } + }, + "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFNESR_Q": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 322 ], + "E": [ 323 ], + "Q": [ 324 ], + "R": [ 104 ] + } + }, + "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFNESR_Q_1": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 325 ], + "E": [ 323 ], + "Q": [ 320 ], + "R": [ 104 ] } }, "lvds_rx_09_inst.r_push_SB_DFFNESR_Q": { @@ -12933,11 +14452,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 209 ], - "E": [ 210 ], - "Q": [ 211 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 326 ], + "E": [ 327 ], + "Q": [ 328 ], + "R": [ 104 ] } }, "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O": { @@ -12959,10 +14478,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 212 ], - "I2": [ 213 ], - "I3": [ 214 ], - "O": [ 209 ] + "I1": [ 329 ], + "I2": [ 330 ], + "I3": [ 331 ], + "O": [ 326 ] } }, "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O": { @@ -12984,615 +14503,38 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 215 ], - "I2": [ 212 ], - "I3": [ 55 ], - "O": [ 210 ] - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000000011111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 215 ], - "I1": [ 216 ], - "I2": [ 217 ], - "I3": [ 218 ], - "O": [ 219 ] - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000000011111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 215 ], - "I1": [ 216 ], - "I2": [ 206 ], - "I3": [ 218 ], - "O": [ 220 ] - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_1_O_SB_DFFNESR_D": { - "hide_name": 0, - "type": "SB_DFFNESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 169 ], - "D": [ 220 ], - "E": [ 221 ], - "Q": [ 207 ], - "R": [ 55 ] - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D": { - "hide_name": 0, - "type": "SB_DFFNESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 169 ], - "D": [ 219 ], - "E": [ 221 ], - "Q": [ 222 ], - "R": [ 55 ] - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E_SB_DFFNESR_E": { - "hide_name": 0, - "type": "SB_DFFNESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 169 ], - "D": [ 223 ], - "E": [ 221 ], - "Q": [ 208 ], - "R": [ 55 ] - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E_SB_DFFNESR_E_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000000001111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 202 ], - "I3": [ 208 ], - "O": [ 223 ] - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1100111111111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 55 ], - "I2": [ 214 ], - "I3": [ 212 ], - "O": [ 221 ] - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q_SB_LUT4_I0": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1001011001101001" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:248.12-257.5|lvds_rx.v:63.42-63.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 222 ], - "I1": [ "0" ], - "I2": [ "1" ], - "I3": [ 204 ], - "O": [ 217 ] - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1100111100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 208 ], - "I2": [ 224 ], - "I3": [ 214 ], - "O": [ 215 ] - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111100000001111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 224 ], - "I1": [ 208 ], - "I2": [ 214 ], - "I3": [ 212 ], - "O": [ 218 ] - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 207 ], - "I3": [ 222 ], - "O": [ 224 ] - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0100000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 225 ], - "I1": [ 226 ], - "I2": [ 211 ], - "I3": [ 227 ], - "O": [ 228 ] - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 229 ], - "I3": [ 230 ], - "O": [ 231 ] - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 232 ], - "I3": [ 233 ], - "O": [ 225 ] - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 234 ], - "I3": [ 235 ], - "O": [ 236 ] - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 237 ], - "I3": [ 238 ], - "O": [ 239 ] - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3_SB_CARRY_CO": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 235 ], - "CO": [ 233 ], - "I0": [ "0" ], - "I1": [ 234 ] - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3_SB_CARRY_CO_1": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 238 ], - "CO": [ 235 ], - "I0": [ "0" ], - "I1": [ 237 ] - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3_SB_CARRY_CO_2": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 240 ], - "CO": [ 238 ], - "I0": [ "0" ], - "I1": [ 241 ] - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3_SB_CARRY_CO_3": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 230 ], - "CO": [ 240 ], - "I0": [ "0" ], - "I1": [ 229 ] - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3_SB_CARRY_CO_4": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 242 ], - "CO": [ 230 ], - "I0": [ "0" ], - "I1": [ 243 ] - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0001000000000001" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 239 ], - "I1": [ 236 ], - "I2": [ 241 ], - "I3": [ 240 ], - "O": [ 227 ] - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0001000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 244 ], - "I1": [ 231 ], - "I2": [ 243 ], - "I3": [ 242 ], - "O": [ 226 ] - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 245 ], - "I1": [ 246 ], - "I2": [ 247 ], - "I3": [ 248 ], - "O": [ 249 ] - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_O_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000000000011" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 250 ], - "I2": [ 251 ], - "I3": [ 252 ], - "O": [ 253 ] + "I1": [ 332 ], + "I2": [ 329 ], + "I3": [ 104 ], + "O": [ 327 ] } }, "lvds_rx_09_inst.r_push_SB_LUT4_I3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 333 ], + "I1": [ 334 ], + "I2": [ 335 ], + "I3": [ 328 ], + "O": [ 336 ] + } + }, + "lvds_rx_09_inst.r_push_SB_LUT4_I3_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -13612,9 +14554,184 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 55 ], - "I3": [ 211 ], - "O": [ 254 ] + "I2": [ 104 ], + "I3": [ 328 ], + "O": [ 337 ] + } + }, + "lvds_rx_09_inst.r_push_SB_LUT4_I3_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 338 ], + "I3": [ 339 ], + "O": [ 335 ] + } + }, + "lvds_rx_09_inst.r_push_SB_LUT4_I3_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 340 ], + "I3": [ 341 ], + "O": [ 334 ] + } + }, + "lvds_rx_09_inst.r_push_SB_LUT4_I3_I1_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 342 ], + "I3": [ 343 ], + "O": [ 344 ] + } + }, + "lvds_rx_09_inst.r_push_SB_LUT4_I3_I1_SB_LUT4_O_3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 345 ], + "I3": [ 346 ], + "O": [ 347 ] + } + }, + "lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0100001010000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 348 ], + "I1": [ 349 ], + "I2": [ 350 ], + "I3": [ 335 ], + "O": [ 351 ] + } + }, + "lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0001100010000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 352 ], + "I1": [ 344 ], + "I2": [ 353 ], + "I3": [ 334 ], + "O": [ 354 ] + } + }, + "lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_1_I0_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 355 ], + "I3": [ 347 ], + "O": [ 352 ] } }, "lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q": { @@ -13634,11 +14751,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 216 ], - "E": [ 255 ], - "Q": [ 214 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 356 ], + "E": [ 357 ], + "Q": [ 331 ], + "R": [ 104 ] } }, "lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_1": { @@ -13658,11 +14775,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 202 ], - "E": [ 255 ], - "Q": [ 212 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 358 ], + "E": [ 357 ], + "Q": [ 329 ], + "R": [ 104 ] } }, "lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O": { @@ -13684,35 +14801,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 256 ], - "I2": [ 257 ], - "I3": [ 55 ], - "O": [ 255 ] - } - }, - "lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1110101001110101" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 214 ], - "I1": [ 208 ], - "I2": [ 224 ], - "I3": [ 212 ], - "O": [ 257 ] + "I1": [ 359 ], + "I2": [ 360 ], + "I3": [ 104 ], + "O": [ 357 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2": { @@ -13735,9 +14827,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 214 ], - "I3": [ 212 ], - "O": [ 216 ] + "I2": [ 331 ], + "I3": [ 329 ], + "O": [ 356 ] } }, "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_1": { @@ -13760,9 +14852,777 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 214 ], - "I3": [ 212 ], - "O": [ 202 ] + "I2": [ 329 ], + "I3": [ 331 ], + "O": [ 358 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 361 ], + "E": [ 362 ], + "Q": [ 363 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_1": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 364 ], + "E": [ 362 ], + "Q": [ 365 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_10": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 366 ], + "E": [ 362 ], + "Q": [ 367 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_11": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 368 ], + "E": [ 362 ], + "Q": [ 369 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_12": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 370 ], + "E": [ 362 ], + "Q": [ 371 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_13": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 372 ], + "E": [ 362 ], + "Q": [ 373 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_14": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 374 ], + "E": [ 362 ], + "Q": [ 375 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_15": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 376 ], + "E": [ 362 ], + "Q": [ 377 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_16": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 378 ], + "E": [ 362 ], + "Q": [ 379 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_17": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 380 ], + "E": [ 362 ], + "Q": [ 381 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_18": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 382 ], + "E": [ 362 ], + "Q": [ 383 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_19": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 384 ], + "E": [ 362 ], + "Q": [ 385 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_2": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 386 ], + "E": [ 362 ], + "Q": [ 387 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_20": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 388 ], + "E": [ 362 ], + "Q": [ 389 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_21": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 390 ], + "E": [ 362 ], + "Q": [ 391 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_22": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 392 ], + "E": [ 362 ], + "Q": [ 393 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_23": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 394 ], + "E": [ 362 ], + "Q": [ 395 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_24": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 396 ], + "E": [ 362 ], + "Q": [ 397 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_25": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 398 ], + "E": [ 362 ], + "Q": [ 399 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_26": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 400 ], + "E": [ 362 ], + "Q": [ 401 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_27": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 402 ], + "E": [ 362 ], + "Q": [ 403 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_28": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 404 ], + "E": [ 362 ], + "Q": [ 405 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_29": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 406 ], + "E": [ 362 ], + "Q": [ 407 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_3": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 408 ], + "E": [ 362 ], + "Q": [ 409 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_30": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 410 ], + "E": [ 362 ], + "Q": [ 411 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_31": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 412 ], + "E": [ 362 ], + "Q": [ 413 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_4": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 414 ], + "E": [ 362 ], + "Q": [ 415 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_5": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 416 ], + "E": [ 362 ], + "Q": [ 417 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_6": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 418 ], + "E": [ 362 ], + "Q": [ 419 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_7": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 420 ], + "E": [ 362 ], + "Q": [ 421 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_8": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 422 ], + "E": [ 362 ], + "Q": [ 423 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_data_SB_DFFNESR_Q_9": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 424 ], + "E": [ 362 ], + "Q": [ 425 ], + "R": [ 104 ] } }, "lvds_rx_24_inst.r_phase_count_SB_CARRY_CI": { @@ -13780,9 +15640,9 @@ "I1": "input" }, "connections": { - "CI": [ 258 ], - "CO": [ 259 ], - "I0": [ 260 ], + "CI": [ 426 ], + "CO": [ 427 ], + "I0": [ 428 ], "I1": [ "1" ] } }, @@ -13805,35 +15665,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 260 ], + "I1": [ 428 ], "I2": [ "1" ], - "I3": [ 258 ], - "O": [ 261 ] - } - }, - "lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1001011001101001" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:272.12-281.5|lvds_rx.v:63.42-63.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 262 ], - "I1": [ "0" ], - "I2": [ "1" ], - "I3": [ 259 ], - "O": [ 263 ] + "I3": [ 426 ], + "O": [ 429 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O": { @@ -13857,8 +15692,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 264 ], - "O": [ 258 ] + "I3": [ 430 ], + "O": [ 428 ] } }, "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1": { @@ -13882,11 +15717,11 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 265 ], - "O": [ 260 ] + "I3": [ 431 ], + "O": [ 426 ] } }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q": { + "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3_SB_DFFNESR_Q": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { @@ -13903,112 +15738,14 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 266 ], - "E": [ 267 ], - "Q": [ 268 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 432 ], + "E": [ 433 ], + "Q": [ 431 ], + "R": [ 104 ] } }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000111100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 269 ], - "I3": [ 270 ], - "O": [ 266 ] - } - }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1010111100001100" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 271 ], - "I1": [ 272 ], - "I2": [ 261 ], - "I3": [ 270 ], - "O": [ 273 ] - } - }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D": { - "hide_name": 0, - "type": "SB_DFFNESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 169 ], - "D": [ 273 ], - "E": [ 274 ], - "Q": [ 265 ], - "R": [ 55 ] - } - }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_E_SB_DFFNESR_E": { - "hide_name": 0, - "type": "SB_DFFNESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 169 ], - "D": [ 275 ], - "E": [ 274 ], - "Q": [ 264 ], - "R": [ 55 ] - } - }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_E_SB_DFFNESR_E_D_SB_LUT4_O": { + "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3_SB_DFFNESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -14028,12 +15765,85 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 276 ], - "I3": [ 264 ], - "O": [ 275 ] + "I2": [ 434 ], + "I3": [ 431 ], + "O": [ 432 ] } }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_E_SB_LUT4_O": { + "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001011001101001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:63.42-63.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 435 ], + "I1": [ "0" ], + "I2": [ "1" ], + "I3": [ 427 ], + "O": [ 436 ] + } + }, + "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFNESR_Q": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 437 ], + "E": [ 433 ], + "Q": [ 435 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFNESR_Q_1": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 438 ], + "E": [ 433 ], + "Q": [ 430 ], + "R": [ 104 ] + } + }, + "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFNESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -14052,13 +15862,13 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 55 ], - "I2": [ 277 ], - "I3": [ 278 ], - "O": [ 274 ] + "I1": [ 104 ], + "I2": [ 439 ], + "I3": [ 440 ], + "O": [ 433 ] } }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q": { + "lvds_rx_24_inst.r_push_SB_DFFNESR_Q": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { @@ -14075,18 +15885,18 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 279 ], - "E": [ 274 ], - "Q": [ 262 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 441 ], + "E": [ 442 ], + "Q": [ 443 ], + "R": [ 104 ] } }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O": { + "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000000011001111" + "LUT_INIT": "0000001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -14101,21 +15911,21 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 280 ], - "I2": [ 281 ], - "I3": [ 282 ], - "O": [ 279 ] + "I1": [ 439 ], + "I2": [ 444 ], + "I3": [ 440 ], + "O": [ 441 ] } }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1_SB_LUT4_O": { + "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000000001111111" + "LUT_INIT": "1111111100000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", @@ -14125,39 +15935,14 @@ "O": "output" }, "connections": { - "I0": [ 265 ], - "I1": [ 262 ], - "I2": [ 264 ], - "I3": [ 263 ], - "O": [ 280 ] + "I0": [ "0" ], + "I1": [ 445 ], + "I2": [ 439 ], + "I3": [ 104 ], + "O": [ 442 ] } }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111010000001111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 271 ], - "I1": [ 263 ], - "I2": [ 277 ], - "I3": [ 278 ], - "O": [ 282 ] - } - }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_O": { + "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -14177,346 +15962,16 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 277 ], - "I3": [ 278 ], - "O": [ 270 ] + "I2": [ 446 ], + "I3": [ 440 ], + "O": [ 445 ] } }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O": { + "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111111100001011" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 271 ], - "I1": [ 278 ], - "I2": [ 277 ], - "I3": [ 55 ], - "O": [ 267 ] - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0100000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 283 ], - "I1": [ 284 ], - "I2": [ 268 ], - "I3": [ 285 ], - "O": [ 286 ] - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 287 ], - "I3": [ 288 ], - "O": [ 283 ] - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 289 ], - "I3": [ 290 ], - "O": [ 291 ] - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 292 ], - "I3": [ 293 ], - "O": [ 294 ] - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 295 ], - "I3": [ 296 ], - "O": [ 297 ] - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0001000000000001" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 294 ], - "I1": [ 291 ], - "I2": [ 298 ], - "I3": [ 299 ], - "O": [ 285 ] - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0001000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 300 ], - "I1": [ 297 ], - "I2": [ 301 ], - "I3": [ 302 ], - "O": [ 284 ] - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3_SB_CARRY_CO": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 299 ], - "CO": [ 288 ], - "I0": [ "0" ], - "I1": [ 298 ] - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3_SB_CARRY_CO_1": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 290 ], - "CO": [ 299 ], - "I0": [ "0" ], - "I1": [ 289 ] - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3_SB_CARRY_CO_2": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 293 ], - "CO": [ 290 ], - "I0": [ "0" ], - "I1": [ 292 ] - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3_SB_CARRY_CO_3": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 296 ], - "CO": [ 293 ], - "I0": [ "0" ], - "I1": [ 295 ] - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3_SB_CARRY_CO_4": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 302 ], - "CO": [ 296 ], - "I0": [ "0" ], - "I1": [ 301 ] - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 303 ], - "I1": [ 304 ], - "I2": [ 305 ], - "I3": [ 306 ], - "O": [ 307 ] - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_O_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000000000011" + "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -14531,13 +15986,38 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 308 ], - "I2": [ 309 ], - "I3": [ 310 ], - "O": [ 311 ] + "I1": [ 431 ], + "I2": [ 430 ], + "I3": [ 435 ], + "O": [ 446 ] } }, "lvds_rx_24_inst.r_push_SB_LUT4_I3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 447 ], + "I1": [ 448 ], + "I2": [ 449 ], + "I3": [ 443 ], + "O": [ 450 ] + } + }, + "lvds_rx_24_inst.r_push_SB_LUT4_I3_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -14557,9 +16037,209 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 55 ], - "I3": [ 268 ], - "O": [ 312 ] + "I2": [ 104 ], + "I3": [ 443 ], + "O": [ 451 ] + } + }, + "lvds_rx_24_inst.r_push_SB_LUT4_I3_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 452 ], + "I3": [ 453 ], + "O": [ 448 ] + } + }, + "lvds_rx_24_inst.r_push_SB_LUT4_I3_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 454 ], + "I3": [ 455 ], + "O": [ 456 ] + } + }, + "lvds_rx_24_inst.r_push_SB_LUT4_I3_I1_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 457 ], + "I3": [ 458 ], + "O": [ 459 ] + } + }, + "lvds_rx_24_inst.r_push_SB_LUT4_I3_I1_SB_LUT4_O_3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 460 ], + "I3": [ 461 ], + "O": [ 462 ] + } + }, + "lvds_rx_24_inst.r_push_SB_LUT4_I3_I1_SB_LUT4_O_4": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 463 ], + "I3": [ 464 ], + "O": [ 449 ] + } + }, + "lvds_rx_24_inst.r_push_SB_LUT4_I3_O_SB_LUT4_I1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 450 ], + "I2": [ 465 ], + "I3": [ 466 ], + "O": [ 467 ] + } + }, + "lvds_rx_24_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0100001010000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 468 ], + "I1": [ 469 ], + "I2": [ 470 ], + "I3": [ 459 ], + "O": [ 466 ] + } + }, + "lvds_rx_24_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011110011000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 471 ], + "I2": [ 456 ], + "I3": [ 448 ], + "O": [ 465 ] } }, "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q": { @@ -14579,11 +16259,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 281 ], - "E": [ 313 ], - "Q": [ 278 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 472 ], + "E": [ 473 ], + "Q": [ 440 ], + "R": [ 104 ] } }, "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_1": { @@ -14603,14 +16283,139 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 276 ], - "E": [ 313 ], - "Q": [ 277 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 434 ], + "E": [ 473 ], + "Q": [ 439 ], + "R": [ 104 ] } }, - "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_D_SB_LUT4_O": { + "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111100000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 474 ], + "I1": [ 445 ], + "I2": [ 475 ], + "I3": [ 104 ], + "O": [ 473 ] + } + }, + "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 440 ], + "I2": [ 439 ], + "I3": [ 474 ], + "O": [ 476 ] + } + }, + "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I3_O_SB_LUT4_I3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000000011111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 445 ], + "I1": [ 472 ], + "I2": [ 436 ], + "I3": [ 476 ], + "O": [ 437 ] + } + }, + "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I3_O_SB_LUT4_I3_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000000011111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 445 ], + "I1": [ 472 ], + "I2": [ 429 ], + "I3": [ 476 ], + "O": [ 438 ] + } + }, + "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 435 ], + "I1": [ 431 ], + "I2": [ 430 ], + "I3": [ 472 ], + "O": [ 474 ] + } + }, + "lvds_rx_24_inst.r_state_if_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -14630,12 +16435,12 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 278 ], - "I3": [ 277 ], - "O": [ 281 ] + "I2": [ 440 ], + "I3": [ 439 ], + "O": [ 472 ] } }, - "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_D_SB_LUT4_O_1": { + "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -14655,59 +16460,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 277 ], - "I3": [ 278 ], - "O": [ 276 ] - } - }, - "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111111100000011" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 314 ], - "I2": [ 272 ], - "I3": [ 55 ], - "O": [ 313 ] - } - }, - "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0111111100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 264 ], - "I1": [ 265 ], - "I2": [ 262 ], - "I3": [ 281 ], - "O": [ 272 ] + "I2": [ 439 ], + "I3": [ 440 ], + "O": [ 434 ] } }, "o_miso_$_TBUF__Y": { @@ -14724,8 +16479,8 @@ "Y": "output" }, "connections": { - "A": [ 315 ], - "E": [ 57 ], + "A": [ 477 ], + "E": [ 110 ], "Y": [ 45 ] } }, @@ -14743,7 +16498,7 @@ "Y": "output" }, "connections": { - "A": [ "1" ], + "A": [ 219 ], "E": [ 30 ], "Y": [ 41 ] } @@ -14785,10 +16540,10 @@ }, "connections": { "C": [ 2 ], - "D": [ 316 ], - "E": [ 317 ], - "Q": [ 318 ], - "R": [ 319 ] + "D": [ 478 ], + "E": [ 479 ], + "Q": [ 480 ], + "R": [ 481 ] } }, "r_tx_data_SB_DFFESR_Q_1": { @@ -14809,10 +16564,10 @@ }, "connections": { "C": [ 2 ], - "D": [ 320 ], - "E": [ 317 ], - "Q": [ 321 ], - "R": [ 319 ] + "D": [ 482 ], + "E": [ 479 ], + "Q": [ 483 ], + "R": [ 481 ] } }, "r_tx_data_SB_DFFESR_Q_2": { @@ -14833,10 +16588,10 @@ }, "connections": { "C": [ 2 ], - "D": [ 322 ], - "E": [ 317 ], - "Q": [ 323 ], - "R": [ 319 ] + "D": [ 484 ], + "E": [ 479 ], + "Q": [ 485 ], + "R": [ 481 ] } }, "r_tx_data_SB_DFFESR_Q_3": { @@ -14857,10 +16612,10 @@ }, "connections": { "C": [ 2 ], - "D": [ 324 ], - "E": [ 317 ], - "Q": [ 325 ], - "R": [ 319 ] + "D": [ 486 ], + "E": [ 479 ], + "Q": [ 487 ], + "R": [ 481 ] } }, "r_tx_data_SB_DFFESR_Q_4": { @@ -14881,10 +16636,10 @@ }, "connections": { "C": [ 2 ], - "D": [ 326 ], - "E": [ 317 ], - "Q": [ 327 ], - "R": [ 319 ] + "D": [ 488 ], + "E": [ 479 ], + "Q": [ 489 ], + "R": [ 481 ] } }, "r_tx_data_SB_DFFESR_Q_5": { @@ -14905,10 +16660,10 @@ }, "connections": { "C": [ 2 ], - "D": [ 328 ], - "E": [ 317 ], - "Q": [ 329 ], - "R": [ 319 ] + "D": [ 490 ], + "E": [ 479 ], + "Q": [ 491 ], + "R": [ 481 ] } }, "r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O": { @@ -14931,9 +16686,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 330 ], - "I3": [ 125 ], - "O": [ 328 ] + "I2": [ 492 ], + "I3": [ 176 ], + "O": [ 490 ] } }, "r_tx_data_SB_DFFESR_Q_5_D_SB_LUT4_O_1": { @@ -14956,9 +16711,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 330 ], - "I3": [ 105 ], - "O": [ 324 ] + "I2": [ 492 ], + "I3": [ 156 ], + "O": [ 486 ] } }, "r_tx_data_SB_DFFESR_Q_6": { @@ -14979,10 +16734,85 @@ }, "connections": { "C": [ 2 ], - "D": [ 331 ], - "E": [ 317 ], - "Q": [ 332 ], - "R": [ 319 ] + "D": [ 493 ], + "E": [ 479 ], + "Q": [ 494 ], + "R": [ 481 ] + } + }, + "r_tx_data_SB_DFFESR_Q_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 492 ], + "I2": [ 181 ], + "I3": [ 495 ], + "O": [ 493 ] + } + }, + "r_tx_data_SB_DFFESR_Q_D_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 492 ], + "I2": [ 169 ], + "I3": [ 495 ], + "O": [ 488 ] + } + }, + "r_tx_data_SB_DFFESR_Q_D_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 495 ], + "I3": [ 496 ], + "O": [ 482 ] } }, "r_tx_data_SB_DFFE_Q": { @@ -15002,9 +16832,9 @@ }, "connections": { "C": [ 2 ], - "D": [ 333 ], - "E": [ 317 ], - "Q": [ 334 ] + "D": [ 497 ], + "E": [ 479 ], + "Q": [ 498 ] } }, "rx_09_fifo.full_o_SB_DFFSR_Q": { @@ -15023,17 +16853,17 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 335 ], - "Q": [ 213 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 499 ], + "Q": [ 330 ], + "R": [ 104 ] } }, "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111111111000000" + "LUT_INIT": "1100000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -15048,17 +16878,142 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 249 ], - "I2": [ 253 ], - "I3": [ 228 ], - "O": [ 335 ] + "I1": [ 500 ], + "I2": [ 501 ], + "I3": [ 502 ], + "O": [ 499 ] + } + }, + "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 503 ], + "I2": [ 504 ], + "I3": [ 505 ], + "O": [ 501 ] + } + }, + "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 336 ], + "I2": [ 354 ], + "I3": [ 351 ], + "O": [ 500 ] + } + }, + "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0001100001000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 506 ], + "I1": [ 507 ], + "I2": [ 508 ], + "I3": [ 503 ], + "O": [ 505 ] + } + }, + "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 509 ], + "I3": [ 510 ], + "O": [ 504 ] + } + }, + "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 511 ], + "I3": [ 347 ], + "O": [ 507 ] } }, "rx_09_fifo.full_o_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000000100000000" + "LUT_INIT": "0100000100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -15072,14 +17027,465 @@ "O": "output" }, "connections": { - "I0": [ 211 ], - "I1": [ 336 ], - "I2": [ 337 ], - "I3": [ 213 ], - "O": [ 248 ] + "I0": [ 328 ], + "I1": [ 512 ], + "I2": [ 349 ], + "I3": [ 330 ], + "O": [ 513 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O": { + "rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 511 ], + "I1": [ 514 ], + "I2": [ 515 ], + "I3": [ 513 ], + "O": [ 516 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I0": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 517 ], + "I1": [ 516 ], + "I2": [ 518 ], + "I3": [ 519 ], + "O": [ 502 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 510 ], + "I1": [ 520 ], + "I2": [ 506 ], + "I3": [ 521 ], + "O": [ 517 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 353 ], + "I1": [ 522 ], + "I2": [ 523 ], + "I3": [ 348 ], + "O": [ 519 ] + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 355 ], + "I1": [ 524 ], + "I2": [ 525 ], + "I3": [ 333 ], + "O": [ 518 ] + } + }, + "rx_09_fifo.ram256x16_i_inst": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": { + "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "00000000000000000000000000000000", + "WRITE_MODE": "00000000000000000000000000000000" + }, + "attributes": { + "hdlname": "rx_09_fifo ram256x16_i_inst", + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:105.3-116.22" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1" ], + "RADDR": [ 526, 527, 528, 529, 530, 244, 241, 246, "0", "0", "0" ], + "RCLK": [ 111 ], + "RCLKE": [ "1" ], + "RDATA": [ 531, 532, 533, 534, 535, 536, 97, 537, 538, 539, 540, 541, 542, 543, 92, 544 ], + "RE": [ 108 ], + "WADDR": [ 509, 503, 508, 345, 342, 340, 338, 545, "0", "0", "0" ], + "WCLK": [ 220 ], + "WCLKE": [ "1" ], + "WDATA": [ 275, 273, 271, 269, 267, 265, 315, 313, 311, 309, 307, 305, 303, 285, 263, 261 ], + "WE": [ 328 ] + } + }, + "rx_09_fifo.ram256x16_q_inst": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": { + "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "00000000000000000000000000000000", + "WRITE_MODE": "00000000000000000000000000000000" + }, + "attributes": { + "hdlname": "rx_09_fifo ram256x16_q_inst", + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:137.3-148.22" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1" ], + "RADDR": [ 526, 527, 528, 529, 530, 244, 241, 246, "0", "0", "0" ], + "RCLK": [ 111 ], + "RCLKE": [ "1" ], + "RDATA": [ 68, 546, 547, 548, 549, 550, 96, 551, 552, 553, 554, 555, 556, 557, 91, 558 ], + "RE": [ 108 ], + "WADDR": [ 509, 503, 508, 345, 342, 340, 338, 545, "0", "0", "0" ], + "WCLK": [ 220 ], + "WCLKE": [ "1" ], + "WDATA": [ 301, 299, 22, 23, 24, 25, 297, 295, 293, 291, 289, 287, 283, 281, 279, 277 ], + "WE": [ 328 ] + } + }, + "rx_09_fifo.rd_addr_SB_DFFESR_Q": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 559 ], + "E": [ 560 ], + "Q": [ 241 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.rd_addr_SB_DFFESR_Q_1": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 561 ], + "E": [ 560 ], + "Q": [ 244 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.rd_addr_SB_DFFESR_Q_2": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 562 ], + "E": [ 560 ], + "Q": [ 530 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.rd_addr_SB_DFFESR_Q_3": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 563 ], + "E": [ 560 ], + "Q": [ 529 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.rd_addr_SB_DFFESR_Q_4": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 564 ], + "E": [ 560 ], + "Q": [ 528 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.rd_addr_SB_DFFESR_Q_5": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 565 ], + "E": [ 560 ], + "Q": [ 527 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.rd_addr_SB_DFFESR_Q_6": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 566 ], + "E": [ 560 ], + "Q": [ 526 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 567 ], + "E": [ 560 ], + "Q": [ 246 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 568 ], + "E": [ 560 ], + "Q": [ 569 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -15099,12 +17505,134 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 338 ], - "I3": [ 339 ], - "O": [ 247 ] + "I2": [ 567 ], + "I3": [ 559 ], + "O": [ 568 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1": { + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_2": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 570 ], + "E": [ 560 ], + "Q": [ 571 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_3": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 572 ], + "E": [ 560 ], + "Q": [ 573 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 234 ], + "E": [ 560 ], + "Q": [ 574 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 575 ], + "I1": [ 576 ], + "I2": [ 243 ], + "I3": [ 570 ], + "O": [ 236 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 572 ], + "I1": [ 577 ], + "I2": [ 578 ], + "I3": [ 579 ], + "O": [ 235 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -15124,12 +17652,184 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 340 ], - "I3": [ 338 ], - "O": [ 246 ] + "I2": [ 563 ], + "I3": [ 562 ], + "O": [ 234 ] } }, - "rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2": { + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 579 ], + "E": [ 560 ], + "Q": [ 580 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 564 ], + "I3": [ 563 ], + "O": [ 579 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 562 ], + "I3": [ 561 ], + "O": [ 572 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 581 ], + "E": [ 560 ], + "Q": [ 582 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 565 ], + "I3": [ 564 ], + "O": [ 581 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 576 ], + "E": [ 560 ], + "Q": [ 583 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 561 ], + "I3": [ 559 ], + "O": [ 570 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -15146,12 +17846,658 @@ "I3": "input", "O": "output" }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 526 ], + "I3": [ 565 ], + "O": [ 576 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 246 ], + "I3": [ 584 ], + "O": [ 567 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 585 ], + "CO": [ 584 ], + "I0": [ "0" ], + "I1": [ 241 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_1": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 586 ], + "CO": [ 585 ], + "I0": [ "0" ], + "I1": [ 244 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_2": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 587 ], + "CO": [ 586 ], + "I0": [ "0" ], + "I1": [ 530 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_3": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 588 ], + "CO": [ 587 ], + "I0": [ "0" ], + "I1": [ 529 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_4": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 589 ], + "CO": [ 588 ], + "I0": [ "0" ], + "I1": [ 528 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_5": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 526 ], + "CO": [ 589 ], + "I0": [ "0" ], + "I1": [ 527 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 241 ], + "I3": [ 585 ], + "O": [ 559 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 244 ], - "I3": [ 340 ], - "O": [ 245 ] + "I3": [ 586 ], + "O": [ 561 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 530 ], + "I3": [ 587 ], + "O": [ 562 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 529 ], + "I3": [ 588 ], + "O": [ 563 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_4": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 528 ], + "I3": [ 589 ], + "O": [ 564 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_5": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 527 ], + "I3": [ 526 ], + "O": [ 565 ] + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_6": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ "0" ], + "I3": [ 526 ], + "O": [ 566 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_SB_DFF_Q": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 246 ], + "Q": [ 590 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_SB_DFF_Q_1": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 569 ], + "Q": [ 591 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_SB_DFF_Q_2": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 571 ], + "Q": [ 592 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_SB_DFF_Q_3": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 573 ], + "Q": [ 593 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_SB_DFF_Q_4": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 574 ], + "Q": [ 594 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_SB_DFF_Q_5": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 580 ], + "Q": [ 595 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_SB_DFF_Q_6": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 582 ], + "Q": [ 596 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_SB_DFF_Q_7": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 583 ], + "Q": [ 597 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_r_SB_DFF_Q": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 590 ], + "Q": [ 349 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_r_SB_DFF_Q_1": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 591 ], + "Q": [ 348 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_r_SB_DFF_Q_2": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 592 ], + "Q": [ 333 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_r_SB_DFF_Q_3": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 593 ], + "Q": [ 353 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_r_SB_DFF_Q_4": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 594 ], + "Q": [ 355 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_r_SB_DFF_Q_5": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 595 ], + "Q": [ 511 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_r_SB_DFF_Q_6": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 596 ], + "Q": [ 506 ] + } + }, + "rx_09_fifo.rd_addr_gray_wr_r_SB_DFF_Q_7": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 597 ], + "Q": [ 510 ] } }, "rx_09_fifo.wr_addr_SB_DFFESR_Q": { @@ -15171,11 +18517,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 337 ], - "E": [ 254 ], - "Q": [ 234 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 598 ], + "E": [ 337 ], + "Q": [ 338 ], + "R": [ 104 ] } }, "rx_09_fifo.wr_addr_SB_DFFESR_Q_1": { @@ -15195,11 +18541,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 341 ], - "E": [ 254 ], - "Q": [ 237 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 599 ], + "E": [ 337 ], + "Q": [ 340 ], + "R": [ 104 ] } }, "rx_09_fifo.wr_addr_SB_DFFESR_Q_2": { @@ -15219,11 +18565,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 342 ], - "E": [ 254 ], - "Q": [ 241 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 600 ], + "E": [ 337 ], + "Q": [ 342 ], + "R": [ 104 ] } }, "rx_09_fifo.wr_addr_SB_DFFESR_Q_3": { @@ -15243,11 +18589,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 339 ], - "E": [ 254 ], - "Q": [ 229 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 515 ], + "E": [ 337 ], + "Q": [ 345 ], + "R": [ 104 ] } }, "rx_09_fifo.wr_addr_SB_DFFESR_Q_4": { @@ -15267,11 +18613,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 338 ], - "E": [ 254 ], - "Q": [ 243 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 514 ], + "E": [ 337 ], + "Q": [ 508 ], + "R": [ 104 ] } }, "rx_09_fifo.wr_addr_SB_DFFESR_Q_5": { @@ -15291,11 +18637,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 340 ], - "E": [ 254 ], - "Q": [ 242 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 601 ], + "E": [ 337 ], + "Q": [ 503 ], + "R": [ 104 ] } }, "rx_09_fifo.wr_addr_SB_DFFESR_Q_6": { @@ -15315,11 +18661,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 343 ], - "E": [ 254 ], - "Q": [ 244 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 602 ], + "E": [ 337 ], + "Q": [ 509 ], + "R": [ 104 ] } }, "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q": { @@ -15339,11 +18685,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 336 ], - "E": [ 254 ], - "Q": [ 232 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 512 ], + "E": [ 337 ], + "Q": [ 545 ], + "R": [ 104 ] } }, "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_1": { @@ -15363,36 +18709,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 344 ], - "E": [ 254 ], - "Q": [ 345 ], - "R": [ 55 ] - } - }, - "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_1_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000111111110000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 336 ], - "I3": [ 337 ], - "O": [ 344 ] + "C": [ 220 ], + "D": [ 523 ], + "E": [ 337 ], + "Q": [ 603 ], + "R": [ 104 ] } }, "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_2": { @@ -15412,11 +18733,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 252 ], - "E": [ 254 ], - "Q": [ 346 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 525 ], + "E": [ 337 ], + "Q": [ 604 ], + "R": [ 104 ] } }, "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3": { @@ -15436,11 +18757,61 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 251 ], - "E": [ 254 ], - "Q": [ 347 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 522 ], + "E": [ 337 ], + "Q": [ 605 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 600 ], + "I3": [ 599 ], + "O": [ 522 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 512 ], + "I3": [ 598 ], + "O": [ 523 ] } }, "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4": { @@ -15460,11 +18831,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 250 ], - "E": [ 254 ], - "Q": [ 348 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 524 ], + "E": [ 337 ], + "Q": [ 606 ], + "R": [ 104 ] } }, "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O": { @@ -15487,9 +18858,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 341 ], - "I3": [ 337 ], - "O": [ 252 ] + "I2": [ 515 ], + "I3": [ 600 ], + "O": [ 524 ] } }, "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O_1": { @@ -15512,34 +18883,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 342 ], - "I3": [ 341 ], - "O": [ 251 ] - } - }, - "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000111111110000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 339 ], - "I3": [ 342 ], - "O": [ 250 ] + "I2": [ 599 ], + "I3": [ 598 ], + "O": [ 525 ] } }, "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5": { @@ -15559,11 +18905,36 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 247 ], - "E": [ 254 ], - "Q": [ 349 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 607 ], + "E": [ 337 ], + "Q": [ 608 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 514 ], + "I3": [ 515 ], + "O": [ 607 ] } }, "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_6": { @@ -15583,11 +18954,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 246 ], - "E": [ 254 ], - "Q": [ 350 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 521 ], + "E": [ 337 ], + "Q": [ 609 ], + "R": [ 104 ] } }, "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7": { @@ -15607,11 +18978,61 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 245 ], - "E": [ 254 ], - "Q": [ 351 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 520 ], + "E": [ 337 ], + "Q": [ 610 ], + "R": [ 104 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 601 ], + "I3": [ 514 ], + "O": [ 521 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 509 ], + "I3": [ 601 ], + "O": [ 520 ] } }, "rx_09_fifo.wr_addr_gray_SB_LUT4_I2": { @@ -15622,7 +19043,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", @@ -15634,138 +19055,12 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 232 ], - "I3": [ 352 ], - "O": [ 336 ] + "I2": [ 545 ], + "I3": [ 611 ], + "O": [ 350 ] } }, - "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 353 ], - "CO": [ 352 ], - "I0": [ "0" ], - "I1": [ 234 ] - } - }, - "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_1": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 354 ], - "CO": [ 353 ], - "I0": [ "0" ], - "I1": [ 237 ] - } - }, - "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_2": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 355 ], - "CO": [ 354 ], - "I0": [ "0" ], - "I1": [ 241 ] - } - }, - "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_3": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 356 ], - "CO": [ 355 ], - "I0": [ "0" ], - "I1": [ 229 ] - } - }, - "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_4": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 357 ], - "CO": [ 356 ], - "I0": [ "0" ], - "I1": [ 243 ] - } - }, - "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_5": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 244 ], - "CO": [ 357 ], - "I0": [ "0" ], - "I1": [ 242 ] - } - }, - "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O_SB_LUT4_O": { + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -15785,12 +19080,138 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 234 ], - "I3": [ 353 ], - "O": [ 337 ] + "I2": [ 545 ], + "I3": [ 612 ], + "O": [ 512 ] } }, - "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_1": { + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 613 ], + "CO": [ 612 ], + "I0": [ "0" ], + "I1": [ 338 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_1": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 614 ], + "CO": [ 613 ], + "I0": [ "0" ], + "I1": [ 340 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_2": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 615 ], + "CO": [ 614 ], + "I0": [ "0" ], + "I1": [ 342 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_3": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 616 ], + "CO": [ 615 ], + "I0": [ "0" ], + "I1": [ 345 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_4": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 617 ], + "CO": [ 616 ], + "I0": [ "0" ], + "I1": [ 508 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_5": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 509 ], + "CO": [ 617 ], + "I0": [ "0" ], + "I1": [ 503 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -15810,12 +19231,12 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 237 ], - "I3": [ 354 ], - "O": [ 341 ] + "I2": [ 338 ], + "I3": [ 613 ], + "O": [ 598 ] } }, - "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_2": { + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -15835,12 +19256,12 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 241 ], - "I3": [ 355 ], - "O": [ 342 ] + "I2": [ 340 ], + "I3": [ 614 ], + "O": [ 599 ] } }, - "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_3": { + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -15860,12 +19281,12 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 229 ], - "I3": [ 356 ], - "O": [ 339 ] + "I2": [ 342 ], + "I3": [ 615 ], + "O": [ 600 ] } }, - "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_4": { + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -15885,12 +19306,12 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 243 ], - "I3": [ 357 ], - "O": [ 338 ] + "I2": [ 345 ], + "I3": [ 616 ], + "O": [ 515 ] } }, - "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_5": { + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_4": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -15910,12 +19331,37 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 242 ], - "I3": [ 244 ], - "O": [ 340 ] + "I2": [ 508 ], + "I3": [ 617 ], + "O": [ 514 ] } }, - "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_6": { + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_5": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 503 ], + "I3": [ 509 ], + "O": [ 601 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O_SB_LUT4_O_6": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -15936,8 +19382,113 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 244 ], - "O": [ 343 ] + "I3": [ 509 ], + "O": [ 602 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 339 ], + "CO": [ 611 ], + "I0": [ "0" ], + "I1": [ 338 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_1": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 341 ], + "CO": [ 339 ], + "I0": [ "0" ], + "I1": [ 340 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_2": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 343 ], + "CO": [ 341 ], + "I0": [ "0" ], + "I1": [ 342 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_3": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 346 ], + "CO": [ 343 ], + "I0": [ "0" ], + "I1": [ 345 ] + } + }, + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_4": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 503 ], + "CO": [ 346 ], + "I0": [ "0" ], + "I1": [ 508 ] } }, "rx_09_fifo.wr_addr_gray_rd_SB_DFF_Q": { @@ -15955,9 +19506,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 232 ], - "Q": [ 358 ] + "C": [ 111 ], + "D": [ 545 ], + "Q": [ 618 ] } }, "rx_09_fifo.wr_addr_gray_rd_SB_DFF_Q_1": { @@ -15975,9 +19526,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 345 ], - "Q": [ 359 ] + "C": [ 111 ], + "D": [ 603 ], + "Q": [ 619 ] } }, "rx_09_fifo.wr_addr_gray_rd_SB_DFF_Q_2": { @@ -15995,9 +19546,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 346 ], - "Q": [ 360 ] + "C": [ 111 ], + "D": [ 604 ], + "Q": [ 620 ] } }, "rx_09_fifo.wr_addr_gray_rd_SB_DFF_Q_3": { @@ -16015,9 +19566,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 347 ], - "Q": [ 361 ] + "C": [ 111 ], + "D": [ 605 ], + "Q": [ 621 ] } }, "rx_09_fifo.wr_addr_gray_rd_SB_DFF_Q_4": { @@ -16035,9 +19586,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 348 ], - "Q": [ 362 ] + "C": [ 111 ], + "D": [ 606 ], + "Q": [ 622 ] } }, "rx_09_fifo.wr_addr_gray_rd_SB_DFF_Q_5": { @@ -16055,9 +19606,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 349 ], - "Q": [ 363 ] + "C": [ 111 ], + "D": [ 608 ], + "Q": [ 623 ] } }, "rx_09_fifo.wr_addr_gray_rd_SB_DFF_Q_6": { @@ -16075,9 +19626,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 350 ], - "Q": [ 364 ] + "C": [ 111 ], + "D": [ 609 ], + "Q": [ 624 ] } }, "rx_09_fifo.wr_addr_gray_rd_SB_DFF_Q_7": { @@ -16095,9 +19646,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 351 ], - "Q": [ 365 ] + "C": [ 111 ], + "D": [ 610 ], + "Q": [ 625 ] } }, "rx_09_fifo.wr_addr_gray_rd_r_SB_DFF_Q": { @@ -16115,9 +19666,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 358 ], - "Q": [ 185 ] + "C": [ 111 ], + "D": [ 618 ], + "Q": [ 245 ] } }, "rx_09_fifo.wr_addr_gray_rd_r_SB_DFF_Q_1": { @@ -16135,9 +19686,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 359 ], - "Q": [ 184 ] + "C": [ 111 ], + "D": [ 619 ], + "Q": [ 247 ] } }, "rx_09_fifo.wr_addr_gray_rd_r_SB_DFF_Q_2": { @@ -16155,9 +19706,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 360 ], - "Q": [ 183 ] + "C": [ 111 ], + "D": [ 620 ], + "Q": [ 243 ] } }, "rx_09_fifo.wr_addr_gray_rd_r_SB_DFF_Q_3": { @@ -16175,9 +19726,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 361 ], - "Q": [ 182 ] + "C": [ 111 ], + "D": [ 621 ], + "Q": [ 577 ] } }, "rx_09_fifo.wr_addr_gray_rd_r_SB_DFF_Q_4": { @@ -16195,9 +19746,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 362 ], - "Q": [ 181 ] + "C": [ 111 ], + "D": [ 622 ], + "Q": [ 233 ] } }, "rx_09_fifo.wr_addr_gray_rd_r_SB_DFF_Q_5": { @@ -16215,9 +19766,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 363 ], - "Q": [ 180 ] + "C": [ 111 ], + "D": [ 623 ], + "Q": [ 578 ] } }, "rx_09_fifo.wr_addr_gray_rd_r_SB_DFF_Q_6": { @@ -16235,9 +19786,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 364 ], - "Q": [ 177 ] + "C": [ 111 ], + "D": [ 624 ], + "Q": [ 626 ] } }, "rx_09_fifo.wr_addr_gray_rd_r_SB_DFF_Q_7": { @@ -16255,9 +19806,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 365 ], - "Q": [ 176 ] + "C": [ 111 ], + "D": [ 625 ], + "Q": [ 575 ] } }, "rx_24_fifo.empty_o_SB_DFFSS_Q": { @@ -16276,17 +19827,42 @@ "S": "input" }, "connections": { - "C": [ 58 ], - "D": [ 366 ], - "Q": [ 367 ], - "S": [ 55 ] + "C": [ 111 ], + "D": [ 627 ], + "Q": [ 66 ], + "S": [ 104 ] } }, "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000001100000000" + "LUT_INIT": "1111111110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 628 ], + "I1": [ 629 ], + "I2": [ 630 ], + "I3": [ 631 ], + "O": [ 627 ] + } + }, + "rx_24_fifo.empty_o_SB_LUT4_I2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -16301,17 +19877,17 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 368 ], - "I2": [ 369 ], - "I3": [ 370 ], - "O": [ 366 ] + "I1": [ 106 ], + "I2": [ 66 ], + "I3": [ 632 ], + "O": [ 633 ] } }, - "rx_24_fifo.empty_o_SB_LUT4_I3": { + "rx_24_fifo.empty_o_SB_LUT4_I2_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0001000000000000" + "LUT_INIT": "0100001010000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -16325,36 +19901,11 @@ "O": "output" }, "connections": { - "I0": [ 371 ], - "I1": [ 372 ], - "I2": [ 373 ], - "I3": [ 367 ], - "O": [ 370 ] - } - }, - "rx_24_fifo.empty_o_SB_LUT4_I3_I2_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000000000001" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 374 ], - "I1": [ 375 ], - "I2": [ 376 ], - "I3": [ 377 ], - "O": [ 373 ] + "I0": [ 634 ], + "I1": [ 635 ], + "I2": [ 636 ], + "I3": [ 637 ], + "O": [ 632 ] } }, "rx_24_fifo.full_o_SB_DFFSR_Q": { @@ -16373,42 +19924,17 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 378 ], - "Q": [ 269 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 638 ], + "Q": [ 444 ], + "R": [ 104 ] } }, "rx_24_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111111111000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 311 ], - "I2": [ 307 ], - "I3": [ 286 ], - "O": [ 378 ] - } - }, - "rx_24_fifo.full_o_SB_LUT4_I3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000100000000" + "LUT_INIT": "1110101110101010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -16422,14 +19948,164 @@ "O": "output" }, "connections": { - "I0": [ 268 ], - "I1": [ 379 ], - "I2": [ 380 ], - "I3": [ 269 ], - "O": [ 306 ] + "I0": [ 639 ], + "I1": [ 640 ], + "I2": [ 641 ], + "I3": [ 642 ], + "O": [ 638 ] } }, - "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O": { + "rx_24_fifo.full_o_SB_LUT4_I3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0100000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 443 ], + "I1": [ 643 ], + "I2": [ 469 ], + "I3": [ 444 ], + "O": [ 644 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 447 ], + "I1": [ 645 ], + "I2": [ 646 ], + "I3": [ 644 ], + "O": [ 647 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I0": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 647 ], + "I1": [ 648 ], + "I2": [ 649 ], + "I3": [ 650 ], + "O": [ 642 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1110000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 471 ], + "I1": [ 645 ], + "I2": [ 651 ], + "I3": [ 652 ], + "O": [ 650 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 653 ], + "I1": [ 654 ], + "I2": [ 655 ], + "I3": [ 656 ], + "O": [ 649 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 657 ], + "I1": [ 471 ], + "I2": [ 658 ], + "I3": [ 468 ], + "O": [ 648 ] + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -16449,12 +20125,338 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 381 ], - "I3": [ 382 ], - "O": [ 305 ] + "I2": [ 659 ], + "I3": [ 660 ], + "O": [ 652 ] } }, - "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_1": { + "rx_24_fifo.ram256x16_i_inst": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": { + "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "00000000000000000000000000000000", + "WRITE_MODE": "00000000000000000000000000000000" + }, + "attributes": { + "hdlname": "rx_24_fifo ram256x16_i_inst", + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:105.3-116.22" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1" ], + "RADDR": [ 661, 662, 663, 664, 665, 666, 637, 635, "0", "0", "0" ], + "RCLK": [ 111 ], + "RCLKE": [ "1" ], + "RDATA": [ 667, 668, 669, 670, 671, 672, 77, 673, 674, 675, 676, 677, 678, 679, 76, 680 ], + "RE": [ 106 ], + "WADDR": [ 681, 455, 454, 452, 463, 460, 457, 682, "0", "0", "0" ], + "WCLK": [ 220 ], + "WCLKE": [ "1" ], + "WDATA": [ 377, 375, 373, 371, 369, 367, 425, 423, 421, 419, 417, 415, 409, 387, 365, 363 ], + "WE": [ 443 ] + } + }, + "rx_24_fifo.ram256x16_q_inst": { + "hide_name": 0, + "type": "SB_RAM40_4K", + "parameters": { + "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "00000000000000000000000000000000", + "WRITE_MODE": "00000000000000000000000000000000" + }, + "attributes": { + "hdlname": "rx_24_fifo ram256x16_q_inst", + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:137.3-148.22" + }, + "port_directions": { + "MASK": "input", + "RADDR": "input", + "RCLK": "input", + "RCLKE": "input", + "RDATA": "output", + "RE": "input", + "WADDR": "input", + "WCLK": "input", + "WCLKE": "input", + "WDATA": "input", + "WE": "input" + }, + "connections": { + "MASK": [ "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1" ], + "RADDR": [ 661, 662, 663, 664, 665, 666, 637, 635, "0", "0", "0" ], + "RCLK": [ 111 ], + "RCLKE": [ "1" ], + "RDATA": [ 71, 683, 684, 685, 686, 687, 80, 688, 689, 690, 691, 692, 693, 694, 79, 695 ], + "RE": [ 106 ], + "WADDR": [ 681, 455, 454, 452, 463, 460, 457, 682, "0", "0", "0" ], + "WCLK": [ 220 ], + "WCLKE": [ "1" ], + "WDATA": [ 413, 411, 407, 405, 403, 401, 399, 397, 395, 393, 391, 389, 385, 383, 381, 379 ], + "WE": [ 443 ] + } + }, + "rx_24_fifo.rd_addr_SB_DFFESR_Q": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 696 ], + "E": [ 697 ], + "Q": [ 637 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.rd_addr_SB_DFFESR_Q_1": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 698 ], + "E": [ 697 ], + "Q": [ 666 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.rd_addr_SB_DFFESR_Q_2": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 699 ], + "E": [ 697 ], + "Q": [ 665 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.rd_addr_SB_DFFESR_Q_3": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 700 ], + "E": [ 697 ], + "Q": [ 664 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.rd_addr_SB_DFFESR_Q_4": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 701 ], + "E": [ 697 ], + "Q": [ 663 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.rd_addr_SB_DFFESR_Q_5": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 702 ], + "E": [ 697 ], + "Q": [ 662 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.rd_addr_SB_DFFESR_Q_6": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 703 ], + "E": [ 697 ], + "Q": [ 661 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 704 ], + "E": [ 697 ], + "Q": [ 635 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 705 ], + "E": [ 697 ], + "Q": [ 706 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -16474,12 +20476,306 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 383 ], - "I3": [ 381 ], - "O": [ 304 ] + "I2": [ 704 ], + "I3": [ 696 ], + "O": [ 705 ] } }, - "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_O_2": { + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_2": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 707 ], + "E": [ 697 ], + "Q": [ 708 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_2_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 698 ], + "I3": [ 696 ], + "O": [ 707 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_3": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 709 ], + "E": [ 697 ], + "Q": [ 710 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 711 ], + "E": [ 697 ], + "Q": [ 712 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 699 ], + "I3": [ 698 ], + "O": [ 709 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 700 ], + "I3": [ 699 ], + "O": [ 711 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 713 ], + "E": [ 697 ], + "Q": [ 714 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 701 ], + "I3": [ 700 ], + "O": [ 713 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 715 ], + "E": [ 697 ], + "Q": [ 716 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 717 ], + "I1": [ 711 ], + "I2": [ 718 ], + "I3": [ 709 ], + "O": [ 719 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 702 ], + "I3": [ 701 ], + "O": [ 715 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:61.1-69.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 111 ], + "D": [ 720 ], + "E": [ 697 ], + "Q": [ 721 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -16499,228 +20795,212 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 300 ], - "I3": [ 383 ], - "O": [ 303 ] + "I2": [ 720 ], + "I3": [ 722 ], + "O": [ 723 ] } }, - "rx_24_fifo.wr_addr_SB_DFFESR_Q": { + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3": { "hide_name": 0, - "type": "SB_DFFESR", + "type": "SB_LUT4", "parameters": { + "LUT_INIT": "0110100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" }, "connections": { - "C": [ 169 ], - "D": [ 380 ], - "E": [ 312 ], - "Q": [ 298 ], - "R": [ 55 ] + "I0": [ 724 ], + "I1": [ 698 ], + "I2": [ 696 ], + "I3": [ 723 ], + "O": [ 628 ] } }, - "rx_24_fifo.wr_addr_SB_DFFESR_Q_1": { + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, - "type": "SB_DFFESR", + "type": "SB_LUT4", "parameters": { + "LUT_INIT": "1000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" }, "connections": { - "C": [ 169 ], - "D": [ 384 ], - "E": [ 312 ], - "Q": [ 289 ], - "R": [ 55 ] + "I0": [ 725 ], + "I1": [ 726 ], + "I2": [ 633 ], + "I3": [ 727 ], + "O": [ 631 ] } }, - "rx_24_fifo.wr_addr_SB_DFFESR_Q_2": { + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_1": { "hide_name": 0, - "type": "SB_DFFESR", + "type": "SB_LUT4", "parameters": { + "LUT_INIT": "1100001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" }, "connections": { - "C": [ 169 ], - "D": [ 385 ], - "E": [ 312 ], - "Q": [ 292 ], - "R": [ 55 ] + "I0": [ "0" ], + "I1": [ 728 ], + "I2": [ 715 ], + "I3": [ 719 ], + "O": [ 629 ] } }, - "rx_24_fifo.wr_addr_SB_DFFESR_Q_3": { + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_2": { "hide_name": 0, - "type": "SB_DFFESR", + "type": "SB_LUT4", "parameters": { + "LUT_INIT": "1100001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" }, "connections": { - "C": [ 169 ], - "D": [ 382 ], - "E": [ 312 ], - "Q": [ 295 ], - "R": [ 55 ] + "I0": [ "0" ], + "I1": [ 705 ], + "I2": [ 634 ], + "I3": [ 729 ], + "O": [ 630 ] } }, - "rx_24_fifo.wr_addr_SB_DFFESR_Q_4": { + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O": { "hide_name": 0, - "type": "SB_DFFESR", + "type": "SB_LUT4", "parameters": { + "LUT_INIT": "0001100010000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" }, "connections": { - "C": [ 169 ], - "D": [ 381 ], - "E": [ 312 ], - "Q": [ 301 ], - "R": [ 55 ] + "I0": [ 730 ], + "I1": [ 666 ], + "I2": [ 724 ], + "I3": [ 637 ], + "O": [ 726 ] } }, - "rx_24_fifo.wr_addr_SB_DFFESR_Q_5": { + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1": { "hide_name": 0, - "type": "SB_DFFESR", + "type": "SB_LUT4", "parameters": { + "LUT_INIT": "0110100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" }, "connections": { - "C": [ 169 ], - "D": [ 383 ], - "E": [ 312 ], - "Q": [ 302 ], - "R": [ 55 ] + "I0": [ 731 ], + "I1": [ 663 ], + "I2": [ 664 ], + "I3": [ 732 ], + "O": [ 725 ] } }, - "rx_24_fifo.wr_addr_SB_DFFESR_Q_6": { + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3_SB_LUT4_O": { "hide_name": 0, - "type": "SB_DFFESR", + "type": "SB_LUT4", "parameters": { + "LUT_INIT": "0011110011000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" }, "connections": { - "C": [ 169 ], - "D": [ 386 ], - "E": [ 312 ], - "Q": [ 300 ], - "R": [ 55 ] + "I0": [ "0" ], + "I1": [ 717 ], + "I2": [ 664 ], + "I3": [ 665 ], + "O": [ 732 ] } }, - "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q": { + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2": { "hide_name": 0, - "type": "SB_DFFESR", + "type": "SB_LUT4", "parameters": { + "LUT_INIT": "0001100010000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" }, "connections": { - "C": [ 169 ], - "D": [ 379 ], - "E": [ 312 ], - "Q": [ 287 ], - "R": [ 55 ] + "I0": [ 733 ], + "I1": [ 662 ], + "I2": [ 728 ], + "I3": [ 663 ], + "O": [ 727 ] } }, - "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_1": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 169 ], - "D": [ 387 ], - "E": [ 312 ], - "Q": [ 388 ], - "R": [ 55 ] - } - }, - "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_1_D_SB_LUT4_O": { + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -16740,9 +21020,921 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 379 ], - "I3": [ 380 ], - "O": [ 387 ] + "I2": [ 661 ], + "I3": [ 722 ], + "O": [ 733 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I0_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 718 ], + "I3": [ 665 ], + "O": [ 730 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 661 ], + "I3": [ 702 ], + "O": [ 720 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 635 ], + "I3": [ 734 ], + "O": [ 704 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:283.17-294.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 735 ], + "CO": [ 734 ], + "I0": [ "0" ], + "I1": [ 637 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_1": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:283.17-294.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 736 ], + "CO": [ 735 ], + "I0": [ "0" ], + "I1": [ 666 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_2": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:283.17-294.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 737 ], + "CO": [ 736 ], + "I0": [ "0" ], + "I1": [ 665 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_3": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:283.17-294.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 738 ], + "CO": [ 737 ], + "I0": [ "0" ], + "I1": [ 664 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_4": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:283.17-294.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 739 ], + "CO": [ 738 ], + "I0": [ "0" ], + "I1": [ 663 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_5": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:283.17-294.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 661 ], + "CO": [ 739 ], + "I0": [ "0" ], + "I1": [ 662 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 637 ], + "I3": [ 735 ], + "O": [ 696 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 666 ], + "I3": [ 736 ], + "O": [ 698 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 665 ], + "I3": [ 737 ], + "O": [ 699 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 664 ], + "I3": [ 738 ], + "O": [ 700 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_4": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 663 ], + "I3": [ 739 ], + "O": [ 701 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_5": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 662 ], + "I3": [ 661 ], + "O": [ 702 ] + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_6": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ "0" ], + "I3": [ 661 ], + "O": [ 703 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_SB_DFF_Q": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 635 ], + "Q": [ 740 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_SB_DFF_Q_1": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 706 ], + "Q": [ 741 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_SB_DFF_Q_2": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 708 ], + "Q": [ 742 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_SB_DFF_Q_3": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 710 ], + "Q": [ 743 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_SB_DFF_Q_4": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 712 ], + "Q": [ 744 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_SB_DFF_Q_5": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 714 ], + "Q": [ 745 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_SB_DFF_Q_6": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 716 ], + "Q": [ 746 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_SB_DFF_Q_7": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 721 ], + "Q": [ 747 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_r_SB_DFF_Q": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 740 ], + "Q": [ 469 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_r_SB_DFF_Q_1": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 741 ], + "Q": [ 468 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_r_SB_DFF_Q_2": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 742 ], + "Q": [ 655 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_r_SB_DFF_Q_3": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 743 ], + "Q": [ 640 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_r_SB_DFF_Q_4": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 744 ], + "Q": [ 447 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_r_SB_DFF_Q_5": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 745 ], + "Q": [ 471 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_r_SB_DFF_Q_6": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 746 ], + "Q": [ 659 ] + } + }, + "rx_24_fifo.rd_addr_gray_wr_r_SB_DFF_Q_7": { + "hide_name": 0, + "type": "SB_DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:48.1-51.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "C": [ 220 ], + "D": [ 747 ], + "Q": [ 653 ] + } + }, + "rx_24_fifo.wr_addr_SB_DFFESR_Q": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 748 ], + "E": [ 451 ], + "Q": [ 457 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.wr_addr_SB_DFFESR_Q_1": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 749 ], + "E": [ 451 ], + "Q": [ 460 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.wr_addr_SB_DFFESR_Q_2": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 646 ], + "E": [ 451 ], + "Q": [ 463 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.wr_addr_SB_DFFESR_Q_3": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 645 ], + "E": [ 451 ], + "Q": [ 452 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.wr_addr_SB_DFFESR_Q_4": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 651 ], + "E": [ 451 ], + "Q": [ 454 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.wr_addr_SB_DFFESR_Q_5": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 660 ], + "E": [ 451 ], + "Q": [ 455 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.wr_addr_SB_DFFESR_Q_6": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 750 ], + "E": [ 451 ], + "Q": [ 681 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 643 ], + "E": [ 451 ], + "Q": [ 682 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_1": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:37.1-45.4|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 658 ], + "E": [ 451 ], + "Q": [ 751 ], + "R": [ 104 ] } }, "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_2": { @@ -16762,11 +21954,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 310 ], - "E": [ 312 ], - "Q": [ 389 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 656 ], + "E": [ 451 ], + "Q": [ 752 ], + "R": [ 104 ] } }, "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3": { @@ -16786,11 +21978,161 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 309 ], - "E": [ 312 ], - "Q": [ 390 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 641 ], + "E": [ 451 ], + "Q": [ 753 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 467 ], + "I2": [ 754 ], + "I3": [ 755 ], + "O": [ 639 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 646 ], + "I3": [ 749 ], + "O": [ 641 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0100000100101000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 756 ], + "I1": [ 659 ], + "I2": [ 456 ], + "I3": [ 455 ], + "O": [ 754 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0001100010000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 757 ], + "I1": [ 462 ], + "I2": [ 655 ], + "I3": [ 459 ], + "O": [ 755 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I0_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 640 ], + "I3": [ 449 ], + "O": [ 757 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I0_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 681 ], + "I3": [ 653 ], + "O": [ 756 ] } }, "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4": { @@ -16810,11 +22152,11 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 308 ], - "E": [ 312 ], - "Q": [ 391 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 758 ], + "E": [ 451 ], + "Q": [ 759 ], + "R": [ 104 ] } }, "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O": { @@ -16837,59 +22179,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 384 ], - "I3": [ 380 ], - "O": [ 310 ] - } - }, - "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000111111110000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 385 ], - "I3": [ 384 ], - "O": [ 309 ] - } - }, - "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000111111110000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 382 ], - "I3": [ 385 ], - "O": [ 308 ] + "I2": [ 645 ], + "I3": [ 646 ], + "O": [ 758 ] } }, "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5": { @@ -16909,11 +22201,61 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 305 ], - "E": [ 312 ], - "Q": [ 392 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 657 ], + "E": [ 451 ], + "Q": [ 760 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 643 ], + "I3": [ 748 ], + "O": [ 658 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 651 ], + "I3": [ 645 ], + "O": [ 657 ] } }, "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6": { @@ -16933,11 +22275,36 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 304 ], - "E": [ 312 ], - "Q": [ 393 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 761 ], + "E": [ 451 ], + "Q": [ 762 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 660 ], + "I3": [ 651 ], + "O": [ 761 ] } }, "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7": { @@ -16957,11 +22324,61 @@ "R": "input" }, "connections": { - "C": [ 169 ], - "D": [ 303 ], - "E": [ 312 ], - "Q": [ 394 ], - "R": [ 55 ] + "C": [ 220 ], + "D": [ 654 ], + "E": [ 451 ], + "Q": [ 763 ], + "R": [ 104 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 749 ], + "I3": [ 748 ], + "O": [ 656 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 681 ], + "I3": [ 660 ], + "O": [ 654 ] } }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2": { @@ -16984,9 +22401,139 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 287 ], - "I3": [ 395 ], - "O": [ 379 ] + "I2": [ 682 ], + "I3": [ 764 ], + "O": [ 643 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 682 ], + "I3": [ 765 ], + "O": [ 470 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 458 ], + "CO": [ 765 ], + "I0": [ "0" ], + "I1": [ 457 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_1": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 461 ], + "CO": [ 458 ], + "I0": [ "0" ], + "I1": [ 460 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_2": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 464 ], + "CO": [ 461 ], + "I0": [ "0" ], + "I1": [ 463 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_3": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 453 ], + "CO": [ 464 ], + "I0": [ "0" ], + "I1": [ 452 ] + } + }, + "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3_SB_CARRY_CO_4": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 455 ], + "CO": [ 453 ], + "I0": [ "0" ], + "I1": [ 454 ] } }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO": { @@ -17004,10 +22551,10 @@ "I1": "input" }, "connections": { - "CI": [ 396 ], - "CO": [ 395 ], + "CI": [ 766 ], + "CO": [ 764 ], "I0": [ "0" ], - "I1": [ 298 ] + "I1": [ 457 ] } }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_1": { @@ -17025,10 +22572,10 @@ "I1": "input" }, "connections": { - "CI": [ 397 ], - "CO": [ 396 ], + "CI": [ 767 ], + "CO": [ 766 ], "I0": [ "0" ], - "I1": [ 289 ] + "I1": [ 460 ] } }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_2": { @@ -17046,10 +22593,10 @@ "I1": "input" }, "connections": { - "CI": [ 398 ], - "CO": [ 397 ], + "CI": [ 768 ], + "CO": [ 767 ], "I0": [ "0" ], - "I1": [ 292 ] + "I1": [ 463 ] } }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_3": { @@ -17067,10 +22614,10 @@ "I1": "input" }, "connections": { - "CI": [ 399 ], - "CO": [ 398 ], + "CI": [ 769 ], + "CO": [ 768 ], "I0": [ "0" ], - "I1": [ 295 ] + "I1": [ 452 ] } }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_4": { @@ -17088,10 +22635,10 @@ "I1": "input" }, "connections": { - "CI": [ 400 ], - "CO": [ 399 ], + "CI": [ 770 ], + "CO": [ 769 ], "I0": [ "0" ], - "I1": [ 301 ] + "I1": [ 454 ] } }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3_SB_CARRY_CO_5": { @@ -17109,10 +22656,10 @@ "I1": "input" }, "connections": { - "CI": [ 300 ], - "CO": [ 400 ], + "CI": [ 681 ], + "CO": [ 770 ], "I0": [ "0" ], - "I1": [ 302 ] + "I1": [ 455 ] } }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O_SB_LUT4_O": { @@ -17135,9 +22682,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 298 ], - "I3": [ 396 ], - "O": [ 380 ] + "I2": [ 457 ], + "I3": [ 766 ], + "O": [ 748 ] } }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_1": { @@ -17160,9 +22707,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 289 ], - "I3": [ 397 ], - "O": [ 384 ] + "I2": [ 460 ], + "I3": [ 767 ], + "O": [ 749 ] } }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_2": { @@ -17185,9 +22732,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 292 ], - "I3": [ 398 ], - "O": [ 385 ] + "I2": [ 463 ], + "I3": [ 768 ], + "O": [ 646 ] } }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_3": { @@ -17210,9 +22757,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 295 ], - "I3": [ 399 ], - "O": [ 382 ] + "I2": [ 452 ], + "I3": [ 769 ], + "O": [ 645 ] } }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_4": { @@ -17235,9 +22782,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 301 ], - "I3": [ 400 ], - "O": [ 381 ] + "I2": [ 454 ], + "I3": [ 770 ], + "O": [ 651 ] } }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_5": { @@ -17260,9 +22807,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 302 ], - "I3": [ 300 ], - "O": [ 383 ] + "I2": [ 455 ], + "I3": [ 681 ], + "O": [ 660 ] } }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O_SB_LUT4_O_6": { @@ -17286,8 +22833,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 300 ], - "O": [ 386 ] + "I3": [ 681 ], + "O": [ 750 ] } }, "rx_24_fifo.wr_addr_gray_rd_SB_DFF_Q": { @@ -17305,9 +22852,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 287 ], - "Q": [ 401 ] + "C": [ 111 ], + "D": [ 682 ], + "Q": [ 771 ] } }, "rx_24_fifo.wr_addr_gray_rd_SB_DFF_Q_1": { @@ -17325,9 +22872,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 388 ], - "Q": [ 402 ] + "C": [ 111 ], + "D": [ 751 ], + "Q": [ 772 ] } }, "rx_24_fifo.wr_addr_gray_rd_SB_DFF_Q_2": { @@ -17345,9 +22892,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 389 ], - "Q": [ 403 ] + "C": [ 111 ], + "D": [ 752 ], + "Q": [ 773 ] } }, "rx_24_fifo.wr_addr_gray_rd_SB_DFF_Q_3": { @@ -17365,9 +22912,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 390 ], - "Q": [ 404 ] + "C": [ 111 ], + "D": [ 753 ], + "Q": [ 774 ] } }, "rx_24_fifo.wr_addr_gray_rd_SB_DFF_Q_4": { @@ -17385,9 +22932,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 391 ], - "Q": [ 405 ] + "C": [ 111 ], + "D": [ 759 ], + "Q": [ 775 ] } }, "rx_24_fifo.wr_addr_gray_rd_SB_DFF_Q_5": { @@ -17405,9 +22952,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 392 ], - "Q": [ 406 ] + "C": [ 111 ], + "D": [ 760 ], + "Q": [ 776 ] } }, "rx_24_fifo.wr_addr_gray_rd_SB_DFF_Q_6": { @@ -17425,9 +22972,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 393 ], - "Q": [ 407 ] + "C": [ 111 ], + "D": [ 762 ], + "Q": [ 777 ] } }, "rx_24_fifo.wr_addr_gray_rd_SB_DFF_Q_7": { @@ -17445,9 +22992,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 394 ], - "Q": [ 408 ] + "C": [ 111 ], + "D": [ 763 ], + "Q": [ 778 ] } }, "rx_24_fifo.wr_addr_gray_rd_r_SB_DFF_Q": { @@ -17465,9 +23012,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 401 ], - "Q": [ 372 ] + "C": [ 111 ], + "D": [ 771 ], + "Q": [ 636 ] } }, "rx_24_fifo.wr_addr_gray_rd_r_SB_DFF_Q_1": { @@ -17485,9 +23032,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 402 ], - "Q": [ 371 ] + "C": [ 111 ], + "D": [ 772 ], + "Q": [ 634 ] } }, "rx_24_fifo.wr_addr_gray_rd_r_SB_DFF_Q_2": { @@ -17505,9 +23052,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 403 ], - "Q": [ 377 ] + "C": [ 111 ], + "D": [ 773 ], + "Q": [ 724 ] } }, "rx_24_fifo.wr_addr_gray_rd_r_SB_DFF_Q_3": { @@ -17525,9 +23072,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 404 ], - "Q": [ 369 ] + "C": [ 111 ], + "D": [ 774 ], + "Q": [ 718 ] } }, "rx_24_fifo.wr_addr_gray_rd_r_SB_DFF_Q_4": { @@ -17545,9 +23092,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 405 ], - "Q": [ 368 ] + "C": [ 111 ], + "D": [ 775 ], + "Q": [ 717 ] } }, "rx_24_fifo.wr_addr_gray_rd_r_SB_DFF_Q_5": { @@ -17565,9 +23112,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 406 ], - "Q": [ 376 ] + "C": [ 111 ], + "D": [ 776 ], + "Q": [ 731 ] } }, "rx_24_fifo.wr_addr_gray_rd_r_SB_DFF_Q_6": { @@ -17585,9 +23132,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 407 ], - "Q": [ 375 ] + "C": [ 111 ], + "D": [ 777 ], + "Q": [ 728 ] } }, "rx_24_fifo.wr_addr_gray_rd_r_SB_DFF_Q_7": { @@ -17605,9 +23152,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 408 ], - "Q": [ 374 ] + "C": [ 111 ], + "D": [ 778 ], + "Q": [ 722 ] } }, "smi_ctrl_ins.i_cs_SB_DFFESR_Q": { @@ -17627,11 +23174,401 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 409 ], - "E": [ 71 ], - "Q": [ 410 ], - "R": [ 73 ] + "C": [ 111 ], + "D": [ 779 ], + "E": [ 122 ], + "Q": [ 780 ], + "R": [ 124 ] + } + }, + "smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 2 ], + "D": [ 781 ], + "E": [ 782 ], + "Q": [ 86 ], + "R": [ 104 ] + } + }, + "smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_1": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 2 ], + "D": [ 783 ], + "E": [ 782 ], + "Q": [ 88 ], + "R": [ 104 ] + } + }, + "smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_1_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 53 ], + "I2": [ 88 ], + "I3": [ 52 ], + "O": [ 783 ] + } + }, + "smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 53 ], + "I2": [ 52 ], + "I3": [ 90 ], + "O": [ 781 ] + } + }, + "smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 784 ], + "I2": [ 83 ], + "I3": [ 104 ], + "O": [ 782 ] + } + }, + "smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111110001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 52 ], + "I1": [ 785 ], + "I2": [ 85 ], + "I3": [ 53 ], + "O": [ 784 ] + } + }, + "smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_CARRY_CO": { + "hide_name": 0, + "type": "SB_CARRY", + "parameters": { + }, + "attributes": { + "src": "top.v:296.13-327.5|smi_ctrl.v:125.29-125.43|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" + }, + "port_directions": { + "CI": "input", + "CO": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "CI": [ 103 ], + "CO": [ 785 ], + "I0": [ "0" ], + "I1": [ 101 ] + } + }, + "smi_ctrl_ins.int_cnt_09_SB_DFFESS_Q": { + "hide_name": 0, + "type": "SB_DFFESS", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "S": "input" + }, + "connections": { + "C": [ 2 ], + "D": [ 786 ], + "E": [ 782 ], + "Q": [ 87 ], + "S": [ 104 ] + } + }, + "smi_ctrl_ins.int_cnt_09_SB_DFFESS_Q_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111110011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 53 ], + "I2": [ 69 ], + "I3": [ 52 ], + "O": [ 786 ] + } + }, + "smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 2 ], + "D": [ 787 ], + "E": [ 788 ], + "Q": [ 61 ], + "R": [ 104 ] + } + }, + "smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_1": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 2 ], + "D": [ 789 ], + "E": [ 788 ], + "Q": [ 62 ], + "R": [ 104 ] + } + }, + "smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_1_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 53 ], + "I2": [ 62 ], + "I3": [ 52 ], + "O": [ 789 ] + } + }, + "smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 53 ], + "I2": [ 52 ], + "I3": [ 75 ], + "O": [ 787 ] + } + }, + "smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 58 ], + "I2": [ 55 ], + "I3": [ 104 ], + "O": [ 788 ] + } + }, + "smi_ctrl_ins.int_cnt_24_SB_DFFESS_Q": { + "hide_name": 0, + "type": "SB_DFFESS", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "S": "input" + }, + "connections": { + "C": [ 2 ], + "D": [ 790 ], + "E": [ 788 ], + "Q": [ 64 ], + "S": [ 104 ] + } + }, + "smi_ctrl_ins.int_cnt_24_SB_DFFESS_Q_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111110011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 53 ], + "I2": [ 72 ], + "I3": [ 52 ], + "O": [ 790 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESR_Q": { @@ -17652,10 +23589,10 @@ }, "connections": { "C": [ 2 ], - "D": [ 269 ], - "E": [ 411 ], - "Q": [ 412 ], - "R": [ 81 ] + "D": [ 444 ], + "E": [ 791 ], + "Q": [ 792 ], + "R": [ 127 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESR_Q_1": { @@ -17676,10 +23613,10 @@ }, "connections": { "C": [ 2 ], - "D": [ 367 ], - "E": [ 411 ], - "Q": [ 413 ], - "R": [ 81 ] + "D": [ 66 ], + "E": [ 791 ], + "Q": [ 793 ], + "R": [ 127 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESR_Q_2": { @@ -17700,10 +23637,10 @@ }, "connections": { "C": [ 2 ], - "D": [ 213 ], - "E": [ 411 ], - "Q": [ 414 ], - "R": [ 81 ] + "D": [ 330 ], + "E": [ 791 ], + "Q": [ 794 ], + "R": [ 127 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q": { @@ -17725,9 +23662,34 @@ "connections": { "C": [ 2 ], "D": [ 20 ], - "E": [ 411 ], - "Q": [ 415 ], - "S": [ 81 ] + "E": [ 791 ], + "Q": [ 795 ], + "S": [ 127 ] + } + }, + "smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 50 ], + "I3": [ 796 ], + "O": [ 791 ] } }, "smi_ctrl_ins.o_data_out_SB_LUT4_I0": { @@ -17748,11 +23710,11 @@ "O": "output" }, "connections": { - "I0": [ 415 ], - "I1": [ 416 ], - "I2": [ 330 ], - "I3": [ 134 ], - "O": [ 417 ] + "I0": [ 795 ], + "I1": [ 797 ], + "I2": [ 492 ], + "I3": [ 186 ], + "O": [ 798 ] } }, "smi_ctrl_ins.o_data_out_SB_LUT4_I0_1": { @@ -17773,14 +23735,39 @@ "O": "output" }, "connections": { - "I0": [ 412 ], - "I1": [ 416 ], - "I2": [ 330 ], - "I3": [ 101 ], - "O": [ 322 ] + "I0": [ 792 ], + "I1": [ 797 ], + "I2": [ 492 ], + "I3": [ 151 ], + "O": [ 484 ] } }, "smi_ctrl_ins.o_data_out_SB_LUT4_I0_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 793 ], + "I1": [ 797 ], + "I2": [ 492 ], + "I3": [ 162 ], + "O": [ 496 ] + } + }, + "smi_ctrl_ins.o_data_out_SB_LUT4_I0_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -17798,111 +23785,11 @@ "O": "output" }, "connections": { - "I0": [ 414 ], - "I1": [ 416 ], - "I2": [ 330 ], - "I3": [ 98 ], - "O": [ 316 ] - } - }, - "smi_ctrl_ins.o_data_out_SB_LUT4_I2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1100000011111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 416 ], - "I2": [ 413 ], - "I3": [ 418 ], - "O": [ 320 ] - } - }, - "smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000000111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 110 ], - "I2": [ 330 ], - "I3": [ 419 ], - "O": [ 418 ] - } - }, - "smi_ctrl_ins.o_data_out_SB_LUT4_I2_O_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111111111000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 330 ], - "I2": [ 130 ], - "I3": [ 419 ], - "O": [ 331 ] - } - }, - "smi_ctrl_ins.o_data_out_SB_LUT4_I2_O_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111111111000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 330 ], - "I2": [ 120 ], - "I3": [ 419 ], - "O": [ 326 ] + "I0": [ 794 ], + "I1": [ 797 ], + "I2": [ 492 ], + "I3": [ 148 ], + "O": [ 478 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q": { @@ -17912,7 +23799,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -17922,9 +23809,9 @@ }, "connections": { "C": [ 2 ], - "D": [ 420 ], - "E": [ 54 ], - "Q": [ 186 ] + "D": [ 799 ], + "E": [ 109 ], + "Q": [ 248 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1": { @@ -17934,7 +23821,7 @@ }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", @@ -17944,366 +23831,12 @@ }, "connections": { "C": [ 2 ], - "D": [ 421 ], - "E": [ 54 ], - "Q": [ 187 ] + "D": [ 800 ], + "E": [ 109 ], + "Q": [ 249 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111100010001000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 50 ], - "I1": [ 422 ], - "I2": [ 51 ], - "I3": [ 423 ], - "O": [ 421 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 2 ], - "D": [ 424 ], - "E": [ 54 ], - "Q": [ 188 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111100010001000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 50 ], - "I1": [ 425 ], - "I2": [ 51 ], - "I3": [ 426 ], - "O": [ 424 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 2 ], - "D": [ 427 ], - "E": [ 54 ], - "Q": [ 189 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111100010001000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 50 ], - "I1": [ 428 ], - "I2": [ 51 ], - "I3": [ 429 ], - "O": [ 427 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 2 ], - "D": [ 430 ], - "E": [ 54 ], - "Q": [ 190 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111100010001000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 50 ], - "I1": [ 431 ], - "I2": [ 51 ], - "I3": [ 432 ], - "O": [ 430 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 2 ], - "D": [ 433 ], - "E": [ 54 ], - "Q": [ 191 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111100010001000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 50 ], - "I1": [ 434 ], - "I2": [ 51 ], - "I3": [ 435 ], - "O": [ 433 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 2 ], - "D": [ 436 ], - "E": [ 54 ], - "Q": [ 192 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111100010001000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 50 ], - "I1": [ 437 ], - "I2": [ 51 ], - "I3": [ 438 ], - "O": [ 436 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7": { - "hide_name": 0, - "type": "SB_DFFE", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output" - }, - "connections": { - "C": [ 2 ], - "D": [ 439 ], - "E": [ 54 ], - "Q": [ 32 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111100010001000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 50 ], - "I1": [ 440 ], - "I2": [ 51 ], - "I3": [ 441 ], - "O": [ 439 ] - } - }, - "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111100010001000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 50 ], - "I1": [ 442 ], - "I2": [ 51 ], - "I3": [ 443 ], - "O": [ 420 ] - } - }, - "smi_ctrl_ins.r_last_soe_1_SB_DFFSS_Q": { - "hide_name": 0, - "type": "SB_DFFSS", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:20.59-20.105" - }, - "port_directions": { - "C": "input", - "D": "input", - "Q": "output", - "S": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 21 ], - "Q": [ 444 ], - "S": [ 55 ] - } - }, - "smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -18321,18 +23854,40 @@ "O": "output" }, "connections": { - "I0": [ 52 ], - "I1": [ 444 ], - "I2": [ 51 ], - "I3": [ 55 ], - "O": [ 53 ] + "I0": [ 69 ], + "I1": [ 94 ], + "I2": [ 70 ], + "I3": [ 95 ], + "O": [ 800 ] } }, - "smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_1": { + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 2 ], + "D": [ 801 ], + "E": [ 109 ], + "Q": [ 250 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111111101000000" + "LUT_INIT": "0000000001001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -18346,21 +23901,1579 @@ "O": "output" }, "connections": { - "I0": [ 52 ], - "I1": [ 444 ], - "I2": [ 51 ], - "I3": [ 55 ], - "O": [ 445 ] + "I0": [ 69 ], + "I1": [ 802 ], + "I2": [ 70 ], + "I3": [ 803 ], + "O": [ 801 ] } }, - "smi_ctrl_ins.r_last_soe_2_SB_DFFSS_Q": { + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111110000001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 557 ], + "I1": [ 543 ], + "I2": [ 88 ], + "I3": [ 804 ], + "O": [ 802 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 72 ], + "I1": [ 805 ], + "I2": [ 806 ], + "I3": [ 73 ], + "O": [ 803 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011010100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 679 ], + "I1": [ 672 ], + "I2": [ 62 ], + "I3": [ 75 ], + "O": [ 806 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000001100000101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 694 ], + "I1": [ 687 ], + "I2": [ 75 ], + "I3": [ 62 ], + "O": [ 805 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100111110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 550 ], + "I1": [ 536 ], + "I2": [ 88 ], + "I3": [ 90 ], + "O": [ 804 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 2 ], + "D": [ 807 ], + "E": [ 109 ], + "Q": [ 251 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 69 ], + "I1": [ 808 ], + "I2": [ 70 ], + "I3": [ 809 ], + "O": [ 807 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111110000001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 556 ], + "I1": [ 542 ], + "I2": [ 88 ], + "I3": [ 810 ], + "O": [ 808 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 72 ], + "I1": [ 811 ], + "I2": [ 812 ], + "I3": [ 73 ], + "O": [ 809 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011010100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 678 ], + "I1": [ 671 ], + "I2": [ 62 ], + "I3": [ 75 ], + "O": [ 812 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000001100000101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 693 ], + "I1": [ 686 ], + "I2": [ 75 ], + "I3": [ 62 ], + "O": [ 811 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100111110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 549 ], + "I1": [ 535 ], + "I2": [ 88 ], + "I3": [ 90 ], + "O": [ 810 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 2 ], + "D": [ 813 ], + "E": [ 109 ], + "Q": [ 252 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 69 ], + "I1": [ 814 ], + "I2": [ 70 ], + "I3": [ 815 ], + "O": [ 813 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111110000001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 555 ], + "I1": [ 541 ], + "I2": [ 88 ], + "I3": [ 816 ], + "O": [ 814 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 72 ], + "I1": [ 817 ], + "I2": [ 818 ], + "I3": [ 73 ], + "O": [ 815 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011010100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 677 ], + "I1": [ 670 ], + "I2": [ 62 ], + "I3": [ 75 ], + "O": [ 818 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000001100000101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 692 ], + "I1": [ 685 ], + "I2": [ 75 ], + "I3": [ 62 ], + "O": [ 817 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100111110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 548 ], + "I1": [ 534 ], + "I2": [ 88 ], + "I3": [ 90 ], + "O": [ 816 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 2 ], + "D": [ 819 ], + "E": [ 109 ], + "Q": [ 253 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 69 ], + "I1": [ 820 ], + "I2": [ 70 ], + "I3": [ 821 ], + "O": [ 819 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111110000001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 554 ], + "I1": [ 540 ], + "I2": [ 88 ], + "I3": [ 822 ], + "O": [ 820 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 72 ], + "I1": [ 823 ], + "I2": [ 824 ], + "I3": [ 73 ], + "O": [ 821 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011010100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 676 ], + "I1": [ 669 ], + "I2": [ 62 ], + "I3": [ 75 ], + "O": [ 824 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000001100000101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 691 ], + "I1": [ 684 ], + "I2": [ 75 ], + "I3": [ 62 ], + "O": [ 823 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100111110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 547 ], + "I1": [ 533 ], + "I2": [ 88 ], + "I3": [ 90 ], + "O": [ 822 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 2 ], + "D": [ 825 ], + "E": [ 109 ], + "Q": [ 254 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 69 ], + "I1": [ 826 ], + "I2": [ 70 ], + "I3": [ 827 ], + "O": [ 825 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111110000001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 553 ], + "I1": [ 539 ], + "I2": [ 88 ], + "I3": [ 828 ], + "O": [ 826 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 72 ], + "I1": [ 829 ], + "I2": [ 830 ], + "I3": [ 73 ], + "O": [ 827 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011010100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 675 ], + "I1": [ 668 ], + "I2": [ 62 ], + "I3": [ 75 ], + "O": [ 830 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000001100000101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 690 ], + "I1": [ 683 ], + "I2": [ 75 ], + "I3": [ 62 ], + "O": [ 829 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100111110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 546 ], + "I1": [ 532 ], + "I2": [ 88 ], + "I3": [ 90 ], + "O": [ 828 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7": { + "hide_name": 0, + "type": "SB_DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output" + }, + "connections": { + "C": [ 2 ], + "D": [ 831 ], + "E": [ 109 ], + "Q": [ 32 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0001000100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 832 ], + "I1": [ 833 ], + "I2": [ 834 ], + "I3": [ 67 ], + "O": [ 831 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111001100000101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 689 ], + "I1": [ 674 ], + "I2": [ 62 ], + "I3": [ 835 ], + "O": [ 834 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000001100000101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 552 ], + "I1": [ 538 ], + "I2": [ 88 ], + "I3": [ 90 ], + "O": [ 833 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011010100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 68 ], + "I1": [ 531 ], + "I2": [ 90 ], + "I3": [ 88 ], + "O": [ 832 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 71 ], + "I1": [ 667 ], + "I2": [ 62 ], + "I3": [ 75 ], + "O": [ 835 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 69 ], + "I1": [ 836 ], + "I2": [ 70 ], + "I3": [ 837 ], + "O": [ 799 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111110000001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 558 ], + "I1": [ 544 ], + "I2": [ 88 ], + "I3": [ 838 ], + "O": [ 836 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 72 ], + "I1": [ 839 ], + "I2": [ 840 ], + "I3": [ 73 ], + "O": [ 837 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011010100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 680 ], + "I1": [ 673 ], + "I2": [ 62 ], + "I3": [ 75 ], + "O": [ 840 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000001100000101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 695 ], + "I1": [ 688 ], + "I2": [ 75 ], + "I3": [ 62 ], + "O": [ 839 ] + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100111110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 551 ], + "I1": [ 537 ], + "I2": [ 88 ], + "I3": [ 90 ], + "O": [ 838 ] + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 2 ], + "D": [ 841 ], + "E": [ 842 ], + "Q": [ 108 ], + "R": [ 104 ] + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 52 ], + "I2": [ 53 ], + "I3": [ 85 ], + "O": [ 841 ] + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 83 ], + "I3": [ 104 ], + "O": [ 842 ] + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000110100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 245 ], + "I1": [ 246 ], + "I2": [ 108 ], + "I3": [ 20 ], + "O": [ 843 ] + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 577 ], + "I1": [ 530 ], + "I2": [ 244 ], + "I3": [ 843 ], + "O": [ 238 ] + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0001100010000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 844 ], + "I1": [ 528 ], + "I2": [ 578 ], + "I3": [ 529 ], + "O": [ 237 ] + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0001010001000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 845 ], + "I1": [ 526 ], + "I2": [ 575 ], + "I3": [ 527 ], + "O": [ 239 ] + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_1_I0_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 233 ], + "I2": [ 529 ], + "I3": [ 530 ], + "O": [ 845 ] + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 626 ], + "I3": [ 527 ], + "O": [ 844 ] + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0110100100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 626 ], + "I1": [ 565 ], + "I2": [ 564 ], + "I3": [ 108 ], + "O": [ 231 ] + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 104 ], + "I3": [ 108 ], + "O": [ 560 ] + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0100001010000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 247 ], + "I1": [ 245 ], + "I2": [ 567 ], + "I3": [ 559 ], + "O": [ 232 ] + } + }, + "smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q": { + "hide_name": 0, + "type": "SB_DFFESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 2 ], + "D": [ 846 ], + "E": [ 847 ], + "Q": [ 106 ], + "R": [ 104 ] + } + }, + "smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 52 ], + "I2": [ 53 ], + "I3": [ 57 ], + "O": [ 846 ] + } + }, + "smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_E_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 55 ], + "I3": [ 104 ], + "O": [ 847 ] + } + }, + "smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0100000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 848 ], + "I1": [ 636 ], + "I2": [ 704 ], + "I3": [ 106 ], + "O": [ 729 ] + } + }, + "smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 104 ], + "I3": [ 106 ], + "O": [ 697 ] + } + }, + "smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_I0_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 731 ], + "I2": [ 701 ], + "I3": [ 700 ], + "O": [ 848 ] + } + }, + "smi_ctrl_ins.r_last_soe_1_SB_DFFSS_Q": { "hide_name": 0, "type": "SB_DFFSS", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:20.59-20.105" + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:20.59-20.105" }, "port_directions": { "C": "input", @@ -18370,1045 +25483,31 @@ }, "connections": { "C": [ 2 ], - "D": [ 444 ], + "D": [ 21 ], + "Q": [ 53 ], + "S": [ 104 ] + } + }, + "smi_ctrl_ins.r_last_soe_2_SB_DFFSS_Q": { + "hide_name": 0, + "type": "SB_DFFSS", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:104.5-177.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:20.59-20.105" + }, + "port_directions": { + "C": "input", + "D": "input", + "Q": "output", + "S": "input" + }, + "connections": { + "C": [ 2 ], + "D": [ 53 ], "Q": [ 52 ], - "S": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_CARRY_CI": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:296.13-327.5|smi_ctrl.v:120.48-120.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 441 ], - "CO": [ 446 ], - "I0": [ "0" ], - "I1": [ 438 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_CARRY_I1": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:296.13-327.5|smi_ctrl.v:120.48-120.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 447 ], - "CO": [ 448 ], - "I0": [ "0" ], - "I1": [ 423 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_CARRY_I1_1": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:296.13-327.5|smi_ctrl.v:120.48-120.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 449 ], - "CO": [ 447 ], - "I0": [ "0" ], - "I1": [ 426 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_CARRY_I1_2": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:296.13-327.5|smi_ctrl.v:120.48-120.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 450 ], - "CO": [ 449 ], - "I0": [ "0" ], - "I1": [ 429 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_CARRY_I1_3": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:296.13-327.5|smi_ctrl.v:120.48-120.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 451 ], - "CO": [ 450 ], - "I0": [ "0" ], - "I1": [ 432 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_CARRY_I1_4": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:296.13-327.5|smi_ctrl.v:120.48-120.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 446 ], - "CO": [ 451 ], - "I0": [ "0" ], - "I1": [ 435 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 452 ], - "E": [ 445 ], - "Q": [ 443 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_1": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 453 ], - "E": [ 445 ], - "Q": [ 423 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_2": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 454 ], - "E": [ 445 ], - "Q": [ 426 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_3": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 455 ], - "E": [ 445 ], - "Q": [ 429 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_4": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 456 ], - "E": [ 445 ], - "Q": [ 432 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_5": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 457 ], - "E": [ 445 ], - "Q": [ 435 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_6": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 458 ], - "E": [ 445 ], - "Q": [ 438 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_7": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 459 ], - "E": [ 445 ], - "Q": [ 441 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:120.48-120.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 443 ], - "I3": [ 448 ], - "O": [ 452 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:120.48-120.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 423 ], - "I3": [ 447 ], - "O": [ 453 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:120.48-120.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 426 ], - "I3": [ 449 ], - "O": [ 454 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:120.48-120.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 429 ], - "I3": [ 450 ], - "O": [ 455 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_4": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:120.48-120.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 432 ], - "I3": [ 451 ], - "O": [ 456 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_5": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:120.48-120.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 435 ], - "I3": [ 446 ], - "O": [ 457 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_6": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:120.48-120.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 438 ], - "I3": [ 441 ], - "O": [ 458 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_7": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000011111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ "0" ], - "I3": [ 441 ], - "O": [ 459 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_CARRY_CI": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:296.13-327.5|smi_ctrl.v:150.48-150.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 440 ], - "CO": [ 460 ], - "I0": [ "0" ], - "I1": [ 437 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_CARRY_I1": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:296.13-327.5|smi_ctrl.v:150.48-150.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 461 ], - "CO": [ 462 ], - "I0": [ "0" ], - "I1": [ 422 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_CARRY_I1_1": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:296.13-327.5|smi_ctrl.v:150.48-150.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 463 ], - "CO": [ 461 ], - "I0": [ "0" ], - "I1": [ 425 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_CARRY_I1_2": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:296.13-327.5|smi_ctrl.v:150.48-150.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 464 ], - "CO": [ 463 ], - "I0": [ "0" ], - "I1": [ 428 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_CARRY_I1_3": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:296.13-327.5|smi_ctrl.v:150.48-150.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 465 ], - "CO": [ 464 ], - "I0": [ "0" ], - "I1": [ 431 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_CARRY_I1_4": { - "hide_name": 0, - "type": "SB_CARRY", - "parameters": { - }, - "attributes": { - "src": "top.v:296.13-327.5|smi_ctrl.v:150.48-150.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" - }, - "port_directions": { - "CI": "input", - "CO": "output", - "I0": "input", - "I1": "input" - }, - "connections": { - "CI": [ 460 ], - "CO": [ 465 ], - "I0": [ "0" ], - "I1": [ 434 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 466 ], - "E": [ 56 ], - "Q": [ 442 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_1": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 467 ], - "E": [ 56 ], - "Q": [ 422 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_2": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 468 ], - "E": [ 56 ], - "Q": [ 425 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_3": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 469 ], - "E": [ 56 ], - "Q": [ 428 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_4": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 470 ], - "E": [ 56 ], - "Q": [ 431 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_5": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 471 ], - "E": [ 56 ], - "Q": [ 434 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_6": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 472 ], - "E": [ 56 ], - "Q": [ 437 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_7": { - "hide_name": 0, - "type": "SB_DFFESR", - "parameters": { - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:101.5-182.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" - }, - "port_directions": { - "C": "input", - "D": "input", - "E": "input", - "Q": "output", - "R": "input" - }, - "connections": { - "C": [ 2 ], - "D": [ 473 ], - "E": [ 56 ], - "Q": [ 440 ], - "R": [ 55 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:150.48-150.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 442 ], - "I3": [ 462 ], - "O": [ 466 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:150.48-150.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 422 ], - "I3": [ 461 ], - "O": [ 467 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:150.48-150.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 425 ], - "I3": [ 463 ], - "O": [ 468 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:150.48-150.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 428 ], - "I3": [ 464 ], - "O": [ 469 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_4": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:150.48-150.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 431 ], - "I3": [ 465 ], - "O": [ 470 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_5": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:150.48-150.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 434 ], - "I3": [ 460 ], - "O": [ 471 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_6": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0110100110010110" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:150.48-150.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 437 ], - "I3": [ 440 ], - "O": [ 472 ] - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_7": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000011111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ "0" ], - "I3": [ 440 ], - "O": [ 473 ] + "S": [ 104 ] } }, "spi_if_ins.o_cs_SB_DFFESR_Q": { @@ -19428,11 +25527,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 474 ], - "E": [ 71 ], - "Q": [ 475 ], - "R": [ 73 ] + "C": [ 111 ], + "D": [ 849 ], + "E": [ 122 ], + "Q": [ 850 ], + "R": [ 124 ] } }, "spi_if_ins.o_cs_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -19455,9 +25554,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 476 ], - "I3": [ 477 ], - "O": [ 70 ] + "I2": [ 851 ], + "I3": [ 852 ], + "O": [ 121 ] } }, "spi_if_ins.o_cs_SB_DFFESR_Q_D_SB_LUT4_O_1": { @@ -19480,9 +25579,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 477 ], - "I3": [ 476 ], - "O": [ 409 ] + "I2": [ 852 ], + "I3": [ 851 ], + "O": [ 779 ] } }, "spi_if_ins.o_cs_SB_DFFESR_Q_D_SB_LUT4_O_2": { @@ -19505,9 +25604,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 477 ], - "I3": [ 476 ], - "O": [ 474 ] + "I2": [ 852 ], + "I3": [ 851 ], + "O": [ 849 ] } }, "spi_if_ins.o_cs_SB_DFFESR_Q_R_SB_LUT4_O": { @@ -19530,12 +25629,62 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 477 ], - "I3": [ 476 ], - "O": [ 73 ] + "I2": [ 852 ], + "I3": [ 851 ], + "O": [ 124 ] } }, - "spi_if_ins.o_cs_SB_LUT4_I0": { + "spi_if_ins.o_cs_SB_LUT4_I2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 853 ], + "I1": [ 123 ], + "I2": [ 850 ], + "I3": [ 780 ], + "O": [ 797 ] + } + }, + "spi_if_ins.o_cs_SB_LUT4_I2_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 853 ], + "I1": [ 780 ], + "I2": [ 850 ], + "I3": [ 123 ], + "O": [ 492 ] + } + }, + "spi_if_ins.o_cs_SB_LUT4_I2_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -19553,86 +25702,11 @@ "O": "output" }, "connections": { - "I0": [ 475 ], - "I1": [ 72 ], - "I2": [ 410 ], - "I3": [ 478 ], - "O": [ 319 ] - } - }, - "spi_if_ins.o_cs_SB_LUT4_I1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 478 ], - "I1": [ 475 ], - "I2": [ 72 ], - "I3": [ 410 ], - "O": [ 416 ] - } - }, - "spi_if_ins.o_cs_SB_LUT4_I1_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000100000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 478 ], - "I1": [ 475 ], - "I2": [ 410 ], - "I3": [ 72 ], - "O": [ 330 ] - } - }, - "spi_if_ins.o_cs_SB_LUT4_I1_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000100010111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 478 ], - "I1": [ 475 ], - "I2": [ 72 ], - "I3": [ 410 ], - "O": [ 317 ] + "I0": [ 123 ], + "I1": [ 780 ], + "I2": [ 850 ], + "I3": [ 853 ], + "O": [ 481 ] } }, "spi_if_ins.o_cs_SB_LUT4_I3": { @@ -19653,11 +25727,36 @@ "O": "output" }, "connections": { - "I0": [ 478 ], - "I1": [ 72 ], - "I2": [ 410 ], - "I3": [ 475 ], - "O": [ 419 ] + "I0": [ 853 ], + "I1": [ 123 ], + "I2": [ 780 ], + "I3": [ 850 ], + "O": [ 495 ] + } + }, + "spi_if_ins.o_cs_SB_LUT4_I3_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000100010111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 853 ], + "I1": [ 123 ], + "I2": [ 780 ], + "I3": [ 850 ], + "O": [ 479 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q": { @@ -19676,10 +25775,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 479 ], - "E": [ 480 ], - "Q": [ 136 ] + "C": [ 111 ], + "D": [ 854 ], + "E": [ 855 ], + "Q": [ 188 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_1": { @@ -19698,10 +25797,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 476 ], - "E": [ 480 ], - "Q": [ 139 ] + "C": [ 111 ], + "D": [ 851 ], + "E": [ 855 ], + "Q": [ 190 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_2": { @@ -19720,10 +25819,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 477 ], - "E": [ 480 ], - "Q": [ 140 ] + "C": [ 111 ], + "D": [ 852 ], + "E": [ 855 ], + "Q": [ 192 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_3": { @@ -19742,10 +25841,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 481 ], - "E": [ 480 ], - "Q": [ 142 ] + "C": [ 111 ], + "D": [ 856 ], + "E": [ 855 ], + "Q": [ 194 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_4": { @@ -19764,10 +25863,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 482 ], - "E": [ 480 ], - "Q": [ 144 ] + "C": [ 111 ], + "D": [ 857 ], + "E": [ 855 ], + "Q": [ 195 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_5": { @@ -19786,10 +25885,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 483 ], - "E": [ 480 ], - "Q": [ 146 ] + "C": [ 111 ], + "D": [ 858 ], + "E": [ 855 ], + "Q": [ 196 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_6": { @@ -19808,10 +25907,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 484 ], - "E": [ 480 ], - "Q": [ 59 ] + "C": [ 111 ], + "D": [ 859 ], + "E": [ 855 ], + "Q": [ 112 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_7": { @@ -19830,10 +25929,35 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 485 ], - "E": [ 480 ], - "Q": [ 62 ] + "C": [ 111 ], + "D": [ 860 ], + "E": [ 855 ], + "Q": [ 115 ] + } + }, + "spi_if_ins.o_data_in_SB_DFFE_Q_E_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 861 ], + "I1": [ 862 ], + "I2": [ 863 ], + "I3": [ 864 ], + "O": [ 855 ] } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q": { @@ -19853,11 +25977,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 486 ], - "E": [ 487 ], - "Q": [ 488 ], - "R": [ 489 ] + "C": [ 111 ], + "D": [ 865 ], + "E": [ 866 ], + "Q": [ 867 ], + "R": [ 868 ] } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -19880,16 +26004,16 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 490 ], - "I3": [ 491 ], - "O": [ 486 ] + "I2": [ 869 ], + "I3": [ 861 ], + "O": [ 865 ] } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000001111110000" + "LUT_INIT": "1100111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -19904,10 +26028,35 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 490 ], - "I2": [ 492 ], - "I3": [ 493 ], - "O": [ 487 ] + "I1": [ 862 ], + "I2": [ 870 ], + "I3": [ 871 ], + "O": [ 866 ] + } + }, + "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000101111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 861 ], + "I1": [ 854 ], + "I2": [ 869 ], + "I3": [ 862 ], + "O": [ 871 ] } }, "spi_if_ins.o_fetch_cmd_SB_LUT4_I3": { @@ -19929,17 +26078,17 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 55 ], - "I2": [ 72 ], - "I3": [ 488 ], - "O": [ 115 ] + "I1": [ 104 ], + "I2": [ 123 ], + "I3": [ 867 ], + "O": [ 872 ] } }, "spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0100000000000000" + "LUT_INIT": "0001000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -19953,18 +26102,18 @@ "O": "output" }, "connections": { - "I0": [ 55 ], - "I1": [ 116 ], - "I2": [ 410 ], - "I3": [ 488 ], - "O": [ 411 ] + "I0": [ 104 ], + "I1": [ 49 ], + "I2": [ 780 ], + "I3": [ 867 ], + "O": [ 796 ] } }, - "spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O_SB_LUT4_O": { + "spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000001100000000" + "LUT_INIT": "1111110000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -19979,21 +26128,21 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 160 ], - "I2": [ 161 ], - "I3": [ 164 ], - "O": [ 116 ] + "I1": [ 133 ], + "I2": [ 50 ], + "I3": [ 872 ], + "O": [ 147 ] } }, - "spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O_SB_LUT4_O_1": { + "spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O_SB_LUT4_I2_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111111011101111" + "LUT_INIT": "1100111111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", @@ -20003,11 +26152,36 @@ "O": "output" }, "connections": { - "I0": [ 161 ], - "I1": [ 162 ], - "I2": [ 160 ], - "I3": [ 163 ], - "O": [ 121 ] + "I0": [ "0" ], + "I1": [ 49 ], + "I2": [ 50 ], + "I3": [ 48 ], + "O": [ 127 ] + } + }, + "spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000000000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 214 ], + "I2": [ 212 ], + "I3": [ 213 ], + "O": [ 50 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q": { @@ -20026,10 +26200,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 481 ], - "E": [ 71 ], - "Q": [ 161 ] + "C": [ 111 ], + "D": [ 856 ], + "E": [ 122 ], + "Q": [ 212 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_1": { @@ -20048,10 +26222,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 482 ], - "E": [ 71 ], - "Q": [ 162 ] + "C": [ 111 ], + "D": [ 857 ], + "E": [ 122 ], + "Q": [ 213 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_2": { @@ -20070,10 +26244,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 483 ], - "E": [ 71 ], - "Q": [ 163 ] + "C": [ 111 ], + "D": [ 858 ], + "E": [ 122 ], + "Q": [ 214 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_3": { @@ -20092,10 +26266,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 484 ], - "E": [ 71 ], - "Q": [ 160 ] + "C": [ 111 ], + "D": [ 859 ], + "E": [ 122 ], + "Q": [ 49 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_4": { @@ -20114,10 +26288,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 485 ], - "E": [ 71 ], - "Q": [ 132 ] + "C": [ 111 ], + "D": [ 860 ], + "E": [ 122 ], + "Q": [ 48 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q": { @@ -20137,11 +26311,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 490 ], - "E": [ 494 ], - "Q": [ 495 ], - "R": [ 489 ] + "C": [ 111 ], + "D": [ 869 ], + "E": [ 873 ], + "Q": [ 874 ], + "R": [ 868 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1": { @@ -20163,10 +26337,35 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 490 ], - "I2": [ 491 ], - "I3": [ 493 ], - "O": [ 71 ] + "I1": [ 869 ], + "I2": [ 861 ], + "I3": [ 862 ], + "O": [ 122 ] + } + }, + "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111110011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 861 ], + "I2": [ 864 ], + "I3": [ 863 ], + "O": [ 870 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -20189,9 +26388,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 496 ], - "I3": [ 497 ], - "O": [ 490 ] + "I2": [ 863 ], + "I3": [ 864 ], + "O": [ 869 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_E_SB_LUT4_O": { @@ -20212,14 +26411,14 @@ "O": "output" }, "connections": { - "I0": [ 493 ], - "I1": [ 491 ], - "I2": [ 496 ], - "I3": [ 497 ], - "O": [ 494 ] + "I0": [ 862 ], + "I1": [ 861 ], + "I2": [ 863 ], + "I3": [ 864 ], + "O": [ 873 ] } }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3": { + "spi_if_ins.o_load_cmd_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -20238,13 +26437,13 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 488 ], - "I2": [ 72 ], - "I3": [ 495 ], - "O": [ 76 ] + "I1": [ 867 ], + "I2": [ 874 ], + "I3": [ 123 ], + "O": [ 130 ] } }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I2": { + "spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -20263,13 +26462,13 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 81 ], - "I2": [ 76 ], - "I3": [ 55 ], - "O": [ 60 ] + "I1": [ 127 ], + "I2": [ 130 ], + "I3": [ 104 ], + "O": [ 113 ] } }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3": { + "spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -20289,12 +26488,37 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 55 ], - "I3": [ 76 ], - "O": [ 498 ] + "I2": [ 104 ], + "I3": [ 130 ], + "O": [ 210 ] } }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3": { + "spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 172 ], + "I3": [ 210 ], + "O": [ 197 ] + } + }, + "spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -20314,16 +26538,16 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 89 ], - "I3": [ 498 ], - "O": [ 137 ] + "I2": [ 129 ], + "I3": [ 210 ], + "O": [ 189 ] } }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_1": { + "spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111000000000000" + "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -20339,34 +26563,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 47 ], - "I3": [ 498 ], - "O": [ 147 ] - } - }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 78 ], - "I3": [ 498 ], - "O": [ 152 ] + "I2": [ 48 ], + "I3": [ 133 ], + "O": [ 172 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q": { @@ -20385,10 +26584,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 332 ], - "E": [ 499 ], - "Q": [ 500 ] + "C": [ 111 ], + "D": [ 494 ], + "E": [ 875 ], + "Q": [ 876 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_1": { @@ -20407,10 +26606,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 329 ], - "E": [ 499 ], - "Q": [ 501 ] + "C": [ 111 ], + "D": [ 491 ], + "E": [ 875 ], + "Q": [ 877 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_2": { @@ -20429,10 +26628,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 327 ], - "E": [ 499 ], - "Q": [ 502 ] + "C": [ 111 ], + "D": [ 489 ], + "E": [ 875 ], + "Q": [ 878 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_3": { @@ -20451,10 +26650,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 325 ], - "E": [ 499 ], - "Q": [ 503 ] + "C": [ 111 ], + "D": [ 487 ], + "E": [ 875 ], + "Q": [ 879 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_4": { @@ -20473,10 +26672,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 323 ], - "E": [ 499 ], - "Q": [ 504 ] + "C": [ 111 ], + "D": [ 485 ], + "E": [ 875 ], + "Q": [ 880 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_5": { @@ -20495,10 +26694,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 321 ], - "E": [ 499 ], - "Q": [ 505 ] + "C": [ 111 ], + "D": [ 483 ], + "E": [ 875 ], + "Q": [ 881 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_6": { @@ -20517,10 +26716,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 318 ], - "E": [ 499 ], - "Q": [ 506 ] + "C": [ 111 ], + "D": [ 480 ], + "E": [ 875 ], + "Q": [ 882 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_7": { @@ -20539,10 +26738,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 334 ], - "E": [ 499 ], - "Q": [ 507 ] + "C": [ 111 ], + "D": [ 498 ], + "E": [ 875 ], + "Q": [ 883 ] } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q": { @@ -20562,11 +26761,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 508 ], - "E": [ 509 ], - "Q": [ 510 ], - "R": [ 493 ] + "C": [ 111 ], + "D": [ 884 ], + "E": [ 885 ], + "Q": [ 886 ], + "R": [ 862 ] } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3": { @@ -20589,9 +26788,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 493 ], - "I3": [ 508 ], - "O": [ 499 ] + "I2": [ 862 ], + "I3": [ 884 ], + "O": [ 875 ] } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -20613,21 +26812,21 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 491 ], - "I2": [ 496 ], - "I3": [ 497 ], - "O": [ 508 ] + "I1": [ 861 ], + "I2": [ 863 ], + "I3": [ 864 ], + "O": [ 884 ] } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0011001100110101" + "LUT_INIT": "1111111100110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", @@ -20637,11 +26836,11 @@ "O": "output" }, "connections": { - "I0": [ 496 ], - "I1": [ 493 ], - "I2": [ 491 ], - "I3": [ 497 ], - "O": [ 509 ] + "I0": [ "0" ], + "I1": [ 862 ], + "I2": [ 870 ], + "I3": [ 122 ], + "O": [ 885 ] } }, "spi_if_ins.r_tx_data_valid_SB_LUT4_I3": { @@ -20665,33 +26864,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ 44 ], - "I3": [ 510 ], - "O": [ 511 ] - } - }, - "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_I3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0011000011111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 512 ], - "I2": [ 513 ], - "I3": [ 511 ], - "O": [ 514 ] + "I3": [ 886 ], + "O": [ 887 ] } }, "spi_if_ins.spi.SCKr_SB_DFF_Q": { @@ -20709,9 +26883,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 515 ], - "Q": [ 516 ] + "C": [ 111 ], + "D": [ 888 ], + "Q": [ 889 ] } }, "spi_if_ins.spi.SCKr_SB_DFF_Q_1": { @@ -20729,9 +26903,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 517 ], - "Q": [ 515 ] + "C": [ 111 ], + "D": [ 890 ], + "Q": [ 888 ] } }, "spi_if_ins.spi.SCKr_SB_DFF_Q_2": { @@ -20749,9 +26923,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], + "C": [ 111 ], "D": [ 43 ], - "Q": [ 517 ] + "Q": [ 890 ] } }, "spi_if_ins.spi.SCKr_SB_LUT4_I0": { @@ -20772,11 +26946,36 @@ "O": "output" }, "connections": { - "I0": [ 516 ], - "I1": [ 518 ], - "I2": [ 519 ], - "I3": [ 515 ], - "O": [ 513 ] + "I0": [ 889 ], + "I1": [ 891 ], + "I2": [ 892 ], + "I3": [ 888 ], + "O": [ 893 ] + } + }, + "spi_if_ins.spi.SCKr_SB_LUT4_I1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 889 ], + "I2": [ 888 ], + "I3": [ 887 ], + "O": [ 894 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q": { @@ -20795,10 +26994,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 520 ], - "E": [ 521 ], - "Q": [ 479 ] + "C": [ 111 ], + "D": [ 895 ], + "E": [ 896 ], + "Q": [ 854 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_1": { @@ -20817,10 +27016,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 522 ], - "E": [ 521 ], - "Q": [ 476 ] + "C": [ 111 ], + "D": [ 897 ], + "E": [ 896 ], + "Q": [ 851 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_2": { @@ -20839,10 +27038,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 523 ], - "E": [ 521 ], - "Q": [ 477 ] + "C": [ 111 ], + "D": [ 898 ], + "E": [ 896 ], + "Q": [ 852 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_3": { @@ -20861,10 +27060,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 524 ], - "E": [ 521 ], - "Q": [ 481 ] + "C": [ 111 ], + "D": [ 899 ], + "E": [ 896 ], + "Q": [ 856 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_4": { @@ -20883,10 +27082,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 525 ], - "E": [ 521 ], - "Q": [ 482 ] + "C": [ 111 ], + "D": [ 900 ], + "E": [ 896 ], + "Q": [ 857 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_5": { @@ -20905,10 +27104,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 526 ], - "E": [ 521 ], - "Q": [ 483 ] + "C": [ 111 ], + "D": [ 901 ], + "E": [ 896 ], + "Q": [ 858 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_6": { @@ -20927,10 +27126,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 527 ], - "E": [ 521 ], - "Q": [ 484 ] + "C": [ 111 ], + "D": [ 902 ], + "E": [ 896 ], + "Q": [ 859 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_7": { @@ -20949,10 +27148,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 528 ], - "E": [ 521 ], - "Q": [ 485 ] + "C": [ 111 ], + "D": [ 903 ], + "E": [ 896 ], + "Q": [ 860 ] } }, "spi_if_ins.spi.o_rx_data_valid_SB_DFF_Q": { @@ -20970,34 +27169,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 521 ], - "Q": [ 493 ] - } - }, - "spi_if_ins.spi.o_rx_data_valid_SB_LUT4_I1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0100000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 491 ], - "I1": [ 493 ], - "I2": [ 496 ], - "I3": [ 497 ], - "O": [ 480 ] + "C": [ 111 ], + "D": [ 896 ], + "Q": [ 862 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q": { @@ -21016,10 +27190,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 529 ], - "E": [ 530 ], - "Q": [ 315 ] + "C": [ 111 ], + "D": [ 904 ], + "E": [ 894 ], + "Q": [ 477 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O": { @@ -21041,17 +27215,17 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 500 ], - "I2": [ 531 ], - "I3": [ 511 ], - "O": [ 529 ] + "I1": [ 876 ], + "I2": [ 905 ], + "I3": [ 887 ], + "O": [ 904 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000000011101111" + "LUT_INIT": "1110111011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -21065,14 +27239,39 @@ "O": "output" }, "connections": { - "I0": [ 532 ], - "I1": [ 533 ], - "I2": [ 512 ], - "I3": [ 534 ], - "O": [ 531 ] + "I0": [ 906 ], + "I1": [ 907 ], + "I2": [ 908 ], + "I3": [ 909 ], + "O": [ 905 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111110000001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 910 ], + "I1": [ 911 ], + "I2": [ 891 ], + "I3": [ 912 ], + "O": [ 908 ] + } + }, + "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -21090,14 +27289,14 @@ "O": "output" }, "connections": { - "I0": [ 535 ], - "I1": [ 536 ], - "I2": [ 518 ], - "I3": [ 519 ], - "O": [ 533 ] + "I0": [ 913 ], + "I1": [ 914 ], + "I2": [ 891 ], + "I3": [ 892 ], + "O": [ 907 ] } }, - "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_1": { + "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -21115,18 +27314,18 @@ "O": "output" }, "connections": { - "I0": [ 537 ], - "I1": [ 538 ], - "I2": [ 519 ], - "I3": [ 518 ], - "O": [ 532 ] + "I0": [ 915 ], + "I1": [ 916 ], + "I2": [ 892 ], + "I3": [ 891 ], + "O": [ 906 ] } }, - "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2": { + "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0000101000000011" + "LUT_INIT": "1100111110100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -21140,86 +27339,11 @@ "O": "output" }, "connections": { - "I0": [ 539 ], - "I1": [ 540 ], - "I2": [ 512 ], - "I3": [ 518 ], - "O": [ 534 ] - } - }, - "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000111100110011" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 541 ], - "I2": [ 542 ], - "I3": [ 519 ], - "O": [ 539 ] - } - }, - "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111000011001100" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 543 ], - "I2": [ 544 ], - "I3": [ 519 ], - "O": [ 540 ] - } - }, - "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0011000011111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 516 ], - "I2": [ 515 ], - "I3": [ 511 ], - "O": [ 530 ] + "I0": [ 917 ], + "I1": [ 918 ], + "I2": [ 891 ], + "I3": [ 892 ], + "O": [ 912 ] } }, "spi_if_ins.spi.r2_rx_done_SB_DFF_Q": { @@ -21237,9 +27361,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 545 ], - "Q": [ 546 ] + "C": [ 111 ], + "D": [ 919 ], + "Q": [ 920 ] } }, "spi_if_ins.spi.r3_rx_done_SB_DFF_Q": { @@ -21257,9 +27381,9 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 546 ], - "Q": [ 547 ] + "C": [ 111 ], + "D": [ 920 ], + "Q": [ 921 ] } }, "spi_if_ins.spi.r3_rx_done_SB_LUT4_I2": { @@ -21282,9 +27406,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 547 ], - "I3": [ 546 ], - "O": [ 521 ] + "I2": [ 921 ], + "I3": [ 920 ], + "O": [ 896 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q": { @@ -21304,8 +27428,8 @@ }, "connections": { "C": [ 43 ], - "D": [ 548 ], - "Q": [ 549 ], + "D": [ 922 ], + "Q": [ 923 ], "R": [ 44 ] } }, @@ -21326,8 +27450,8 @@ }, "connections": { "C": [ 43 ], - "D": [ 550 ], - "Q": [ 551 ], + "D": [ 924 ], + "Q": [ 925 ], "R": [ 44 ] } }, @@ -21348,8 +27472,8 @@ }, "connections": { "C": [ 43 ], - "D": [ 552 ], - "Q": [ 553 ], + "D": [ 926 ], + "Q": [ 927 ], "R": [ 44 ] } }, @@ -21374,8 +27498,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 553 ], - "O": [ 552 ] + "I3": [ 927 ], + "O": [ 926 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O": { @@ -21398,9 +27522,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 549 ], - "I3": [ 554 ], - "O": [ 548 ] + "I2": [ 923 ], + "I3": [ 928 ], + "O": [ 922 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_1": { @@ -21423,9 +27547,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 551 ], - "I3": [ 553 ], - "O": [ 550 ] + "I2": [ 925 ], + "I3": [ 927 ], + "O": [ 924 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": { @@ -21443,10 +27567,10 @@ "I1": "input" }, "connections": { - "CI": [ 553 ], - "CO": [ 554 ], + "CI": [ 927 ], + "CO": [ 928 ], "I0": [ "0" ], - "I1": [ 551 ] + "I1": [ 925 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q": { @@ -21466,9 +27590,9 @@ }, "connections": { "C": [ 43 ], - "D": [ 555 ], - "E": [ 556 ], - "Q": [ 520 ] + "D": [ 929 ], + "E": [ 930 ], + "Q": [ 895 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_1": { @@ -21488,9 +27612,9 @@ }, "connections": { "C": [ 43 ], - "D": [ 557 ], - "E": [ 556 ], - "Q": [ 522 ] + "D": [ 931 ], + "E": [ 930 ], + "Q": [ 897 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_2": { @@ -21510,9 +27634,9 @@ }, "connections": { "C": [ 43 ], - "D": [ 558 ], - "E": [ 556 ], - "Q": [ 523 ] + "D": [ 932 ], + "E": [ 930 ], + "Q": [ 898 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_3": { @@ -21532,9 +27656,9 @@ }, "connections": { "C": [ 43 ], - "D": [ 559 ], - "E": [ 556 ], - "Q": [ 524 ] + "D": [ 933 ], + "E": [ 930 ], + "Q": [ 899 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_4": { @@ -21554,9 +27678,9 @@ }, "connections": { "C": [ 43 ], - "D": [ 560 ], - "E": [ 556 ], - "Q": [ 525 ] + "D": [ 934 ], + "E": [ 930 ], + "Q": [ 900 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_5": { @@ -21576,9 +27700,9 @@ }, "connections": { "C": [ 43 ], - "D": [ 561 ], - "E": [ 556 ], - "Q": [ 526 ] + "D": [ 935 ], + "E": [ 930 ], + "Q": [ 901 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_6": { @@ -21598,9 +27722,9 @@ }, "connections": { "C": [ 43 ], - "D": [ 562 ], - "E": [ 556 ], - "Q": [ 527 ] + "D": [ 936 ], + "E": [ 930 ], + "Q": [ 902 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_7": { @@ -21621,8 +27745,8 @@ "connections": { "C": [ 43 ], "D": [ 42 ], - "E": [ 556 ], - "Q": [ 528 ] + "E": [ 930 ], + "Q": [ 903 ] } }, "spi_if_ins.spi.r_rx_done_SB_DFFER_Q": { @@ -21643,9 +27767,9 @@ }, "connections": { "C": [ 43 ], - "D": [ 563 ], - "E": [ 564 ], - "Q": [ 545 ], + "D": [ 937 ], + "E": [ 938 ], + "Q": [ 919 ], "R": [ 44 ] } }, @@ -21670,8 +27794,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ 44 ], - "I3": [ 563 ], - "O": [ 556 ] + "I3": [ 937 ], + "O": [ 930 ] } }, "spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_O": { @@ -21693,10 +27817,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 553 ], - "I2": [ 549 ], - "I3": [ 551 ], - "O": [ 563 ] + "I1": [ 927 ], + "I2": [ 923 ], + "I3": [ 925 ], + "O": [ 937 ] } }, "spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E_SB_LUT4_O": { @@ -21718,10 +27842,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 553 ], - "I2": [ 549 ], - "I3": [ 551 ], - "O": [ 564 ] + "I1": [ 927 ], + "I2": [ 923 ], + "I3": [ 925 ], + "O": [ 938 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q": { @@ -21741,9 +27865,9 @@ }, "connections": { "C": [ 43 ], - "D": [ 557 ], - "E": [ 57 ], - "Q": [ 555 ] + "D": [ 931 ], + "E": [ 110 ], + "Q": [ 929 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_1": { @@ -21763,9 +27887,9 @@ }, "connections": { "C": [ 43 ], - "D": [ 558 ], - "E": [ 57 ], - "Q": [ 557 ] + "D": [ 932 ], + "E": [ 110 ], + "Q": [ 931 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_2": { @@ -21785,9 +27909,9 @@ }, "connections": { "C": [ 43 ], - "D": [ 559 ], - "E": [ 57 ], - "Q": [ 558 ] + "D": [ 933 ], + "E": [ 110 ], + "Q": [ 932 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_3": { @@ -21807,9 +27931,9 @@ }, "connections": { "C": [ 43 ], - "D": [ 560 ], - "E": [ 57 ], - "Q": [ 559 ] + "D": [ 934 ], + "E": [ 110 ], + "Q": [ 933 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_4": { @@ -21829,9 +27953,9 @@ }, "connections": { "C": [ 43 ], - "D": [ 561 ], - "E": [ 57 ], - "Q": [ 560 ] + "D": [ 935 ], + "E": [ 110 ], + "Q": [ 934 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_5": { @@ -21851,9 +27975,9 @@ }, "connections": { "C": [ 43 ], - "D": [ 562 ], - "E": [ 57 ], - "Q": [ 561 ] + "D": [ 936 ], + "E": [ 110 ], + "Q": [ 935 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_6": { @@ -21874,8 +27998,8 @@ "connections": { "C": [ 43 ], "D": [ 42 ], - "E": [ 57 ], - "Q": [ 562 ] + "E": [ 110 ], + "Q": [ 936 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q": { @@ -21895,11 +28019,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 565 ], - "E": [ 530 ], - "Q": [ 518 ], - "R": [ 566 ] + "C": [ 111 ], + "D": [ 939 ], + "E": [ 894 ], + "Q": [ 891 ], + "R": [ 940 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -21921,10 +28045,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 519 ], + "I1": [ 892 ], "I2": [ "1" ], - "I3": [ 567 ], - "O": [ 568 ] + "I3": [ 941 ], + "O": [ 942 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_1": { @@ -21946,10 +28070,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 512 ], + "I1": [ 909 ], "I2": [ "1" ], - "I3": [ 518 ], - "O": [ 569 ] + "I3": [ 891 ], + "O": [ 943 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_2": { @@ -21973,8 +28097,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 518 ], - "O": [ 565 ] + "I3": [ 891 ], + "O": [ 939 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": { @@ -21992,9 +28116,9 @@ "I1": "input" }, "connections": { - "CI": [ 518 ], - "CO": [ 567 ], - "I0": [ 512 ], + "CI": [ 891 ], + "CO": [ 941 ], + "I0": [ 909 ], "I1": [ "1" ] } }, @@ -22019,8 +28143,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 511 ], - "O": [ 566 ] + "I3": [ 887 ], + "O": [ 940 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q": { @@ -22040,11 +28164,11 @@ "S": "input" }, "connections": { - "C": [ 58 ], - "D": [ 568 ], - "E": [ 530 ], - "Q": [ 519 ], - "S": [ 566 ] + "C": [ 111 ], + "D": [ 942 ], + "E": [ 894 ], + "Q": [ 892 ], + "S": [ 940 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_1": { @@ -22064,11 +28188,11 @@ "S": "input" }, "connections": { - "C": [ 58 ], - "D": [ 569 ], - "E": [ 530 ], - "Q": [ 512 ], - "S": [ 566 ] + "C": [ 111 ], + "D": [ 943 ], + "E": [ 894 ], + "Q": [ 909 ], + "S": [ 940 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q": { @@ -22088,11 +28212,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 500 ], - "E": [ 514 ], - "Q": [ 538 ], - "R": [ 511 ] + "C": [ 111 ], + "D": [ 876 ], + "E": [ 944 ], + "Q": [ 916 ], + "R": [ 887 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_1": { @@ -22112,11 +28236,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 501 ], - "E": [ 514 ], - "Q": [ 536 ], - "R": [ 511 ] + "C": [ 111 ], + "D": [ 877 ], + "E": [ 944 ], + "Q": [ 914 ], + "R": [ 887 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_2": { @@ -22136,11 +28260,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 502 ], - "E": [ 514 ], - "Q": [ 542 ], - "R": [ 511 ] + "C": [ 111 ], + "D": [ 878 ], + "E": [ 944 ], + "Q": [ 918 ], + "R": [ 887 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_3": { @@ -22160,11 +28284,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 503 ], - "E": [ 514 ], - "Q": [ 544 ], - "R": [ 511 ] + "C": [ 111 ], + "D": [ 879 ], + "E": [ 944 ], + "Q": [ 911 ], + "R": [ 887 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_4": { @@ -22184,11 +28308,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 504 ], - "E": [ 514 ], - "Q": [ 537 ], - "R": [ 511 ] + "C": [ 111 ], + "D": [ 880 ], + "E": [ 944 ], + "Q": [ 915 ], + "R": [ 887 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_5": { @@ -22208,11 +28332,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 505 ], - "E": [ 514 ], - "Q": [ 535 ], - "R": [ 511 ] + "C": [ 111 ], + "D": [ 881 ], + "E": [ 944 ], + "Q": [ 913 ], + "R": [ 887 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_6": { @@ -22232,11 +28356,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 506 ], - "E": [ 514 ], - "Q": [ 541 ], - "R": [ 511 ] + "C": [ 111 ], + "D": [ 882 ], + "E": [ 944 ], + "Q": [ 917 ], + "R": [ 887 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_7": { @@ -22256,11 +28380,36 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 507 ], - "E": [ 514 ], - "Q": [ 543 ], - "R": [ 511 ] + "C": [ 111 ], + "D": [ 883 ], + "E": [ 944 ], + "Q": [ 910 ], + "R": [ 887 ] + } + }, + "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0011000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 909 ], + "I2": [ 893 ], + "I3": [ 887 ], + "O": [ 944 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q": { @@ -22280,11 +28429,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 570 ], - "E": [ 571 ], - "Q": [ 491 ], - "R": [ 493 ] + "C": [ 111 ], + "D": [ 870 ], + "E": [ 945 ], + "Q": [ 861 ], + "R": [ 862 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1": { @@ -22304,11 +28453,36 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 572 ], - "E": [ 571 ], - "Q": [ 496 ], - "R": [ 489 ] + "C": [ 111 ], + "D": [ 946 ], + "E": [ 945 ], + "Q": [ 863 ], + "R": [ 868 ] + } + }, + "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100111111110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 861 ], + "I2": [ 863 ], + "I3": [ 864 ], + "O": [ 946 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_R_SB_LUT4_O": { @@ -22332,58 +28506,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 493 ], - "O": [ 489 ] - } - }, - "spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1100111111110011" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 491 ], - "I2": [ 496 ], - "I3": [ 497 ], - "O": [ 572 ] - } - }, - "spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_O_1": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1111110011111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ "0" ], - "I1": [ 491 ], - "I2": [ 497 ], - "I3": [ 496 ], - "O": [ 570 ] + "I3": [ 862 ], + "O": [ 868 ] } }, "spi_if_ins.state_if_SB_DFFE_Q": { @@ -22402,10 +28526,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 492 ], - "E": [ 571 ], - "Q": [ 497 ] + "C": [ 111 ], + "D": [ 947 ], + "E": [ 945 ], + "Q": [ 864 ] } }, "spi_if_ins.state_if_SB_DFFE_Q_D_SB_LUT4_O": { @@ -22426,11 +28550,11 @@ "O": "output" }, "connections": { - "I0": [ 572 ], - "I1": [ 479 ], - "I2": [ 570 ], - "I3": [ 493 ], - "O": [ 492 ] + "I0": [ 946 ], + "I1": [ 854 ], + "I2": [ 870 ], + "I3": [ 862 ], + "O": [ 947 ] } }, "spi_if_ins.state_if_SB_DFFE_Q_E_SB_LUT4_O": { @@ -22451,11 +28575,11 @@ "O": "output" }, "connections": { - "I0": [ 491 ], - "I1": [ 493 ], - "I2": [ 496 ], - "I3": [ 497 ], - "O": [ 571 ] + "I0": [ 861 ], + "I1": [ 862 ], + "I2": [ 863 ], + "I3": [ 864 ], + "O": [ 945 ] } }, "sys_ctrl_ins.i_cs_SB_DFFE_Q": { @@ -22474,10 +28598,10 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 73 ], - "E": [ 71 ], - "Q": [ 478 ] + "C": [ 111 ], + "D": [ 124 ], + "E": [ 122 ], + "Q": [ 853 ] } }, "sys_ctrl_ins.o_data_out_SB_DFFE_Q": { @@ -22496,96 +28620,21 @@ "Q": "output" }, "connections": { - "C": [ 58 ], - "D": [ 89 ], - "E": [ 573 ], - "Q": [ 574 ] + "C": [ 111 ], + "D": [ 129 ], + "E": [ 948 ], + "Q": [ 949 ] } }, "sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0100000000000000" + "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 161 ], - "I1": [ 478 ], - "I2": [ 488 ], - "I3": [ 164 ], - "O": [ 573 ] - } - }, - "sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3_SB_LUT4_I2": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0001000000000000" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 132 ], - "I1": [ 161 ], - "I2": [ 164 ], - "I3": [ 160 ], - "O": [ 48 ] - } - }, - "sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3_SB_LUT4_I3": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "1110111111111111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" - }, - "port_directions": { - "I0": "input", - "I1": "input", - "I2": "input", - "I3": "input", - "O": "output" - }, - "connections": { - "I0": [ 160 ], - "I1": [ 161 ], - "I2": [ 132 ], - "I3": [ 164 ], - "O": [ 81 ] - } - }, - "sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3_SB_LUT4_O": { - "hide_name": 0, - "type": "SB_LUT4", - "parameters": { - "LUT_INIT": "0000000000001111" - }, - "attributes": { - "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", @@ -22596,10 +28645,10 @@ }, "connections": { "I0": [ "0" ], - "I1": [ "0" ], - "I2": [ 163 ], - "I3": [ 162 ], - "O": [ 164 ] + "I1": [ 50 ], + "I2": [ 853 ], + "I3": [ 867 ], + "O": [ 948 ] } }, "sys_ctrl_ins.o_data_out_SB_LUT4_I0": { @@ -22620,11 +28669,11 @@ "O": "output" }, "connections": { - "I0": [ 574 ], - "I1": [ 319 ], - "I2": [ 419 ], - "I3": [ 417 ], - "O": [ 333 ] + "I0": [ 949 ], + "I1": [ 481 ], + "I2": [ 495 ], + "I3": [ 798 ], + "O": [ 497 ] } }, "sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q": { @@ -22644,11 +28693,11 @@ "S": "input" }, "connections": { - "C": [ 58 ], + "C": [ 111 ], "D": [ "0" ], - "E": [ 575 ], - "Q": [ 55 ], - "S": [ 576 ] + "E": [ 950 ], + "Q": [ 104 ], + "S": [ 951 ] } }, "sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E_SB_LUT4_O": { @@ -22672,8 +28721,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 577 ], - "O": [ 575 ] + "I3": [ 952 ], + "O": [ 950 ] } }, "sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S_SB_LUT4_O": { @@ -22694,11 +28743,11 @@ "O": "output" }, "connections": { - "I0": [ 578 ], - "I1": [ 579 ], - "I2": [ 580 ], - "I3": [ 581 ], - "O": [ 576 ] + "I0": [ 953 ], + "I1": [ 954 ], + "I2": [ 955 ], + "I3": [ 956 ], + "O": [ 951 ] } }, "sys_ctrl_ins.reset_cmd_SB_DFFESR_Q": { @@ -22718,11 +28767,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], + "C": [ 111 ], "D": [ "1" ], - "E": [ 582 ], - "Q": [ 577 ], - "R": [ 583 ] + "E": [ 957 ], + "Q": [ 952 ], + "R": [ 958 ] } }, "sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E_SB_LUT4_O": { @@ -22743,11 +28792,11 @@ "O": "output" }, "connections": { - "I0": [ 488 ], - "I1": [ 47 ], - "I2": [ 495 ], - "I3": [ 478 ], - "O": [ 582 ] + "I0": [ 867 ], + "I1": [ 874 ], + "I2": [ 172 ], + "I3": [ 853 ], + "O": [ 957 ] } }, "sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R_SB_LUT4_O": { @@ -22771,8 +28820,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 478 ], - "O": [ 583 ] + "I3": [ 853 ], + "O": [ 958 ] } }, "sys_ctrl_ins.reset_cmd_SB_LUT4_I3": { @@ -22795,9 +28844,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 576 ], - "I3": [ 577 ], - "O": [ 584 ] + "I2": [ 951 ], + "I3": [ 952 ], + "O": [ 959 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q": { @@ -22817,11 +28866,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 585 ], - "E": [ 584 ], - "Q": [ 578 ], - "R": [ 577 ] + "C": [ 111 ], + "D": [ 960 ], + "E": [ 959 ], + "Q": [ 953 ], + "R": [ 952 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_1": { @@ -22841,11 +28890,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 586 ], - "E": [ 584 ], - "Q": [ 579 ], - "R": [ 577 ] + "C": [ 111 ], + "D": [ 961 ], + "E": [ 959 ], + "Q": [ 955 ], + "R": [ 952 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_1_D_SB_LUT4_O": { @@ -22866,11 +28915,11 @@ "O": "output" }, "connections": { - "I0": [ 576 ], + "I0": [ 951 ], "I1": [ "0" ], - "I2": [ 579 ], - "I3": [ 587 ], - "O": [ 586 ] + "I2": [ 955 ], + "I3": [ 962 ], + "O": [ 961 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_2": { @@ -22890,11 +28939,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 588 ], - "E": [ 584 ], - "Q": [ 580 ], - "R": [ 577 ] + "C": [ 111 ], + "D": [ 963 ], + "E": [ 959 ], + "Q": [ 954 ], + "R": [ 952 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_2_D_SB_LUT4_O": { @@ -22915,11 +28964,11 @@ "O": "output" }, "connections": { - "I0": [ 576 ], + "I0": [ 951 ], "I1": [ "0" ], - "I2": [ 580 ], - "I3": [ 581 ], - "O": [ 588 ] + "I2": [ 954 ], + "I3": [ 956 ], + "O": [ 963 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_3": { @@ -22939,11 +28988,11 @@ "R": "input" }, "connections": { - "C": [ 58 ], - "D": [ 589 ], - "E": [ 584 ], - "Q": [ 581 ], - "R": [ 577 ] + "C": [ 111 ], + "D": [ 964 ], + "E": [ 959 ], + "Q": [ 956 ], + "R": [ 952 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_3_D_SB_LUT4_O": { @@ -22967,8 +29016,8 @@ "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], - "I3": [ 581 ], - "O": [ 589 ] + "I3": [ 956 ], + "O": [ 964 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O": { @@ -22989,11 +29038,11 @@ "O": "output" }, "connections": { - "I0": [ 576 ], + "I0": [ 951 ], "I1": [ "0" ], - "I2": [ 578 ], - "I3": [ 590 ], - "O": [ 585 ] + "I2": [ 953 ], + "I3": [ 965 ], + "O": [ 960 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": { @@ -23011,10 +29060,10 @@ "I1": "input" }, "connections": { - "CI": [ 587 ], - "CO": [ 590 ], + "CI": [ 962 ], + "CO": [ 965 ], "I0": [ "0" ], - "I1": [ 579 ] + "I1": [ 955 ] } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_1": { @@ -23032,10 +29081,10 @@ "I1": "input" }, "connections": { - "CI": [ 581 ], - "CO": [ 587 ], + "CI": [ 956 ], + "CO": [ 962 ], "I0": [ "0" ], - "I1": [ 580 ] + "I1": [ 954 ] } }, "w_lvds_rx_09_d0_SB_LUT4_I3": { @@ -23058,9 +29107,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 218 ], - "I3": [ 193 ], - "O": [ 198 ] + "I2": [ 966 ], + "I3": [ 255 ], + "O": [ 298 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I0": { @@ -23081,11 +29130,11 @@ "O": "output" }, "connections": { - "I0": [ 194 ], - "I1": [ 193 ], - "I2": [ 214 ], - "I3": [ 212 ], - "O": [ 256 ] + "I0": [ 256 ], + "I1": [ 255 ], + "I2": [ 331 ], + "I3": [ 329 ], + "O": [ 359 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3": { @@ -23108,9 +29157,258 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 55 ], - "I3": [ 256 ], - "O": [ 171 ] + "I2": [ 104 ], + "I3": [ 359 ], + "O": [ 222 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1011101011010101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 329 ], + "I1": [ 321 ], + "I2": [ 967 ], + "I3": [ 331 ], + "O": [ 360 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_DFFNESR_Q": { + "hide_name": 0, + "type": "SB_DFFNESR", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:39.5-82.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" + }, + "port_directions": { + "C": "input", + "D": "input", + "E": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 220 ], + "D": [ 968 ], + "E": [ 323 ], + "Q": [ 321 ], + "R": [ 104 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_DFFNESR_Q_D_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 321 ], + "O": [ 968 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_DFFNESR_Q_E_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 104 ], + "I2": [ 329 ], + "I3": [ 331 ], + "O": [ 323 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1001011001101001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:63.42-63.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 324 ], + "I1": [ "0" ], + "I2": [ "1" ], + "I3": [ 317 ], + "O": [ 969 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111000010001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 967 ], + "I1": [ 321 ], + "I2": [ 329 ], + "I3": [ 331 ], + "O": [ 966 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_I0": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000000011111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 332 ], + "I1": [ 356 ], + "I2": [ 969 ], + "I3": [ 966 ], + "O": [ 322 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_I0_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000000000011111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 332 ], + "I1": [ 356 ], + "I2": [ 319 ], + "I3": [ 966 ], + "O": [ 325 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1100111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ 321 ], + "I2": [ 967 ], + "I3": [ 331 ], + "O": [ 332 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 320 ], + "I3": [ 324 ], + "O": [ 967 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I3": { @@ -23133,9 +29431,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 218 ], - "I3": [ 194 ], - "O": [ 200 ] + "I2": [ 966 ], + "I3": [ 256 ], + "O": [ 300 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O": { @@ -23158,9 +29456,9 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 202 ], - "I3": [ 23 ], - "O": [ 170 ] + "I2": [ 358 ], + "I3": [ 285 ], + "O": [ 260 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_1": { @@ -23183,12 +29481,12 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 202 ], - "I3": [ 22 ], - "O": [ 172 ] + "I2": [ 358 ], + "I3": [ 303 ], + "O": [ 262 ] } }, - "w_lvds_rx_24_d1_SB_LUT4_I2": { + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_10": { "hide_name": 0, "type": "SB_LUT4", "parameters": { @@ -23208,16 +29506,716 @@ "connections": { "I0": [ "0" ], "I1": [ "0" ], - "I2": [ 196 ], - "I3": [ 195 ], - "O": [ 591 ] + "I2": [ 358 ], + "I3": [ 269 ], + "O": [ 264 ] } }, - "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0": { + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_11": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "1111001100000101" + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 271 ], + "O": [ 266 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_12": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 273 ], + "O": [ 268 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_13": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 275 ], + "O": [ 270 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_14": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 277 ], + "O": [ 272 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_15": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 279 ], + "O": [ 274 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_16": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 281 ], + "O": [ 276 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_17": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 283 ], + "O": [ 278 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_18": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 287 ], + "O": [ 280 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_19": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 289 ], + "O": [ 282 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 305 ], + "O": [ 284 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_20": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 291 ], + "O": [ 286 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_21": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 293 ], + "O": [ 288 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_22": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 295 ], + "O": [ 290 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_23": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 297 ], + "O": [ 292 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_24": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 25 ], + "O": [ 294 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_25": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 24 ], + "O": [ 296 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_26": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 23 ], + "O": [ 221 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_27": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 22 ], + "O": [ 223 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_28": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 299 ], + "O": [ 224 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_29": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 301 ], + "O": [ 225 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 307 ], + "O": [ 302 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_4": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 309 ], + "O": [ 304 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_5": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 311 ], + "O": [ 306 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_6": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 313 ], + "O": [ 308 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_7": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 315 ], + "O": [ 310 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_8": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 265 ], + "O": [ 312 ] + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I3_O_SB_LUT4_O_9": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 358 ], + "I3": [ 267 ], + "O": [ 314 ] + } + }, + "w_lvds_rx_24_d0_SB_LUT4_I3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 476 ], + "I3": [ 257 ], + "O": [ 410 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I0": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "1111000000001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", @@ -23231,22 +30229,22 @@ "O": "output" }, "connections": { - "I0": [ 591 ], - "I1": [ 271 ], - "I2": [ 277 ], - "I3": [ 278 ], - "O": [ 314 ] + "I0": [ 258 ], + "I1": [ 257 ], + "I2": [ 439 ], + "I3": [ 440 ], + "O": [ 475 ] } }, - "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_I1_SB_LUT4_O": { + "w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { - "LUT_INIT": "0011000000000000" + "LUT_INIT": "1111000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", @@ -23257,10 +30255,810 @@ }, "connections": { "I0": [ "0" ], - "I1": [ 264 ], - "I2": [ 262 ], - "I3": [ 265 ], - "O": [ 271 ] + "I1": [ "0" ], + "I2": [ 104 ], + "I3": [ 475 ], + "O": [ 362 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 476 ], + "I3": [ 258 ], + "O": [ 412 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 387 ], + "O": [ 361 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_1": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 409 ], + "O": [ 364 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_10": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 371 ], + "O": [ 366 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_11": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 373 ], + "O": [ 368 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_12": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 375 ], + "O": [ 370 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_13": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 377 ], + "O": [ 372 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_14": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 379 ], + "O": [ 374 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_15": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 381 ], + "O": [ 376 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_16": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 383 ], + "O": [ 378 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_17": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 385 ], + "O": [ 380 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_18": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 389 ], + "O": [ 382 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_19": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 391 ], + "O": [ 384 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_2": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 415 ], + "O": [ 386 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_20": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 393 ], + "O": [ 388 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_21": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 395 ], + "O": [ 390 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_22": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 397 ], + "O": [ 392 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_23": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 399 ], + "O": [ 394 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_24": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 401 ], + "O": [ 396 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_25": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 403 ], + "O": [ 398 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_26": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 405 ], + "O": [ 400 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_27": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 407 ], + "O": [ 402 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_28": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 411 ], + "O": [ 404 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_29": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 413 ], + "O": [ 406 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_3": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 417 ], + "O": [ 408 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_4": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 419 ], + "O": [ 414 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_5": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 421 ], + "O": [ 416 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_6": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 423 ], + "O": [ 418 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_7": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 425 ], + "O": [ 420 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_8": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 367 ], + "O": [ 422 ] + } + }, + "w_lvds_rx_24_d1_SB_LUT4_I3_O_SB_LUT4_O_9": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 434 ], + "I3": [ 369 ], + "O": [ 424 ] + } + }, + "w_smi_read_req_SB_LUT4_O": { + "hide_name": 0, + "type": "SB_LUT4", + "parameters": { + "LUT_INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ "0" ], + "I1": [ "0" ], + "I2": [ 66 ], + "I3": [ 20 ], + "O": [ 219 ] } } }, @@ -23272,9 +31070,17 @@ "src": "top.v:40.13-40.21" } }, + "i_button_SB_LUT4_I3_I2": { + "hide_name": 0, + "bits": [ 127, 116, 46, 26 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "i_button_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 49, 131 ], + "bits": [ 182, 129, 47, 183 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -23336,6 +31142,162 @@ "src": "top.v:46.13-46.21" } }, + "i_smi_a1_SB_LUT4_I1_O": { + "hide_name": 0, + "bits": [ 52, 53, 54, 51 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O": { + "hide_name": 0, + "bits": [ 58, 55, 104 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ "1", "1", "1", "1", 59, 56 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:151.29-151.43|/usr/local/bin/../share/yosys/ice40/arith_map.v:37.23-37.25" + } + }, + "i_smi_a1_SB_LUT4_I1_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_CARRY_CO_CI": { + "hide_name": 0, + "bits": [ "1", "1", "1", 63, 59, 60 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:159.25-159.39|/usr/local/bin/../share/yosys/ice40/arith_map.v:49.21-49.23" + } + }, + "i_smi_a1_SB_LUT4_I1_O_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 65, 53, 57 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "i_smi_a1_SB_LUT4_I2_O": { + "hide_name": 0, + "bits": [ 71, 72, 67 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2": { + "hide_name": 0, + "bits": [ 63, 75, 72, 970 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:151.59-151.73|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27", + "unused_bits": "3" + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_I2_SB_LUT4_O_I3": { + "hide_name": 0, + "bits": [ "1", 62, 74, 971 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:151.59-151.73|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22", + "unused_bits": "3" + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_1_O": { + "hide_name": 0, + "bits": [ 72, 81, 78, 73 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2": { + "hide_name": 0, + "bits": [ 52, 53, 82, 67 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_2_I2_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 84, 53, 85 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2": { + "hide_name": 0, + "bits": [ 102, 90, 69, 972 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:125.59-125.73|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27", + "unused_bits": "3" + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_I2_SB_LUT4_O_I3": { + "hide_name": 0, + "bits": [ "1", 88, 89, 973 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:125.59-125.73|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22", + "unused_bits": "3" + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O": { + "hide_name": 0, + "bits": [ 69, 94, 70, 95 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "i_smi_a1_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I3": { + "hide_name": 0, + "bits": [ 91, 92, 88, 93 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "i_smi_a1_SB_LUT4_I3_I0": { + "hide_name": 0, + "bits": [ "1", "1", "1", 102, 100, 85 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:133.25-133.39|/usr/local/bin/../share/yosys/ice40/arith_map.v:37.23-37.25" + } + }, + "i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_CI": { + "hide_name": 0, + "bits": [ "1", "1", "1", "1", 102, 100 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:133.25-133.39|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + } + }, + "i_smi_a1_SB_LUT4_I3_I0_SB_CARRY_CO_I1": { + "hide_name": 0, + "bits": [ "1", "1", "1", 102, 103, 101 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:133.25-133.39|/usr/local/bin/../share/yosys/ice40/arith_map.v:49.21-49.23" + } + }, + "i_smi_a1_SB_LUT4_I3_O": { + "hide_name": 0, + "bits": [ 98, 108, 105, 99 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "i_smi_a2": { "hide_name": 0, "bits": [ 29 ], @@ -23343,14 +31305,36 @@ "src": "top.v:47.13-47.21" } }, - "i_smi_a2_SB_LUT4_I1_O": { + "i_smi_a2_SB_LUT4_I2_I1": { "hide_name": 0, - "bits": [ 50, 434, 51, 435 ], + "bits": [ "1", "1", "1", 63, 107, 57 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:159.25-159.39|/usr/local/bin/../share/yosys/ice40/arith_map.v:37.23-37.25" + } + }, + "i_smi_a2_SB_LUT4_I2_I1_SB_CARRY_CO_CI": { + "hide_name": 0, + "bits": [ "1", "1", "1", "1", 63, 107 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:159.25-159.39|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + } + }, + "i_smi_a2_SB_LUT4_I2_O": { + "hide_name": 0, + "bits": [ 85, 98, 29, 28 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, + "i_smi_a2_SB_LUT4_I2_O_SB_LUT4_I0_O": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + } + }, "i_smi_a3": { "hide_name": 0, "bits": [ 30 ], @@ -23365,18 +31349,6 @@ "src": "top.v:50.13-50.25" } }, - "i_smi_soe_se_SB_LUT4_I1_1_O": { - "hide_name": 0, - "bits": [ 56 ], - "attributes": { - } - }, - "i_smi_soe_se_SB_LUT4_I1_O": { - "hide_name": 0, - "bits": [ 54 ], - "attributes": { - } - }, "i_smi_swe_srw": { "hide_name": 0, "bits": [ 31 ], @@ -23391,36 +31363,24 @@ "src": "top.v:59.13-59.17" } }, - "i_ss_SB_LUT4_I3_O": { - "hide_name": 0, - "bits": [ 57 ], - "attributes": { - } - }, "int_miso": { "hide_name": 0, - "bits": [ 315 ], + "bits": [ 477 ], "attributes": { "src": "top.v:110.9-110.17" } }, "io_ctrl_ins.debug_mode": { "hide_name": 0, - "bits": [ 63, 61 ], + "bits": [ 116, 114 ], "attributes": { "hdlname": "io_ctrl_ins debug_mode", "src": "top.v:128.12-156.5|io_ctrl.v:67.17-67.27" } }, - "io_ctrl_ins.debug_mode_SB_LUT4_I0_O": { - "hide_name": 0, - "bits": [ 66 ], - "attributes": { - } - }, "io_ctrl_ins.debug_mode_SB_LUT4_I2_O": { "hide_name": 0, - "bits": [ 69, 64, 155, 67 ], + "bits": [ 118, 117, 138, 120 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -23444,7 +31404,7 @@ }, "io_ctrl_ins.i_cs": { "hide_name": 0, - "bits": [ 72 ], + "bits": [ 123 ], "attributes": { "hdlname": "io_ctrl_ins i_cs", "src": "top.v:128.12-156.5|io_ctrl.v:9.29-9.33" @@ -23452,7 +31412,7 @@ }, "io_ctrl_ins.i_data_in": { "hide_name": 0, - "bits": [ 62, 59, 146, 144, 142, 140, 139, 136 ], + "bits": [ 115, 112, 196, 195, 194, 192, 190, 188 ], "attributes": { "hdlname": "io_ctrl_ins i_data_in", "src": "top.v:128.12-156.5|io_ctrl.v:7.29-7.38" @@ -23460,7 +31420,7 @@ }, "io_ctrl_ins.i_fetch_cmd": { "hide_name": 0, - "bits": [ 488 ], + "bits": [ 867 ], "attributes": { "hdlname": "io_ctrl_ins i_fetch_cmd", "src": "top.v:128.12-156.5|io_ctrl.v:10.29-10.40" @@ -23468,7 +31428,7 @@ }, "io_ctrl_ins.i_ioc": { "hide_name": 0, - "bits": [ 132, 160, 163, 162, 161 ], + "bits": [ 48, 49, 214, 213, 212 ], "attributes": { "hdlname": "io_ctrl_ins i_ioc", "src": "top.v:128.12-156.5|io_ctrl.v:6.29-6.34" @@ -23476,7 +31436,7 @@ }, "io_ctrl_ins.i_load_cmd": { "hide_name": 0, - "bits": [ 495 ], + "bits": [ 874 ], "attributes": { "hdlname": "io_ctrl_ins i_load_cmd", "src": "top.v:128.12-156.5|io_ctrl.v:11.29-11.39" @@ -23484,7 +31444,7 @@ }, "io_ctrl_ins.i_reset": { "hide_name": 0, - "bits": [ 55 ], + "bits": [ 104 ], "attributes": { "hdlname": "io_ctrl_ins i_reset", "src": "top.v:128.12-156.5|io_ctrl.v:3.29-3.36" @@ -23492,7 +31452,7 @@ }, "io_ctrl_ins.i_sys_clk": { "hide_name": 0, - "bits": [ 58 ], + "bits": [ 111 ], "attributes": { "hdlname": "io_ctrl_ins i_sys_clk", "src": "top.v:128.12-156.5|io_ctrl.v:4.29-4.38" @@ -23500,7 +31460,7 @@ }, "io_ctrl_ins.i_sys_clk_SB_DFF_Q_D": { "hide_name": 0, - "bits": [ 74, "x" ], + "bits": [ 125, "x" ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:169.20-169.33|/usr/local/bin/../share/yosys/techmap.v:270.23-270.24" @@ -23514,15 +31474,17 @@ "src": "top.v:128.12-156.5|io_ctrl.v:71.17-71.27" } }, - "io_ctrl_ins.led0_state_SB_DFFESR_Q_E": { + "io_ctrl_ins.led0_state_SB_LUT4_I3_I0": { "hide_name": 0, - "bits": [ 75 ], + "bits": [ 147, 127 ], "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.led0_state_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 135, 89, 82, 79 ], + "bits": [ 187, 129, 145, 128 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -23536,9 +31498,15 @@ "src": "top.v:128.12-156.5|io_ctrl.v:72.17-72.27" } }, - "io_ctrl_ins.led1_state_SB_LUT4_I0_I2": { + "io_ctrl_ins.led1_state_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 27, 48, 83, 84 ], + "bits": [ 126 ], + "attributes": { + } + }, + "io_ctrl_ins.led1_state_SB_LUT4_I3_O": { + "hide_name": 0, + "bits": [ 184, 129, 134, 131 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -23554,7 +31522,7 @@ }, "io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 87 ], + "bits": [ 135 ], "attributes": { "src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8" } @@ -23569,14 +31537,14 @@ }, "io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 91 ], + "bits": [ 137 ], "attributes": { "src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8" } }, "io_ctrl_ins.mixer_en_state": { "hide_name": 0, - "bits": [ 77 ], + "bits": [ 142 ], "attributes": { "hdlname": "io_ctrl_ins mixer_en_state", "src": "top.v:128.12-156.5|io_ctrl.v:76.17-76.31" @@ -23584,14 +31552,14 @@ }, "io_ctrl_ins.mixer_en_state_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 95 ], + "bits": [ 141 ], "attributes": { "src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8" } }, "io_ctrl_ins.o_data_out": { "hide_name": 0, - "bits": [ 134, 98, 110, 101, 105, 120, 125, 130 ], + "bits": [ 186, 148, 162, 151, 156, 169, 176, 181 ], "attributes": { "hdlname": "io_ctrl_ins o_data_out", "src": "top.v:128.12-156.5|io_ctrl.v:8.29-8.39" @@ -23599,7 +31567,7 @@ }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_1_D": { "hide_name": 0, - "bits": [ 100 ], + "bits": [ 150 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" @@ -23607,7 +31575,7 @@ }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D": { "hide_name": 0, - "bits": [ 104 ], + "bits": [ 155 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" @@ -23615,7 +31583,7 @@ }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D": { "hide_name": 0, - "bits": [ 108 ], + "bits": [ 160 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" @@ -23623,7 +31591,7 @@ }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_D_SB_LUT4_O_I2": { "hide_name": 0, - "bits": [ 112, 94 ], + "bits": [ 164, 129, 165, 140 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -23631,27 +31599,19 @@ }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E": { "hide_name": 0, - "bits": [ 109 ], + "bits": [ 161 ], "attributes": { } }, - "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_E_SB_LUT4_O_I2": { - "hide_name": 0, - "bits": [ 114, 115 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_3_R": { "hide_name": 0, - "bits": [ 111 ], + "bits": [ 163 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_4_D": { "hide_name": 0, - "bits": [ 118 ], + "bits": [ 167 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" @@ -23659,7 +31619,7 @@ }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_5_D": { "hide_name": 0, - "bits": [ 124 ], + "bits": [ 175 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" @@ -23667,7 +31627,7 @@ }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_D": { "hide_name": 0, - "bits": [ 129 ], + "bits": [ 180 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" @@ -23675,33 +31635,35 @@ }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_E": { "hide_name": 0, - "bits": [ 119 ], + "bits": [ 168 ], "attributes": { } }, + "io_ctrl_ins.o_data_out_SB_DFFESR_Q_6_R": { + "hide_name": 0, + "bits": [ 48, 50, 170 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 85 ], + "bits": [ 146 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" } }, - "io_ctrl_ins.o_data_out_SB_DFFESR_Q_E": { - "hide_name": 0, - "bits": [ 97 ], - "attributes": { - } - }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_R": { "hide_name": 0, - "bits": [ 99 ], + "bits": [ 149 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFESS_Q_D": { "hide_name": 0, - "bits": [ 133 ], + "bits": [ 185 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:128.12-156.5|io_ctrl.v:122.21-161.28|io_ctrl.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22" @@ -23741,7 +31703,7 @@ }, "io_ctrl_ins.o_pmod": { "hide_name": 0, - "bits": [ 80, 86, 113, 151, 150, 149, 148, 46 ], + "bits": [ 144, 132, 139, 200, 199, 171, 177, 198 ], "attributes": { "hdlname": "io_ctrl_ins o_pmod", "src": "top.v:128.12-156.5|io_ctrl.v:18.29-18.35" @@ -23805,23 +31767,35 @@ }, "io_ctrl_ins.pmod_dir_state": { "hide_name": 0, - "bits": [ 135, 90, 93, 145, 143, 141, 126, 138 ], + "bits": [ 187, 184, 164, 152, 157, 193, 191, 182 ], "attributes": { "hdlname": "io_ctrl_ins pmod_dir_state", "src": "top.v:128.12-156.5|io_ctrl.v:73.17-73.31" } }, + "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_E": { + "hide_name": 0, + "bits": [ 189 ], + "attributes": { + } + }, "io_ctrl_ins.pmod_state": { "hide_name": 0, - "bits": [ 80, 86, 113, 151, 150, 149, 148, 46 ], + "bits": [ 144, 132, 139, 200, 199, 171, 177, 198 ], "attributes": { "hdlname": "io_ctrl_ins pmod_state", "src": "top.v:128.12-156.5|io_ctrl.v:74.17-74.27" } }, + "io_ctrl_ins.pmod_state_SB_DFFE_Q_E": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + } + }, "io_ctrl_ins.rf_mode": { "hide_name": 0, - "bits": [ 68, 64, 65 ], + "bits": [ 166, 118, 117 ], "attributes": { "hdlname": "io_ctrl_ins rf_mode", "src": "top.v:128.12-156.5|io_ctrl.v:68.17-68.24" @@ -23829,7 +31803,7 @@ }, "io_ctrl_ins.rf_pin_state": { "hide_name": 0, - "bits": [ 96, 88, 92, 157, 156, 155, 154, 153 ], + "bits": [ 143, 136, 138, 206, 205, 204, 203, 202 ], "attributes": { "hdlname": "io_ctrl_ins rf_pin_state", "src": "top.v:128.12-156.5|io_ctrl.v:75.17-75.29" @@ -23845,38 +31819,28 @@ }, "io_ctrl_ins.rx_h_b_state_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 158 ], + "bits": [ 207 ], "attributes": { "src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8" } }, - "io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3": { + "io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2": { "hide_name": 0, - "bits": [ 148, 4, 132, 117 ], + "bits": [ 129, 193, 209, 5 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I2_O": { + "io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_I2_SB_LUT4_I2_O": { "hide_name": 0, - "bits": [ 7, 78, 89, 145 ], + "bits": [ 201 ], "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3_SB_LUT4_I3_O": { + "io_ctrl_ins.rx_h_b_state_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 47, 151, 81, 64 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_O": { - "hide_name": 0, - "bits": [ 126, 89, 127, 128 ], + "bits": [ 177, 172, 178, 179 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -23892,14 +31856,28 @@ }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 165 ], + "bits": [ 211 ], "attributes": { "src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8" } }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 153, 67, 159 ], + "bits": [ 202, 120, 208 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "io_ctrl_ins.rx_h_state_SB_DFFE_Q_E": { + "hide_name": 0, + "bits": [ 119 ], + "attributes": { + } + }, + "io_ctrl_ins.rx_h_state_SB_LUT4_I1_I3": { + "hide_name": 0, + "bits": [ 48, 133 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -23915,14 +31893,14 @@ }, "io_ctrl_ins.tr_vc_1_b_state_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 166 ], + "bits": [ 215 ], "attributes": { "src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8" } }, - "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O": { + "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I1_O": { "hide_name": 0, - "bits": [ 14, 48, 106, 107 ], + "bits": [ 157, 129, 158, 159 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -23938,14 +31916,22 @@ }, "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 167 ], + "bits": [ 217 ], "attributes": { "src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8" } }, - "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O": { + "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I0": { "hide_name": 0, - "bits": [ 122, 123 ], + "bits": [ 216, 118, 205, 120 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I3_O": { + "hide_name": 0, + "bits": [ 171, 172, 173, 174 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -23961,14 +31947,14 @@ }, "io_ctrl_ins.tr_vc_2_state_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 168 ], + "bits": [ 218 ], "attributes": { "src": "top.v:128.12-156.5|io_ctrl.v:207.5-302.8" } }, - "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O": { + "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O": { "hide_name": 0, - "bits": [ 13, 48, 102, 103 ], + "bits": [ 152, 129, 153, 154 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -23983,14 +31969,29 @@ }, "io_pmod_SB_DFFSS_Q_D": { "hide_name": 0, - "bits": [ 175 ], + "bits": [ 226 ], "attributes": { - "src": "top.v:259.17-270.5|complex_fifo.v:83.14-83.65" } }, - "io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I2": { + "io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0": { "hide_name": 0, - "bits": [ 176, 177, 178, 179 ], + "bits": [ 227, 228, 229, 230 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I1": { + "hide_name": 0, + "bits": [ 245, 246, 240, 247 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "io_pmod_SB_DFFSS_Q_D_SB_LUT4_O_I0_SB_LUT4_O_3_I3": { + "hide_name": 0, + "bits": [ 240, 241, 242 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -24005,21 +32006,21 @@ }, "lvds_clock": { "hide_name": 0, - "bits": [ 197 ], + "bits": [ 259 ], "attributes": { "src": "top.v:184.9-184.19" } }, "lvds_clock_buf": { "hide_name": 0, - "bits": [ 169 ], + "bits": [ 220 ], "attributes": { "src": "top.v:185.9-185.23" } }, "lvds_rx_09_inst.i_ddr_clk": { "hide_name": 0, - "bits": [ 169 ], + "bits": [ 220 ], "attributes": { "hdlname": "lvds_rx_09_inst i_ddr_clk", "src": "top.v:248.12-257.5|lvds_rx.v:4.29-4.38" @@ -24027,7 +32028,7 @@ }, "lvds_rx_09_inst.i_ddr_data": { "hide_name": 0, - "bits": [ 194, 193 ], + "bits": [ 256, 255 ], "attributes": { "hdlname": "lvds_rx_09_inst i_ddr_data", "src": "top.v:248.12-257.5|lvds_rx.v:5.29-5.39" @@ -24035,7 +32036,7 @@ }, "lvds_rx_09_inst.i_fifo_full": { "hide_name": 0, - "bits": [ 213 ], + "bits": [ 330 ], "attributes": { "hdlname": "lvds_rx_09_inst i_fifo_full", "src": "top.v:248.12-257.5|lvds_rx.v:7.29-7.40" @@ -24043,7 +32044,7 @@ }, "lvds_rx_09_inst.i_reset": { "hide_name": 0, - "bits": [ 55 ], + "bits": [ 104 ], "attributes": { "hdlname": "lvds_rx_09_inst i_reset", "src": "top.v:248.12-257.5|lvds_rx.v:3.29-3.36" @@ -24051,16 +32052,15 @@ }, "lvds_rx_09_inst.o_fifo_data": { "hide_name": 0, - "bits": [ 201, 199, 22, 23, 24, 25, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "bits": [ 301, 299, 22, 23, 24, 25, 297, 295, 293, 291, 289, 287, 283, 281, 279, 277, 275, 273, 271, 269, 267, 265, 315, 313, 311, 309, 307, 305, 303, 285, 263, 261 ], "attributes": { "hdlname": "lvds_rx_09_inst o_fifo_data", - "src": "top.v:248.12-257.5|lvds_rx.v:10.29-10.40", - "unused_bits": "4 5" + "src": "top.v:248.12-257.5|lvds_rx.v:10.29-10.40" } }, "lvds_rx_09_inst.o_fifo_push": { "hide_name": 0, - "bits": [ 211 ], + "bits": [ 328 ], "attributes": { "hdlname": "lvds_rx_09_inst o_fifo_push", "src": "top.v:248.12-257.5|lvds_rx.v:9.29-9.40" @@ -24068,7 +32068,7 @@ }, "lvds_rx_09_inst.o_fifo_write_clk": { "hide_name": 0, - "bits": [ 169 ], + "bits": [ 220 ], "attributes": { "hdlname": "lvds_rx_09_inst o_fifo_write_clk", "src": "top.v:248.12-257.5|lvds_rx.v:8.29-8.45" @@ -24076,24 +32076,15 @@ }, "lvds_rx_09_inst.r_data": { "hide_name": 0, - "bits": [ 201, 199, 22, 23, 24, 25, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "bits": [ 301, 299, 22, 23, 24, 25, 297, 295, 293, 291, 289, 287, 283, 281, 279, 277, 275, 273, 271, 269, 267, 265, 315, 313, 311, 309, 307, 305, 303, 285, 263, 261 ], "attributes": { "hdlname": "lvds_rx_09_inst r_data", - "src": "top.v:248.12-257.5|lvds_rx.v:21.17-21.23", - "unused_bits": "4 5" - } - }, - "lvds_rx_09_inst.r_data_SB_LUT4_I3_I2": { - "hide_name": 0, - "bits": [ 202, 216 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "top.v:248.12-257.5|lvds_rx.v:47.13-78.20|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" + "src": "top.v:248.12-257.5|lvds_rx.v:21.17-21.23" } }, "lvds_rx_09_inst.r_phase_count": { "hide_name": 0, - "bits": [ 203, 205, 592 ], + "bits": [ 316, 318, 974 ], "attributes": { "hdlname": "lvds_rx_09_inst r_phase_count", "src": "top.v:248.12-257.5|lvds_rx.v:20.17-20.30", @@ -24102,23 +32093,23 @@ }, "lvds_rx_09_inst.r_phase_count_SB_CARRY_CI_CO": { "hide_name": 0, - "bits": [ "1", 203, 204 ], + "bits": [ "1", 316, 317 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:248.12-257.5|lvds_rx.v:63.42-63.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, - "lvds_rx_09_inst.r_phase_count_SB_LUT4_I1_O": { + "lvds_rx_09_inst.r_phase_count_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 208, 206, 217 ], + "bits": [ 320, 324 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "top.v:248.12-257.5|lvds_rx.v:63.42-63.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_09_inst.r_push": { "hide_name": 0, - "bits": [ 211 ], + "bits": [ 328 ], "attributes": { "hdlname": "lvds_rx_09_inst r_push", "src": "top.v:248.12-257.5|lvds_rx.v:22.17-22.23" @@ -24126,114 +32117,50 @@ }, "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_D": { "hide_name": 0, - "bits": [ 209 ], + "bits": [ 326 ], "attributes": { } }, "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E": { "hide_name": 0, - "bits": [ 210 ], + "bits": [ 327 ], "attributes": { } }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1": { + "lvds_rx_09_inst.r_push_SB_LUT4_I3_1_O": { "hide_name": 0, - "bits": [ 215, 212, 55 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_1_O": { - "hide_name": 0, - "bits": [ 220 ], + "bits": [ 337 ], "attributes": { } }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O": { + "lvds_rx_09_inst.r_push_SB_LUT4_I3_I1": { "hide_name": 0, - "bits": [ 219 ], - "attributes": { - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E": { - "hide_name": 0, - "bits": [ 221 ], - "attributes": { - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_E_SB_DFFNESR_E_D": { - "hide_name": 0, - "bits": [ 223 ], - "attributes": { - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_DFFNESR_D_Q": { - "hide_name": 0, - "bits": [ 207, 222 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2": { - "hide_name": 0, - "bits": [ 224, 208, 214, 212 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "lvds_rx_09_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I0_O": { - "hide_name": 0, - "bits": [ 218, 194 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_I0": { - "hide_name": 0, - "bits": [ 593, 594, 231, 595, 239, 236, 225 ], + "bits": [ 975, 976, 347, 344, 334, 335, 350 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27", - "unused_bits": "0 1 3" - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_I0_SB_LUT4_O_I3": { - "hide_name": 0, - "bits": [ "0", 242, 230, 240, 238, 235, 233 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_I1": { - "hide_name": 0, - "bits": [ 225, 226, 211, 227 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "lvds_rx_09_inst.r_push_SB_LUT4_I2_O": { - "hide_name": 0, - "bits": [ 249, 253, 228 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + "unused_bits": "0 1" } }, "lvds_rx_09_inst.r_push_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 254 ], + "bits": [ 336, 354, 351 ], "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "lvds_rx_09_inst.r_push_SB_LUT4_I3_O_SB_LUT4_O_1_I0": { + "hide_name": 0, + "bits": [ 352, 344, 353, 334 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_09_inst.r_state_if": { "hide_name": 0, - "bits": [ 212, 214, "0" ], + "bits": [ 329, 331, "0" ], "attributes": { "hdlname": "lvds_rx_09_inst r_state_if", "src": "top.v:248.12-257.5|lvds_rx.v:19.17-19.27" @@ -24241,21 +32168,21 @@ }, "lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E": { "hide_name": 0, - "bits": [ 255 ], + "bits": [ 357 ], "attributes": { } }, - "lvds_rx_09_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2": { + "lvds_rx_09_inst.r_state_if_SB_LUT4_I2_O": { "hide_name": 0, - "bits": [ 256, 257, 55 ], + "bits": [ 358, 356 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + "src": "top.v:248.12-257.5|lvds_rx.v:47.13-78.20|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" } }, "lvds_rx_24_inst.i_ddr_clk": { "hide_name": 0, - "bits": [ 169 ], + "bits": [ 220 ], "attributes": { "hdlname": "lvds_rx_24_inst i_ddr_clk", "src": "top.v:272.12-281.5|lvds_rx.v:4.29-4.38" @@ -24263,7 +32190,7 @@ }, "lvds_rx_24_inst.i_ddr_data": { "hide_name": 0, - "bits": [ 196, 195 ], + "bits": [ 258, 257 ], "attributes": { "hdlname": "lvds_rx_24_inst i_ddr_data", "src": "top.v:272.12-281.5|lvds_rx.v:5.29-5.39" @@ -24271,7 +32198,7 @@ }, "lvds_rx_24_inst.i_fifo_full": { "hide_name": 0, - "bits": [ 269 ], + "bits": [ 444 ], "attributes": { "hdlname": "lvds_rx_24_inst i_fifo_full", "src": "top.v:272.12-281.5|lvds_rx.v:7.29-7.40" @@ -24279,15 +32206,23 @@ }, "lvds_rx_24_inst.i_reset": { "hide_name": 0, - "bits": [ 55 ], + "bits": [ 104 ], "attributes": { "hdlname": "lvds_rx_24_inst i_reset", "src": "top.v:272.12-281.5|lvds_rx.v:3.29-3.36" } }, + "lvds_rx_24_inst.o_fifo_data": { + "hide_name": 0, + "bits": [ 413, 411, 407, 405, 403, 401, 399, 397, 395, 393, 391, 389, 385, 383, 381, 379, 377, 375, 373, 371, 369, 367, 425, 423, 421, 419, 417, 415, 409, 387, 365, 363 ], + "attributes": { + "hdlname": "lvds_rx_24_inst o_fifo_data", + "src": "top.v:272.12-281.5|lvds_rx.v:10.29-10.40" + } + }, "lvds_rx_24_inst.o_fifo_push": { "hide_name": 0, - "bits": [ 268 ], + "bits": [ 443 ], "attributes": { "hdlname": "lvds_rx_24_inst o_fifo_push", "src": "top.v:272.12-281.5|lvds_rx.v:9.29-9.40" @@ -24295,15 +32230,23 @@ }, "lvds_rx_24_inst.o_fifo_write_clk": { "hide_name": 0, - "bits": [ 169 ], + "bits": [ 220 ], "attributes": { "hdlname": "lvds_rx_24_inst o_fifo_write_clk", "src": "top.v:272.12-281.5|lvds_rx.v:8.29-8.45" } }, + "lvds_rx_24_inst.r_data": { + "hide_name": 0, + "bits": [ 413, 411, 407, 405, 403, 401, 399, 397, 395, 393, 391, 389, 385, 383, 381, 379, 377, 375, 373, 371, 369, 367, 425, 423, 421, 419, 417, 415, 409, 387, 365, 363 ], + "attributes": { + "hdlname": "lvds_rx_24_inst r_data", + "src": "top.v:272.12-281.5|lvds_rx.v:21.17-21.23" + } + }, "lvds_rx_24_inst.r_phase_count": { "hide_name": 0, - "bits": [ 258, 260, 596 ], + "bits": [ 426, 428, 977 ], "attributes": { "hdlname": "lvds_rx_24_inst r_phase_count", "src": "top.v:272.12-281.5|lvds_rx.v:20.17-20.30", @@ -24312,23 +32255,43 @@ }, "lvds_rx_24_inst.r_phase_count_SB_CARRY_CI_CO": { "hide_name": 0, - "bits": [ "1", 258, 259 ], + "bits": [ "1", 426, 427 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:272.12-281.5|lvds_rx.v:63.42-63.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, - "lvds_rx_24_inst.r_phase_count_SB_LUT4_I1_O": { + "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3": { "hide_name": 0, - "bits": [ 264, 261, 263 ], + "bits": [ 431, 429, 436 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:272.12-281.5|lvds_rx.v:63.42-63.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, + "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_1_I3_SB_DFFNESR_Q_D": { + "hide_name": 0, + "bits": [ 432 ], + "attributes": { + } + }, + "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3": { + "hide_name": 0, + "bits": [ 435, 431, 430, 472 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "lvds_rx_24_inst.r_phase_count_SB_LUT4_O_I3_SB_DFFNESR_Q_E": { + "hide_name": 0, + "bits": [ 433 ], + "attributes": { + } + }, "lvds_rx_24_inst.r_push": { "hide_name": 0, - "bits": [ 268 ], + "bits": [ 443 ], "attributes": { "hdlname": "lvds_rx_24_inst r_push", "src": "top.v:272.12-281.5|lvds_rx.v:22.17-22.23" @@ -24336,133 +32299,105 @@ }, "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D": { "hide_name": 0, - "bits": [ 266 ], + "bits": [ 441 ], "attributes": { } }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3": { - "hide_name": 0, - "bits": [ 269, 270 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O": { - "hide_name": 0, - "bits": [ 273 ], - "attributes": { - } - }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_E": { - "hide_name": 0, - "bits": [ 274 ], - "attributes": { - } - }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_E_SB_DFFNESR_E_D": { - "hide_name": 0, - "bits": [ 275 ], - "attributes": { - } - }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q": { - "hide_name": 0, - "bits": [ 264, 262, 265 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D": { - "hide_name": 0, - "bits": [ 279 ], - "attributes": { - } - }, - "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFNESR_D_Q_SB_DFFNESR_Q_D_SB_LUT4_O_I1": { - "hide_name": 0, - "bits": [ 280, 281, 282 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E": { "hide_name": 0, - "bits": [ 267 ], + "bits": [ 442 ], "attributes": { } }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_I0": { + "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1": { "hide_name": 0, - "bits": [ 597, 598, 297, 294, 291, 599, 283 ], + "bits": [ 445, 472, 436, 476 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "lvds_rx_24_inst.r_push_SB_DFFNESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I2": { + "hide_name": 0, + "bits": [ 446, 440 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "lvds_rx_24_inst.r_push_SB_LUT4_I3_1_O": { + "hide_name": 0, + "bits": [ 451 ], + "attributes": { + } + }, + "lvds_rx_24_inst.r_push_SB_LUT4_I3_I1": { + "hide_name": 0, + "bits": [ 978, 456, 448, 449, 462, 459, 470 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27", - "unused_bits": "0 1 5" - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_I1": { - "hide_name": 0, - "bits": [ 283, 284, 268, 285 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_I1_SB_LUT4_O_I3": { - "hide_name": 0, - "bits": [ "0", 302, 296, 293, 290, 299, 288 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" - } - }, - "lvds_rx_24_inst.r_push_SB_LUT4_I2_O": { - "hide_name": 0, - "bits": [ 311, 307, 286 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + "unused_bits": "0 " } }, "lvds_rx_24_inst.r_push_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 312 ], + "bits": [ 450, 465, 466 ], "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_24_inst.r_state_if": { "hide_name": 0, - "bits": [ 277, 278, "0" ], + "bits": [ 439, 440, "0" ], "attributes": { "hdlname": "lvds_rx_24_inst r_state_if", "src": "top.v:272.12-281.5|lvds_rx.v:19.17-19.27" } }, - "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_D": { - "hide_name": 0, - "bits": [ 276, 281 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "top.v:272.12-281.5|lvds_rx.v:47.13-78.20|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" - } - }, "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E": { "hide_name": 0, - "bits": [ 313 ], + "bits": [ 473 ], "attributes": { } }, - "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I2": { + "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0": { "hide_name": 0, - "bits": [ 271, 272, 261, 270 ], + "bits": [ 440, 439, 474 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, + "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I3_O": { + "hide_name": 0, + "bits": [ 476, 257 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I3_O_SB_LUT4_I3_1_O": { + "hide_name": 0, + "bits": [ 438 ], + "attributes": { + } + }, + "lvds_rx_24_inst.r_state_if_SB_DFFNESR_Q_E_SB_LUT4_O_I0_SB_LUT4_I3_O_SB_LUT4_I3_O": { + "hide_name": 0, + "bits": [ 437 ], + "attributes": { + } + }, + "lvds_rx_24_inst.r_state_if_SB_LUT4_I2_O": { + "hide_name": 0, + "bits": [ 434, 472 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:272.12-281.5|lvds_rx.v:47.13-78.20|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" + } + }, "o_address_error": { "hide_name": 0, "bits": [ "x" ], @@ -24519,6 +32454,12 @@ "src": "top.v:60.14-60.20" } }, + "o_miso_$_TBUF__Y_E": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + } + }, "o_mixer_en": { "hide_name": 0, "bits": [ "1" ], @@ -24598,7 +32539,7 @@ }, "r_counter": { "hide_name": 0, - "bits": [ 58, "x" ], + "bits": [ 111, "x" ], "attributes": { "src": "top.v:65.16-65.25" } @@ -24612,29 +32553,44 @@ }, "r_tx_data": { "hide_name": 0, - "bits": [ 334, 318, 321, 323, 325, 327, 329, 332 ], + "bits": [ 498, 480, 483, 485, 487, 489, 491, 494 ], "attributes": { "src": "top.v:70.16-70.25" } }, "r_tx_data_SB_DFFESR_Q_5_D": { "hide_name": 0, - "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", 600, "0", 600, "0", "0", 600, "0", 600, 601, 602, 603, 604, "0", "0", "0", "0", 605, 606, 607, 608, 324, 609, 328, 610 ], + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", 979, "0", 979, "0", "0", 979, "0", 979, 980, 981, 982, 983, "0", "0", "0", "0", 984, 985, 986, 987, 486, 988, 490, 989 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:0.0-0.0|top.v:171.7-177.14|/usr/local/bin/../share/yosys/techmap.v:583.28-583.35", "unused_bits": "8 10 13 15 16 17 18 19 24 25 26 27 29 31" } }, + "r_tx_data_SB_DFFESR_Q_D": { + "hide_name": 0, + "bits": [ 990, 478, 482, 484, 486, 488, 490, 493 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:0.0-0.0|top.v:171.7-177.14|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22", + "unused_bits": "0 " + } + }, "r_tx_data_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 333, 611, 612, 613, 614, 615, 616, 617 ], + "bits": [ 497, 991, 992, 993, 994, 995, 996, 997 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:0.0-0.0|top.v:171.7-177.14|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22", "unused_bits": "1 2 3 4 5 6 7" } }, + "r_tx_data_SB_DFFE_Q_E": { + "hide_name": 0, + "bits": [ 479 ], + "attributes": { + } + }, "rx_09_fifo.empty_o": { "hide_name": 0, "bits": [ 20 ], @@ -24645,7 +32601,7 @@ }, "rx_09_fifo.full_o": { "hide_name": 0, - "bits": [ 213 ], + "bits": [ 330 ], "attributes": { "hdlname": "rx_09_fifo full_o", "src": "top.v:259.17-270.5|complex_fifo.v:16.17-16.23" @@ -24653,13 +32609,45 @@ }, "rx_09_fifo.full_o_SB_DFFSR_Q_D": { "hide_name": 0, - "bits": [ 335 ], + "bits": [ 499 ], "attributes": { } }, + "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 500, 501, 502 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2": { + "hide_name": 0, + "bits": [ 503, 504, 505 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_09_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 506, 507, 508, 503 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "rx_09_fifo.full_o_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 245, 246, 247, 248 ], + "bits": [ 511, 514, 515, 513 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_09_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O": { + "hide_name": 0, + "bits": [ 517, 516, 518, 519 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -24667,7 +32655,7 @@ }, "rx_09_fifo.rd_addr": { "hide_name": 0, - "bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ], + "bits": [ 526, 527, 528, 529, 530, 244, 241, 246 ], "attributes": { "hdlname": "rx_09_fifo rd_addr", "src": "top.v:259.17-270.5|complex_fifo.v:24.22-24.29" @@ -24675,15 +32663,69 @@ }, "rx_09_fifo.rd_addr_gray": { "hide_name": 0, - "bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ], + "bits": [ 583, 582, 580, 574, 573, 571, 569, 246 ], "attributes": { "hdlname": "rx_09_fifo rd_addr_gray", "src": "top.v:259.17-270.5|complex_fifo.v:25.22-25.34" } }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_1_D": { + "hide_name": 0, + "bits": [ 568 ], + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:33.8-33.47" + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_4_D": { + "hide_name": 0, + "bits": [ 233, 234, 235, 236 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_5_D": { + "hide_name": 0, + "bits": [ 572, 577, 578, 579 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_6_D": { + "hide_name": 0, + "bits": [ 581 ], + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:33.8-33.47" + } + }, + "rx_09_fifo.rd_addr_gray_SB_DFFESR_Q_7_D": { + "hide_name": 0, + "bits": [ 575, 576, 243, 570 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2_I3": { + "hide_name": 0, + "bits": [ "0", 526, 589, 588, 587, 586, 585, 584 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + } + }, + "rx_09_fifo.rd_addr_gray_SB_LUT4_I2_O": { + "hide_name": 0, + "bits": [ 566, 565, 564, 563, 562, 561, 559, 567 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" + } + }, "rx_09_fifo.rd_addr_gray_wr": { "hide_name": 0, - "bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ], + "bits": [ 597, 596, 595, 594, 593, 592, 591, 590 ], "attributes": { "hdlname": "rx_09_fifo rd_addr_gray_wr", "src": "top.v:259.17-270.5|complex_fifo.v:26.22-26.37" @@ -24691,7 +32733,7 @@ }, "rx_09_fifo.rd_addr_gray_wr_r": { "hide_name": 0, - "bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ], + "bits": [ 510, 506, 511, 355, 353, 333, 348, 349 ], "attributes": { "hdlname": "rx_09_fifo rd_addr_gray_wr_r", "src": "top.v:259.17-270.5|complex_fifo.v:27.22-27.39" @@ -24699,15 +32741,23 @@ }, "rx_09_fifo.rd_clk_i": { "hide_name": 0, - "bits": [ 58 ], + "bits": [ 111 ], "attributes": { "hdlname": "rx_09_fifo rd_clk_i", "src": "top.v:259.17-270.5|complex_fifo.v:12.29-12.37" } }, + "rx_09_fifo.rd_data_o": { + "hide_name": 0, + "bits": [ 68, 546, 547, 548, 549, 550, 96, 551, 552, 553, 554, 555, 556, 557, 91, 558, 531, 532, 533, 534, 535, 536, 97, 537, 538, 539, 540, 541, 542, 543, 92, 544 ], + "attributes": { + "hdlname": "rx_09_fifo rd_data_o", + "src": "top.v:259.17-270.5|complex_fifo.v:14.33-14.42" + } + }, "rx_09_fifo.rd_en_i": { "hide_name": 0, - "bits": [ "0" ], + "bits": [ 108 ], "attributes": { "hdlname": "rx_09_fifo rd_en_i", "src": "top.v:259.17-270.5|complex_fifo.v:13.29-13.36" @@ -24715,7 +32765,7 @@ }, "rx_09_fifo.rd_rst_i": { "hide_name": 0, - "bits": [ 55 ], + "bits": [ 104 ], "attributes": { "hdlname": "rx_09_fifo rd_rst_i", "src": "top.v:259.17-270.5|complex_fifo.v:11.29-11.37" @@ -24723,7 +32773,7 @@ }, "rx_09_fifo.wr_addr": { "hide_name": 0, - "bits": [ 244, 242, 243, 229, 241, 237, 234, 232 ], + "bits": [ 509, 503, 508, 345, 342, 340, 338, 545 ], "attributes": { "hdlname": "rx_09_fifo wr_addr", "src": "top.v:259.17-270.5|complex_fifo.v:20.22-20.29" @@ -24731,46 +32781,70 @@ }, "rx_09_fifo.wr_addr_gray": { "hide_name": 0, - "bits": [ 351, 350, 349, 348, 347, 346, 345, 232 ], + "bits": [ 610, 609, 608, 606, 605, 604, 603, 545 ], "attributes": { "hdlname": "rx_09_fifo wr_addr_gray", "src": "top.v:259.17-270.5|complex_fifo.v:21.22-21.34" } }, - "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_1_D": { + "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_3_D": { "hide_name": 0, - "bits": [ 344 ], - "attributes": { - "src": "top.v:259.17-270.5|complex_fifo.v:33.8-33.47" - } - }, - "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D": { - "hide_name": 0, - "bits": [ 250, 251, 252 ], + "bits": [ 353, 522, 523, 348 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3": { + "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_4_D": { "hide_name": 0, - "bits": [ "0", 244, 357, 356, 355, 354, 353, 352 ], + "bits": [ 355, 524, 525, 333 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_5_D": { + "hide_name": 0, + "bits": [ 607 ], + "attributes": { + "src": "top.v:259.17-270.5|complex_fifo.v:33.8-33.47" + } + }, + "rx_09_fifo.wr_addr_gray_SB_DFFESR_Q_7_D": { + "hide_name": 0, + "bits": [ 510, 520, 506, 521 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_I3": { + "hide_name": 0, + "bits": [ "0", 509, 617, 616, 615, 614, 613, 612 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" } }, - "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_O": { + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_1_O": { "hide_name": 0, - "bits": [ 343, 340, 338, 339, 342, 341, 337, 336 ], + "bits": [ 602, 601, 514, 515, 600, 599, 598, 512 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:259.17-270.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" } }, + "rx_09_fifo.wr_addr_gray_SB_LUT4_I2_I3": { + "hide_name": 0, + "bits": [ "0", 503, 346, 343, 341, 339, 611 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:259.17-270.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + } + }, "rx_09_fifo.wr_addr_gray_rd": { "hide_name": 0, - "bits": [ 365, 364, 363, 362, 361, 360, 359, 358 ], + "bits": [ 625, 624, 623, 622, 621, 620, 619, 618 ], "attributes": { "hdlname": "rx_09_fifo wr_addr_gray_rd", "src": "top.v:259.17-270.5|complex_fifo.v:22.22-22.37" @@ -24778,7 +32852,7 @@ }, "rx_09_fifo.wr_addr_gray_rd_r": { "hide_name": 0, - "bits": [ 176, 177, 180, 181, 182, 183, 184, 185 ], + "bits": [ 575, 626, 578, 233, 577, 243, 247, 245 ], "attributes": { "hdlname": "rx_09_fifo wr_addr_gray_rd_r", "src": "top.v:259.17-270.5|complex_fifo.v:23.22-23.39" @@ -24786,7 +32860,7 @@ }, "rx_09_fifo.wr_clk_i": { "hide_name": 0, - "bits": [ 169 ], + "bits": [ 220 ], "attributes": { "hdlname": "rx_09_fifo wr_clk_i", "src": "top.v:259.17-270.5|complex_fifo.v:7.29-7.37" @@ -24794,16 +32868,15 @@ }, "rx_09_fifo.wr_data_i": { "hide_name": 0, - "bits": [ 201, 199, 22, 23, 24, 25, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "bits": [ 301, 299, 22, 23, 24, 25, 297, 295, 293, 291, 289, 287, 283, 281, 279, 277, 275, 273, 271, 269, 267, 265, 315, 313, 311, 309, 307, 305, 303, 285, 263, 261 ], "attributes": { "hdlname": "rx_09_fifo wr_data_i", - "src": "top.v:259.17-270.5|complex_fifo.v:9.33-9.42", - "unused_bits": "4 5" + "src": "top.v:259.17-270.5|complex_fifo.v:9.33-9.42" } }, "rx_09_fifo.wr_en_i": { "hide_name": 0, - "bits": [ 211 ], + "bits": [ 328 ], "attributes": { "hdlname": "rx_09_fifo wr_en_i", "src": "top.v:259.17-270.5|complex_fifo.v:8.29-8.36" @@ -24811,7 +32884,7 @@ }, "rx_09_fifo.wr_rst_i": { "hide_name": 0, - "bits": [ 55 ], + "bits": [ 104 ], "attributes": { "hdlname": "rx_09_fifo wr_rst_i", "src": "top.v:259.17-270.5|complex_fifo.v:6.29-6.37" @@ -24819,7 +32892,7 @@ }, "rx_24_fifo.empty_o": { "hide_name": 0, - "bits": [ 367 ], + "bits": [ 66 ], "attributes": { "hdlname": "rx_24_fifo empty_o", "src": "top.v:283.17-294.5|complex_fifo.v:17.17-17.24" @@ -24827,22 +32900,13 @@ }, "rx_24_fifo.empty_o_SB_DFFSS_Q_D": { "hide_name": 0, - "bits": [ 366 ], + "bits": [ 627 ], "attributes": { - "src": "top.v:283.17-294.5|complex_fifo.v:83.14-83.65" } }, - "rx_24_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O_I3": { + "rx_24_fifo.empty_o_SB_LUT4_I2_I3": { "hide_name": 0, - "bits": [ 368, 369, 370 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "rx_24_fifo.empty_o_SB_LUT4_I3_I2": { - "hide_name": 0, - "bits": [ 371, 372, 373, 367 ], + "bits": [ 106, 66, 632 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -24850,7 +32914,7 @@ }, "rx_24_fifo.full_o": { "hide_name": 0, - "bits": [ 269 ], + "bits": [ 444 ], "attributes": { "hdlname": "rx_24_fifo full_o", "src": "top.v:283.17-294.5|complex_fifo.v:16.17-16.23" @@ -24858,13 +32922,29 @@ }, "rx_24_fifo.full_o_SB_DFFSR_Q_D": { "hide_name": 0, - "bits": [ 378 ], + "bits": [ 638 ], "attributes": { } }, "rx_24_fifo.full_o_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 303, 304, 305, 306 ], + "bits": [ 447, 645, 646, 644 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O": { + "hide_name": 0, + "bits": [ 647, 648, 649, 650 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.full_o_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3": { + "hide_name": 0, + "bits": [ 471, 645, 651, 652 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -24872,7 +32952,7 @@ }, "rx_24_fifo.rd_addr": { "hide_name": 0, - "bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ], + "bits": [ 661, 662, 663, 664, 665, 666, 637, 635 ], "attributes": { "hdlname": "rx_24_fifo rd_addr", "src": "top.v:283.17-294.5|complex_fifo.v:24.22-24.29" @@ -24880,15 +32960,125 @@ }, "rx_24_fifo.rd_addr_gray": { "hide_name": 0, - "bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ], + "bits": [ 721, 716, 714, 712, 710, 708, 706, 635 ], "attributes": { "hdlname": "rx_24_fifo rd_addr_gray", "src": "top.v:283.17-294.5|complex_fifo.v:25.22-25.34" } }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_1_D": { + "hide_name": 0, + "bits": [ 705, 634, 729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_2_D": { + "hide_name": 0, + "bits": [ 707 ], + "attributes": { + "src": "top.v:283.17-294.5|complex_fifo.v:33.8-33.47" + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_4_D": { + "hide_name": 0, + "bits": [ 717, 711, 718, 709 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_5_D": { + "hide_name": 0, + "bits": [ 713 ], + "attributes": { + "src": "top.v:283.17-294.5|complex_fifo.v:33.8-33.47" + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_6_D": { + "hide_name": 0, + "bits": [ 728, 715, 719 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D": { + "hide_name": 0, + "bits": [ 720, 722 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O": { + "hide_name": 0, + "bits": [ 724, 698, 696, 723 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O": { + "hide_name": 0, + "bits": [ 628, 629, 630, 631 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0": { + "hide_name": 0, + "bits": [ 725, 726, 633, 727 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3": { + "hide_name": 0, + "bits": [ 731, 663, 664, 732 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_2_I0": { + "hide_name": 0, + "bits": [ 733, 662, 728, 663 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.rd_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0_SB_LUT4_O_I0": { + "hide_name": 0, + "bits": [ 730, 666, 724, 637 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2_I3": { + "hide_name": 0, + "bits": [ "0", 661, 739, 738, 737, 736, 735, 734 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + } + }, + "rx_24_fifo.rd_addr_gray_SB_LUT4_I2_O": { + "hide_name": 0, + "bits": [ 703, 702, 701, 700, 699, 698, 696, 704 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:66.14-66.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" + } + }, "rx_24_fifo.rd_addr_gray_wr": { "hide_name": 0, - "bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ], + "bits": [ 747, 746, 745, 744, 743, 742, 741, 740 ], "attributes": { "hdlname": "rx_24_fifo rd_addr_gray_wr", "src": "top.v:283.17-294.5|complex_fifo.v:26.22-26.37" @@ -24896,7 +33086,7 @@ }, "rx_24_fifo.rd_addr_gray_wr_r": { "hide_name": 0, - "bits": [ "0", "0", "0", "0", "0", "0", "0", "0" ], + "bits": [ 653, 659, 471, 447, 640, 655, 468, 469 ], "attributes": { "hdlname": "rx_24_fifo rd_addr_gray_wr_r", "src": "top.v:283.17-294.5|complex_fifo.v:27.22-27.39" @@ -24904,15 +33094,23 @@ }, "rx_24_fifo.rd_clk_i": { "hide_name": 0, - "bits": [ 58 ], + "bits": [ 111 ], "attributes": { "hdlname": "rx_24_fifo rd_clk_i", "src": "top.v:283.17-294.5|complex_fifo.v:12.29-12.37" } }, + "rx_24_fifo.rd_data_o": { + "hide_name": 0, + "bits": [ 71, 683, 684, 685, 686, 687, 80, 688, 689, 690, 691, 692, 693, 694, 79, 695, 667, 668, 669, 670, 671, 672, 77, 673, 674, 675, 676, 677, 678, 679, 76, 680 ], + "attributes": { + "hdlname": "rx_24_fifo rd_data_o", + "src": "top.v:283.17-294.5|complex_fifo.v:14.33-14.42" + } + }, "rx_24_fifo.rd_en_i": { "hide_name": 0, - "bits": [ "0" ], + "bits": [ 106 ], "attributes": { "hdlname": "rx_24_fifo rd_en_i", "src": "top.v:283.17-294.5|complex_fifo.v:13.29-13.36" @@ -24920,7 +33118,7 @@ }, "rx_24_fifo.rd_rst_i": { "hide_name": 0, - "bits": [ 55 ], + "bits": [ 104 ], "attributes": { "hdlname": "rx_24_fifo rd_rst_i", "src": "top.v:283.17-294.5|complex_fifo.v:11.29-11.37" @@ -24928,7 +33126,7 @@ }, "rx_24_fifo.wr_addr": { "hide_name": 0, - "bits": [ 300, 302, 301, 295, 292, 289, 298, 287 ], + "bits": [ 681, 455, 454, 452, 463, 460, 457, 682 ], "attributes": { "hdlname": "rx_24_fifo wr_addr", "src": "top.v:283.17-294.5|complex_fifo.v:20.22-20.29" @@ -24936,30 +33134,85 @@ }, "rx_24_fifo.wr_addr_gray": { "hide_name": 0, - "bits": [ 394, 393, 392, 391, 390, 389, 388, 287 ], + "bits": [ 763, 762, 760, 759, 753, 752, 751, 682 ], "attributes": { "hdlname": "rx_24_fifo wr_addr_gray", "src": "top.v:283.17-294.5|complex_fifo.v:21.22-21.34" } }, - "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_1_D": { + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D": { "hide_name": 0, - "bits": [ 387 ], - "attributes": { - "src": "top.v:283.17-294.5|complex_fifo.v:33.8-33.47" - } - }, - "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D": { - "hide_name": 0, - "bits": [ 308, 309, 310 ], + "bits": [ 639, 640, 641, 642 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 467, 754, 755 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I0": { + "hide_name": 0, + "bits": [ 757, 462, 655, 459 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I0": { + "hide_name": 0, + "bits": [ 756, 659, 456, 455 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_4_D": { + "hide_name": 0, + "bits": [ 758 ], + "attributes": { + "src": "top.v:283.17-294.5|complex_fifo.v:33.8-33.47" + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_5_D": { + "hide_name": 0, + "bits": [ 657, 471, 658, 468 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_6_D": { + "hide_name": 0, + "bits": [ 761 ], + "attributes": { + "src": "top.v:283.17-294.5|complex_fifo.v:33.8-33.47" + } + }, + "rx_24_fifo.wr_addr_gray_SB_DFFESR_Q_7_D": { + "hide_name": 0, + "bits": [ 653, 654, 655, 656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_1_I3": { + "hide_name": 0, + "bits": [ "0", 455, 453, 464, 461, 458, 765 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:283.17-294.5|complex_fifo.v:57.23-57.34|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" + } + }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_I3": { "hide_name": 0, - "bits": [ "0", 300, 400, 399, 398, 397, 396, 395 ], + "bits": [ "0", 681, 770, 769, 768, 767, 766, 764 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:283.17-294.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" @@ -24967,7 +33220,7 @@ }, "rx_24_fifo.wr_addr_gray_SB_LUT4_I2_O": { "hide_name": 0, - "bits": [ 386, 383, 381, 382, 385, 384, 380, 379 ], + "bits": [ 750, 660, 651, 645, 646, 749, 748, 643 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:283.17-294.5|complex_fifo.v:42.14-42.28|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" @@ -24975,7 +33228,7 @@ }, "rx_24_fifo.wr_addr_gray_rd": { "hide_name": 0, - "bits": [ 408, 407, 406, 405, 404, 403, 402, 401 ], + "bits": [ 778, 777, 776, 775, 774, 773, 772, 771 ], "attributes": { "hdlname": "rx_24_fifo wr_addr_gray_rd", "src": "top.v:283.17-294.5|complex_fifo.v:22.22-22.37" @@ -24983,7 +33236,7 @@ }, "rx_24_fifo.wr_addr_gray_rd_r": { "hide_name": 0, - "bits": [ 374, 375, 376, 368, 369, 377, 371, 372 ], + "bits": [ 722, 728, 731, 717, 718, 724, 634, 636 ], "attributes": { "hdlname": "rx_24_fifo wr_addr_gray_rd_r", "src": "top.v:283.17-294.5|complex_fifo.v:23.22-23.39" @@ -24991,15 +33244,23 @@ }, "rx_24_fifo.wr_clk_i": { "hide_name": 0, - "bits": [ 169 ], + "bits": [ 220 ], "attributes": { "hdlname": "rx_24_fifo wr_clk_i", "src": "top.v:283.17-294.5|complex_fifo.v:7.29-7.37" } }, + "rx_24_fifo.wr_data_i": { + "hide_name": 0, + "bits": [ 413, 411, 407, 405, 403, 401, 399, 397, 395, 393, 391, 389, 385, 383, 381, 379, 377, 375, 373, 371, 369, 367, 425, 423, 421, 419, 417, 415, 409, 387, 365, 363 ], + "attributes": { + "hdlname": "rx_24_fifo wr_data_i", + "src": "top.v:283.17-294.5|complex_fifo.v:9.33-9.42" + } + }, "rx_24_fifo.wr_en_i": { "hide_name": 0, - "bits": [ 268 ], + "bits": [ 443 ], "attributes": { "hdlname": "rx_24_fifo wr_en_i", "src": "top.v:283.17-294.5|complex_fifo.v:8.29-8.36" @@ -25007,7 +33268,7 @@ }, "rx_24_fifo.wr_rst_i": { "hide_name": 0, - "bits": [ 55 ], + "bits": [ 104 ], "attributes": { "hdlname": "rx_24_fifo wr_rst_i", "src": "top.v:283.17-294.5|complex_fifo.v:6.29-6.37" @@ -25015,7 +33276,7 @@ }, "smi_ctrl_ins.i_cs": { "hide_name": 0, - "bits": [ 410 ], + "bits": [ 780 ], "attributes": { "hdlname": "smi_ctrl_ins i_cs", "src": "top.v:296.13-327.5|smi_ctrl.v:9.29-9.33" @@ -25023,7 +33284,7 @@ }, "smi_ctrl_ins.i_data_in": { "hide_name": 0, - "bits": [ 62, 59, 146, 144, 142, 140, 139, 136 ], + "bits": [ 115, 112, 196, 195, 194, 192, 190, 188 ], "attributes": { "hdlname": "smi_ctrl_ins i_data_in", "src": "top.v:296.13-327.5|smi_ctrl.v:7.29-7.38" @@ -25031,7 +33292,7 @@ }, "smi_ctrl_ins.i_fetch_cmd": { "hide_name": 0, - "bits": [ 488 ], + "bits": [ 867 ], "attributes": { "hdlname": "smi_ctrl_ins i_fetch_cmd", "src": "top.v:296.13-327.5|smi_ctrl.v:10.29-10.40" @@ -25047,15 +33308,23 @@ }, "smi_ctrl_ins.i_fifo_09_full": { "hide_name": 0, - "bits": [ 213 ], + "bits": [ 330 ], "attributes": { "hdlname": "smi_ctrl_ins i_fifo_09_full", "src": "top.v:296.13-327.5|smi_ctrl.v:16.29-16.43" } }, + "smi_ctrl_ins.i_fifo_09_pulled_data": { + "hide_name": 0, + "bits": [ 68, 546, 547, 548, 549, 550, 96, 551, 552, 553, 554, 555, 556, 557, 91, 558, 531, 532, 533, 534, 535, 536, 97, 537, 538, 539, 540, 541, 542, 543, 92, 544 ], + "attributes": { + "hdlname": "smi_ctrl_ins i_fifo_09_pulled_data", + "src": "top.v:296.13-327.5|smi_ctrl.v:15.29-15.50" + } + }, "smi_ctrl_ins.i_fifo_24_empty": { "hide_name": 0, - "bits": [ 367 ], + "bits": [ 66 ], "attributes": { "hdlname": "smi_ctrl_ins i_fifo_24_empty", "src": "top.v:296.13-327.5|smi_ctrl.v:23.29-23.44" @@ -25063,15 +33332,23 @@ }, "smi_ctrl_ins.i_fifo_24_full": { "hide_name": 0, - "bits": [ 269 ], + "bits": [ 444 ], "attributes": { "hdlname": "smi_ctrl_ins i_fifo_24_full", "src": "top.v:296.13-327.5|smi_ctrl.v:22.29-22.43" } }, + "smi_ctrl_ins.i_fifo_24_pulled_data": { + "hide_name": 0, + "bits": [ 71, 683, 684, 685, 686, 687, 80, 688, 689, 690, 691, 692, 693, 694, 79, 695, 667, 668, 669, 670, 671, 672, 77, 673, 674, 675, 676, 677, 678, 679, 76, 680 ], + "attributes": { + "hdlname": "smi_ctrl_ins i_fifo_24_pulled_data", + "src": "top.v:296.13-327.5|smi_ctrl.v:21.29-21.50" + } + }, "smi_ctrl_ins.i_ioc": { "hide_name": 0, - "bits": [ 132, 160, 163, 162, 161 ], + "bits": [ 48, 49, 214, 213, 212 ], "attributes": { "hdlname": "smi_ctrl_ins i_ioc", "src": "top.v:296.13-327.5|smi_ctrl.v:6.29-6.34" @@ -25079,7 +33356,7 @@ }, "smi_ctrl_ins.i_load_cmd": { "hide_name": 0, - "bits": [ 495 ], + "bits": [ 874 ], "attributes": { "hdlname": "smi_ctrl_ins i_load_cmd", "src": "top.v:296.13-327.5|smi_ctrl.v:11.29-11.39" @@ -25087,7 +33364,7 @@ }, "smi_ctrl_ins.i_reset": { "hide_name": 0, - "bits": [ 55 ], + "bits": [ 104 ], "attributes": { "hdlname": "smi_ctrl_ins i_reset", "src": "top.v:296.13-327.5|smi_ctrl.v:3.29-3.36" @@ -25128,7 +33405,7 @@ }, "smi_ctrl_ins.i_smi_test": { "hide_name": 0, - "bits": [ "1" ], + "bits": [ "0" ], "attributes": { "hdlname": "smi_ctrl_ins i_smi_test", "src": "top.v:296.13-327.5|smi_ctrl.v:34.29-34.39" @@ -25142,9 +33419,89 @@ "src": "top.v:296.13-327.5|smi_ctrl.v:4.29-4.38" } }, + "smi_ctrl_ins.int_cnt_09": { + "hide_name": 0, + "bits": [ "0", "0", "0", 88, 86, 87 ], + "attributes": { + "hdlname": "smi_ctrl_ins int_cnt_09", + "src": "top.v:296.13-327.5|smi_ctrl.v:94.15-94.25" + } + }, + "smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_1_D": { + "hide_name": 0, + "bits": [ 783 ], + "attributes": { + } + }, + "smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_D": { + "hide_name": 0, + "bits": [ 781 ], + "attributes": { + } + }, + "smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E": { + "hide_name": 0, + "bits": [ 782 ], + "attributes": { + } + }, + "smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 784, 83, 104 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.int_cnt_09_SB_DFFESR_Q_E_SB_LUT4_O_I1_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ "1", "1", "1", "1", 103, 785 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:296.13-327.5|smi_ctrl.v:125.29-125.43|/usr/local/bin/../share/yosys/ice40/arith_map.v:37.23-37.25" + } + }, + "smi_ctrl_ins.int_cnt_09_SB_DFFESS_Q_D": { + "hide_name": 0, + "bits": [ 786 ], + "attributes": { + } + }, + "smi_ctrl_ins.int_cnt_24": { + "hide_name": 0, + "bits": [ "0", "0", "0", 62, 61, 64 ], + "attributes": { + "hdlname": "smi_ctrl_ins int_cnt_24", + "src": "top.v:296.13-327.5|smi_ctrl.v:95.15-95.25" + } + }, + "smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_1_D": { + "hide_name": 0, + "bits": [ 789 ], + "attributes": { + } + }, + "smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_D": { + "hide_name": 0, + "bits": [ 787 ], + "attributes": { + } + }, + "smi_ctrl_ins.int_cnt_24_SB_DFFESR_Q_E": { + "hide_name": 0, + "bits": [ 788 ], + "attributes": { + } + }, + "smi_ctrl_ins.int_cnt_24_SB_DFFESS_Q_D": { + "hide_name": 0, + "bits": [ 790 ], + "attributes": { + } + }, "smi_ctrl_ins.o_data_out": { "hide_name": 0, - "bits": [ 415, 414, 413, 412, "0", "0", "0", "0" ], + "bits": [ 795, 794, 793, 792, "0", "0", "0", "0" ], "attributes": { "hdlname": "smi_ctrl_ins o_data_out", "src": "top.v:296.13-327.5|smi_ctrl.v:8.29-8.39" @@ -25152,30 +33509,13 @@ }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E": { "hide_name": 0, - "bits": [ 411 ], + "bits": [ 791 ], "attributes": { } }, - "smi_ctrl_ins.o_data_out_SB_LUT4_I2_I3": { - "hide_name": 0, - "bits": [ 416, 413, 418 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "smi_ctrl_ins.o_data_out_SB_LUT4_I2_O": { - "hide_name": 0, - "bits": [ 618, 316, 320, 322, 324, 326, 328, 331 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "top.v:0.0-0.0|top.v:171.7-177.14|/usr/local/bin/../share/yosys/techmap.v:578.19-578.22", - "unused_bits": "0 " - } - }, "smi_ctrl_ins.o_fifo_09_pull": { "hide_name": 0, - "bits": [ "0" ], + "bits": [ 108 ], "attributes": { "hdlname": "smi_ctrl_ins o_fifo_09_pull", "src": "top.v:296.13-327.5|smi_ctrl.v:14.29-14.43" @@ -25183,7 +33523,7 @@ }, "smi_ctrl_ins.o_fifo_24_pull": { "hide_name": 0, - "bits": [ "0" ], + "bits": [ 106 ], "attributes": { "hdlname": "smi_ctrl_ins o_fifo_24_pull", "src": "top.v:296.13-327.5|smi_ctrl.v:20.29-20.43" @@ -25191,7 +33531,7 @@ }, "smi_ctrl_ins.o_smi_data_out": { "hide_name": 0, - "bits": [ 32, 192, 191, 190, 189, 188, 187, 186 ], + "bits": [ 32, 254, 253, 252, 251, 250, 249, 248 ], "attributes": { "hdlname": "smi_ctrl_ins o_smi_data_out", "src": "top.v:296.13-327.5|smi_ctrl.v:29.29-29.43" @@ -25199,55 +33539,215 @@ }, "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_1_D": { "hide_name": 0, - "bits": [ 421 ], + "bits": [ 800 ], "attributes": { } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D": { "hide_name": 0, - "bits": [ 424 ], + "bits": [ 801 ], "attributes": { } }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 69, 802, 70, 803 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1": { + "hide_name": 0, + "bits": [ 72, 805, 806, 73 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I3": { + "hide_name": 0, + "bits": [ 557, 543, 88, 804 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D": { "hide_name": 0, - "bits": [ 427 ], + "bits": [ 807 ], "attributes": { } }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 69, 808, 70, 809 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1": { + "hide_name": 0, + "bits": [ 72, 811, 812, 73 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I3": { + "hide_name": 0, + "bits": [ 556, 542, 88, 810 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D": { "hide_name": 0, - "bits": [ 430 ], + "bits": [ 813 ], "attributes": { } }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 69, 814, 70, 815 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1": { + "hide_name": 0, + "bits": [ 72, 817, 818, 73 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_I3": { + "hide_name": 0, + "bits": [ 555, 541, 88, 816 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D": { "hide_name": 0, - "bits": [ 433 ], + "bits": [ 819 ], "attributes": { } }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 69, 820, 70, 821 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1": { + "hide_name": 0, + "bits": [ 72, 823, 824, 73 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_I3": { + "hide_name": 0, + "bits": [ 554, 540, 88, 822 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D": { "hide_name": 0, - "bits": [ 436 ], + "bits": [ 825 ], "attributes": { } }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 69, 826, 70, 827 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1": { + "hide_name": 0, + "bits": [ 72, 829, 830, 73 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I3": { + "hide_name": 0, + "bits": [ 553, 539, 88, 828 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D": { "hide_name": 0, - "bits": [ 439 ], + "bits": [ 831 ], "attributes": { } }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0": { + "hide_name": 0, + "bits": [ 832, 833, 834, 67 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I3": { + "hide_name": 0, + "bits": [ 689, 674, 62, 835 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 420 ], + "bits": [ 799 ], "attributes": { } }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 69, 836, 70, 837 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I1": { + "hide_name": 0, + "bits": [ 72, 839, 840, 73 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.o_smi_data_out_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3": { + "hide_name": 0, + "bits": [ 558, 544, 88, 838 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "smi_ctrl_ins.o_smi_read_req": { "hide_name": 0, - "bits": [ "1" ], + "bits": [ 219 ], "attributes": { "hdlname": "smi_ctrl_ins o_smi_read_req", "src": "top.v:296.13-327.5|smi_ctrl.v:31.29-31.43" @@ -25271,42 +33771,112 @@ }, "smi_ctrl_ins.r_fifo_09_pull": { "hide_name": 0, - "bits": [ "0" ], + "bits": [ 108 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_09_pull", "src": "top.v:296.13-327.5|smi_ctrl.v:96.9-96.23" } }, + "smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_D": { + "hide_name": 0, + "bits": [ 841 ], + "attributes": { + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_DFFESR_Q_E": { + "hide_name": 0, + "bits": [ 842 ], + "attributes": { + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O": { + "hide_name": 0, + "bits": [ 577, 530, 244, 843 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O": { + "hide_name": 0, + "bits": [ 237, 238, 239 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_1_I0": { + "hide_name": 0, + "bits": [ 845, 526, 575, 527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O_I0": { + "hide_name": 0, + "bits": [ 844, 528, 578, 529 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_1_O": { + "hide_name": 0, + "bits": [ 560 ], + "attributes": { + } + }, + "smi_ctrl_ins.r_fifo_09_pull_SB_LUT4_I3_O": { + "hide_name": 0, + "bits": [ 231, 232 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "smi_ctrl_ins.r_fifo_24_pull": { "hide_name": 0, - "bits": [ "0" ], + "bits": [ 106 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_24_pull", "src": "top.v:296.13-327.5|smi_ctrl.v:97.9-97.23" } }, - "smi_ctrl_ins.r_last_soe_1": { + "smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 444 ], - "attributes": { - "hdlname": "smi_ctrl_ins r_last_soe_1", - "src": "top.v:296.13-327.5|smi_ctrl.v:92.9-92.21" - } - }, - "smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_1_O": { - "hide_name": 0, - "bits": [ 445 ], + "bits": [ 846 ], "attributes": { } }, - "smi_ctrl_ins.r_last_soe_1_SB_LUT4_I1_O": { + "smi_ctrl_ins.r_fifo_24_pull_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 52, 21, 50, 53 ], + "bits": [ 847 ], + "attributes": { + } + }, + "smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_1_O": { + "hide_name": 0, + "bits": [ 697 ], + "attributes": { + } + }, + "smi_ctrl_ins.r_fifo_24_pull_SB_LUT4_I3_I0": { + "hide_name": 0, + "bits": [ 848, 636, 704, 106 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, + "smi_ctrl_ins.r_last_soe_1": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "hdlname": "smi_ctrl_ins r_last_soe_1", + "src": "top.v:296.13-327.5|smi_ctrl.v:92.9-92.21" + } + }, "smi_ctrl_ins.r_last_soe_2": { "hide_name": 0, "bits": [ 52 ], @@ -25315,57 +33885,9 @@ "src": "top.v:296.13-327.5|smi_ctrl.v:93.9-93.21" } }, - "smi_ctrl_ins.r_smi_test_count_09": { - "hide_name": 0, - "bits": [ 441, 438, 435, 432, 429, 426, 423, 443 ], - "attributes": { - "hdlname": "smi_ctrl_ins r_smi_test_count_09", - "src": "top.v:296.13-327.5|smi_ctrl.v:98.15-98.34" - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D": { - "hide_name": 0, - "bits": [ 459, 458, 457, 456, 455, 454, 453, 452 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:120.48-120.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" - } - }, - "smi_ctrl_ins.r_smi_test_count_09_SB_DFFESR_Q_D_SB_LUT4_O_I3": { - "hide_name": 0, - "bits": [ "0", 441, 446, 451, 450, 449, 447, 448 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:120.48-120.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" - } - }, - "smi_ctrl_ins.r_smi_test_count_24": { - "hide_name": 0, - "bits": [ 440, 437, 434, 431, 428, 425, 422, 442 ], - "attributes": { - "hdlname": "smi_ctrl_ins r_smi_test_count_24", - "src": "top.v:296.13-327.5|smi_ctrl.v:99.15-99.34" - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D": { - "hide_name": 0, - "bits": [ 473, 472, 471, 470, 469, 468, 467, 466 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:150.48-150.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" - } - }, - "smi_ctrl_ins.r_smi_test_count_24_SB_DFFESR_Q_D_SB_LUT4_O_I3": { - "hide_name": 0, - "bits": [ "0", 440, 460, 465, 464, 463, 461, 462 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "top.v:296.13-327.5|smi_ctrl.v:150.48-150.74|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" - } - }, "spi_if_ins.i_data_out": { "hide_name": 0, - "bits": [ 334, 318, 321, 323, 325, 327, 329, 332 ], + "bits": [ 498, 480, 483, 485, 487, 489, 491, 494 ], "attributes": { "hdlname": "spi_if_ins i_data_out", "src": "top.v:92.11-108.5|spi_if.v:10.29-10.39" @@ -25373,7 +33895,7 @@ }, "spi_if_ins.i_rst_b": { "hide_name": 0, - "bits": [ 55 ], + "bits": [ 104 ], "attributes": { "hdlname": "spi_if_ins i_rst_b", "src": "top.v:92.11-108.5|spi_if.v:5.29-5.36" @@ -25405,7 +33927,7 @@ }, "spi_if_ins.i_sys_clk": { "hide_name": 0, - "bits": [ 58 ], + "bits": [ 111 ], "attributes": { "hdlname": "spi_if_ins i_sys_clk", "src": "top.v:92.11-108.5|spi_if.v:6.29-6.38" @@ -25413,7 +33935,7 @@ }, "spi_if_ins.o_cs": { "hide_name": 0, - "bits": [ 478, 72, 410, 475 ], + "bits": [ 853, 123, 780, 850 ], "attributes": { "hdlname": "spi_if_ins o_cs", "src": "top.v:92.11-108.5|spi_if.v:11.29-11.33" @@ -25421,7 +33943,7 @@ }, "spi_if_ins.o_cs_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ "0", "0", "0", 474, "0", "0", 409, "0", "0", 70, "0", "0" ], + "bits": [ "0", "0", "0", 849, "0", "0", 779, "0", "0", 121, "0", "0" ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:92.11-108.5|spi_if.v:65.21-70.28|spi_if.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:583.28-583.35" @@ -25429,30 +33951,24 @@ }, "spi_if_ins.o_cs_SB_DFFESR_Q_R": { "hide_name": 0, - "bits": [ 73, 619, 620, 621 ], + "bits": [ 124, 998, 999, 1000 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:92.11-108.5|spi_if.v:65.21-70.28|spi_if.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22", "unused_bits": "1 2 3" } }, - "spi_if_ins.o_cs_SB_LUT4_I0_O": { + "spi_if_ins.o_cs_SB_LUT4_I2_2_O": { "hide_name": 0, - "bits": [ 574, 319, 419, 417 ], + "bits": [ 949, 481, 495, 798 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "spi_if_ins.o_cs_SB_LUT4_I1_2_O": { + "spi_if_ins.o_cs_SB_LUT4_I2_O": { "hide_name": 0, - "bits": [ 317 ], - "attributes": { - } - }, - "spi_if_ins.o_cs_SB_LUT4_I1_O": { - "hide_name": 0, - "bits": [ 415, 416, 330, 134 ], + "bits": [ 794, 797, 492, 148 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -25460,7 +33976,7 @@ }, "spi_if_ins.o_data_in": { "hide_name": 0, - "bits": [ 62, 59, 146, 144, 142, 140, 139, 136 ], + "bits": [ 115, 112, 196, 195, 194, 192, 190, 188 ], "attributes": { "hdlname": "spi_if_ins o_data_in", "src": "top.v:92.11-108.5|spi_if.v:9.29-9.38" @@ -25468,13 +33984,13 @@ }, "spi_if_ins.o_data_in_SB_DFFE_Q_E": { "hide_name": 0, - "bits": [ 480 ], + "bits": [ 855 ], "attributes": { } }, "spi_if_ins.o_fetch_cmd": { "hide_name": 0, - "bits": [ 488 ], + "bits": [ 867 ], "attributes": { "hdlname": "spi_if_ins o_fetch_cmd", "src": "top.v:92.11-108.5|spi_if.v:12.29-12.40" @@ -25482,19 +33998,35 @@ }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 486 ], + "bits": [ 865 ], "attributes": { } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 487 ], + "bits": [ 866 ], "attributes": { } }, + "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3": { + "hide_name": 0, + "bits": [ 862, 870, 871 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "spi_if_ins.o_fetch_cmd_SB_LUT4_I3_1_O": { + "hide_name": 0, + "bits": [ 50, 796 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, "spi_if_ins.o_fetch_cmd_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 132, 116, 121, 115 ], + "bits": [ 133, 50, 872 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -25502,7 +34034,7 @@ }, "spi_if_ins.o_ioc": { "hide_name": 0, - "bits": [ 132, 160, 163, 162, 161 ], + "bits": [ 48, 49, 214, 213, 212 ], "attributes": { "hdlname": "spi_if_ins o_ioc", "src": "top.v:92.11-108.5|spi_if.v:8.29-8.34" @@ -25510,7 +34042,7 @@ }, "spi_if_ins.o_load_cmd": { "hide_name": 0, - "bits": [ 495 ], + "bits": [ 874 ], "attributes": { "hdlname": "spi_if_ins o_load_cmd", "src": "top.v:92.11-108.5|spi_if.v:13.29-13.39" @@ -25518,7 +34050,7 @@ }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 490, 491 ], + "bits": [ 869, 861 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -25526,59 +34058,43 @@ }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_I1_O": { "hide_name": 0, - "bits": [ 71 ], + "bits": [ 862, 870, 122 ], "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 494 ], + "bits": [ 873 ], "attributes": { } }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O": { + "spi_if_ins.o_load_cmd_SB_LUT4_I2_O": { "hide_name": 0, - "bits": [ 55, 76 ], + "bits": [ 104, 130 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I2_O": { + "spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I2_O": { "hide_name": 0, - "bits": [ 60 ], + "bits": [ 113 ], "attributes": { } }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O": { + "spi_if_ins.o_load_cmd_SB_LUT4_I2_O_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 47, 498 ], + "bits": [ 172, 210 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_1_O": { - "hide_name": 0, - "bits": [ 147 ], - "attributes": { - } - }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_2_O": { - "hide_name": 0, - "bits": [ 152 ], - "attributes": { - } - }, - "spi_if_ins.o_load_cmd_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I3_O": { - "hide_name": 0, - "bits": [ 137 ], - "attributes": { - } - }, "spi_if_ins.o_spi_miso": { "hide_name": 0, - "bits": [ 315 ], + "bits": [ 477 ], "attributes": { "hdlname": "spi_if_ins o_spi_miso", "src": "top.v:92.11-108.5|spi_if.v:17.29-17.39" @@ -25586,7 +34102,7 @@ }, "spi_if_ins.r_tx_byte": { "hide_name": 0, - "bits": [ 507, 506, 505, 504, 503, 502, 501, 500 ], + "bits": [ 883, 882, 881, 880, 879, 878, 877, 876 ], "attributes": { "hdlname": "spi_if_ins r_tx_byte", "src": "top.v:92.11-108.5|spi_if.v:32.17-32.26" @@ -25594,7 +34110,7 @@ }, "spi_if_ins.r_tx_data_valid": { "hide_name": 0, - "bits": [ 510 ], + "bits": [ 886 ], "attributes": { "hdlname": "spi_if_ins r_tx_data_valid", "src": "top.v:92.11-108.5|spi_if.v:31.17-31.32" @@ -25602,7 +34118,7 @@ }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 493, 508 ], + "bits": [ 862, 884 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -25610,19 +34126,19 @@ }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_D_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 499 ], + "bits": [ 875 ], "attributes": { } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 509 ], + "bits": [ 885 ], "attributes": { } }, "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 511 ], + "bits": [ 889, 888, 887 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -25630,7 +34146,7 @@ }, "spi_if_ins.spi.SCKr": { "hide_name": 0, - "bits": [ 517, 515, 516 ], + "bits": [ 890, 888, 889 ], "attributes": { "hdlname": "spi_if_ins spi SCKr", "src": "top.v:92.11-108.5|spi_slave.v:62.13-62.17|spi_if.v:42.15-54.6" @@ -25638,7 +34154,7 @@ }, "spi_if_ins.spi.SCKr_SB_LUT4_I0_O": { "hide_name": 0, - "bits": [ 512, 513, 511 ], + "bits": [ 909, 893, 887 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -25670,7 +34186,7 @@ }, "spi_if_ins.spi.i_sys_clk": { "hide_name": 0, - "bits": [ 58 ], + "bits": [ 111 ], "attributes": { "hdlname": "spi_if_ins spi i_sys_clk", "src": "top.v:92.11-108.5|spi_slave.v:5.23-5.32|spi_if.v:42.15-54.6" @@ -25678,7 +34194,7 @@ }, "spi_if_ins.spi.i_tx_byte": { "hide_name": 0, - "bits": [ 507, 506, 505, 504, 503, 502, 501, 500 ], + "bits": [ 883, 882, 881, 880, 879, 878, 877, 876 ], "attributes": { "hdlname": "spi_if_ins spi i_tx_byte", "src": "top.v:92.11-108.5|spi_slave.v:9.23-9.32|spi_if.v:42.15-54.6" @@ -25686,7 +34202,7 @@ }, "spi_if_ins.spi.i_tx_data_valid": { "hide_name": 0, - "bits": [ 510 ], + "bits": [ 886 ], "attributes": { "hdlname": "spi_if_ins spi i_tx_data_valid", "src": "top.v:92.11-108.5|spi_slave.v:8.23-8.38|spi_if.v:42.15-54.6" @@ -25694,7 +34210,7 @@ }, "spi_if_ins.spi.o_rx_byte": { "hide_name": 0, - "bits": [ 485, 484, 483, 482, 481, 477, 476, 479 ], + "bits": [ 860, 859, 858, 857, 856, 852, 851, 854 ], "attributes": { "hdlname": "spi_if_ins spi o_rx_byte", "src": "top.v:92.11-108.5|spi_slave.v:7.23-7.32|spi_if.v:42.15-54.6" @@ -25702,7 +34218,7 @@ }, "spi_if_ins.spi.o_rx_data_valid": { "hide_name": 0, - "bits": [ 493 ], + "bits": [ 862 ], "attributes": { "hdlname": "spi_if_ins spi o_rx_data_valid", "src": "top.v:92.11-108.5|spi_slave.v:6.23-6.38|spi_if.v:42.15-54.6" @@ -25710,7 +34226,7 @@ }, "spi_if_ins.spi.o_spi_miso": { "hide_name": 0, - "bits": [ 315 ], + "bits": [ 477 ], "attributes": { "hdlname": "spi_if_ins spi o_spi_miso", "src": "top.v:92.11-108.5|spi_slave.v:13.23-13.33|spi_if.v:42.15-54.6" @@ -25718,14 +34234,14 @@ }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 529 ], + "bits": [ 904 ], "attributes": { "src": "top.v:92.11-108.5|spi_slave.v:69.3-86.6|spi_if.v:42.15-54.6" } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2": { "hide_name": 0, - "bits": [ 500, 531, 511 ], + "bits": [ 876, 905, 887 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -25733,15 +34249,15 @@ }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0": { "hide_name": 0, - "bits": [ 532, 533, 512, 534 ], + "bits": [ 906, 907, 908, 909 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_2_I0": { + "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ 539, 540, 512, 518 ], + "bits": [ 910, 911, 891, 912 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -25749,13 +34265,13 @@ }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E": { "hide_name": 0, - "bits": [ 530 ], + "bits": [ 894 ], "attributes": { } }, "spi_if_ins.spi.r2_rx_done": { "hide_name": 0, - "bits": [ 546 ], + "bits": [ 920 ], "attributes": { "hdlname": "spi_if_ins spi r2_rx_done", "src": "top.v:92.11-108.5|spi_slave.v:22.7-22.17|spi_if.v:42.15-54.6" @@ -25763,7 +34279,7 @@ }, "spi_if_ins.spi.r3_rx_done": { "hide_name": 0, - "bits": [ 547 ], + "bits": [ 921 ], "attributes": { "hdlname": "spi_if_ins spi r3_rx_done", "src": "top.v:92.11-108.5|spi_slave.v:23.7-23.17|spi_if.v:42.15-54.6" @@ -25771,14 +34287,14 @@ }, "spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O": { "hide_name": 0, - "bits": [ 521 ], + "bits": [ 896 ], "attributes": { "src": "top.v:92.11-108.5|spi_slave.v:48.3-60.6|spi_if.v:42.15-54.6" } }, "spi_if_ins.spi.r_rx_bit_count": { "hide_name": 0, - "bits": [ 553, 551, 549 ], + "bits": [ 927, 925, 923 ], "attributes": { "hdlname": "spi_if_ins spi r_rx_bit_count", "src": "top.v:92.11-108.5|spi_slave.v:17.13-17.27|spi_if.v:42.15-54.6" @@ -25786,7 +34302,7 @@ }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_2_D": { "hide_name": 0, - "bits": [ 552, 551, 549 ], + "bits": [ 926, 925, 923 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:92.11-108.5|spi_slave.v:34.25-34.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.23-33.24" @@ -25794,7 +34310,7 @@ }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D": { "hide_name": 0, - "bits": [ 552, 550, 548 ], + "bits": [ 926, 924, 922 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:92.11-108.5|spi_slave.v:34.25-34.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" @@ -25802,7 +34318,7 @@ }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFR_Q_D_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ "0", 553, 554 ], + "bits": [ "0", 927, 928 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:92.11-108.5|spi_slave.v:34.25-34.43|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" @@ -25810,7 +34326,7 @@ }, "spi_if_ins.spi.r_rx_byte": { "hide_name": 0, - "bits": [ 528, 527, 526, 525, 524, 523, 522, 520 ], + "bits": [ 903, 902, 901, 900, 899, 898, 897, 895 ], "attributes": { "hdlname": "spi_if_ins spi r_rx_byte", "src": "top.v:92.11-108.5|spi_slave.v:20.13-20.22|spi_if.v:42.15-54.6" @@ -25818,7 +34334,7 @@ }, "spi_if_ins.spi.r_rx_done": { "hide_name": 0, - "bits": [ 545 ], + "bits": [ 919 ], "attributes": { "hdlname": "spi_if_ins spi r_rx_done", "src": "top.v:92.11-108.5|spi_slave.v:21.7-21.16|spi_if.v:42.15-54.6" @@ -25826,7 +34342,7 @@ }, "spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D": { "hide_name": 0, - "bits": [ 44, 563 ], + "bits": [ 44, 937 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -25834,19 +34350,19 @@ }, "spi_if_ins.spi.r_rx_done_SB_DFFER_Q_D_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 556 ], + "bits": [ 930 ], "attributes": { } }, "spi_if_ins.spi.r_rx_done_SB_DFFER_Q_E": { "hide_name": 0, - "bits": [ 564 ], + "bits": [ 938 ], "attributes": { } }, "spi_if_ins.spi.r_temp_rx_byte": { "hide_name": 0, - "bits": [ 562, 561, 560, 559, 558, 557, 555, "x" ], + "bits": [ 936, 935, 934, 933, 932, 931, 929, "x" ], "attributes": { "hdlname": "spi_if_ins spi r_temp_rx_byte", "src": "top.v:92.11-108.5|spi_slave.v:19.13-19.27|spi_if.v:42.15-54.6" @@ -25854,7 +34370,7 @@ }, "spi_if_ins.spi.r_tx_bit_count": { "hide_name": 0, - "bits": [ 518, 512, 519 ], + "bits": [ 891, 909, 892 ], "attributes": { "hdlname": "spi_if_ins spi r_tx_bit_count", "src": "top.v:92.11-108.5|spi_slave.v:18.13-18.27|spi_if.v:42.15-54.6" @@ -25862,7 +34378,7 @@ }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 565, 569, 568 ], + "bits": [ 939, 943, 942 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:92.11-108.5|spi_slave.v:77.27-77.45|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" @@ -25870,7 +34386,7 @@ }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ "1", 518, 567 ], + "bits": [ "1", 891, 941 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:92.11-108.5|spi_slave.v:77.27-77.45|spi_if.v:42.15-54.6|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" @@ -25878,13 +34394,13 @@ }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_R": { "hide_name": 0, - "bits": [ 566 ], + "bits": [ 940 ], "attributes": { } }, "spi_if_ins.spi.r_tx_byte": { "hide_name": 0, - "bits": [ 543, 541, 535, 537, 544, 542, 536, 538 ], + "bits": [ 910, 917, 913, 915, 911, 918, 914, 916 ], "attributes": { "hdlname": "spi_if_ins spi r_tx_byte", "src": "top.v:92.11-108.5|spi_slave.v:24.13-24.22|spi_if.v:42.15-54.6" @@ -25892,49 +34408,48 @@ }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 514 ], + "bits": [ 944 ], "attributes": { } }, "spi_if_ins.state_if": { "hide_name": 0, - "bits": [ 496, 497, 491 ], + "bits": [ 863, 864, 861 ], "attributes": { "hdlname": "spi_if_ins state_if", "src": "top.v:92.11-108.5|spi_if.v:28.17-28.25" } }, - "spi_if_ins.state_if_SB_DFFESR_Q_1_R": { + "spi_if_ins.state_if_SB_DFFESR_Q_1_D": { "hide_name": 0, - "bits": [ 489 ], - "attributes": { - } - }, - "spi_if_ins.state_if_SB_DFFESR_Q_D": { - "hide_name": 0, - "bits": [ 572, 479, 570, 493 ], + "bits": [ 946, 854, 870, 862 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, + "spi_if_ins.state_if_SB_DFFESR_Q_1_R": { + "hide_name": 0, + "bits": [ 868 ], + "attributes": { + } + }, "spi_if_ins.state_if_SB_DFFE_Q_D": { "hide_name": 0, - "bits": [ 490, 492, 493 ], + "bits": [ 947 ], "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + "src": "top.v:92.11-108.5|spi_if.v:56.5-111.8" } }, "spi_if_ins.state_if_SB_DFFE_Q_E": { "hide_name": 0, - "bits": [ 571 ], + "bits": [ 945 ], "attributes": { } }, "spi_if_ins.w_rx_data": { "hide_name": 0, - "bits": [ 485, 484, 483, 482, 481, 477, 476, 479 ], + "bits": [ 860, 859, 858, 857, 856, 852, 851, 854 ], "attributes": { "hdlname": "spi_if_ins w_rx_data", "src": "top.v:92.11-108.5|spi_if.v:30.17-30.26" @@ -25942,7 +34457,7 @@ }, "spi_if_ins.w_rx_data_valid": { "hide_name": 0, - "bits": [ 493 ], + "bits": [ 862 ], "attributes": { "hdlname": "spi_if_ins w_rx_data_valid", "src": "top.v:92.11-108.5|spi_if.v:29.17-29.32" @@ -25950,7 +34465,7 @@ }, "sys_ctrl_ins.i_cs": { "hide_name": 0, - "bits": [ 478 ], + "bits": [ 853 ], "attributes": { "hdlname": "sys_ctrl_ins i_cs", "src": "top.v:113.13-126.5|sys_ctrl.v:9.29-9.33" @@ -25958,7 +34473,7 @@ }, "sys_ctrl_ins.i_data_in": { "hide_name": 0, - "bits": [ 62, 59, 146, 144, 142, 140, 139, 136 ], + "bits": [ 115, 112, 196, 195, 194, 192, 190, 188 ], "attributes": { "hdlname": "sys_ctrl_ins i_data_in", "src": "top.v:113.13-126.5|sys_ctrl.v:7.29-7.38" @@ -25974,7 +34489,7 @@ }, "sys_ctrl_ins.i_fetch_cmd": { "hide_name": 0, - "bits": [ 488 ], + "bits": [ 867 ], "attributes": { "hdlname": "sys_ctrl_ins i_fetch_cmd", "src": "top.v:113.13-126.5|sys_ctrl.v:10.29-10.40" @@ -25982,7 +34497,7 @@ }, "sys_ctrl_ins.i_ioc": { "hide_name": 0, - "bits": [ 132, 160, 163, 162, 161 ], + "bits": [ 48, 49, 214, 213, 212 ], "attributes": { "hdlname": "sys_ctrl_ins i_ioc", "src": "top.v:113.13-126.5|sys_ctrl.v:6.29-6.34" @@ -25990,7 +34505,7 @@ }, "sys_ctrl_ins.i_load_cmd": { "hide_name": 0, - "bits": [ 495 ], + "bits": [ 874 ], "attributes": { "hdlname": "sys_ctrl_ins i_load_cmd", "src": "top.v:113.13-126.5|sys_ctrl.v:11.29-11.39" @@ -26006,7 +34521,7 @@ }, "sys_ctrl_ins.i_sys_clk": { "hide_name": 0, - "bits": [ 58 ], + "bits": [ 111 ], "attributes": { "hdlname": "sys_ctrl_ins i_sys_clk", "src": "top.v:113.13-126.5|sys_ctrl.v:4.29-4.38" @@ -26014,7 +34529,7 @@ }, "sys_ctrl_ins.o_data_out": { "hide_name": 0, - "bits": [ 574, "0", "0", "0", "0", "0", "0", "0" ], + "bits": [ 949, "0", "0", "0", "0", "0", "0", "0" ], "attributes": { "hdlname": "sys_ctrl_ins o_data_out", "src": "top.v:113.13-126.5|sys_ctrl.v:8.29-8.39" @@ -26022,21 +34537,13 @@ }, "sys_ctrl_ins.o_data_out_SB_DFFE_Q_E": { "hide_name": 0, - "bits": [ 573 ], + "bits": [ 948 ], "attributes": { } }, - "sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3": { + "sys_ctrl_ins.o_data_out_SB_LUT4_I0_I2": { "hide_name": 0, - "bits": [ 132, 161, 164, 160 ], - "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" - } - }, - "sys_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I3_SB_LUT4_I2_O": { - "hide_name": 0, - "bits": [ 48, 16 ], + "bits": [ 495, 496 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -26044,7 +34551,7 @@ }, "sys_ctrl_ins.o_soft_reset": { "hide_name": 0, - "bits": [ 55 ], + "bits": [ 104 ], "attributes": { "hdlname": "sys_ctrl_ins o_soft_reset", "src": "top.v:113.13-126.5|sys_ctrl.v:13.29-13.41" @@ -26052,13 +34559,13 @@ }, "sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_E": { "hide_name": 0, - "bits": [ 575 ], + "bits": [ 950 ], "attributes": { } }, "sys_ctrl_ins.o_soft_reset_SB_DFFESS_Q_S": { "hide_name": 0, - "bits": [ 576 ], + "bits": [ 951 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:113.13-126.5|sys_ctrl.v:72.17-72.36|/usr/local/bin/../share/yosys/cmp2lut.v:24.22-24.23" @@ -26066,7 +34573,7 @@ }, "sys_ctrl_ins.reset_cmd": { "hide_name": 0, - "bits": [ 577 ], + "bits": [ 952 ], "attributes": { "hdlname": "sys_ctrl_ins reset_cmd", "src": "top.v:113.13-126.5|sys_ctrl.v:35.9-35.18" @@ -26074,19 +34581,19 @@ }, "sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 582 ], + "bits": [ 957 ], "attributes": { } }, "sys_ctrl_ins.reset_cmd_SB_DFFESR_Q_R": { "hide_name": 0, - "bits": [ 583 ], + "bits": [ 958 ], "attributes": { } }, "sys_ctrl_ins.reset_count": { "hide_name": 0, - "bits": [ 581, 580, 579, 578 ], + "bits": [ 956, 954, 955, 953 ], "attributes": { "hdlname": "sys_ctrl_ins reset_count", "src": "top.v:113.13-126.5|sys_ctrl.v:34.15-34.26" @@ -26094,31 +34601,31 @@ }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_1_D": { "hide_name": 0, - "bits": [ 586 ], + "bits": [ 961 ], "attributes": { } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_2_D": { "hide_name": 0, - "bits": [ 588 ], + "bits": [ 963 ], "attributes": { } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_3_D": { "hide_name": 0, - "bits": [ 589 ], + "bits": [ 964 ], "attributes": { } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_D": { "hide_name": 0, - "bits": [ 585 ], + "bits": [ 960 ], "attributes": { } }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_D_SB_LUT4_O_I3": { "hide_name": 0, - "bits": [ "0", 581, 587, 590 ], + "bits": [ "0", 956, 962, 965 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:113.13-126.5|sys_ctrl.v:73.32-73.50|/usr/local/bin/../share/yosys/ice40/arith_map.v:51.21-51.22" @@ -26126,69 +34633,69 @@ }, "sys_ctrl_ins.reset_count_SB_DFFESR_Q_E": { "hide_name": 0, - "bits": [ 584 ], + "bits": [ 959 ], "attributes": { } }, "w_clock_spi": { "hide_name": 0, - "bits": [ 58 ], + "bits": [ 111 ], "attributes": { "src": "top.v:66.16-66.27" } }, "w_clock_sys": { "hide_name": 0, - "bits": [ 58 ], + "bits": [ 111 ], "attributes": { "src": "top.v:67.16-67.27" } }, "w_cs": { "hide_name": 0, - "bits": [ 478, 72, 410, 475 ], + "bits": [ 853, 123, 780, 850 ], "attributes": { "src": "top.v:71.16-71.20" } }, "w_fetch": { "hide_name": 0, - "bits": [ 488 ], + "bits": [ 867 ], "attributes": { "src": "top.v:72.16-72.23" } }, "w_ioc": { "hide_name": 0, - "bits": [ 132, 160, 163, 162, 161 ], + "bits": [ 48, 49, 214, 213, 212 ], "attributes": { "src": "top.v:68.16-68.21" } }, "w_load": { "hide_name": 0, - "bits": [ 495 ], + "bits": [ 874 ], "attributes": { "src": "top.v:73.16-73.22" } }, "w_lvds_rx_09_d0": { "hide_name": 0, - "bits": [ 193 ], + "bits": [ 255 ], "attributes": { "src": "top.v:227.9-227.24" } }, "w_lvds_rx_09_d1": { "hide_name": 0, - "bits": [ 194 ], + "bits": [ 256 ], "attributes": { "src": "top.v:228.9-228.24" } }, "w_lvds_rx_09_d1_SB_LUT4_I0_O": { "hide_name": 0, - "bits": [ 55, 256 ], + "bits": [ 359, 360, 104 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" @@ -26196,13 +34703,61 @@ }, "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 171 ], + "bits": [ 222 ], + "attributes": { + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1": { + "hide_name": 0, + "bits": [ 321, 319, 969 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:248.12-257.5|lvds_rx.v:63.42-63.59|/usr/local/bin/../share/yosys/ice40/arith_map.v:33.26-33.27" + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_DFFNESR_Q_D": { + "hide_name": 0, + "bits": [ 968 ], + "attributes": { + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I1_SB_DFFNESR_Q_E": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2": { + "hide_name": 0, + "bits": [ 329, 321, 967, 331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O": { + "hide_name": 0, + "bits": [ 332, 356, 319, 966 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_I0_1_O": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + } + }, + "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_I0_O": { + "hide_name": 0, + "bits": [ 322 ], "attributes": { } }, "w_lvds_rx_09_d1_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 200, 198, 174, 173, 172, 170 ], + "bits": [ 300, 298, 225, 224, 223, 221, 296, 294, 292, 290, 288, 286, 282, 280, 278, 276, 274, 272, 270, 268, 266, 264, 314, 312, 310, 308, 306, 304, 302, 284, 262, 260 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "top.v:248.12-257.5|lvds_rx.v:47.13-78.20|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" @@ -26210,47 +34765,44 @@ }, "w_lvds_rx_24_d0": { "hide_name": 0, - "bits": [ 195 ], + "bits": [ 257 ], "attributes": { "src": "top.v:229.9-229.24" } }, "w_lvds_rx_24_d1": { "hide_name": 0, - "bits": [ 196 ], + "bits": [ 258 ], "attributes": { "src": "top.v:230.9-230.24" } }, - "w_lvds_rx_24_d1_SB_LUT4_I2_O": { + "w_lvds_rx_24_d1_SB_LUT4_I0_O": { "hide_name": 0, - "bits": [ 591, 271, 277, 278 ], + "bits": [ 104, 475 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_I1": { + "w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 271, 278, 277, 55 ], + "bits": [ 362 ], "attributes": { - "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, - "w_lvds_rx_24_d1_SB_LUT4_I2_O_SB_LUT4_I0_O": { + "w_lvds_rx_24_d1_SB_LUT4_I3_O": { "hide_name": 0, - "bits": [ 314, 272, 55 ], + "bits": [ 412, 410, 406, 404, 402, 400, 398, 396, 394, 392, 390, 388, 384, 382, 380, 378, 376, 374, 372, 370, 368, 366, 424, 422, 420, 418, 416, 414, 408, 386, 364, 361 ], "attributes": { "force_downto": "00000000000000000000000000000001", - "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" + "src": "top.v:272.12-281.5|lvds_rx.v:47.13-78.20|lvds_rx.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" } }, "w_rx_09_fifo_data": { "hide_name": 0, - "bits": [ 201, 199, 22, 23, 24, 25 ], + "bits": [ 301, 299, 22, 23, 24, 25 ], "attributes": { - "unused_bits": "4 5" } }, "w_rx_09_fifo_empty": { @@ -26262,70 +34814,91 @@ }, "w_rx_09_fifo_full": { "hide_name": 0, - "bits": [ 213 ], + "bits": [ 330 ], "attributes": { "src": "top.v:232.9-232.26" } }, "w_rx_09_fifo_pull": { "hide_name": 0, - "bits": [ "0" ], + "bits": [ 108 ], "attributes": { "src": "top.v:237.9-237.26" } }, + "w_rx_09_fifo_pulled_data": { + "hide_name": 0, + "bits": [ 68, 546, 547, 548, 549, 550, 96, 551, 552, 553, 554, 555, 556, 557, 91, 558, 531, 532, 533, 534, 535, 536, 97, 537, 538, 539, 540, 541, 542, 543, 92, 544 ], + "attributes": { + "src": "top.v:238.16-238.40" + } + }, "w_rx_09_fifo_push": { "hide_name": 0, - "bits": [ 211 ], + "bits": [ 328 ], "attributes": { "src": "top.v:235.9-235.26" } }, "w_rx_09_fifo_write_clk": { "hide_name": 0, - "bits": [ 169 ], + "bits": [ 220 ], "attributes": { "src": "top.v:234.9-234.31" } }, + "w_rx_24_fifo_data": { + "hide_name": 0, + "bits": [ 413, 411, 407, 405, 403, 401, 399, 397, 395, 393, 391, 389, 385, 383, 381, 379, 377, 375, 373, 371, 369, 367, 425, 423, 421, 419, 417, 415, 409, 387, 365, 363 ], + "attributes": { + "src": "top.v:244.16-244.33" + } + }, "w_rx_24_fifo_empty": { "hide_name": 0, - "bits": [ 367 ], + "bits": [ 66 ], "attributes": { "src": "top.v:241.9-241.27" } }, "w_rx_24_fifo_full": { "hide_name": 0, - "bits": [ 269 ], + "bits": [ 444 ], "attributes": { "src": "top.v:240.9-240.26" } }, "w_rx_24_fifo_pull": { "hide_name": 0, - "bits": [ "0" ], + "bits": [ 106 ], "attributes": { "src": "top.v:245.9-245.26" } }, + "w_rx_24_fifo_pulled_data": { + "hide_name": 0, + "bits": [ 71, 683, 684, 685, 686, 687, 80, 688, 689, 690, 691, 692, 693, 694, 79, 695, 667, 668, 669, 670, 671, 672, 77, 673, 674, 675, 676, 677, 678, 679, 76, 680 ], + "attributes": { + "src": "top.v:246.16-246.40" + } + }, "w_rx_24_fifo_push": { "hide_name": 0, - "bits": [ 268 ], + "bits": [ 443 ], "attributes": { "src": "top.v:243.9-243.26" } }, "w_rx_24_fifo_write_clk": { "hide_name": 0, - "bits": [ 169 ], + "bits": [ 220 ], "attributes": { "src": "top.v:242.9-242.31" } }, "w_rx_data": { "hide_name": 0, - "bits": [ 62, 59, 146, 144, 142, 140, 139, 136 ], + "bits": [ 115, 112, 196, 195, 194, 192, 190, 188 ], "attributes": { "src": "top.v:69.16-69.25" } @@ -26346,21 +34919,21 @@ }, "w_smi_data_output": { "hide_name": 0, - "bits": [ 32, 192, 191, 190, 189, 188, 187, 186 ], + "bits": [ 32, 254, 253, 252, 251, 250, 249, 248 ], "attributes": { "src": "top.v:330.15-330.32" } }, "w_smi_read_req": { "hide_name": 0, - "bits": [ "1" ], + "bits": [ 219 ], "attributes": { "src": "top.v:332.9-332.23" } }, "w_smi_test": { "hide_name": 0, - "bits": [ "1" ], + "bits": [ "0" ], "attributes": { "src": "top.v:335.9-335.19" } @@ -26381,27 +34954,27 @@ }, "w_soft_reset": { "hide_name": 0, - "bits": [ 55 ], + "bits": [ 104 ], "attributes": { "src": "top.v:75.16-75.28" } }, "w_tx_data_io": { "hide_name": 0, - "bits": [ 134, 98, 110, 101, 105, 120, 125, 130 ], + "bits": [ 186, 148, 162, 151, 156, 169, 176, 181 ], "attributes": { "src": "top.v:78.16-78.28" } }, "w_tx_data_smi": { "hide_name": 0, - "bits": [ 415, 414, 413, 412 ], + "bits": [ 795, 794, 793, 792 ], "attributes": { } }, "w_tx_data_sys": { "hide_name": 0, - "bits": [ 574, "0", "0", "0", "0", "0", "0", "0" ], + "bits": [ 949, "0", "0", "0", "0", "0", "0", "0" ], "attributes": { "src": "top.v:77.16-77.29" } diff --git a/firmware/top.v b/firmware/top.v index 4bfe3ac..999a7ce 100644 --- a/firmware/top.v +++ b/firmware/top.v @@ -335,7 +335,7 @@ module top( wire w_smi_test; //assign w_smi_data_output = 8'b10100101; - assign w_smi_test = 1'b1; + assign w_smi_test = 1'b0; assign w_smi_addr = {i_smi_a3, i_smi_a2, i_smi_a1}; assign io_smi_data = (w_smi_writing)?w_smi_data_output:1'bZ; assign w_smi_data_input = io_smi_data; diff --git a/software/libcariboulite/src/caribou_smi/build/CMakeFiles/test_caribou_smi.dir/C.includecache b/software/libcariboulite/src/caribou_smi/build/CMakeFiles/test_caribou_smi.dir/C.includecache index 6602ba2..94ce6fa 100644 --- a/software/libcariboulite/src/caribou_smi/build/CMakeFiles/test_caribou_smi.dir/C.includecache +++ b/software/libcariboulite/src/caribou_smi/build/CMakeFiles/test_caribou_smi.dir/C.includecache @@ -8,51 +8,19 @@ ../../zf_log/zf_log.h -/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/bcm2835_smi.h -linux/ioctl.h +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h +pthread.h - stdint.h - -stdbool.h -- -linux/dmaengine.h -- -linux/of.h -- -linux/semaphore.h -- -/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.c +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/test_caribou_smi.c zf_log/zf_log.h /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/zf_log/zf_log.h -caribou_smi.h -/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h -bcm2835_smi.h -/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/bcm2835_smi.h -string.h -- -fcntl.h -- -stdint.h -- stdio.h - -stdlib.h -- -sys/ioctl.h -- -sys/stat.h -- -unistd.h -- -sched.h -- -pthread.h -- - -/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h -pthread.h -- stdint.h - +caribou_smi.h +/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h diff --git a/software/libcariboulite/src/caribou_smi/build/test_caribou_smi b/software/libcariboulite/src/caribou_smi/build/test_caribou_smi index 2573980..3d8d185 100755 Binary files a/software/libcariboulite/src/caribou_smi/build/test_caribou_smi and b/software/libcariboulite/src/caribou_smi/build/test_caribou_smi differ diff --git a/software/libcariboulite/src/caribou_smi/test_caribou_smi.c b/software/libcariboulite/src/caribou_smi/test_caribou_smi.c index 89fbe97..e101908 100644 --- a/software/libcariboulite/src/caribou_smi/test_caribou_smi.c +++ b/software/libcariboulite/src/caribou_smi/test_caribou_smi.c @@ -10,17 +10,36 @@ caribou_smi_st dev = {0}; - - void caribou_smi_data_event(void *ctx, caribou_smi_stream_type_en type, caribou_smi_channel_en ch, uint32_t byte_count, uint8_t *buffer, uint32_t buffer_len_bytes) { + static int c = 1; + static uint8_t last_byte = 0; + static int err_count = 0; switch(type) { //------------------------------------------------------- case caribou_smi_stream_type_read: { - ZF_LOGD("data event: stream channel %d, received %d bytes\n", ch, byte_count); + //ZF_LOGD("data event: stream channel %d, received %d bytes\n", ch, byte_count); + for (int i = 0; i< byte_count; i++) + { + uint8_t dist = (uint8_t)(buffer[i] - last_byte); + if ( dist > 1) + { + err_count ++; + float bER = (float)(err_count) / (float)(c); + printf("ERR > at %d, dist: %d, V: %d, bER: %.7f\n", c, dist, buffer[i], bER); + } + /*printf("CUR CHUNK > %d %d %d %d ... %d %d %d %d\n", buffer[0],buffer[1],buffer[2],buffer[3], + buffer[byte_count-4], + buffer[byte_count-3], + buffer[byte_count-2], + buffer[byte_count-1]); + */ + last_byte = buffer[i]; + c++; + } } break;