cariboulabs-cariboulite/firmware/Makefile

23 wiersze
666 B
Makefile
Czysty Zwykły widok Historia

PROG = ../software/libcariboulite/build/test/ice40programmer
2021-06-13 11:45:08 +00:00
filename = top
pcf_file = ./io.pcf
build:
yosys -p 'synth_ice40 -top top -json $(filename).json' $(filename).v
nextpnr-ice40 --lp1k --package qn84 --json $(filename).json --pcf $(pcf_file) --asc $(filename).asc
icepack $(filename).asc $(filename).bin
#build:
# yosys -p "synth_ice40 -blif $(filename).blif" $(filename).v
# arachne-pnr -d 1k -P qn84 -p $(pcf_file) $(filename).blif -o $(filename).asc
# icepack $(filename).asc $(filename).bin
prog: build
$(PROG) $(filename).bin
2021-08-15 20:39:34 +00:00
prog_only:
$(PROG) $(filename).bin
2021-06-13 11:45:08 +00:00
clean:
rm -rf $(filename).json $(filename).blif $(filename).asc $(filename).bin