Wolf-LITE/FPGA/rx_ciccomp_sim/rx_ciccomp_input.txt

258 wiersze
1.3 KiB
Plaintext

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
2
3
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
-152524643
613799617
-381277269
-35857435
-1360488885
669183061
-1559636759
-629613231
889838999
447938485
-575548987
-985478421
-1541936083
512905015
395228047
-1716617889
-1901546625
-442491721
1924998361
-541172375
1256622067
1692895471
-1664141653
-401743443
-413460333
229264961
670536809
-282030593
1555716025
-841653697
1948580957
674527549
-1929140117
-349844013
-18101005
719086839
-345462243
611863387
1436243473
1227858431
-701197853
357939365
-1362271339
776249741
-1681242185
-47576069
-747074999
-1900107831
-2030484727
1453311435
326287067
-766499369
-1947980077
834528023
-1450699643
620428137
-644891273
1809824745
766113107
-248958063
-940020485
-2034584063
1275247987
1206120449
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0