Wolf-LITE/FPGA/output_files/signal_activity.saf

22541 wiersze
1.1 MiB

# Copyright (C) 2018 Intel Corporation. All rights reserved.
# Your use of Intel Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Intel Program License
# Subscription Agreement, the Intel Quartus Prime License Agreement,
# the Intel FPGA IP License Agreement, or other applicable license
# agreement, including, without limitation, that your use is for
# the sole purpose of programming logic devices manufactured by
# Intel and sold by Intel or its authorized distributors. Please
# refer to the applicable agreement for further details.
# Signal Activity File Name: "output_files/signal_activity.saf"
# Created On: "01/07/2021 18:22:15"
# Created By: "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition"
# This file was created by the Quartus Prime Power Analyzer.
FORMAT_VERSION 1;
DEFINE_FLAG TOGGLE_RATE_FROM_SIMULATION 0x1;
DEFINE_FLAG STATIC_PROBABILITY_FROM_SIMULATION 0x2;
DEFINE_FLAG TOGGLE_RATE_FROM_USER 0x4;
DEFINE_FLAG STATIC_PROBABILITY_FROM_USER 0x8;
DEFINE_FLAG TOGGLE_RATE_FROM_USER_DEFAULT 0x10;
DEFINE_FLAG STATIC_PROBABILITY_FROM_USER_DEFAULT 0x20;
DEFINE_FLAG TOGGLE_RATE_FROM_VECTORLESS_ESTIMATION 0x40;
DEFINE_FLAG STATIC_PROBABILITY_FROM_VECTORLESS_ESTIMATION 0x80;
DEFINE_FLAG TOGGLE_RATE_ASSUMED_ZERO 0x100;
DEFINE_FLAG TOGGLE_RATE_CLIPPED_TO_MAX 0x200;
BEGIN_OUTPUT_SIGNAL_INFO;
# Output Signal Information Line Format Description:
# <one or more spaces><partial output signal name><spaces>[<flags mask><spaces><toggle rate><spaces><static probability>]<;>
ADC_INPUT[0] 0x120 0 0.5;
ADC_INPUT[0]~input 0xc0 0 0.5;
ADC_INPUT[1] 0x120 0 0.5;
ADC_INPUT[1]~input 0xc0 0 0.5;
ADC_INPUT[2] 0x120 0 0.5;
ADC_INPUT[2]~input 0xc0 0 0.5;
ADC_INPUT[3] 0x120 0 0.5;
ADC_INPUT[3]~input 0xc0 0 0.5;
ADC_INPUT[4] 0x120 0 0.5;
ADC_INPUT[4]~input 0xc0 0 0.5;
ADC_INPUT[5] 0x120 0 0.5;
ADC_INPUT[5]~input 0xc0 0 0.5;
ADC_INPUT[6] 0x120 0 0.5;
ADC_INPUT[6]~input 0xc0 0 0.5;
ADC_INPUT[7] 0x120 0 0.5;
ADC_INPUT[7]~input 0xc0 0 0.5;
ADC_INPUT[8] 0x120 0 0.5;
ADC_INPUT[8]~input 0xc0 0 0.5;
ADC_INPUT[9] 0x120 0 0.5;
ADC_INPUT[9]~input 0xc0 0 0.5;
ADC_INPUT[10] 0x120 0 0.5;
ADC_INPUT[10]~input 0xc0 0 0.5;
ADC_INPUT[11] 0x120 0 0.5;
ADC_INPUT[11]~input 0xc0 0 0.5;
ADC_OTR 0x30 2e+07 0.5;
ADC_OTR~input 0xc0 2e+07 0.5;
altera_internal_jtag~TCKUTAP 0xc0 2e+07 0.5;
altera_internal_jtag~TCKUTAPclkctrl 0xc0 2e+07 0.5;
altera_internal_jtag~TDIUTAP 0xc0 8e+06 0.5;
altera_internal_jtag~TDO 0xc0 5e+06 0.5;
altera_internal_jtag~TMSUTAP 0xc0 8e+06 0.5;
altera_reserved_tck 0xc 2e+07 0.5;
altera_reserved_tck~input 0xc0 2e+07 0.5;
altera_reserved_tdi 0x30 8e+06 0.5;
altera_reserved_tdi~input 0xc0 8e+06 0.5;
altera_reserved_tdo 0xc0 5e+06 0.5;
altera_reserved_tdo~output 0xc0 5e+06 0.5;
altera_reserved_tms 0x30 8e+06 0.5;
altera_reserved_tms~input 0xc0 8e+06 0.5;
ATT_1 0xc0 3.125e+06 0.5;
ATT_1~output 0xc0 3.125e+06 0.5;
ATT_2 0xc0 3.125e+06 0.5;
ATT_2~output 0xc0 3.125e+06 0.5;
ATT_4 0xc0 3.125e+06 0.5;
ATT_4~output 0xc0 3.125e+06 0.5;
ATT_05 0xc0 3.125e+06 0.5;
ATT_05~output 0xc0 3.125e+06 0.5;
ATT_8 0xc0 3.125e+06 0.5;
ATT_8~output 0xc0 3.125e+06 0.5;
ATT_16 0xc0 3.125e+06 0.5;
ATT_16~output 0xc0 3.125e+06 0.5;
AUDIO_48K_CLOCK 0xc0 96001.6 0.5;
AUDIO_48K_CLOCK~output 0xc0 96001.6 0.5;
AUDIO_I2S_CLOCK 0xc0 2.45767e+07 0.5;
AUDIO_I2S_CLOCK~output 0xc0 2.45767e+07 0.5;
hard_block:auto_generated_inst;
sld_hub:auto_hub;
alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric;
alt_sld_fab:instrumentation_fabric;
alt_sld_fab_alt_sld_fab:alt_sld_fab;
alt_sld_fab_alt_sld_fab_ident:ident;
Mux0~0 0xc0 442200 0.4375;
Mux0~1 0xc0 649414 0.3125;
Mux0~2 0xc0 248909 0.15625;
Mux1~0 0xc0 495300 0.4375;
Mux1~1 0xc0 532227 0.3125;
Mux1~2 0xc0 226021 0.15625;
Mux2~0 0xc0 590515 0.5625;
Mux2~1 0xc0 355225 0.1875;
Mux2~2 0xc0 154953 0.09375;
Mux3~0 0xc0 538330 0.375;
Mux3~1 0xc0 605469 0.3125;
Mux3~2 0xc0 297089 0.15625;
alt_sld_fab_alt_sld_fab_sldfabric:sldfabric;
sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub;
clr_reg 0xc0 1.25e+06 0.5;
clr_reg_proc~0 0xc0 703125 0.25;
design_hash_proc~0 0xc0 937500 0.75;
design_hash_reg[0] 0xc0 1.25e+06 0.5;
design_hash_reg[0]~0 0xc0 667518 0.265625;
design_hash_reg[1] 0xc0 1.25e+06 0.5;
design_hash_reg[1]~1 0xc0 618222 0.328125;
design_hash_reg[2] 0xc0 1.25e+06 0.5;
design_hash_reg[2]~2 0xc0 558975 0.359375;
design_hash_reg[3] 0xc0 1.25e+06 0.5;
design_hash_reg[3]~3 0xc0 860009 0.296875;
design_hash_reg~4 0xc0 766602 0.5;
design_hash_reg~5 0xc0 1.13281e+06 0.5;
design_hash_reg~6 0xc0 1.13281e+06 0.5;
design_hash_reg~7 0xc0 1.64844e+06 0.5;
Equal0~0 0xc0 168457 0.0625;
Equal0~1 0xc0 168457 0.0625;
Equal0~2 0xc0 694.469 0.000976563;
Equal1~0 0xc0 694.469 0.000976563;
Equal7~0 0xc0 297852 0.125;
sld_rom_sr:hub_info_reg;
Add0~0 0xc0 1.25e+06 0.5;
Add0~1 0xc0 1.25e+06 0.5;
Add0~2 0xc0 1.25e+06 0.5;
Add0~3 0xc0 703125 0.75;
Add0~4 0xc0 1.13281e+06 0.5;
Add0~5 0xc0 957031 0.125;
Add0~6 0xc0 1.45508e+06 0.5;
Add0~7 0xc0 278320 0.9375;
Add0~8 0xc0 1.24268e+06 0.5;
clear_signal 0xc0 937500 0.25;
Equal0~0 0xc0 153809 0.0625;
Mux0~0 0xc0 568848 0.3125;
Mux1~0 0xc0 615234 0.625;
word_counter[0] 0xc0 1.25e+06 0.5;
word_counter[1] 0xc0 1.25e+06 0.5;
word_counter[2] 0xc0 1.25e+06 0.5;
word_counter[3] 0xc0 1.25e+06 0.5;
word_counter[4] 0xc0 1.25e+06 0.5;
word_counter~0 0xc0 1.17188e+06 0.375;
word_counter~1 0xc0 767212 0.34375;
word_counter~2 0xc0 1.1499e+06 0.375;
word_counter~3 0xc0 1.4754e+06 0.375;
word_counter~4 0xc0 1.21033e+06 0.375;
word_counter~5 0xc0 1.7668e+06 0.375;
WORD_SR[0] 0xc0 1.25e+06 0.5;
WORD_SR[1] 0xc0 1.25e+06 0.5;
WORD_SR[2] 0xc0 1.25e+06 0.5;
WORD_SR[3] 0xc0 1.25e+06 0.5;
WORD_SR~0 0xc0 703125 0.1875;
WORD_SR~1 0xc0 902710 0.53125;
WORD_SR~2 0xc0 856934 0.375;
WORD_SR~3 0xc0 349932 0.28125;
WORD_SR~4 0xc0 272579 0.0703125;
WORD_SR~5 0xc0 1.79338e+06 0.244629;
WORD_SR~6 0xc0 1.28729e+06 0.304688;
hub_info_reg_ena~0 0xc0 703125 0.75;
hub_minor_ver_reg[0] 0xc0 1.25e+06 0.5;
hub_minor_ver_reg[1] 0xc0 1.25e+06 0.5;
hub_minor_ver_reg[2] 0xc0 1.25e+06 0.5;
hub_minor_ver_reg[3] 0xc0 1.25e+06 0.5;
hub_minor_ver_reg~0 0xc0 937500 0.75;
hub_minor_ver_reg~1 0xc0 937500 0.25;
hub_minor_ver_reg~2 0xc0 937500 0.75;
hub_minor_ver_reg~3 0xc0 2.625e+06 0.25;
hub_mode_reg[0] 0xc0 1.25e+06 0.5;
hub_mode_reg[1] 0xc0 1.25e+06 0.5;
hub_mode_reg[1]~0 0xc0 408630 0.3125;
hub_mode_reg[1]~1 0xc0 1.53829e+06 0.5;
hub_mode_reg[2] 0xc0 1.25e+06 0.5;
hub_mode_reg[2]~2 0xc0 112610 0.0625;
hub_mode_reg[2]~3 0xc0 739212 0.265625;
hub_mode_reg~4 0xc0 112610 0.0625;
identity_contrib_shift_reg[0] 0xc0 1.25e+06 0.5;
identity_contrib_shift_reg[0]~0 0xc0 112610 0.0625;
identity_contrib_shift_reg[0]~1 0xc0 13743.6 0.015625;
identity_contrib_shift_reg[1] 0xc0 1.25e+06 0.5;
identity_contrib_shift_reg[2] 0xc0 1.25e+06 0.5;
identity_contrib_shift_reg[3] 0xc0 1.25e+06 0.5;
identity_contrib_shift_reg[3]~feeder 0xc0 8e+06 0.5;
irf_reg[1][0] 0xc0 1.25e+06 0.5;
irf_reg[1][0]~0 0xc0 1.39648e+06 0.09375;
irf_reg[1][0]~feeder 0xc0 1.25e+06 0.5;
irf_reg[1][1] 0xc0 1.25e+06 0.5;
irf_reg[1][1]~feeder 0xc0 1.25e+06 0.5;
irf_reg[1][2] 0xc0 1.25e+06 0.5;
irf_reg[1][2]~feeder 0xc0 1.25e+06 0.5;
irf_reg[1][3] 0xc0 1.25e+06 0.5;
irf_reg[1][3]~feeder 0xc0 1.25e+06 0.5;
irsr_reg[0] 0xc0 1.25e+06 0.5;
irsr_reg[1] 0xc0 1.25e+06 0.5;
irsr_reg[2] 0xc0 1.25e+06 0.5;
irsr_reg[2]~3 0xc0 628967 0.25;
irsr_reg[2]~feeder 0xc0 1.25e+06 0.25;
irsr_reg[3] 0xc0 1.25e+06 0.5;
irsr_reg[3]~6 0xc0 1.25e+06 0.25;
irsr_reg[3]~7 0xc0 974121 0.46875;
irsr_reg[3]~8 0xc0 626833 0.408203;
irsr_reg[4] 0xc0 1.25e+06 0.5;
irsr_reg~0 0xc0 2.09375e+06 0.5;
irsr_reg~1 0xc0 1.25e+06 0.25;
irsr_reg~2 0xc0 751953 0.5;
irsr_reg~4 0xc0 1.25e+06 0.25;
irsr_reg~5 0xc0 1.25e+06 0.25;
jtag_ir_reg[0] 0xc0 1.25e+06 0.5;
jtag_ir_reg[0]~1 0xc0 1.25e+06 0.5;
jtag_ir_reg[1] 0xc0 1.25e+06 0.5;
jtag_ir_reg[1]~feeder 0xc0 1.25e+06 0.5;
jtag_ir_reg[2] 0xc0 1.25e+06 0.5;
jtag_ir_reg[2]~0 0xc0 1.25e+06 0.5;
jtag_ir_reg[3] 0xc0 1.25e+06 0.5;
jtag_ir_reg[3]~feeder 0xc0 1.25e+06 0.5;
jtag_ir_reg[4] 0xc0 1.25e+06 0.5;
jtag_ir_reg[4]~feeder 0xc0 1.25e+06 0.5;
jtag_ir_reg[5] 0xc0 1.25e+06 0.5;
jtag_ir_reg[6] 0xc0 1.25e+06 0.5;
jtag_ir_reg[6]~feeder 0xc0 1.25e+06 0.5;
jtag_ir_reg[7] 0xc0 1.25e+06 0.5;
jtag_ir_reg[8] 0xc0 1.25e+06 0.5;
jtag_ir_reg[9] 0xc0 1.25e+06 0.5;
mixer_addr_reg_internal[0] 0xc0 1.25e+06 0.5;
mixer_addr_reg_internal[0]~5 0xc0 1.25e+06 0.5;
mixer_addr_reg_internal[0]~6 0xc0 1.25e+06 0.5;
mixer_addr_reg_internal[1] 0xc0 1.25e+06 0.5;
mixer_addr_reg_internal[1]~9 0xc0 1.25e+06 0.5;
mixer_addr_reg_internal[1]~10 0xc0 703125 0.25;
mixer_addr_reg_internal[2] 0xc0 1.25e+06 0.5;
mixer_addr_reg_internal[2]~11 0xc0 1.13281e+06 0.5;
mixer_addr_reg_internal[2]~12 0xc0 332031 0.875;
mixer_addr_reg_internal[3] 0xc0 1.25e+06 0.5;
mixer_addr_reg_internal[3]~13 0xc0 1.14258e+06 0.5;
mixer_addr_reg_internal[3]~14 0xc0 1.05957e+06 0.0625;
mixer_addr_reg_internal[4] 0xc0 1.25e+06 0.5;
mixer_addr_reg_internal[4]~15 0xc0 1.6333e+06 0.5;
mixer_addr_reg_internal~7 0xc0 1.3298e+06 0.273438;
mixer_addr_reg_internal~8 0xc0 864258 0.4375;
node_ena_proc~0 0xc0 2.8125e+06 0.25;
node_ena_proc~1 0xc0 4.3125e+06 0.25;
node_ena~0 0xc0 2.37969e+06 0.5;
node_ena~1 0xc0 864243 0.1875;
node_ena~2 0xc0 1.98242e+06 0.40625;
node_ena~3 0xc0 2.14931e+06 0.298828;
reset_ena_reg 0xc0 1.25e+06 0.5;
reset_ena_reg_proc~0 0xc0 2.10938e+06 0.1875;
sld_shadow_jsm:shadow_jsm;
state[0] 0xc0 1.25e+06 0.5;
state[0]~_wirecell 0xc0 1.25e+06 0.5;
state[1] 0xc0 1.25e+06 0.5;
state[2] 0xc0 1.25e+06 0.5;
state[3] 0xc0 1.25e+06 0.5;
state[4] 0xc0 1.25e+06 0.5;
state[5] 0xc0 1.25e+06 0.5;
state[6] 0xc0 1.25e+06 0.5;
state[7] 0xc0 1.25e+06 0.5;
state[8] 0xc0 1.25e+06 0.5;
state[9] 0xc0 1.25e+06 0.5;
state[10] 0xc0 1.25e+06 0.5;
state[11] 0xc0 1.25e+06 0.5;
state[12] 0xc0 1.25e+06 0.5;
state[13] 0xc0 1.25e+06 0.5;
state[14] 0xc0 1.25e+06 0.5;
state[15] 0xc0 1.25e+06 0.5;
state[15]~feeder 0xc0 3.46875e+06 0.375;
state~0 0xc0 4.51172e+06 0.5625;
state~1 0xc0 183105 0.9375;
state~2 0xc0 7.12207e+06 0.4375;
state~3 0xc0 2.625e+06 0.25;
state~4 0xc0 488281 0.875;
state~5 0xc0 2.51953e+06 0.375;
state~6 0xc0 703125 0.75;
state~7 0xc0 2.625e+06 0.25;
state~8 0xc0 2.20313e+06 0.375;
state~9 0xc0 2.625e+06 0.25;
state~10 0xc0 356445 0.875;
state~11 0xc0 6.17578e+06 0.375;
state~12 0xc0 703125 0.75;
state~13 0xc0 4.3125e+06 0.25;
tms_cnt[0] 0xc0 1.25e+06 0.5;
tms_cnt[1] 0xc0 1.25e+06 0.5;
tms_cnt[2] 0xc0 1.25e+06 0.5;
tms_cnt~0 0xc0 869141 0.5;
tms_cnt~1 0xc0 2.8125e+06 0.25;
tms_cnt~2 0xc0 1.25e+06 0.5;
tdo 0xc0 1.25e+06 0.5;
tdo_bypass_reg 0xc0 1.25e+06 0.5;
tdo_bypass_reg~0 0xc0 3.21875e+06 0.5;
tdo_mux_out~0 0xc0 1.02539e+06 0.5625;
tdo_mux_out~1 0xc0 532227 0.3125;
tdo_mux_out~2 0xc0 743980 0.578125;
tdo_mux_out~3 0xc0 957031 0.5;
tdo_mux_out~4 0xc0 607910 0.5625;
tdo_mux_out~5 0xc0 453102 0.292969;
tdo_mux_out~6 0xc0 1.01563e+06 0.5;
tdo_mux_out~7 0xc0 393288 0.3125;
tdo_mux_out~8 0xc0 455402 0.773565;
virtual_dr_scan_reg 0xc0 1.25e+06 0.5;
virtual_ir_dr_scan_proc~0 0xc0 3.46875e+06 0.375;
virtual_ir_scan_reg 0xc0 1.25e+06 0.5;
virtual_ir_tdo_sel_reg[0] 0xc0 1.25e+06 0.5;
sldfabric_ident_writedata[0] 0xc0 1.25e+06 0.5;
sldfabric_ident_writedata[0]~0 0xc0 6890.89 0.0078125;
sldfabric_ident_writedata[1] 0xc0 1.25e+06 0.5;
sldfabric_ident_writedata[2] 0xc0 1.25e+06 0.5;
sldfabric_ident_writedata[3] 0xc0 1.25e+06 0.5;
splitter_nodes_receive_0[3] 0xc0 1.25e+06 0.5;
sld_jtag_interface_mod:\jtag_interface_mod_gen:device_family_mod_inst;
BPF_A 0xc0 3.125e+06 0.5;
BPF_A~output 0xc0 3.125e+06 0.5;
BPF_B 0xc0 3.125e+06 0.5;
BPF_B~output 0xc0 3.125e+06 0.5;
BPF_OE1 0xc0 3.125e+06 0.5;
BPF_OE1~output 0xc0 3.125e+06 0.5;
BPF_OE2 0xc0 3.125e+06 0.5;
BPF_OE2~output 0xc0 3.125e+06 0.5;
data_shifter:CIC_GAINER;
Mux0~0 0xc0 1.6741e+06 0.5;
Mux0~1 0xc0 893593 0.5;
Mux0~2 0xc0 1.71339e+06 0.4375;
Mux0~3 0xc0 1.03119e+06 0.359375;
Mux0~4 0xc0 1.50666e+06 0.496094;
Mux0~5 0xc0 1.6741e+06 0.5;
Mux0~6 0xc0 893593 0.5;
Mux0~7 0xc0 762334 0.498047;
Mux0~8 0xc0 950703 0.338867;
Mux1~0 0xc0 1.6741e+06 0.5;
Mux1~1 0xc0 893593 0.5;
Mux1~2 0xc0 1.62676e+06 0.5;
Mux1~3 0xc0 1.07513e+06 0.375;
Mux1~4 0xc0 1.6741e+06 0.5;
Mux1~5 0xc0 893593 0.5;
Mux1~6 0xc0 1.6741e+06 0.5;
Mux1~7 0xc0 893593 0.5;
Mux1~8 0xc0 1.86418e+06 0.4375;
Mux1~9 0xc0 927652 0.46875;
Mux1~10 0xc0 1.50124e+06 0.492188;
Mux1~11 0xc0 1.6741e+06 0.5;
Mux1~12 0xc0 893593 0.5;
Mux1~13 0xc0 761815 0.496094;
Mux1~14 0xc0 949818 0.341797;
Mux2~0 0xc0 1.6741e+06 0.5;
Mux2~1 0xc0 893593 0.5;
Mux2~2 0xc0 1.31518e+06 0.125;
Mux2~3 0xc0 1.17064e+06 0.15625;
Mux2~4 0xc0 1.62177e+06 0.380859;
Mux2~5 0xc0 1.50073e+06 0.488281;
Mux2~6 0xc0 1.6741e+06 0.5;
Mux2~7 0xc0 893593 0.5;
Mux2~8 0xc0 761936 0.494141;
Mux2~9 0xc0 980412 0.342285;
Mux3~0 0xc0 1.6741e+06 0.5;
Mux3~1 0xc0 893593 0.5;
Mux3~2 0xc0 1.31518e+06 0.125;
Mux3~3 0xc0 1.033e+06 0.1875;
Mux3~4 0xc0 1.5201e+06 0.394531;
Mux3~5 0xc0 1.6741e+06 0.5;
Mux3~6 0xc0 893593 0.5;
Mux3~7 0xc0 1.6741e+06 0.5;
Mux3~8 0xc0 893593 0.5;
Mux3~9 0xc0 1.62676e+06 0.5;
Mux3~10 0xc0 1.17475e+06 0.375;
Mux3~11 0xc0 1.53253e+06 0.46875;
Mux3~12 0xc0 1.6741e+06 0.5;
Mux3~13 0xc0 893593 0.5;
Mux3~14 0xc0 767585 0.484375;
Mux3~15 0xc0 959746 0.34082;
Mux4~0 0xc0 1.6741e+06 0.5;
Mux4~1 0xc0 893593 0.5;
Mux4~2 0xc0 1.31518e+06 0.125;
Mux4~3 0xc0 1.00841e+06 0.21875;
Mux4~4 0xc0 1.44042e+06 0.408203;
Mux4~5 0xc0 1.67198e+06 0.46875;
Mux4~6 0xc0 896021 0.484375;
Mux4~7 0xc0 1.6741e+06 0.5;
Mux4~8 0xc0 893593 0.5;
Mux4~9 0xc0 1.62676e+06 0.5;
Mux4~10 0xc0 1.17475e+06 0.375;
Mux4~11 0xc0 1.53253e+06 0.46875;
Mux4~12 0xc0 1.6741e+06 0.5;
Mux4~13 0xc0 893593 0.5;
Mux4~14 0xc0 750974 0.480225;
Mux4~15 0xc0 950986 0.342163;
Mux5~0 0xc0 1.6741e+06 0.5;
Mux5~1 0xc0 893593 0.5;
Mux5~2 0xc0 1.31518e+06 0.125;
Mux5~3 0xc0 1.11081e+06 0.25;
Mux5~4 0xc0 1.38453e+06 0.421875;
Mux5~5 0xc0 1.6741e+06 0.5;
Mux5~6 0xc0 893593 0.5;
Mux5~7 0xc0 1.86418e+06 0.4375;
Mux5~8 0xc0 927652 0.46875;
Mux5~9 0xc0 1.62676e+06 0.5;
Mux5~10 0xc0 1.17475e+06 0.375;
Mux5~11 0xc0 1.55114e+06 0.460938;
Mux5~12 0xc0 1.6741e+06 0.5;
Mux5~13 0xc0 893593 0.5;
Mux5~14 0xc0 771027 0.480469;
Mux5~15 0xc0 956947 0.345703;
Mux6~0 0xc0 1.6741e+06 0.5;
Mux6~1 0xc0 1.71489e+06 0.40625;
Mux6~2 0xc0 1.6741e+06 0.5;
Mux6~3 0xc0 893593 0.5;
Mux6~4 0xc0 1.46623e+06 0.453125;
Mux6~5 0xc0 2.23315e+06 0.40625;
Mux6~6 0xc0 986334 0.453125;
Mux6~7 0xc0 1.6741e+06 0.5;
Mux6~8 0xc0 893593 0.5;
Mux6~9 0xc0 1.62676e+06 0.5;
Mux6~10 0xc0 1.17475e+06 0.375;
Mux6~11 0xc0 1.53253e+06 0.46875;
Mux6~12 0xc0 1.6741e+06 0.5;
Mux6~13 0xc0 893593 0.5;
Mux6~14 0xc0 726944 0.471924;
Mux6~15 0xc0 965848 0.349243;
Mux7~0 0xc0 1.6741e+06 0.5;
Mux7~1 0xc0 1.34847e+06 0.4375;
Mux7~2 0xc0 1.6741e+06 0.5;
Mux7~3 0xc0 893593 0.5;
Mux7~4 0xc0 1.38376e+06 0.46875;
Mux7~5 0xc0 1.6741e+06 0.5;
Mux7~6 0xc0 893593 0.5;
Mux7~7 0xc0 1.6741e+06 0.5;
Mux7~8 0xc0 893593 0.5;
Mux7~9 0xc0 1.11081e+06 0.25;
Mux7~10 0xc0 1.7023e+06 0.4375;
Mux7~11 0xc0 1.6741e+06 0.5;
Mux7~12 0xc0 893593 0.5;
Mux7~13 0xc0 794093 0.46875;
Mux7~14 0xc0 978107 0.351563;
Mux8~0 0xc0 1.6741e+06 0.5;
Mux8~1 0xc0 1.07052e+06 0.46875;
Mux8~2 0xc0 1.6741e+06 0.5;
Mux8~3 0xc0 893593 0.5;
Mux8~4 0xc0 1.32475e+06 0.484375;
Mux8~5 0xc0 1.6741e+06 0.5;
Mux8~6 0xc0 893593 0.5;
Mux8~7 0xc0 1.6741e+06 0.5;
Mux8~8 0xc0 893593 0.5;
Mux8~9 0xc0 1.00841e+06 0.21875;
Mux8~10 0xc0 1.76636e+06 0.429688;
Mux8~11 0xc0 1.6741e+06 0.5;
Mux8~12 0xc0 893593 0.5;
Mux8~13 0xc0 803936 0.464844;
Mux8~14 0xc0 987044 0.353516;
Mux9~0 0xc0 1.6741e+06 0.5;
Mux9~1 0xc0 893593 0.5;
Mux9~2 0xc0 1.6741e+06 0.5;
Mux9~3 0xc0 893593 0.5;
Mux9~4 0xc0 1.29125e+06 0.5;
Mux9~5 0xc0 1.6741e+06 0.5;
Mux9~6 0xc0 893593 0.5;
Mux9~7 0xc0 1.6741e+06 0.5;
Mux9~8 0xc0 893593 0.5;
Mux9~9 0xc0 1.033e+06 0.1875;
Mux9~10 0xc0 1.85153e+06 0.421875;
Mux9~11 0xc0 1.6741e+06 0.5;
Mux9~12 0xc0 893593 0.5;
Mux9~13 0xc0 816505 0.460938;
Mux9~14 0xc0 1.00043e+06 0.355469;
Mux10~0 0xc0 1.6741e+06 0.5;
Mux10~1 0xc0 893593 0.5;
Mux10~2 0xc0 1.6741e+06 0.5;
Mux10~3 0xc0 893593 0.5;
Mux10~4 0xc0 1.52343e+06 0.261719;
Mux10~5 0xc0 1.00216e+06 0.255859;
Mux10~6 0xc0 1.6741e+06 0.5;
Mux10~7 0xc0 893593 0.5;
Mux10~8 0xc0 1.6741e+06 0.5;
Mux10~9 0xc0 893593 0.5;
Mux10~10 0xc0 1.17064e+06 0.15625;
Mux10~11 0xc0 1.95674e+06 0.414063;
Mux10~12 0xc0 1.6741e+06 0.5;
Mux10~13 0xc0 893593 0.5;
Mux10~14 0xc0 831676 0.457031;
Mux10~15 0xc0 1.4111e+06 0.356445;
Mux11~0 0xc0 1.6741e+06 0.5;
Mux11~1 0xc0 893593 0.5;
Mux11~2 0xc0 1.6741e+06 0.5;
Mux11~3 0xc0 893593 0.5;
Mux11~4 0xc0 1.25312e+06 0.273438;
Mux11~5 0xc0 967450 0.261719;
Mux11~6 0xc0 1.6741e+06 0.5;
Mux11~7 0xc0 893593 0.5;
Mux11~8 0xc0 1.6741e+06 0.5;
Mux11~9 0xc0 893593 0.5;
Mux11~10 0xc0 1.31518e+06 0.125;
Mux11~11 0xc0 2.07379e+06 0.40625;
Mux11~12 0xc0 1.6741e+06 0.5;
Mux11~13 0xc0 893593 0.5;
Mux11~14 0xc0 848503 0.453125;
Mux11~15 0xc0 1.38686e+06 0.357422;
Mux12~0 0xc0 2.78735e+06 0.5;
Mux12~1 0xc0 1.88966e+06 0.5;
Mux12~2 0xc0 2.78735e+06 0.5;
Mux12~3 0xc0 1.88966e+06 0.5;
Mux12~4 0xc0 2.78735e+06 0.5;
Mux12~5 0xc0 1.88966e+06 0.5;
Mux12~6 0xc0 1.6741e+06 0.5;
Mux12~7 0xc0 2.78735e+06 0.5;
Mux12~8 0xc0 1.88966e+06 0.5;
Mux12~9 0xc0 893593 0.5;
Mux12~10 0xc0 4.08288e+06 0.5;
Mux12~11 0xc0 1.92066e+06 0.375;
Mux12~12 0xc0 2.78735e+06 0.5;
Mux12~13 0xc0 1.88966e+06 0.5;
Mux12~14 0xc0 2.78735e+06 0.5;
Mux12~15 0xc0 1.88966e+06 0.5;
Mux12~16 0xc0 2.78735e+06 0.5;
Mux12~17 0xc0 1.88966e+06 0.5;
Mux12~18 0xc0 1.6741e+06 0.5;
Mux12~19 0xc0 2.78735e+06 0.5;
Mux12~20 0xc0 1.88966e+06 0.5;
Mux12~21 0xc0 893593 0.5;
Mux12~22 0xc0 1.10412e+06 0.285156;
Mux12~23 0xc0 947022 0.267578;
Mux12~24 0xc0 2.78735e+06 0.5;
Mux12~25 0xc0 1.88966e+06 0.5;
Mux12~26 0xc0 2.78735e+06 0.5;
Mux12~27 0xc0 1.88966e+06 0.5;
Mux12~28 0xc0 2.78735e+06 0.5;
Mux12~29 0xc0 1.88966e+06 0.5;
Mux12~30 0xc0 1.6741e+06 0.5;
Mux12~31 0xc0 2.78735e+06 0.5;
Mux12~32 0xc0 1.88966e+06 0.5;
Mux12~33 0xc0 893593 0.5;
Mux12~34 0xc0 2.78735e+06 0.5;
Mux12~35 0xc0 1.88966e+06 0.5;
Mux12~36 0xc0 2.78735e+06 0.5;
Mux12~37 0xc0 1.88966e+06 0.5;
Mux12~38 0xc0 2.78735e+06 0.5;
Mux12~39 0xc0 1.88966e+06 0.5;
Mux12~40 0xc0 1.6741e+06 0.5;
Mux12~41 0xc0 2.78735e+06 0.5;
Mux12~42 0xc0 1.88966e+06 0.5;
Mux12~43 0xc0 893593 0.5;
Mux12~44 0xc0 4.08288e+06 0.5;
Mux12~45 0xc0 1.92066e+06 0.375;
Mux12~46 0xc0 823552 0.09375;
Mux12~47 0xc0 2.15308e+06 0.398438;
Mux12~48 0xc0 2.78735e+06 0.5;
Mux12~49 0xc0 1.88966e+06 0.5;
Mux12~50 0xc0 2.78735e+06 0.5;
Mux12~51 0xc0 1.88966e+06 0.5;
Mux12~52 0xc0 2.78735e+06 0.5;
Mux12~53 0xc0 1.88966e+06 0.5;
Mux12~54 0xc0 1.6741e+06 0.5;
Mux12~55 0xc0 2.78735e+06 0.5;
Mux12~56 0xc0 1.88966e+06 0.5;
Mux12~57 0xc0 893593 0.5;
Mux12~58 0xc0 861254 0.449219;
Mux12~59 0xc0 1.36528e+06 0.358398;
Mux13~0 0xc0 2.78735e+06 0.5;
Mux13~1 0xc0 1.88966e+06 0.5;
Mux13~2 0xc0 2.78735e+06 0.5;
Mux13~3 0xc0 1.88966e+06 0.5;
Mux13~4 0xc0 2.78735e+06 0.5;
Mux13~5 0xc0 1.88966e+06 0.5;
Mux13~6 0xc0 1.6741e+06 0.5;
Mux13~7 0xc0 2.78735e+06 0.5;
Mux13~8 0xc0 1.88966e+06 0.5;
Mux13~9 0xc0 893593 0.5;
Mux13~10 0xc0 2.78735e+06 0.5;
Mux13~11 0xc0 1.88966e+06 0.5;
Mux13~12 0xc0 2.78735e+06 0.5;
Mux13~13 0xc0 1.88966e+06 0.5;
Mux13~14 0xc0 2.78735e+06 0.5;
Mux13~15 0xc0 1.88966e+06 0.5;
Mux13~16 0xc0 2.78735e+06 0.5;
Mux13~17 0xc0 1.88966e+06 0.5;
Mux13~18 0xc0 1.6741e+06 0.5;
Mux13~19 0xc0 2.78735e+06 0.5;
Mux13~20 0xc0 1.88966e+06 0.5;
Mux13~21 0xc0 893593 0.5;
Mux13~22 0xc0 1.08411e+06 0.296875;
Mux13~23 0xc0 941712 0.273438;
Mux13~24 0xc0 2.78735e+06 0.5;
Mux13~25 0xc0 1.88966e+06 0.5;
Mux13~26 0xc0 2.78735e+06 0.5;
Mux13~27 0xc0 1.88966e+06 0.5;
Mux13~28 0xc0 2.78735e+06 0.5;
Mux13~29 0xc0 1.88966e+06 0.5;
Mux13~30 0xc0 1.6741e+06 0.5;
Mux13~31 0xc0 2.78735e+06 0.5;
Mux13~32 0xc0 1.88966e+06 0.5;
Mux13~33 0xc0 893593 0.5;
Mux13~34 0xc0 2.78735e+06 0.5;
Mux13~35 0xc0 1.88966e+06 0.5;
Mux13~36 0xc0 2.78735e+06 0.5;
Mux13~37 0xc0 1.88966e+06 0.5;
Mux13~38 0xc0 2.78735e+06 0.5;
Mux13~39 0xc0 1.88966e+06 0.5;
Mux13~40 0xc0 1.6741e+06 0.5;
Mux13~41 0xc0 2.78735e+06 0.5;
Mux13~42 0xc0 1.88966e+06 0.5;
Mux13~43 0xc0 893593 0.5;
Mux13~44 0xc0 2.00218e+06 0.25;
Mux13~45 0xc0 478187 0.0625;
Mux13~46 0xc0 2.25497e+06 0.390625;
Mux13~47 0xc0 2.78735e+06 0.5;
Mux13~48 0xc0 1.88966e+06 0.5;
Mux13~49 0xc0 2.78735e+06 0.5;
Mux13~50 0xc0 1.88966e+06 0.5;
Mux13~51 0xc0 2.78735e+06 0.5;
Mux13~52 0xc0 1.88966e+06 0.5;
Mux13~53 0xc0 1.6741e+06 0.5;
Mux13~54 0xc0 2.78735e+06 0.5;
Mux13~55 0xc0 1.88966e+06 0.5;
Mux13~56 0xc0 893593 0.5;
Mux13~57 0xc0 876904 0.445313;
Mux13~58 0xc0 1.3479e+06 0.359375;
Mux14~0 0xc0 2.78735e+06 0.5;
Mux14~1 0xc0 1.88966e+06 0.5;
Mux14~2 0xc0 2.78735e+06 0.5;
Mux14~3 0xc0 1.88966e+06 0.5;
Mux14~4 0xc0 2.78735e+06 0.5;
Mux14~5 0xc0 1.88966e+06 0.5;
Mux14~6 0xc0 1.6741e+06 0.5;
Mux14~7 0xc0 2.78735e+06 0.5;
Mux14~8 0xc0 1.88966e+06 0.5;
Mux14~9 0xc0 893593 0.5;
Mux14~10 0xc0 2.78735e+06 0.5;
Mux14~11 0xc0 1.88966e+06 0.5;
Mux14~12 0xc0 2.78735e+06 0.5;
Mux14~13 0xc0 1.88966e+06 0.5;
Mux14~14 0xc0 2.78735e+06 0.5;
Mux14~15 0xc0 1.88966e+06 0.5;
Mux14~16 0xc0 1.6741e+06 0.5;
Mux14~17 0xc0 2.78735e+06 0.5;
Mux14~18 0xc0 1.88966e+06 0.5;
Mux14~19 0xc0 893593 0.5;
Mux14~20 0xc0 1.91581e+06 0.125;
Mux14~21 0xc0 257756 0.03125;
Mux14~22 0xc0 2.37781e+06 0.382813;
Mux14~23 0xc0 2.78735e+06 0.5;
Mux14~24 0xc0 1.88966e+06 0.5;
Mux14~25 0xc0 2.78735e+06 0.5;
Mux14~26 0xc0 1.88966e+06 0.5;
Mux14~27 0xc0 2.78735e+06 0.5;
Mux14~28 0xc0 1.88966e+06 0.5;
Mux14~29 0xc0 1.6741e+06 0.5;
Mux14~30 0xc0 2.78735e+06 0.5;
Mux14~31 0xc0 1.88966e+06 0.5;
Mux14~32 0xc0 893593 0.5;
Mux14~33 0xc0 895261 0.441406;
Mux14~34 0xc0 2.78735e+06 0.5;
Mux14~35 0xc0 1.88966e+06 0.5;
Mux14~36 0xc0 2.78735e+06 0.5;
Mux14~37 0xc0 1.88966e+06 0.5;
Mux14~38 0xc0 2.78735e+06 0.5;
Mux14~39 0xc0 1.88966e+06 0.5;
Mux14~40 0xc0 1.6741e+06 0.5;
Mux14~41 0xc0 2.78735e+06 0.5;
Mux14~42 0xc0 1.88966e+06 0.5;
Mux14~43 0xc0 893593 0.5;
Mux14~44 0xc0 1.21791e+06 0.125;
Mux14~45 0xc0 1.91581e+06 0.125;
Mux14~46 0xc0 2.78735e+06 0.5;
Mux14~47 0xc0 1.88966e+06 0.5;
Mux14~48 0xc0 473898 0.078125;
Mux14~49 0xc0 2.78735e+06 0.5;
Mux14~50 0xc0 1.88966e+06 0.5;
Mux14~51 0xc0 2.78735e+06 0.5;
Mux14~52 0xc0 1.88966e+06 0.5;
Mux14~53 0xc0 2.78735e+06 0.5;
Mux14~54 0xc0 1.88966e+06 0.5;
Mux14~55 0xc0 1.6741e+06 0.5;
Mux14~56 0xc0 2.78735e+06 0.5;
Mux14~57 0xc0 1.88966e+06 0.5;
Mux14~58 0xc0 893593 0.5;
Mux14~59 0xc0 1.09124e+06 0.154297;
Mux14~60 0xc0 1.38187e+06 0.350708;
Mux15~0 0xc0 2.78735e+06 0.5;
Mux15~1 0xc0 1.88966e+06 0.5;
Mux15~2 0xc0 2.78735e+06 0.5;
Mux15~3 0xc0 1.88966e+06 0.5;
Mux15~4 0xc0 2.78735e+06 0.5;
Mux15~5 0xc0 1.88966e+06 0.5;
Mux15~6 0xc0 1.6741e+06 0.5;
Mux15~7 0xc0 2.78735e+06 0.5;
Mux15~8 0xc0 1.88966e+06 0.5;
Mux15~9 0xc0 893593 0.5;
Mux15~10 0xc0 2.78735e+06 0.5;
Mux15~11 0xc0 1.88966e+06 0.5;
Mux15~12 0xc0 2.78735e+06 0.5;
Mux15~13 0xc0 1.88966e+06 0.5;
Mux15~14 0xc0 2.78735e+06 0.5;
Mux15~15 0xc0 1.88966e+06 0.5;
Mux15~16 0xc0 1.6741e+06 0.5;
Mux15~17 0xc0 2.78735e+06 0.5;
Mux15~18 0xc0 1.88966e+06 0.5;
Mux15~19 0xc0 893593 0.5;
Mux15~20 0xc0 578348 0.125;
Mux15~21 0xc0 2.78735e+06 0.5;
Mux15~22 0xc0 1.88966e+06 0.5;
Mux15~23 0xc0 2.78735e+06 0.5;
Mux15~24 0xc0 1.88966e+06 0.5;
Mux15~25 0xc0 2.78735e+06 0.5;
Mux15~26 0xc0 1.88966e+06 0.5;
Mux15~27 0xc0 1.6741e+06 0.5;
Mux15~28 0xc0 2.78735e+06 0.5;
Mux15~29 0xc0 1.88966e+06 0.5;
Mux15~30 0xc0 893593 0.5;
Mux15~31 0xc0 2.78735e+06 0.5;
Mux15~32 0xc0 1.88966e+06 0.5;
Mux15~33 0xc0 2.78735e+06 0.5;
Mux15~34 0xc0 1.88966e+06 0.5;
Mux15~35 0xc0 2.78735e+06 0.5;
Mux15~36 0xc0 1.88966e+06 0.5;
Mux15~37 0xc0 1.6741e+06 0.5;
Mux15~38 0xc0 2.78735e+06 0.5;
Mux15~39 0xc0 1.88966e+06 0.5;
Mux15~40 0xc0 893593 0.5;
Mux15~41 0xc0 453937 0.125;
Mux15~42 0xc0 2.78735e+06 0.5;
Mux15~43 0xc0 1.88966e+06 0.5;
Mux15~44 0xc0 2.78735e+06 0.5;
Mux15~45 0xc0 1.88966e+06 0.5;
Mux15~46 0xc0 2.78735e+06 0.5;
Mux15~47 0xc0 1.88966e+06 0.5;
Mux15~48 0xc0 1.6741e+06 0.5;
Mux15~49 0xc0 2.78735e+06 0.5;
Mux15~50 0xc0 1.88966e+06 0.5;
Mux15~51 0xc0 893593 0.5;
Mux15~52 0xc0 2.00218e+06 0.25;
Mux15~53 0xc0 2.78735e+06 0.5;
Mux15~54 0xc0 1.88966e+06 0.5;
Mux15~55 0xc0 1.97127e+06 0.375;
Mux15~56 0xc0 1.02836e+06 0.34375;
Mux15~57 0xc0 673553 0.300171;
Mux16~0 0xc0 1.6741e+06 0.5;
Mux16~1 0xc0 893593 0.5;
Mux16~2 0xc0 1.71339e+06 0.4375;
Mux16~3 0xc0 1.03119e+06 0.359375;
Mux16~4 0xc0 1.50666e+06 0.496094;
Mux16~5 0xc0 1.6741e+06 0.5;
Mux16~6 0xc0 893593 0.5;
Mux16~7 0xc0 762334 0.498047;
Mux16~8 0xc0 950703 0.338867;
Mux17~0 0xc0 1.6741e+06 0.5;
Mux17~1 0xc0 893593 0.5;
Mux17~2 0xc0 1.62676e+06 0.5;
Mux17~3 0xc0 1.07513e+06 0.375;
Mux17~4 0xc0 1.6741e+06 0.5;
Mux17~5 0xc0 893593 0.5;
Mux17~6 0xc0 1.6741e+06 0.5;
Mux17~7 0xc0 893593 0.5;
Mux17~8 0xc0 1.86418e+06 0.4375;
Mux17~9 0xc0 927652 0.46875;
Mux17~10 0xc0 1.50124e+06 0.492188;
Mux17~11 0xc0 1.6741e+06 0.5;
Mux17~12 0xc0 893593 0.5;
Mux17~13 0xc0 761815 0.496094;
Mux17~14 0xc0 949818 0.341797;
Mux18~0 0xc0 1.6741e+06 0.5;
Mux18~1 0xc0 893593 0.5;
Mux18~2 0xc0 1.31518e+06 0.125;
Mux18~3 0xc0 1.17064e+06 0.15625;
Mux18~4 0xc0 1.62177e+06 0.380859;
Mux18~5 0xc0 1.50073e+06 0.488281;
Mux18~6 0xc0 1.6741e+06 0.5;
Mux18~7 0xc0 893593 0.5;
Mux18~8 0xc0 761936 0.494141;
Mux18~9 0xc0 980412 0.342285;
Mux19~0 0xc0 1.6741e+06 0.5;
Mux19~1 0xc0 893593 0.5;
Mux19~2 0xc0 1.31518e+06 0.125;
Mux19~3 0xc0 1.033e+06 0.1875;
Mux19~4 0xc0 1.5201e+06 0.394531;
Mux19~5 0xc0 1.6741e+06 0.5;
Mux19~6 0xc0 893593 0.5;
Mux19~7 0xc0 1.6741e+06 0.5;
Mux19~8 0xc0 893593 0.5;
Mux19~9 0xc0 1.62676e+06 0.5;
Mux19~10 0xc0 1.17475e+06 0.375;
Mux19~11 0xc0 1.53253e+06 0.46875;
Mux19~12 0xc0 1.6741e+06 0.5;
Mux19~13 0xc0 893593 0.5;
Mux19~14 0xc0 767585 0.484375;
Mux19~15 0xc0 959746 0.34082;
Mux20~0 0xc0 1.6741e+06 0.5;
Mux20~1 0xc0 893593 0.5;
Mux20~2 0xc0 1.31518e+06 0.125;
Mux20~3 0xc0 1.00841e+06 0.21875;
Mux20~4 0xc0 1.44042e+06 0.408203;
Mux20~5 0xc0 1.6741e+06 0.5;
Mux20~6 0xc0 893593 0.5;
Mux20~7 0xc0 1.67198e+06 0.46875;
Mux20~8 0xc0 896021 0.484375;
Mux20~9 0xc0 1.62676e+06 0.5;
Mux20~10 0xc0 1.17475e+06 0.375;
Mux20~11 0xc0 1.53924e+06 0.464844;
Mux20~12 0xc0 1.6741e+06 0.5;
Mux20~13 0xc0 893593 0.5;
Mux20~14 0xc0 768971 0.482422;
Mux20~15 0xc0 956701 0.343262;
Mux21~0 0xc0 1.6741e+06 0.5;
Mux21~1 0xc0 893593 0.5;
Mux21~2 0xc0 1.31518e+06 0.125;
Mux21~3 0xc0 1.11081e+06 0.25;
Mux21~4 0xc0 1.38453e+06 0.421875;
Mux21~5 0xc0 1.86418e+06 0.4375;
Mux21~6 0xc0 927652 0.46875;
Mux21~7 0xc0 1.6741e+06 0.5;
Mux21~8 0xc0 893593 0.5;
Mux21~9 0xc0 1.62676e+06 0.5;
Mux21~10 0xc0 1.17475e+06 0.375;
Mux21~11 0xc0 1.53253e+06 0.46875;
Mux21~12 0xc0 1.6741e+06 0.5;
Mux21~13 0xc0 893593 0.5;
Mux21~14 0xc0 737469 0.476074;
Mux21~15 0xc0 946277 0.343506;
Mux22~0 0xc0 1.75781e+06 0.25;
Mux22~1 0xc0 1.6741e+06 0.5;
Mux22~2 0xc0 1.71489e+06 0.40625;
Mux22~3 0xc0 1.6741e+06 0.5;
Mux22~4 0xc0 893593 0.5;
Mux22~5 0xc0 1.46623e+06 0.453125;
Mux22~6 0xc0 1.6741e+06 0.5;
Mux22~7 0xc0 893593 0.5;
Mux22~8 0xc0 2.23315e+06 0.40625;
Mux22~9 0xc0 986334 0.453125;
Mux22~10 0xc0 1.62676e+06 0.5;
Mux22~11 0xc0 1.17475e+06 0.375;
Mux22~12 0xc0 1.56804e+06 0.457031;
Mux22~13 0xc0 1.6741e+06 0.5;
Mux22~14 0xc0 893593 0.5;
Mux22~15 0xc0 773735 0.478516;
Mux22~16 0xc0 980123 0.352539;
Mux23~0 0xc0 1.6741e+06 0.5;
Mux23~1 0xc0 1.34847e+06 0.4375;
Mux23~2 0xc0 1.6741e+06 0.5;
Mux23~3 0xc0 893593 0.5;
Mux23~4 0xc0 1.38376e+06 0.46875;
Mux23~5 0xc0 1.6741e+06 0.5;
Mux23~6 0xc0 893593 0.5;
Mux23~7 0xc0 1.6741e+06 0.5;
Mux23~8 0xc0 893593 0.5;
Mux23~9 0xc0 1.11081e+06 0.25;
Mux23~10 0xc0 1.7023e+06 0.4375;
Mux23~11 0xc0 1.6741e+06 0.5;
Mux23~12 0xc0 893593 0.5;
Mux23~13 0xc0 794093 0.46875;
Mux23~14 0xc0 978107 0.351563;
Mux24~0 0xc0 1.6741e+06 0.5;
Mux24~1 0xc0 1.07052e+06 0.46875;
Mux24~2 0xc0 1.6741e+06 0.5;
Mux24~3 0xc0 893593 0.5;
Mux24~4 0xc0 1.32475e+06 0.484375;
Mux24~5 0xc0 1.6741e+06 0.5;
Mux24~6 0xc0 893593 0.5;
Mux24~7 0xc0 1.6741e+06 0.5;
Mux24~8 0xc0 893593 0.5;
Mux24~9 0xc0 1.00841e+06 0.21875;
Mux24~10 0xc0 1.76636e+06 0.429688;
Mux24~11 0xc0 1.6741e+06 0.5;
Mux24~12 0xc0 893593 0.5;
Mux24~13 0xc0 803936 0.464844;
Mux24~14 0xc0 987044 0.353516;
Mux25~0 0xc0 1.75781e+06 0.25;
Mux25~1 0xc0 1.6741e+06 0.5;
Mux25~2 0xc0 893593 0.5;
Mux25~3 0xc0 1.6741e+06 0.5;
Mux25~4 0xc0 893593 0.5;
Mux25~5 0xc0 1.29125e+06 0.5;
Mux25~6 0xc0 1.6741e+06 0.5;
Mux25~7 0xc0 893593 0.5;
Mux25~8 0xc0 1.6741e+06 0.5;
Mux25~9 0xc0 893593 0.5;
Mux25~10 0xc0 1.033e+06 0.1875;
Mux25~11 0xc0 1.85153e+06 0.421875;
Mux25~12 0xc0 1.6741e+06 0.5;
Mux25~13 0xc0 893593 0.5;
Mux25~14 0xc0 816505 0.460938;
Mux25~15 0xc0 1.00043e+06 0.355469;
Mux26~0 0xc0 1.6741e+06 0.5;
Mux26~1 0xc0 893593 0.5;
Mux26~2 0xc0 1.6741e+06 0.5;
Mux26~3 0xc0 893593 0.5;
Mux26~4 0xc0 1.17064e+06 0.15625;
Mux26~5 0xc0 1.95674e+06 0.414063;
Mux26~6 0xc0 1.6741e+06 0.5;
Mux26~7 0xc0 893593 0.5;
Mux26~8 0xc0 831676 0.457031;
Mux26~9 0xc0 1.6741e+06 0.5;
Mux26~10 0xc0 893593 0.5;
Mux26~11 0xc0 1.21791e+06 0.125;
Mux26~12 0xc0 88400.6 0.015625;
Mux26~13 0xc0 1.6741e+06 0.5;
Mux26~14 0xc0 893593 0.5;
Mux26~15 0xc0 1.18832e+06 0.130859;
Mux26~16 0xc0 1.4931e+06 0.348267;
Mux27~0 0xc0 1.6741e+06 0.5;
Mux27~1 0xc0 893593 0.5;
Mux27~2 0xc0 1.6741e+06 0.5;
Mux27~3 0xc0 893593 0.5;
Mux27~4 0xc0 1.25311e+06 0.273438;
Mux27~5 0xc0 967450 0.261719;
Mux27~6 0xc0 1.6741e+06 0.5;
Mux27~7 0xc0 893593 0.5;
Mux27~8 0xc0 1.6741e+06 0.5;
Mux27~9 0xc0 893593 0.5;
Mux27~10 0xc0 1.31518e+06 0.125;
Mux27~11 0xc0 2.07379e+06 0.40625;
Mux27~12 0xc0 1.6741e+06 0.5;
Mux27~13 0xc0 893593 0.5;
Mux27~14 0xc0 848503 0.453125;
Mux27~15 0xc0 1.38686e+06 0.357422;
Mux28~0 0xc0 2.78735e+06 0.5;
Mux28~1 0xc0 1.88966e+06 0.5;
Mux28~2 0xc0 2.78735e+06 0.5;
Mux28~3 0xc0 1.88966e+06 0.5;
Mux28~4 0xc0 2.78735e+06 0.5;
Mux28~5 0xc0 1.88966e+06 0.5;
Mux28~6 0xc0 1.6741e+06 0.5;
Mux28~7 0xc0 2.78735e+06 0.5;
Mux28~8 0xc0 1.88966e+06 0.5;
Mux28~9 0xc0 893593 0.5;
Mux28~10 0xc0 4.08288e+06 0.5;
Mux28~11 0xc0 1.92066e+06 0.375;
Mux28~12 0xc0 2.78735e+06 0.5;
Mux28~13 0xc0 1.88966e+06 0.5;
Mux28~14 0xc0 2.78735e+06 0.5;
Mux28~15 0xc0 1.88966e+06 0.5;
Mux28~16 0xc0 2.78735e+06 0.5;
Mux28~17 0xc0 1.88966e+06 0.5;
Mux28~18 0xc0 1.6741e+06 0.5;
Mux28~19 0xc0 2.78735e+06 0.5;
Mux28~20 0xc0 1.88966e+06 0.5;
Mux28~21 0xc0 893593 0.5;
Mux28~22 0xc0 1.10412e+06 0.285156;
Mux28~23 0xc0 947022 0.267578;
Mux28~24 0xc0 2.78735e+06 0.5;
Mux28~25 0xc0 1.88966e+06 0.5;
Mux28~26 0xc0 2.78735e+06 0.5;
Mux28~27 0xc0 1.88966e+06 0.5;
Mux28~28 0xc0 2.78735e+06 0.5;
Mux28~29 0xc0 1.88966e+06 0.5;
Mux28~30 0xc0 1.6741e+06 0.5;
Mux28~31 0xc0 2.78735e+06 0.5;
Mux28~32 0xc0 1.88966e+06 0.5;
Mux28~33 0xc0 893593 0.5;
Mux28~34 0xc0 2.78735e+06 0.5;
Mux28~35 0xc0 1.88966e+06 0.5;
Mux28~36 0xc0 2.78735e+06 0.5;
Mux28~37 0xc0 1.88966e+06 0.5;
Mux28~38 0xc0 2.78735e+06 0.5;
Mux28~39 0xc0 1.88966e+06 0.5;
Mux28~40 0xc0 1.6741e+06 0.5;
Mux28~41 0xc0 2.78735e+06 0.5;
Mux28~42 0xc0 1.88966e+06 0.5;
Mux28~43 0xc0 893593 0.5;
Mux28~44 0xc0 4.08288e+06 0.5;
Mux28~45 0xc0 1.92066e+06 0.375;
Mux28~46 0xc0 823552 0.09375;
Mux28~47 0xc0 2.15308e+06 0.398438;
Mux28~48 0xc0 2.78735e+06 0.5;
Mux28~49 0xc0 1.88966e+06 0.5;
Mux28~50 0xc0 2.78735e+06 0.5;
Mux28~51 0xc0 1.88966e+06 0.5;
Mux28~52 0xc0 2.78735e+06 0.5;
Mux28~53 0xc0 1.88966e+06 0.5;
Mux28~54 0xc0 1.6741e+06 0.5;
Mux28~55 0xc0 2.78735e+06 0.5;
Mux28~56 0xc0 1.88966e+06 0.5;
Mux28~57 0xc0 893593 0.5;
Mux28~58 0xc0 861254 0.449219;
Mux28~59 0xc0 1.36528e+06 0.358398;
Mux29~0 0xc0 2.78735e+06 0.5;
Mux29~1 0xc0 1.88966e+06 0.5;
Mux29~2 0xc0 2.78735e+06 0.5;
Mux29~3 0xc0 1.88966e+06 0.5;
Mux29~4 0xc0 2.78735e+06 0.5;
Mux29~5 0xc0 1.88966e+06 0.5;
Mux29~6 0xc0 1.6741e+06 0.5;
Mux29~7 0xc0 2.78735e+06 0.5;
Mux29~8 0xc0 1.88966e+06 0.5;
Mux29~9 0xc0 893593 0.5;
Mux29~10 0xc0 744629 0.125;
Mux29~11 0xc0 2.78735e+06 0.5;
Mux29~12 0xc0 1.88966e+06 0.5;
Mux29~13 0xc0 2.78735e+06 0.5;
Mux29~14 0xc0 1.88966e+06 0.5;
Mux29~15 0xc0 2.78735e+06 0.5;
Mux29~16 0xc0 1.88966e+06 0.5;
Mux29~17 0xc0 2.78735e+06 0.5;
Mux29~18 0xc0 1.88966e+06 0.5;
Mux29~19 0xc0 1.6741e+06 0.5;
Mux29~20 0xc0 2.78735e+06 0.5;
Mux29~21 0xc0 1.88966e+06 0.5;
Mux29~22 0xc0 893593 0.5;
Mux29~23 0xc0 1.08411e+06 0.296875;
Mux29~24 0xc0 941712 0.273438;
Mux29~25 0xc0 2.78735e+06 0.5;
Mux29~26 0xc0 1.88966e+06 0.5;
Mux29~27 0xc0 2.78735e+06 0.5;
Mux29~28 0xc0 1.88966e+06 0.5;
Mux29~29 0xc0 2.78735e+06 0.5;
Mux29~30 0xc0 1.88966e+06 0.5;
Mux29~31 0xc0 1.6741e+06 0.5;
Mux29~32 0xc0 2.78735e+06 0.5;
Mux29~33 0xc0 1.88966e+06 0.5;
Mux29~34 0xc0 893593 0.5;
Mux29~35 0xc0 2.78735e+06 0.5;
Mux29~36 0xc0 1.88966e+06 0.5;
Mux29~37 0xc0 2.78735e+06 0.5;
Mux29~38 0xc0 1.88966e+06 0.5;
Mux29~39 0xc0 2.78735e+06 0.5;
Mux29~40 0xc0 1.88966e+06 0.5;
Mux29~41 0xc0 1.6741e+06 0.5;
Mux29~42 0xc0 2.78735e+06 0.5;
Mux29~43 0xc0 1.88966e+06 0.5;
Mux29~44 0xc0 893593 0.5;
Mux29~45 0xc0 2.00218e+06 0.25;
Mux29~46 0xc0 478187 0.0625;
Mux29~47 0xc0 2.25497e+06 0.390625;
Mux29~48 0xc0 2.78735e+06 0.5;
Mux29~49 0xc0 1.88966e+06 0.5;
Mux29~50 0xc0 2.78735e+06 0.5;
Mux29~51 0xc0 1.88966e+06 0.5;
Mux29~52 0xc0 2.78735e+06 0.5;
Mux29~53 0xc0 1.88966e+06 0.5;
Mux29~54 0xc0 1.6741e+06 0.5;
Mux29~55 0xc0 2.78735e+06 0.5;
Mux29~56 0xc0 1.88966e+06 0.5;
Mux29~57 0xc0 893593 0.5;
Mux29~58 0xc0 876904 0.445313;
Mux29~59 0xc0 1.3479e+06 0.359375;
Mux30~0 0xc0 2.78735e+06 0.5;
Mux30~1 0xc0 1.88966e+06 0.5;
Mux30~2 0xc0 2.78735e+06 0.5;
Mux30~3 0xc0 1.88966e+06 0.5;
Mux30~4 0xc0 2.78735e+06 0.5;
Mux30~5 0xc0 1.88966e+06 0.5;
Mux30~6 0xc0 1.6741e+06 0.5;
Mux30~7 0xc0 2.78735e+06 0.5;
Mux30~8 0xc0 1.88966e+06 0.5;
Mux30~9 0xc0 893593 0.5;
Mux30~10 0xc0 2.78735e+06 0.5;
Mux30~11 0xc0 1.88966e+06 0.5;
Mux30~12 0xc0 2.78735e+06 0.5;
Mux30~13 0xc0 1.88966e+06 0.5;
Mux30~14 0xc0 2.78735e+06 0.5;
Mux30~15 0xc0 1.88966e+06 0.5;
Mux30~16 0xc0 1.6741e+06 0.5;
Mux30~17 0xc0 2.78735e+06 0.5;
Mux30~18 0xc0 1.88966e+06 0.5;
Mux30~19 0xc0 893593 0.5;
Mux30~20 0xc0 1.91581e+06 0.125;
Mux30~21 0xc0 257756 0.03125;
Mux30~22 0xc0 2.37781e+06 0.382813;
Mux30~23 0xc0 2.78735e+06 0.5;
Mux30~24 0xc0 1.88966e+06 0.5;
Mux30~25 0xc0 2.78735e+06 0.5;
Mux30~26 0xc0 1.88966e+06 0.5;
Mux30~27 0xc0 2.78735e+06 0.5;
Mux30~28 0xc0 1.88966e+06 0.5;
Mux30~29 0xc0 1.6741e+06 0.5;
Mux30~30 0xc0 2.78735e+06 0.5;
Mux30~31 0xc0 1.88966e+06 0.5;
Mux30~32 0xc0 893593 0.5;
Mux30~33 0xc0 895261 0.441406;
Mux30~34 0xc0 2.78735e+06 0.5;
Mux30~35 0xc0 1.88966e+06 0.5;
Mux30~36 0xc0 2.78735e+06 0.5;
Mux30~37 0xc0 1.88966e+06 0.5;
Mux30~38 0xc0 2.78735e+06 0.5;
Mux30~39 0xc0 1.88966e+06 0.5;
Mux30~40 0xc0 1.6741e+06 0.5;
Mux30~41 0xc0 2.78735e+06 0.5;
Mux30~42 0xc0 1.88966e+06 0.5;
Mux30~43 0xc0 893593 0.5;
Mux30~44 0xc0 1.21791e+06 0.125;
Mux30~45 0xc0 1.75781e+06 0.25;
Mux30~46 0xc0 1.91581e+06 0.125;
Mux30~47 0xc0 2.78735e+06 0.5;
Mux30~48 0xc0 1.88966e+06 0.5;
Mux30~49 0xc0 473898 0.078125;
Mux30~50 0xc0 2.78735e+06 0.5;
Mux30~51 0xc0 1.88966e+06 0.5;
Mux30~52 0xc0 2.78735e+06 0.5;
Mux30~53 0xc0 1.88966e+06 0.5;
Mux30~54 0xc0 2.78735e+06 0.5;
Mux30~55 0xc0 1.88966e+06 0.5;
Mux30~56 0xc0 1.6741e+06 0.5;
Mux30~57 0xc0 2.78735e+06 0.5;
Mux30~58 0xc0 1.88966e+06 0.5;
Mux30~59 0xc0 893593 0.5;
Mux30~60 0xc0 1.09124e+06 0.154297;
Mux30~61 0xc0 1.38187e+06 0.350708;
Mux31~0 0xc0 2.34375e+06 0.25;
Mux31~1 0xc0 2.78735e+06 0.5;
Mux31~2 0xc0 1.88966e+06 0.5;
Mux31~3 0xc0 2.78735e+06 0.5;
Mux31~4 0xc0 1.88966e+06 0.5;
Mux31~5 0xc0 2.78735e+06 0.5;
Mux31~6 0xc0 1.88966e+06 0.5;
Mux31~7 0xc0 1.6741e+06 0.5;
Mux31~8 0xc0 2.78735e+06 0.5;
Mux31~9 0xc0 1.88966e+06 0.5;
Mux31~10 0xc0 893593 0.5;
Mux31~11 0xc0 2.78735e+06 0.5;
Mux31~12 0xc0 1.88966e+06 0.5;
Mux31~13 0xc0 2.78735e+06 0.5;
Mux31~14 0xc0 1.88966e+06 0.5;
Mux31~15 0xc0 2.78735e+06 0.5;
Mux31~16 0xc0 1.88966e+06 0.5;
Mux31~17 0xc0 1.6741e+06 0.5;
Mux31~18 0xc0 2.78735e+06 0.5;
Mux31~19 0xc0 1.88966e+06 0.5;
Mux31~20 0xc0 893593 0.5;
Mux31~21 0xc0 578348 0.125;
Mux31~22 0xc0 2.78735e+06 0.5;
Mux31~23 0xc0 1.88966e+06 0.5;
Mux31~24 0xc0 2.78735e+06 0.5;
Mux31~25 0xc0 1.88966e+06 0.5;
Mux31~26 0xc0 2.78735e+06 0.5;
Mux31~27 0xc0 1.88966e+06 0.5;
Mux31~28 0xc0 1.6741e+06 0.5;
Mux31~29 0xc0 2.78735e+06 0.5;
Mux31~30 0xc0 1.88966e+06 0.5;
Mux31~31 0xc0 893593 0.5;
Mux31~32 0xc0 2.78735e+06 0.5;
Mux31~33 0xc0 1.88966e+06 0.5;
Mux31~34 0xc0 2.78735e+06 0.5;
Mux31~35 0xc0 1.88966e+06 0.5;
Mux31~36 0xc0 2.78735e+06 0.5;
Mux31~37 0xc0 1.88966e+06 0.5;
Mux31~38 0xc0 1.6741e+06 0.5;
Mux31~39 0xc0 2.78735e+06 0.5;
Mux31~40 0xc0 1.88966e+06 0.5;
Mux31~41 0xc0 893593 0.5;
Mux31~42 0xc0 453937 0.125;
Mux31~43 0xc0 2.78735e+06 0.5;
Mux31~44 0xc0 1.88966e+06 0.5;
Mux31~45 0xc0 2.78735e+06 0.5;
Mux31~46 0xc0 1.88966e+06 0.5;
Mux31~47 0xc0 2.78735e+06 0.5;
Mux31~48 0xc0 1.88966e+06 0.5;
Mux31~49 0xc0 1.6741e+06 0.5;
Mux31~50 0xc0 2.78735e+06 0.5;
Mux31~51 0xc0 1.88966e+06 0.5;
Mux31~52 0xc0 893593 0.5;
Mux31~53 0xc0 2.00218e+06 0.25;
Mux31~54 0xc0 2.78735e+06 0.5;
Mux31~55 0xc0 1.88966e+06 0.5;
Mux31~56 0xc0 1.97127e+06 0.375;
Mux31~57 0xc0 1.02836e+06 0.34375;
Mux31~58 0xc0 673553 0.300171;
data_valid_out_I~0 0xc0 4.52258e+06 0.25;
data_valid_out_Q~0 0xc0 4.52258e+06 0.25;
clk_sys 0xc 1.28642e+08 0.499968;
clk_sys~input 0xc0 1.28642e+08 0.499968;
DAC_CLK 0xc0 3.21647e+08 0.5;
DAC_CLK~output 0xc0 3.21647e+08 0.5;
DAC_corrector:DAC_CORRECTOR;
DATA_OUT[0] 0xc0 2.01029e+07 0.5;
DATA_OUT[0]~13 0xc0 5.00595e+06 0.294922;
DATA_OUT[1] 0xc0 2.01029e+07 0.5;
DATA_OUT[1]~12 0xc0 4.85762e+06 0.30957;
DATA_OUT[2] 0xc0 2.01029e+07 0.5;
DATA_OUT[2]~11 0xc0 4.78584e+06 0.324219;
DATA_OUT[3] 0xc0 2.01029e+07 0.5;
DATA_OUT[3]~10 0xc0 4.45125e+06 0.34375;
DATA_OUT[4] 0xc0 2.01029e+07 0.5;
DATA_OUT[4]~9 0xc0 4.20499e+06 0.359375;
DATA_OUT[5] 0xc0 2.01029e+07 0.5;
DATA_OUT[5]~8 0xc0 3.99915e+06 0.375;
DATA_OUT[6] 0xc0 2.01029e+07 0.5;
DATA_OUT[6]~7 0xc0 3.83516e+06 0.390625;
DATA_OUT[7] 0xc0 2.01029e+07 0.5;
DATA_OUT[7]~6 0xc0 3.53017e+06 0.420898;
DATA_OUT[8] 0xc0 2.01029e+07 0.5;
DATA_OUT[8]~5 0xc0 3.37851e+06 0.439453;
DATA_OUT[9] 0xc0 2.01029e+07 0.5;
DATA_OUT[9]~4 0xc0 3.29685e+06 0.458008;
DATA_OUT[10] 0xc0 2.01029e+07 0.5;
DATA_OUT[10]~3 0xc0 3.29173e+06 0.476563;
DATA_OUT[11] 0xc0 2.01029e+07 0.5;
DATA_OUT[11]~2 0xc0 3.25488e+06 0.484375;
DATA_OUT[12] 0xc0 2.01029e+07 0.5;
DATA_OUT[12]~1 0xc0 3.23428e+06 0.490234;
DATA_OUT[13] 0xc0 2.01029e+07 0.5;
DATA_OUT[13]~0 0xc0 3.22853e+06 0.5;
LessThan0~0 0xc0 100183 0.96875;
LessThan0~1 0xc0 878447 0.871094;
Mux0~0 0xc0 6.96928e+06 0.5;
Mux0~1 0xc0 4.72476e+06 0.5;
Mux0~2 0xc0 6.96928e+06 0.5;
Mux0~3 0xc0 4.72476e+06 0.5;
Mux0~4 0xc0 6.96928e+06 0.5;
Mux0~5 0xc0 4.72476e+06 0.5;
Mux0~6 0xc0 4.1858e+06 0.5;
Mux0~7 0xc0 6.96928e+06 0.5;
Mux0~8 0xc0 4.72476e+06 0.5;
Mux0~9 0xc0 2.23427e+06 0.5;
Mux0~10 0xc0 6.96928e+06 0.5;
Mux0~11 0xc0 4.72476e+06 0.5;
Mux0~12 0xc0 6.96928e+06 0.5;
Mux0~13 0xc0 4.72476e+06 0.5;
Mux0~14 0xc0 6.96928e+06 0.5;
Mux0~15 0xc0 4.72476e+06 0.5;
Mux0~16 0xc0 4.1858e+06 0.5;
Mux0~17 0xc0 6.96928e+06 0.5;
Mux0~18 0xc0 4.72476e+06 0.5;
Mux0~19 0xc0 2.23427e+06 0.5;
Mux1~0 0xc0 6.96928e+06 0.5;
Mux1~1 0xc0 4.72476e+06 0.5;
Mux1~2 0xc0 6.96928e+06 0.5;
Mux1~3 0xc0 4.72476e+06 0.5;
Mux1~4 0xc0 5.0061e+06 0.25;
Mux1~5 0xc0 3.76388e+06 0.34375;
Mux1~6 0xc0 4.16842e+06 0.460938;
Mux1~7 0xc0 6.96928e+06 0.5;
Mux1~8 0xc0 4.72476e+06 0.5;
Mux1~9 0xc0 2.24264e+06 0.480469;
Mux1~10 0xc0 6.96928e+06 0.5;
Mux1~11 0xc0 4.72476e+06 0.5;
Mux1~12 0xc0 6.96928e+06 0.5;
Mux1~13 0xc0 4.72476e+06 0.5;
Mux1~14 0xc0 6.96928e+06 0.5;
Mux1~15 0xc0 4.72476e+06 0.5;
Mux1~16 0xc0 4.1858e+06 0.5;
Mux1~17 0xc0 6.96928e+06 0.5;
Mux1~18 0xc0 4.72476e+06 0.5;
Mux1~19 0xc0 2.23427e+06 0.5;
Mux2~0 0xc0 1.75781e+06 0.25;
Mux2~1 0xc0 6.96928e+06 0.5;
Mux2~2 0xc0 4.72476e+06 0.5;
Mux2~3 0xc0 6.96928e+06 0.5;
Mux2~4 0xc0 4.72476e+06 0.5;
Mux2~5 0xc0 5.74229e+06 0.25;
Mux2~6 0xc0 4.72025e+06 0.4375;
Mux2~7 0xc0 6.96928e+06 0.5;
Mux2~8 0xc0 4.72476e+06 0.5;
Mux2~9 0xc0 2.32669e+06 0.46875;
Mux2~10 0xc0 6.96928e+06 0.5;
Mux2~11 0xc0 4.72476e+06 0.5;
Mux2~12 0xc0 6.96928e+06 0.5;
Mux2~13 0xc0 4.72476e+06 0.5;
Mux2~14 0xc0 6.96928e+06 0.5;
Mux2~15 0xc0 4.72476e+06 0.5;
Mux2~16 0xc0 4.1858e+06 0.5;
Mux2~17 0xc0 6.96928e+06 0.5;
Mux2~18 0xc0 4.72476e+06 0.5;
Mux2~19 0xc0 2.23427e+06 0.5;
Mux3~0 0xc0 6.96928e+06 0.5;
Mux3~1 0xc0 4.72476e+06 0.5;
Mux3~2 0xc0 6.96928e+06 0.5;
Mux3~3 0xc0 4.72476e+06 0.5;
Mux3~4 0xc0 4.79015e+06 0.125;
Mux3~5 0xc0 5.58358e+06 0.40625;
Mux3~6 0xc0 6.96928e+06 0.5;
Mux3~7 0xc0 4.72476e+06 0.5;
Mux3~8 0xc0 2.46615e+06 0.453125;
Mux3~9 0xc0 6.96928e+06 0.5;
Mux3~10 0xc0 4.72476e+06 0.5;
Mux3~11 0xc0 6.96928e+06 0.5;
Mux3~12 0xc0 4.72476e+06 0.5;
Mux3~13 0xc0 6.96928e+06 0.5;
Mux3~14 0xc0 4.72476e+06 0.5;
Mux3~15 0xc0 4.1858e+06 0.5;
Mux3~16 0xc0 6.96928e+06 0.5;
Mux3~17 0xc0 4.72476e+06 0.5;
Mux3~18 0xc0 2.23427e+06 0.5;
Mux4~0 0xc0 1.02085e+07 0.5;
Mux4~1 0xc0 4.80227e+06 0.375;
Mux4~2 0xc0 4.79015e+06 0.125;
Mux4~3 0xc0 5.58358e+06 0.40625;
Mux4~4 0xc0 2.15851e+06 0.416016;
Mux4~5 0xc0 4.1858e+06 0.5;
Mux4~6 0xc0 2.23427e+06 0.5;
Mux5~0 0xc0 5.0061e+06 0.25;
Mux5~1 0xc0 4.79015e+06 0.125;
Mux5~2 0xc0 5.58358e+06 0.40625;
Mux5~3 0xc0 2.12522e+06 0.378906;
Mux5~4 0xc0 4.1858e+06 0.5;
Mux5~5 0xc0 2.23427e+06 0.5;
Mux6~0 0xc0 4.79015e+06 0.125;
Mux6~1 0xc0 4.79015e+06 0.125;
Mux6~2 0xc0 5.58358e+06 0.40625;
Mux6~3 0xc0 2.32641e+06 0.341797;
Mux6~4 0xc0 4.1858e+06 0.5;
Mux6~5 0xc0 2.23427e+06 0.5;
Mux7~0 0xc0 3.45962e+06 0.3125;
Mux7~1 0xc0 2.59285e+06 0.28125;
Mux7~2 0xc0 4.1858e+06 0.5;
Mux7~3 0xc0 2.23427e+06 0.5;
Mux8~0 0xc0 2.70601e+06 0.25;
Mux8~1 0xc0 2.57022e+06 0.25;
Mux8~2 0xc0 4.1858e+06 0.5;
Mux8~3 0xc0 2.23427e+06 0.5;
Mux9~0 0xc0 2.31834e+06 0.1875;
Mux9~1 0xc0 2.6665e+06 0.21875;
Mux9~2 0xc0 4.1858e+06 0.5;
Mux9~3 0xc0 2.23427e+06 0.5;
Mux10~0 0xc0 2.21838e+06 0.125;
Mux10~1 0xc0 2.8728e+06 0.1875;
Mux10~2 0xc0 4.1858e+06 0.5;
Mux10~3 0xc0 2.23427e+06 0.5;
Mux11~0 0xc0 1.81103e+06 0.0625;
Mux11~1 0xc0 3.10214e+06 0.148438;
Mux11~2 0xc0 4.1858e+06 0.5;
Mux11~3 0xc0 2.23427e+06 0.5;
Mux12~0 0xc0 1.81103e+06 0.0625;
Mux12~1 0xc0 2.04243e+06 0.119141;
Mux12~2 0xc0 4.1858e+06 0.5;
Mux12~3 0xc0 2.23427e+06 0.5;
Mux13~0 0xc0 1.81103e+06 0.0625;
Mux13~1 0xc0 1.32948e+06 0.0898438;
Mux13~2 0xc0 4.1858e+06 0.5;
Mux13~3 0xc0 2.23427e+06 0.5;
dac_null:DAC_IDLE;
mux14:DAC_MUX;
lpm_mux:LPM_MUX_component;
mux_rsc:auto_generated;
result_node[0]~12 0xc0 1.13079e+07 0.25;
result_node[1]~11 0xc0 1.13079e+07 0.25;
result_node[2]~10 0xc0 1.13079e+07 0.25;
result_node[3]~9 0xc0 1.13079e+07 0.25;
result_node[4]~8 0xc0 1.13079e+07 0.25;
result_node[5]~7 0xc0 1.13079e+07 0.25;
result_node[6]~6 0xc0 1.13079e+07 0.25;
result_node[7]~5 0xc0 1.13079e+07 0.25;
result_node[8]~4 0xc0 1.13079e+07 0.25;
result_node[9]~3 0xc0 1.13079e+07 0.25;
result_node[10]~2 0xc0 1.13079e+07 0.25;
result_node[11]~1 0xc0 1.13079e+07 0.25;
result_node[12]~0 0xc0 1.13079e+07 0.25;
result_node[13] 0xc0 1.13079e+07 0.75;
DAC_OUTPUT[0] 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[0]~output 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[1] 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[1]~output 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[2] 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[2]~output 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[3] 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[3]~output 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[4] 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[4]~output 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[5] 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[5]~output 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[6] 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[6]~output 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[7] 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[7]~output 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[8] 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[8]~output 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[9] 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[9]~output 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[10] 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[10]~output 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[11] 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[11]~output 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[12] 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[12]~output 0xc0 1.13079e+07 0.25;
DAC_OUTPUT[13] 0xc0 1.13079e+07 0.75;
DAC_OUTPUT[13]~output 0xc0 1.13079e+07 0.75;
DAC_PD 0xc0 3.125e+06 0.5;
DAC_PD~output 0xc0 3.125e+06 0.5;
DEBUG:DBG_ADC;
altsource_probe_top:in_system_sources_probes_0;
altsource_probe:issp_impl;
altsource_probe_body:altsource_probe_body_inst;
altsource_probe_impl:\wider_probe_gen:wider_probe_inst;
sld_rom_sr:\instance_id_gen:rom_info_inst;
Add0~0 0xc0 703125 0.25;
Add0~1 0xc0 297852 0.125;
Equal0~0 0xc0 153809 0.0625;
Mux1~0 0xc0 495605 0.6875;
Mux2~0 0xc0 297852 0.125;
Mux3~0 0xc0 505371 0.75;
word_counter[0] 0xc0 1.25e+06 0.5;
word_counter[1] 0xc0 1.25e+06 0.5;
word_counter[2] 0xc0 1.25e+06 0.5;
word_counter[3] 0xc0 1.25e+06 0.5;
word_counter~0 0xc0 1.38273e+06 0.4375;
word_counter~1 0xc0 1.06379e+06 0.410156;
word_counter~2 0xc0 350618 0.179688;
word_counter~3 0xc0 1.52101e+06 0.410156;
word_counter~4 0xc0 1.29282e+06 0.410156;
WORD_SR[0] 0xc0 1.25e+06 0.5;
WORD_SR[1] 0xc0 1.25e+06 0.5;
WORD_SR[2] 0xc0 1.25e+06 0.5;
WORD_SR[3] 0xc0 1.25e+06 0.5;
WORD_SR~0 0xc0 486174 0.246094;
WORD_SR~1 0xc0 694189 0.289063;
WORD_SR~2 0xc0 628552 0.150391;
WORD_SR~3 0xc0 479218 0.293945;
WORD_SR~4 0xc0 153809 0.0625;
WORD_SR~5 0xc0 4.55462e+06 0.102539;
bypass_reg 0xc0 1.25e+06 0.5;
hold_reg[0] 0xc0 1.25e+06 0.5;
hold_reg[0]~0 0xc0 205078 0.0625;
hold_reg[0]~1 0xc0 633774 0.5;
shift_reg[0] 0xc0 1.25e+06 0.5;
shift_reg[1] 0xc0 1.25e+06 0.5;
shift_reg[2] 0xc0 1.25e+06 0.5;
shift_reg[3] 0xc0 1.25e+06 0.5;
shift_reg[4] 0xc0 1.25e+06 0.5;
shift_reg[5] 0xc0 1.25e+06 0.5;
shift_reg[6] 0xc0 1.25e+06 0.5;
shift_reg[7] 0xc0 1.25e+06 0.5;
shift_reg[8] 0xc0 1.25e+06 0.5;
shift_reg[9] 0xc0 1.25e+06 0.5;
shift_reg[10] 0xc0 1.25e+06 0.5;
shift_reg[11] 0xc0 1.25e+06 0.5;
shift_reg~0 0xc0 937500 0.25;
shift_reg~1 0xc0 1.5625e+06 0.375;
shift_reg~2 0xc0 1.02074e+06 0.458984;
shift_reg~3 0xc0 461884 0.171875;
shift_reg~4 0xc0 639648 0.21875;
shift_reg~5 0xc0 682526 0.165039;
shift_reg~6 0xc0 429688 0.125;
shift_reg~7 0xc0 682526 0.165039;
shift_reg~8 0xc0 682526 0.165039;
shift_reg~9 0xc0 682526 0.165039;
shift_reg~10 0xc0 682526 0.165039;
shift_reg~11 0xc0 682526 0.165039;
shift_reg~12 0xc0 682526 0.165039;
shift_reg~13 0xc0 682526 0.165039;
shift_reg~14 0xc0 682526 0.165039;
shift_reg~15 0xc0 682526 0.165039;
shift_reg~16 0xc0 1.43399e+06 0.165039;
tdo~0 0xc0 781250 0.5;
tdo~1 0xc0 391178 0.5;
vjtag_sdr_i~0 0xc0 546875 0.125;
vjtag_sdr_i~1 0xc0 937500 0.25;
vjtag_uir_i~0 0xc0 429688 0.125;
sld_jtag_endpoint_adapter:jtag_signal_adapter;
spi_interface:FLASH;
Add0~0 0xc0 8.04014e+06 0.5;
Add0~1 0xc0 8.04014e+06 0.5;
Add0~2 0xc0 8.04014e+06 0.5;
Add0~3 0xc0 4.52258e+06 0.25;
Add0~4 0xc0 7.28637e+06 0.5;
Add0~5 0xc0 2.13566e+06 0.875;
Add0~6 0xc0 7.34919e+06 0.5;
Add0~7 0xc0 6.81527e+06 0.0625;
Add0~8 0xc0 1.05056e+07 0.5;
Add0~9 0xc0 1.76663e+06 0.96875;
Add0~10 0xc0 8.43665e+06 0.5;
Add0~11 0xc0 7.99499e+06 0.015625;
Add0~12 0xc0 1.17903e+07 0.5;
Add0~13 0xc0 2.00267e+06 0.992188;
Add0~14 0xc0 8.91683e+06 0.5;
CS_S 0xc0 8.04014e+06 0.5;
CS_S~0 0xc0 4.12659e+06 0.265625;
Decoder0~0 0xc0 303762 0.03125;
Equal0~0 0xc0 2.2927e+06 0.125;
Equal0~1 0xc0 965759 0.0625;
Equal1~0 0xc0 1.03054e+06 0.0625;
Equal1~1 0xc0 2.38692e+06 0.125;
Equal5~0 0xc0 4.52258e+06 0.25;
Equal5~1 0xc0 724319 0.0625;
Equal5~2 0xc0 9737.01 0.00390625;
Equal5~3 0xc0 476009 0.03125;
MOSI_DQ0 0xc0 8.04014e+06 0.5;
MOSI_DQ0~0 0xc0 4.52024e+06 0.5;
MOSI_DQ0~1 0xc0 2.34403e+06 0.25;
Mux0~0 0xc0 2.78735e+06 0.5;
Mux0~1 0xc0 1.88966e+06 0.5;
Mux0~2 0xc0 2.78735e+06 0.5;
Mux0~3 0xc0 1.88966e+06 0.5;
SCK_C 0xc0 8.04014e+06 0.5;
SCK_C~0 0xc0 1.91581e+06 0.875;
SCK_C~1 0xc0 3.10013e+06 0.753906;
SCK_C~2 0xc0 4.52258e+06 0.25;
always0~0 0xc0 4.52258e+06 0.25;
busy 0xc0 8.04014e+06 0.5;
busy~0 0xc0 4.93498e+06 0.671509;
continue_read_prev 0xc0 8.04014e+06 0.5;
continue_read_prev~0 0xc0 4.52258e+06 0.25;
continue_read_prev~1 0xc0 2.59408e+06 0.257813;
data_out[0] 0xc0 8.04014e+06 0.5;
data_out[0]~21 0xc0 9.79339e+06 0.5;
data_out[1] 0xc0 8.04014e+06 0.5;
data_out[1]~18 0xc0 1.91581e+06 0.125;
data_out[1]~19 0xc0 11141 0.00390625;
data_out[1]~20 0xc0 9.82139e+06 0.5;
data_out[2] 0xc0 8.04014e+06 0.5;
data_out[2]~15 0xc0 1.91581e+06 0.125;
data_out[2]~16 0xc0 11141 0.00390625;
data_out[2]~17 0xc0 1.99655e+07 0.5;
data_out[3] 0xc0 8.04014e+06 0.5;
data_out[3]~12 0xc0 1.91581e+06 0.125;
data_out[3]~13 0xc0 11141 0.00390625;
data_out[3]~14 0xc0 1.02169e+07 0.5;
data_out[4] 0xc0 8.04014e+06 0.5;
data_out[4]~9 0xc0 1.91581e+06 0.125;
data_out[4]~10 0xc0 11141 0.00390625;
data_out[4]~11 0xc0 1.99655e+07 0.5;
data_out[5] 0xc0 8.04014e+06 0.5;
data_out[5]~6 0xc0 1.91581e+06 0.125;
data_out[5]~7 0xc0 11141 0.00390625;
data_out[5]~8 0xc0 1.99655e+07 0.5;
data_out[6] 0xc0 8.04014e+06 0.5;
data_out[6]~3 0xc0 1.91581e+06 0.125;
data_out[6]~4 0xc0 11141 0.00390625;
data_out[6]~5 0xc0 1.99655e+07 0.5;
data_out[7] 0xc0 8.04014e+06 0.5;
data_out[7]~0 0xc0 1.91581e+06 0.875;
data_out[7]~1 0xc0 11141 0.00390625;
data_out[7]~2 0xc0 1.99655e+07 0.5;
enabled_prev 0xc0 8.04014e+06 0.5;
spi_bit_position[0] 0xc0 8.04014e+06 0.5;
spi_bit_position[1] 0xc0 8.04014e+06 0.5;
spi_bit_position[2] 0xc0 8.04014e+06 0.5;
spi_bit_position[3] 0xc0 8.04014e+06 0.5;
spi_bit_position[4] 0xc0 8.04014e+06 0.5;
spi_bit_position[5] 0xc0 8.04014e+06 0.5;
spi_bit_position[6] 0xc0 8.04014e+06 0.5;
spi_bit_position[7] 0xc0 8.04014e+06 0.5;
spi_bit_position~0 0xc0 2.68044e+06 0.175781;
spi_bit_position~1 0xc0 3.15242e+06 0.351563;
spi_bit_position~2 0xc0 3.25839e+06 0.670324;
spi_bit_position~3 0xc0 2.68044e+06 0.175781;
spi_bit_position~4 0xc0 2.55565e+06 0.175781;
spi_bit_position~5 0xc0 2.56605e+06 0.175781;
spi_bit_position~6 0xc0 3.0886e+06 0.175781;
spi_bit_position~7 0xc0 2.82557e+06 0.175781;
spi_bit_position~8 0xc0 2.74608e+06 0.175781;
spi_bit_position~9 0xc0 3.30129e+06 0.175781;
spi_stage[0] 0xc0 8.04014e+06 0.5;
spi_stage[1] 0xc0 8.04014e+06 0.5;
spi_stage[2] 0xc0 8.04014e+06 0.5;
spi_stage[5] 0xc0 8.04014e+06 0.5;
spi_stage~0 0xc0 4.36358e+06 0.4375;
spi_stage~1 0xc0 1.86485e+06 0.292969;
spi_stage~2 0xc0 3.90622e+06 0.5;
spi_stage~3 0xc0 974388 0.09375;
spi_stage~4 0xc0 1.60763e+06 0.1875;
spi_stage~5 0xc0 2.2927e+06 0.125;
spi_stage~6 0xc0 1.27285e+06 0.0937958;
spi_stage~7 0xc0 326.383 0.000488281;
spi_stage~8 0xc0 1.51728e+06 0.0937958;
FLASH_C 0xc0 8.04014e+06 0.5;
FLASH_C~output 0xc0 8.04014e+06 0.5;
FLASH_MISO 0x30 5.14569e+07 0.5;
FLASH_MISO~input 0xc0 5.14569e+07 0.5;
FLASH_MOSI 0xc0 8.04014e+06 0.5;
FLASH_MOSI~output 0xc0 8.04014e+06 0.5;
FLASH_S 0xc0 8.04014e+06 0.5;
FLASH_S~output 0xc0 8.04014e+06 0.5;
LPF_1 0xc0 3.125e+06 0.5;
LPF_1~output 0xc0 3.125e+06 0.5;
LPF_2 0xc0 3.125e+06 0.5;
LPF_2~output 0xc0 3.125e+06 0.5;
LPF_3 0xc0 3.125e+06 0.5;
LPF_3~output 0xc0 3.125e+06 0.5;
MAIN_PLL:MAIN_PLL;
altpll:altpll_component;
MAIN_PLL_altpll:auto_generated;
wire_pll1_clk[0] 0xc 2.45767e+07 0.5;
wire_pll1_clk[0]~clkctrl 0xc0 2.45767e+07 0.5;
wire_pll1_clk[1] 0xc 96001.6 0.5;
wire_pll1_clk[1]~clkctrl 0xc0 96001.6 0.5;
wire_pll1_fbout 0xc0 0 0;
PREAMP 0xc0 3.125e+06 0.5;
PREAMP~output 0xc0 3.125e+06 0.5;
rx_cic:RX_CIC_I;
rx_cic_cic_ii_0:cic_ii_0;
alt_cic_core:core;
auk_dspip_avalon_streaming_controller:avalon_controller;
auk_dspip_avalon_streaming_small_fifo:ready_FIFO;
Decoder0~0 0xc0 385165 0.0512695;
Decoder0~1 0xc0 385165 0.0512695;
Decoder0~2 0xc0 385165 0.0512695;
Equal2~0 0xc0 2.38692e+06 0.125;
Equal3~0 0xc0 2.38692e+06 0.125;
fifo_array[0][0] 0xc0 8.04014e+06 0.5;
fifo_array[1][0] 0xc0 8.04014e+06 0.5;
fifo_array[2][0] 0xc0 8.04014e+06 0.5;
fifo_array[3][0] 0xc0 8.04014e+06 0.5;
fifo_array[4][0] 0xc0 8.04014e+06 0.5;
fifo_array[5][0] 0xc0 8.04014e+06 0.5;
fifo_array~0 0xc0 4.7275e+06 0.512817;
fifo_array~1 0xc0 4.7275e+06 0.512817;
fifo_array~2 0xc0 4.58757e+06 0.512817;
fifo_array~3 0xc0 4.7275e+06 0.512817;
fifo_array~4 0xc0 4.58757e+06 0.512817;
fifo_array~5 0xc0 4.7275e+06 0.512817;
fifo_usedw[0] 0xc0 8.04014e+06 0.5;
fifo_usedw[1] 0xc0 8.04014e+06 0.5;
fifo_usedw[2] 0xc0 8.04014e+06 0.5;
fifo_usedw~0 0xc0 4.46369e+06 0.28125;
fifo_usedw~1 0xc0 2.98403e+06 0.437378;
fifo_usedw~2 0xc0 6.27544e+06 0.5;
fifo_usedw~3 0xc0 1.64658e+06 0.123047;
fifo_usedw~4 0xc0 6.76703e+06 0.5;
Mux0~0 0xc0 2.78735e+06 0.5;
Mux0~1 0xc0 1.88966e+06 0.5;
rd_addr_ptr[0] 0xc0 8.04014e+06 0.5;
rd_addr_ptr[1] 0xc0 8.04014e+06 0.5;
rd_addr_ptr[2] 0xc0 8.04014e+06 0.5;
rd_addr_ptr~0 0xc0 5.2803e+06 0.472656;
rd_addr_ptr~1 0xc0 3.95609e+06 0.5;
rd_addr_ptr~2 0xc0 2.78281e+06 0.472656;
usedw_process~0 0xc0 1.53964e+06 0.109375;
usedw_process~1 0xc0 686039 0.794922;
wr_addr_ptr[0] 0xc0 8.04014e+06 0.5;
wr_addr_ptr[1] 0xc0 8.04014e+06 0.5;
wr_addr_ptr[2] 0xc0 8.04014e+06 0.5;
wr_addr_ptr~0 0xc0 6.52576e+06 0.5;
wr_addr_ptr~1 0xc0 3.01038e+06 0.474365;
wr_addr_ptr~2 0xc0 2.24202e+06 0.474365;
ready_fifo_wrreq~0 0xc0 2.31935e+06 0.234375;
sink_ready_ctrl_process~0 0xc0 6.30295e+06 0.78125;
sink_ready_ctrl~0 0xc0 4.52258e+06 0.25;
sink_ready_ctrl~1 0xc0 4.08288e+06 0.5;
sink_ready_ctrl~2 0xc0 6.00899e+06 0.234375;
sink_ready_ctrl~3 0xc0 1.50988e+06 0.106445;
stall_reg 0xc0 8.04014e+06 0.5;
stall_reg~0 0xc0 1.91581e+06 0.875;
alt_cic_dec_siso:dec_one;
Equal2~0 0xc0 871538 0.0625;
Equal2~1 0xc0 4.52258e+06 0.25;
comb~0 0xc0 9.14723e+06 0.53125;
auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5;
dout[0] 0xc0 8.04014e+06 0.5;
dout[0]~86 0xc0 8.04014e+06 0.5;
dout[0]~87 0xc0 4.52258e+06 0.75;
dout[1] 0xc0 8.04014e+06 0.5;
dout[1]~88 0xc0 6.78387e+06 0.5;
dout[1]~89 0xc0 3.74526e+06 0.375;
dout[2] 0xc0 8.04014e+06 0.5;
dout[2]~90 0xc0 5.74155e+06 0.5;
dout[2]~91 0xc0 4.40971e+06 0.5625;
dout[3] 0xc0 8.04014e+06 0.5;
dout[3]~92 0xc0 5.69567e+06 0.5;
dout[3]~93 0xc0 5.96496e+06 0.46875;
dout[4] 0xc0 8.04014e+06 0.5;
dout[4]~94 0xc0 6.03148e+06 0.5;
dout[4]~95 0xc0 5.25544e+06 0.515625;
dout[5] 0xc0 8.04014e+06 0.5;
dout[5]~96 0xc0 5.84085e+06 0.5;
dout[5]~97 0xc0 5.57675e+06 0.492188;
dout[6] 0xc0 8.04014e+06 0.5;
dout[6]~99 0xc0 5.91787e+06 0.5;
dout[6]~100 0xc0 5.40143e+06 0.503906;
dout[7] 0xc0 8.04014e+06 0.5;
dout[7]~101 0xc0 5.87321e+06 0.5;
dout[7]~102 0xc0 5.48463e+06 0.498047;
dout[8] 0xc0 8.04014e+06 0.5;
dout[8]~103 0xc0 5.8938e+06 0.5;
dout[8]~104 0xc0 5.44182e+06 0.500977;
dout[9] 0xc0 8.04014e+06 0.5;
dout[9]~105 0xc0 5.88305e+06 0.5;
dout[9]~106 0xc0 5.46291e+06 0.499512;
dout[10] 0xc0 8.04014e+06 0.5;
dout[10]~107 0xc0 5.88831e+06 0.5;
dout[10]~108 0xc0 5.45228e+06 0.500244;
dout[11] 0xc0 8.04014e+06 0.5;
dout[11]~109 0xc0 5.88565e+06 0.5;
dout[11]~110 0xc0 5.45758e+06 0.499878;
dout[12] 0xc0 8.04014e+06 0.5;
dout[12]~111 0xc0 5.88697e+06 0.5;
dout[12]~112 0xc0 5.45492e+06 0.500061;
dout[13] 0xc0 8.04014e+06 0.5;
dout[13]~113 0xc0 5.88631e+06 0.5;
dout[13]~114 0xc0 5.45625e+06 0.499969;
dout[14] 0xc0 8.04014e+06 0.5;
dout[14]~115 0xc0 5.88664e+06 0.5;
dout[14]~116 0xc0 5.45559e+06 0.500015;
dout[15] 0xc0 8.04014e+06 0.5;
dout[15]~117 0xc0 5.88647e+06 0.5;
dout[15]~118 0xc0 5.45592e+06 0.499992;
dout[16] 0xc0 8.04014e+06 0.5;
dout[16]~119 0xc0 5.88656e+06 0.5;
dout[16]~120 0xc0 5.45575e+06 0.500004;
dout[17] 0xc0 8.04014e+06 0.5;
dout[17]~121 0xc0 5.88651e+06 0.5;
dout[17]~122 0xc0 5.45583e+06 0.499998;
dout[18] 0xc0 8.04014e+06 0.5;
dout[18]~123 0xc0 5.88654e+06 0.5;
dout[18]~124 0xc0 5.45579e+06 0.500001;
dout[19] 0xc0 8.04014e+06 0.5;
dout[19]~125 0xc0 5.88653e+06 0.5;
dout[19]~126 0xc0 5.45581e+06 0.5;
dout[20] 0xc0 8.04014e+06 0.5;
dout[20]~127 0xc0 5.88653e+06 0.5;
dout[20]~128 0xc0 5.4558e+06 0.5;
dout[21] 0xc0 8.04014e+06 0.5;
dout[21]~129 0xc0 5.88653e+06 0.5;
dout[21]~130 0xc0 5.45581e+06 0.5;
dout[22] 0xc0 8.04014e+06 0.5;
dout[22]~131 0xc0 5.88653e+06 0.5;
dout[22]~132 0xc0 5.45581e+06 0.5;
dout[23] 0xc0 8.04014e+06 0.5;
dout[23]~133 0xc0 5.88653e+06 0.5;
dout[23]~134 0xc0 5.45581e+06 0.5;
dout[24] 0xc0 8.04014e+06 0.5;
dout[24]~135 0xc0 5.88653e+06 0.5;
dout[24]~136 0xc0 5.45581e+06 0.5;
dout[25] 0xc0 8.04014e+06 0.5;
dout[25]~137 0xc0 5.88653e+06 0.5;
dout[25]~138 0xc0 5.45581e+06 0.5;
dout[26] 0xc0 8.04014e+06 0.5;
dout[26]~139 0xc0 5.88653e+06 0.5;
dout[26]~140 0xc0 5.45581e+06 0.5;
dout[27] 0xc0 8.04014e+06 0.5;
dout[27]~141 0xc0 5.88653e+06 0.5;
dout[27]~142 0xc0 5.45581e+06 0.5;
dout[28] 0xc0 8.04014e+06 0.5;
dout[28]~143 0xc0 5.88653e+06 0.5;
dout[28]~144 0xc0 5.45581e+06 0.5;
dout[29] 0xc0 8.04014e+06 0.5;
dout[29]~145 0xc0 5.88653e+06 0.5;
dout[29]~146 0xc0 5.45581e+06 0.5;
dout[30] 0xc0 8.04014e+06 0.5;
dout[30]~147 0xc0 5.88653e+06 0.5;
dout[30]~148 0xc0 5.45581e+06 0.5;
dout[31] 0xc0 8.04014e+06 0.5;
dout[31]~149 0xc0 5.88653e+06 0.5;
dout[31]~150 0xc0 5.45581e+06 0.5;
dout[32] 0xc0 8.04014e+06 0.5;
dout[32]~151 0xc0 5.88653e+06 0.5;
dout[32]~152 0xc0 5.45581e+06 0.5;
dout[33] 0xc0 8.04014e+06 0.5;
dout[33]~153 0xc0 5.88653e+06 0.5;
dout[33]~154 0xc0 5.45581e+06 0.5;
dout[34] 0xc0 8.04014e+06 0.5;
dout[34]~155 0xc0 5.88653e+06 0.5;
dout[34]~156 0xc0 5.45581e+06 0.5;
dout[35] 0xc0 8.04014e+06 0.5;
dout[35]~157 0xc0 5.88653e+06 0.5;
dout[35]~158 0xc0 5.45581e+06 0.5;
dout[36] 0xc0 8.04014e+06 0.5;
dout[36]~159 0xc0 5.88653e+06 0.5;
dout[36]~160 0xc0 5.45581e+06 0.5;
dout[37] 0xc0 8.04014e+06 0.5;
dout[37]~161 0xc0 5.88653e+06 0.5;
dout[37]~162 0xc0 5.45581e+06 0.5;
dout[38] 0xc0 8.04014e+06 0.5;
dout[38]~163 0xc0 5.88653e+06 0.5;
dout[38]~164 0xc0 5.45581e+06 0.5;
dout[39] 0xc0 8.04014e+06 0.5;
dout[39]~165 0xc0 5.88653e+06 0.5;
dout[39]~166 0xc0 5.45581e+06 0.5;
dout[40] 0xc0 8.04014e+06 0.5;
dout[40]~167 0xc0 5.88653e+06 0.5;
dout[40]~168 0xc0 5.45581e+06 0.5;
dout[41] 0xc0 8.04014e+06 0.5;
dout[41]~169 0xc0 5.88653e+06 0.5;
dout[41]~170 0xc0 5.45581e+06 0.5;
dout[42] 0xc0 8.04014e+06 0.5;
dout[42]~171 0xc0 5.88653e+06 0.5;
dout[42]~172 0xc0 5.45581e+06 0.5;
dout[43] 0xc0 8.04014e+06 0.5;
dout[43]~173 0xc0 5.88653e+06 0.5;
dout[43]~174 0xc0 5.45581e+06 0.5;
dout[44] 0xc0 8.04014e+06 0.5;
dout[44]~175 0xc0 5.88653e+06 0.5;
dout[44]~176 0xc0 5.45581e+06 0.5;
dout[45] 0xc0 8.04014e+06 0.5;
dout[45]~177 0xc0 5.88653e+06 0.5;
dout[45]~178 0xc0 5.45581e+06 0.5;
dout[46] 0xc0 8.04014e+06 0.5;
dout[46]~179 0xc0 5.88653e+06 0.5;
dout[46]~180 0xc0 5.45581e+06 0.5;
dout[47] 0xc0 8.04014e+06 0.5;
dout[47]~181 0xc0 5.88653e+06 0.5;
dout[47]~182 0xc0 5.45581e+06 0.5;
dout[48] 0xc0 8.04014e+06 0.5;
dout[48]~183 0xc0 5.88653e+06 0.5;
dout[48]~184 0xc0 5.45581e+06 0.5;
dout[49] 0xc0 8.04014e+06 0.5;
dout[49]~185 0xc0 5.88653e+06 0.5;
dout[49]~186 0xc0 5.45581e+06 0.5;
dout[50] 0xc0 8.04014e+06 0.5;
dout[50]~187 0xc0 5.88653e+06 0.5;
dout[50]~188 0xc0 5.45581e+06 0.5;
dout[51] 0xc0 8.04014e+06 0.5;
dout[51]~189 0xc0 5.88653e+06 0.5;
dout[51]~190 0xc0 5.45581e+06 0.5;
dout[52] 0xc0 8.04014e+06 0.5;
dout[52]~191 0xc0 5.88653e+06 0.5;
dout[52]~192 0xc0 5.45581e+06 0.5;
dout[53] 0xc0 8.04014e+06 0.5;
dout[53]~193 0xc0 5.88653e+06 0.5;
dout[53]~194 0xc0 5.45581e+06 0.5;
dout[54] 0xc0 8.04014e+06 0.5;
dout[54]~195 0xc0 5.88653e+06 0.5;
dout[54]~196 0xc0 5.45581e+06 0.5;
dout[55] 0xc0 8.04014e+06 0.5;
dout[55]~197 0xc0 5.88653e+06 0.5;
dout[55]~198 0xc0 5.45581e+06 0.5;
dout[56] 0xc0 8.04014e+06 0.5;
dout[56]~199 0xc0 5.88653e+06 0.5;
dout[56]~200 0xc0 5.45581e+06 0.5;
dout[57] 0xc0 8.04014e+06 0.5;
dout[57]~201 0xc0 5.88653e+06 0.5;
dout[57]~202 0xc0 5.45581e+06 0.5;
dout[58] 0xc0 8.04014e+06 0.5;
dout[58]~203 0xc0 5.88653e+06 0.5;
dout[58]~204 0xc0 5.45581e+06 0.5;
dout[59] 0xc0 8.04014e+06 0.5;
dout[59]~205 0xc0 5.88653e+06 0.5;
dout[59]~206 0xc0 5.45581e+06 0.5;
dout[60] 0xc0 8.04014e+06 0.5;
dout[60]~207 0xc0 5.88653e+06 0.5;
dout[60]~208 0xc0 5.45581e+06 0.5;
dout[61] 0xc0 8.04014e+06 0.5;
dout[61]~209 0xc0 5.88653e+06 0.5;
dout[61]~210 0xc0 5.45581e+06 0.5;
dout[62] 0xc0 8.04014e+06 0.5;
dout[62]~211 0xc0 5.88653e+06 0.5;
dout[62]~212 0xc0 5.45581e+06 0.5;
dout[63] 0xc0 8.04014e+06 0.5;
dout[63]~213 0xc0 5.88653e+06 0.5;
dout[63]~214 0xc0 5.45581e+06 0.5;
dout[64] 0xc0 8.04014e+06 0.5;
dout[64]~215 0xc0 5.88653e+06 0.5;
dout[64]~216 0xc0 5.45581e+06 0.5;
dout[65] 0xc0 8.04014e+06 0.5;
dout[65]~217 0xc0 5.88653e+06 0.5;
dout[65]~218 0xc0 5.45581e+06 0.5;
dout[66] 0xc0 8.04014e+06 0.5;
dout[66]~219 0xc0 5.88653e+06 0.5;
dout[66]~220 0xc0 5.45581e+06 0.5;
dout[67] 0xc0 8.04014e+06 0.5;
dout[67]~221 0xc0 5.88653e+06 0.5;
dout[67]~222 0xc0 5.45581e+06 0.5;
dout[68] 0xc0 8.04014e+06 0.5;
dout[68]~223 0xc0 5.88653e+06 0.5;
dout[68]~224 0xc0 5.45581e+06 0.5;
dout[69] 0xc0 8.04014e+06 0.5;
dout[69]~225 0xc0 5.88653e+06 0.5;
dout[69]~226 0xc0 5.45581e+06 0.5;
dout[70] 0xc0 8.04014e+06 0.5;
dout[70]~227 0xc0 5.88653e+06 0.5;
dout[70]~228 0xc0 5.45581e+06 0.5;
dout[71] 0xc0 8.04014e+06 0.5;
dout[71]~229 0xc0 5.88653e+06 0.5;
dout[71]~230 0xc0 5.45581e+06 0.5;
dout[72] 0xc0 8.04014e+06 0.5;
dout[72]~231 0xc0 5.88653e+06 0.5;
dout[72]~232 0xc0 5.45581e+06 0.5;
dout[73] 0xc0 8.04014e+06 0.5;
dout[73]~233 0xc0 5.88653e+06 0.5;
dout[73]~234 0xc0 5.45581e+06 0.5;
dout[74] 0xc0 8.04014e+06 0.5;
dout[74]~235 0xc0 5.88653e+06 0.5;
dout[74]~236 0xc0 5.45581e+06 0.5;
dout[75] 0xc0 8.04014e+06 0.5;
dout[75]~237 0xc0 5.88653e+06 0.5;
dout[75]~238 0xc0 5.45581e+06 0.5;
dout[76] 0xc0 8.04014e+06 0.5;
dout[76]~239 0xc0 5.88653e+06 0.5;
dout[76]~240 0xc0 5.45581e+06 0.5;
dout[77] 0xc0 8.04014e+06 0.5;
dout[77]~241 0xc0 5.88653e+06 0.5;
dout[77]~242 0xc0 5.45581e+06 0.5;
dout[78] 0xc0 8.04014e+06 0.5;
dout[78]~243 0xc0 5.88653e+06 0.5;
dout[78]~244 0xc0 5.45581e+06 0.5;
dout[79] 0xc0 8.04014e+06 0.5;
dout[79]~245 0xc0 5.88653e+06 0.5;
dout[79]~246 0xc0 5.45581e+06 0.5;
dout[80] 0xc0 8.04014e+06 0.5;
dout[80]~247 0xc0 5.88653e+06 0.5;
dout[80]~248 0xc0 5.45581e+06 0.5;
dout[81] 0xc0 8.04014e+06 0.5;
dout[81]~249 0xc0 5.88653e+06 0.5;
dout[81]~250 0xc0 5.45581e+06 0.5;
dout[82] 0xc0 8.04014e+06 0.5;
dout[82]~251 0xc0 5.88653e+06 0.5;
dout[82]~252 0xc0 5.45581e+06 0.5;
dout[83] 0xc0 8.04014e+06 0.5;
dout[83]~253 0xc0 5.88653e+06 0.5;
dout[83]~254 0xc0 5.45581e+06 0.5;
dout[84] 0xc0 8.04014e+06 0.5;
dout[84]~255 0xc0 5.88653e+06 0.5;
dout[84]~256 0xc0 5.45581e+06 0.5;
dout[85] 0xc0 8.04014e+06 0.5;
dout[85]~257 0xc0 5.88653e+06 0.5;
dout_valid 0xc0 8.04014e+06 0.5;
dout_valid~0 0xc0 6.0301e+06 0.25;
dout~98 0xc0 5.27634e+06 0.625;
dout~259 0xc0 6.0301e+06 0.25;
auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5;
dout[0] 0xc0 8.04014e+06 0.5;
dout[0]~86 0xc0 8.04014e+06 0.5;
dout[0]~87 0xc0 4.52258e+06 0.75;
dout[1] 0xc0 8.04014e+06 0.5;
dout[1]~88 0xc0 6.78387e+06 0.5;
dout[1]~89 0xc0 3.74526e+06 0.375;
dout[2] 0xc0 8.04014e+06 0.5;
dout[2]~90 0xc0 5.74155e+06 0.5;
dout[2]~91 0xc0 4.40971e+06 0.5625;
dout[3] 0xc0 8.04014e+06 0.5;
dout[3]~92 0xc0 5.69567e+06 0.5;
dout[3]~93 0xc0 5.96496e+06 0.46875;
dout[4] 0xc0 8.04014e+06 0.5;
dout[4]~94 0xc0 6.03148e+06 0.5;
dout[4]~95 0xc0 5.25544e+06 0.515625;
dout[5] 0xc0 8.04014e+06 0.5;
dout[5]~96 0xc0 5.84085e+06 0.5;
dout[5]~97 0xc0 5.57675e+06 0.492188;
dout[6] 0xc0 8.04014e+06 0.5;
dout[6]~99 0xc0 5.91787e+06 0.5;
dout[6]~100 0xc0 5.40143e+06 0.503906;
dout[7] 0xc0 8.04014e+06 0.5;
dout[7]~101 0xc0 5.87321e+06 0.5;
dout[7]~102 0xc0 5.48463e+06 0.498047;
dout[8] 0xc0 8.04014e+06 0.5;
dout[8]~103 0xc0 5.8938e+06 0.5;
dout[8]~104 0xc0 5.44182e+06 0.500977;
dout[9] 0xc0 8.04014e+06 0.5;
dout[9]~105 0xc0 5.88305e+06 0.5;
dout[9]~106 0xc0 5.46291e+06 0.499512;
dout[10] 0xc0 8.04014e+06 0.5;
dout[10]~107 0xc0 5.88831e+06 0.5;
dout[10]~108 0xc0 5.45228e+06 0.500244;
dout[11] 0xc0 8.04014e+06 0.5;
dout[11]~109 0xc0 5.88565e+06 0.5;
dout[11]~110 0xc0 5.45758e+06 0.499878;
dout[12] 0xc0 8.04014e+06 0.5;
dout[12]~111 0xc0 5.88697e+06 0.5;
dout[12]~112 0xc0 5.45492e+06 0.500061;
dout[13] 0xc0 8.04014e+06 0.5;
dout[13]~113 0xc0 5.88631e+06 0.5;
dout[13]~114 0xc0 5.45625e+06 0.499969;
dout[14] 0xc0 8.04014e+06 0.5;
dout[14]~115 0xc0 5.88664e+06 0.5;
dout[14]~116 0xc0 5.45559e+06 0.500015;
dout[15] 0xc0 8.04014e+06 0.5;
dout[15]~117 0xc0 5.88647e+06 0.5;
dout[15]~118 0xc0 5.45592e+06 0.499992;
dout[16] 0xc0 8.04014e+06 0.5;
dout[16]~119 0xc0 5.88656e+06 0.5;
dout[16]~120 0xc0 5.45575e+06 0.500004;
dout[17] 0xc0 8.04014e+06 0.5;
dout[17]~121 0xc0 5.88651e+06 0.5;
dout[17]~122 0xc0 5.45583e+06 0.499998;
dout[18] 0xc0 8.04014e+06 0.5;
dout[18]~123 0xc0 5.88654e+06 0.5;
dout[18]~124 0xc0 5.45579e+06 0.500001;
dout[19] 0xc0 8.04014e+06 0.5;
dout[19]~125 0xc0 5.88653e+06 0.5;
dout[19]~126 0xc0 5.45581e+06 0.5;
dout[20] 0xc0 8.04014e+06 0.5;
dout[20]~127 0xc0 5.88653e+06 0.5;
dout[20]~128 0xc0 5.4558e+06 0.5;
dout[21] 0xc0 8.04014e+06 0.5;
dout[21]~129 0xc0 5.88653e+06 0.5;
dout[21]~130 0xc0 5.45581e+06 0.5;
dout[22] 0xc0 8.04014e+06 0.5;
dout[22]~131 0xc0 5.88653e+06 0.5;
dout[22]~132 0xc0 5.45581e+06 0.5;
dout[23] 0xc0 8.04014e+06 0.5;
dout[23]~133 0xc0 5.88653e+06 0.5;
dout[23]~134 0xc0 5.45581e+06 0.5;
dout[24] 0xc0 8.04014e+06 0.5;
dout[24]~135 0xc0 5.88653e+06 0.5;
dout[24]~136 0xc0 5.45581e+06 0.5;
dout[25] 0xc0 8.04014e+06 0.5;
dout[25]~137 0xc0 5.88653e+06 0.5;
dout[25]~138 0xc0 5.45581e+06 0.5;
dout[26] 0xc0 8.04014e+06 0.5;
dout[26]~139 0xc0 5.88653e+06 0.5;
dout[26]~140 0xc0 5.45581e+06 0.5;
dout[27] 0xc0 8.04014e+06 0.5;
dout[27]~141 0xc0 5.88653e+06 0.5;
dout[27]~142 0xc0 5.45581e+06 0.5;
dout[28] 0xc0 8.04014e+06 0.5;
dout[28]~143 0xc0 5.88653e+06 0.5;
dout[28]~144 0xc0 5.45581e+06 0.5;
dout[29] 0xc0 8.04014e+06 0.5;
dout[29]~145 0xc0 5.88653e+06 0.5;
dout[29]~146 0xc0 5.45581e+06 0.5;
dout[30] 0xc0 8.04014e+06 0.5;
dout[30]~147 0xc0 5.88653e+06 0.5;
dout[30]~148 0xc0 5.45581e+06 0.5;
dout[31] 0xc0 8.04014e+06 0.5;
dout[31]~149 0xc0 5.88653e+06 0.5;
dout[31]~150 0xc0 5.45581e+06 0.5;
dout[32] 0xc0 8.04014e+06 0.5;
dout[32]~151 0xc0 5.88653e+06 0.5;
dout[32]~152 0xc0 5.45581e+06 0.5;
dout[33] 0xc0 8.04014e+06 0.5;
dout[33]~153 0xc0 5.88653e+06 0.5;
dout[33]~154 0xc0 5.45581e+06 0.5;
dout[34] 0xc0 8.04014e+06 0.5;
dout[34]~155 0xc0 5.88653e+06 0.5;
dout[34]~156 0xc0 5.45581e+06 0.5;
dout[35] 0xc0 8.04014e+06 0.5;
dout[35]~157 0xc0 5.88653e+06 0.5;
dout[35]~158 0xc0 5.45581e+06 0.5;
dout[36] 0xc0 8.04014e+06 0.5;
dout[36]~159 0xc0 5.88653e+06 0.5;
dout[36]~160 0xc0 5.45581e+06 0.5;
dout[37] 0xc0 8.04014e+06 0.5;
dout[37]~161 0xc0 5.88653e+06 0.5;
dout[37]~162 0xc0 5.45581e+06 0.5;
dout[38] 0xc0 8.04014e+06 0.5;
dout[38]~163 0xc0 5.88653e+06 0.5;
dout[38]~164 0xc0 5.45581e+06 0.5;
dout[39] 0xc0 8.04014e+06 0.5;
dout[39]~165 0xc0 5.88653e+06 0.5;
dout[39]~166 0xc0 5.45581e+06 0.5;
dout[40] 0xc0 8.04014e+06 0.5;
dout[40]~167 0xc0 5.88653e+06 0.5;
dout[40]~168 0xc0 5.45581e+06 0.5;
dout[41] 0xc0 8.04014e+06 0.5;
dout[41]~169 0xc0 5.88653e+06 0.5;
dout[41]~170 0xc0 5.45581e+06 0.5;
dout[42] 0xc0 8.04014e+06 0.5;
dout[42]~171 0xc0 5.88653e+06 0.5;
dout[42]~172 0xc0 5.45581e+06 0.5;
dout[43] 0xc0 8.04014e+06 0.5;
dout[43]~173 0xc0 5.88653e+06 0.5;
dout[43]~174 0xc0 5.45581e+06 0.5;
dout[44] 0xc0 8.04014e+06 0.5;
dout[44]~175 0xc0 5.88653e+06 0.5;
dout[44]~176 0xc0 5.45581e+06 0.5;
dout[45] 0xc0 8.04014e+06 0.5;
dout[45]~177 0xc0 5.88653e+06 0.5;
dout[45]~178 0xc0 5.45581e+06 0.5;
dout[46] 0xc0 8.04014e+06 0.5;
dout[46]~179 0xc0 5.88653e+06 0.5;
dout[46]~180 0xc0 5.45581e+06 0.5;
dout[47] 0xc0 8.04014e+06 0.5;
dout[47]~181 0xc0 5.88653e+06 0.5;
dout[47]~182 0xc0 5.45581e+06 0.5;
dout[48] 0xc0 8.04014e+06 0.5;
dout[48]~183 0xc0 5.88653e+06 0.5;
dout[48]~184 0xc0 5.45581e+06 0.5;
dout[49] 0xc0 8.04014e+06 0.5;
dout[49]~185 0xc0 5.88653e+06 0.5;
dout[49]~186 0xc0 5.45581e+06 0.5;
dout[50] 0xc0 8.04014e+06 0.5;
dout[50]~187 0xc0 5.88653e+06 0.5;
dout[50]~188 0xc0 5.45581e+06 0.5;
dout[51] 0xc0 8.04014e+06 0.5;
dout[51]~189 0xc0 5.88653e+06 0.5;
dout[51]~190 0xc0 5.45581e+06 0.5;
dout[52] 0xc0 8.04014e+06 0.5;
dout[52]~191 0xc0 5.88653e+06 0.5;
dout[52]~192 0xc0 5.45581e+06 0.5;
dout[53] 0xc0 8.04014e+06 0.5;
dout[53]~193 0xc0 5.88653e+06 0.5;
dout[53]~194 0xc0 5.45581e+06 0.5;
dout[54] 0xc0 8.04014e+06 0.5;
dout[54]~195 0xc0 5.88653e+06 0.5;
dout[54]~196 0xc0 5.45581e+06 0.5;
dout[55] 0xc0 8.04014e+06 0.5;
dout[55]~197 0xc0 5.88653e+06 0.5;
dout[55]~198 0xc0 5.45581e+06 0.5;
dout[56] 0xc0 8.04014e+06 0.5;
dout[56]~199 0xc0 5.88653e+06 0.5;
dout[56]~200 0xc0 5.45581e+06 0.5;
dout[57] 0xc0 8.04014e+06 0.5;
dout[57]~201 0xc0 5.88653e+06 0.5;
dout[57]~202 0xc0 5.45581e+06 0.5;
dout[58] 0xc0 8.04014e+06 0.5;
dout[58]~203 0xc0 5.88653e+06 0.5;
dout[58]~204 0xc0 5.45581e+06 0.5;
dout[59] 0xc0 8.04014e+06 0.5;
dout[59]~205 0xc0 5.88653e+06 0.5;
dout[59]~206 0xc0 5.45581e+06 0.5;
dout[60] 0xc0 8.04014e+06 0.5;
dout[60]~207 0xc0 5.88653e+06 0.5;
dout[60]~208 0xc0 5.45581e+06 0.5;
dout[61] 0xc0 8.04014e+06 0.5;
dout[61]~209 0xc0 5.88653e+06 0.5;
dout[61]~210 0xc0 5.45581e+06 0.5;
dout[62] 0xc0 8.04014e+06 0.5;
dout[62]~211 0xc0 5.88653e+06 0.5;
dout[62]~212 0xc0 5.45581e+06 0.5;
dout[63] 0xc0 8.04014e+06 0.5;
dout[63]~213 0xc0 5.88653e+06 0.5;
dout[63]~214 0xc0 5.45581e+06 0.5;
dout[64] 0xc0 8.04014e+06 0.5;
dout[64]~215 0xc0 5.88653e+06 0.5;
dout[64]~216 0xc0 5.45581e+06 0.5;
dout[65] 0xc0 8.04014e+06 0.5;
dout[65]~217 0xc0 5.88653e+06 0.5;
dout[65]~218 0xc0 5.45581e+06 0.5;
dout[66] 0xc0 8.04014e+06 0.5;
dout[66]~219 0xc0 5.88653e+06 0.5;
dout[66]~220 0xc0 5.45581e+06 0.5;
dout[67] 0xc0 8.04014e+06 0.5;
dout[67]~221 0xc0 5.88653e+06 0.5;
dout[67]~222 0xc0 5.45581e+06 0.5;
dout[68] 0xc0 8.04014e+06 0.5;
dout[68]~223 0xc0 5.88653e+06 0.5;
dout[68]~224 0xc0 5.45581e+06 0.5;
dout[69] 0xc0 8.04014e+06 0.5;
dout[69]~225 0xc0 5.88653e+06 0.5;
dout[69]~226 0xc0 5.45581e+06 0.5;
dout[70] 0xc0 8.04014e+06 0.5;
dout[70]~227 0xc0 5.88653e+06 0.5;
dout[70]~228 0xc0 5.45581e+06 0.5;
dout[71] 0xc0 8.04014e+06 0.5;
dout[71]~229 0xc0 5.88653e+06 0.5;
dout[71]~230 0xc0 5.45581e+06 0.5;
dout[72] 0xc0 8.04014e+06 0.5;
dout[72]~231 0xc0 5.88653e+06 0.5;
dout[72]~232 0xc0 5.45581e+06 0.5;
dout[73] 0xc0 8.04014e+06 0.5;
dout[73]~233 0xc0 5.88653e+06 0.5;
dout[73]~234 0xc0 5.45581e+06 0.5;
dout[74] 0xc0 8.04014e+06 0.5;
dout[74]~235 0xc0 5.88653e+06 0.5;
dout[74]~236 0xc0 5.45581e+06 0.5;
dout[75] 0xc0 8.04014e+06 0.5;
dout[75]~237 0xc0 5.88653e+06 0.5;
dout[75]~238 0xc0 5.45581e+06 0.5;
dout[76] 0xc0 8.04014e+06 0.5;
dout[76]~239 0xc0 5.88653e+06 0.5;
dout[76]~240 0xc0 5.45581e+06 0.5;
dout[77] 0xc0 8.04014e+06 0.5;
dout[77]~241 0xc0 5.88653e+06 0.5;
dout[77]~242 0xc0 5.45581e+06 0.5;
dout[78] 0xc0 8.04014e+06 0.5;
dout[78]~243 0xc0 5.88653e+06 0.5;
dout[78]~244 0xc0 5.45581e+06 0.5;
dout[79] 0xc0 8.04014e+06 0.5;
dout[79]~245 0xc0 5.88653e+06 0.5;
dout[79]~246 0xc0 5.45581e+06 0.5;
dout[80] 0xc0 8.04014e+06 0.5;
dout[80]~247 0xc0 5.88653e+06 0.5;
dout[80]~248 0xc0 5.45581e+06 0.5;
dout[81] 0xc0 8.04014e+06 0.5;
dout[81]~249 0xc0 5.88653e+06 0.5;
dout[81]~250 0xc0 5.45581e+06 0.5;
dout[82] 0xc0 8.04014e+06 0.5;
dout[82]~251 0xc0 5.88653e+06 0.5;
dout[82]~252 0xc0 5.45581e+06 0.5;
dout[83] 0xc0 8.04014e+06 0.5;
dout[83]~253 0xc0 5.88653e+06 0.5;
dout[83]~254 0xc0 5.45581e+06 0.5;
dout[84] 0xc0 8.04014e+06 0.5;
dout[84]~255 0xc0 5.88653e+06 0.5;
dout[84]~256 0xc0 5.45581e+06 0.5;
dout[85] 0xc0 8.04014e+06 0.5;
dout[85]~257 0xc0 5.88653e+06 0.5;
dout_valid 0xc0 8.04014e+06 0.5;
dout_valid~0 0xc0 6.0301e+06 0.25;
dout~98 0xc0 5.27634e+06 0.625;
dout~259 0xc0 6.0301e+06 0.25;
auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5;
dout[0] 0xc0 8.04014e+06 0.5;
dout[0]~86 0xc0 8.04014e+06 0.5;
dout[0]~87 0xc0 4.52258e+06 0.75;
dout[1] 0xc0 8.04014e+06 0.5;
dout[1]~88 0xc0 6.78387e+06 0.5;
dout[1]~89 0xc0 3.74526e+06 0.375;
dout[2] 0xc0 8.04014e+06 0.5;
dout[2]~90 0xc0 5.74155e+06 0.5;
dout[2]~91 0xc0 4.40971e+06 0.5625;
dout[3] 0xc0 8.04014e+06 0.5;
dout[3]~92 0xc0 5.69567e+06 0.5;
dout[3]~93 0xc0 5.96496e+06 0.46875;
dout[4] 0xc0 8.04014e+06 0.5;
dout[4]~94 0xc0 6.03148e+06 0.5;
dout[4]~95 0xc0 5.25544e+06 0.515625;
dout[5] 0xc0 8.04014e+06 0.5;
dout[5]~96 0xc0 5.84085e+06 0.5;
dout[5]~97 0xc0 5.57675e+06 0.492188;
dout[6] 0xc0 8.04014e+06 0.5;
dout[6]~99 0xc0 5.91787e+06 0.5;
dout[6]~100 0xc0 5.40143e+06 0.503906;
dout[7] 0xc0 8.04014e+06 0.5;
dout[7]~101 0xc0 5.87321e+06 0.5;
dout[7]~102 0xc0 5.48463e+06 0.498047;
dout[8] 0xc0 8.04014e+06 0.5;
dout[8]~103 0xc0 5.8938e+06 0.5;
dout[8]~104 0xc0 5.44182e+06 0.500977;
dout[9] 0xc0 8.04014e+06 0.5;
dout[9]~105 0xc0 5.88305e+06 0.5;
dout[9]~106 0xc0 5.46291e+06 0.499512;
dout[10] 0xc0 8.04014e+06 0.5;
dout[10]~107 0xc0 5.88831e+06 0.5;
dout[10]~108 0xc0 5.45228e+06 0.500244;
dout[11] 0xc0 8.04014e+06 0.5;
dout[11]~109 0xc0 5.88565e+06 0.5;
dout[11]~110 0xc0 5.45758e+06 0.499878;
dout[12] 0xc0 8.04014e+06 0.5;
dout[12]~111 0xc0 5.88697e+06 0.5;
dout[12]~112 0xc0 5.45492e+06 0.500061;
dout[13] 0xc0 8.04014e+06 0.5;
dout[13]~113 0xc0 5.88631e+06 0.5;
dout[13]~114 0xc0 5.45625e+06 0.499969;
dout[14] 0xc0 8.04014e+06 0.5;
dout[14]~115 0xc0 5.88664e+06 0.5;
dout[14]~116 0xc0 5.45559e+06 0.500015;
dout[15] 0xc0 8.04014e+06 0.5;
dout[15]~117 0xc0 5.88647e+06 0.5;
dout[15]~118 0xc0 5.45592e+06 0.499992;
dout[16] 0xc0 8.04014e+06 0.5;
dout[16]~119 0xc0 5.88656e+06 0.5;
dout[16]~120 0xc0 5.45575e+06 0.500004;
dout[17] 0xc0 8.04014e+06 0.5;
dout[17]~121 0xc0 5.88651e+06 0.5;
dout[17]~122 0xc0 5.45583e+06 0.499998;
dout[18] 0xc0 8.04014e+06 0.5;
dout[18]~123 0xc0 5.88654e+06 0.5;
dout[18]~124 0xc0 5.45579e+06 0.500001;
dout[19] 0xc0 8.04014e+06 0.5;
dout[19]~125 0xc0 5.88653e+06 0.5;
dout[19]~126 0xc0 5.45581e+06 0.5;
dout[20] 0xc0 8.04014e+06 0.5;
dout[20]~127 0xc0 5.88653e+06 0.5;
dout[20]~128 0xc0 5.4558e+06 0.5;
dout[21] 0xc0 8.04014e+06 0.5;
dout[21]~129 0xc0 5.88653e+06 0.5;
dout[21]~130 0xc0 5.45581e+06 0.5;
dout[22] 0xc0 8.04014e+06 0.5;
dout[22]~131 0xc0 5.88653e+06 0.5;
dout[22]~132 0xc0 5.45581e+06 0.5;
dout[23] 0xc0 8.04014e+06 0.5;
dout[23]~133 0xc0 5.88653e+06 0.5;
dout[23]~134 0xc0 5.45581e+06 0.5;
dout[24] 0xc0 8.04014e+06 0.5;
dout[24]~135 0xc0 5.88653e+06 0.5;
dout[24]~136 0xc0 5.45581e+06 0.5;
dout[25] 0xc0 8.04014e+06 0.5;
dout[25]~137 0xc0 5.88653e+06 0.5;
dout[25]~138 0xc0 5.45581e+06 0.5;
dout[26] 0xc0 8.04014e+06 0.5;
dout[26]~139 0xc0 5.88653e+06 0.5;
dout[26]~140 0xc0 5.45581e+06 0.5;
dout[27] 0xc0 8.04014e+06 0.5;
dout[27]~141 0xc0 5.88653e+06 0.5;
dout[27]~142 0xc0 5.45581e+06 0.5;
dout[28] 0xc0 8.04014e+06 0.5;
dout[28]~143 0xc0 5.88653e+06 0.5;
dout[28]~144 0xc0 5.45581e+06 0.5;
dout[29] 0xc0 8.04014e+06 0.5;
dout[29]~145 0xc0 5.88653e+06 0.5;
dout[29]~146 0xc0 5.45581e+06 0.5;
dout[30] 0xc0 8.04014e+06 0.5;
dout[30]~147 0xc0 5.88653e+06 0.5;
dout[30]~148 0xc0 5.45581e+06 0.5;
dout[31] 0xc0 8.04014e+06 0.5;
dout[31]~149 0xc0 5.88653e+06 0.5;
dout[31]~150 0xc0 5.45581e+06 0.5;
dout[32] 0xc0 8.04014e+06 0.5;
dout[32]~151 0xc0 5.88653e+06 0.5;
dout[32]~152 0xc0 5.45581e+06 0.5;
dout[33] 0xc0 8.04014e+06 0.5;
dout[33]~153 0xc0 5.88653e+06 0.5;
dout[33]~154 0xc0 5.45581e+06 0.5;
dout[34] 0xc0 8.04014e+06 0.5;
dout[34]~155 0xc0 5.88653e+06 0.5;
dout[34]~156 0xc0 5.45581e+06 0.5;
dout[35] 0xc0 8.04014e+06 0.5;
dout[35]~157 0xc0 5.88653e+06 0.5;
dout[35]~158 0xc0 5.45581e+06 0.5;
dout[36] 0xc0 8.04014e+06 0.5;
dout[36]~159 0xc0 5.88653e+06 0.5;
dout[36]~160 0xc0 5.45581e+06 0.5;
dout[37] 0xc0 8.04014e+06 0.5;
dout[37]~161 0xc0 5.88653e+06 0.5;
dout[37]~162 0xc0 5.45581e+06 0.5;
dout[38] 0xc0 8.04014e+06 0.5;
dout[38]~163 0xc0 5.88653e+06 0.5;
dout[38]~164 0xc0 5.45581e+06 0.5;
dout[39] 0xc0 8.04014e+06 0.5;
dout[39]~165 0xc0 5.88653e+06 0.5;
dout[39]~166 0xc0 5.45581e+06 0.5;
dout[40] 0xc0 8.04014e+06 0.5;
dout[40]~167 0xc0 5.88653e+06 0.5;
dout[40]~168 0xc0 5.45581e+06 0.5;
dout[41] 0xc0 8.04014e+06 0.5;
dout[41]~169 0xc0 5.88653e+06 0.5;
dout[41]~170 0xc0 5.45581e+06 0.5;
dout[42] 0xc0 8.04014e+06 0.5;
dout[42]~171 0xc0 5.88653e+06 0.5;
dout[42]~172 0xc0 5.45581e+06 0.5;
dout[43] 0xc0 8.04014e+06 0.5;
dout[43]~173 0xc0 5.88653e+06 0.5;
dout[43]~174 0xc0 5.45581e+06 0.5;
dout[44] 0xc0 8.04014e+06 0.5;
dout[44]~175 0xc0 5.88653e+06 0.5;
dout[44]~176 0xc0 5.45581e+06 0.5;
dout[45] 0xc0 8.04014e+06 0.5;
dout[45]~177 0xc0 5.88653e+06 0.5;
dout[45]~178 0xc0 5.45581e+06 0.5;
dout[46] 0xc0 8.04014e+06 0.5;
dout[46]~179 0xc0 5.88653e+06 0.5;
dout[46]~180 0xc0 5.45581e+06 0.5;
dout[47] 0xc0 8.04014e+06 0.5;
dout[47]~181 0xc0 5.88653e+06 0.5;
dout[47]~182 0xc0 5.45581e+06 0.5;
dout[48] 0xc0 8.04014e+06 0.5;
dout[48]~183 0xc0 5.88653e+06 0.5;
dout[48]~184 0xc0 5.45581e+06 0.5;
dout[49] 0xc0 8.04014e+06 0.5;
dout[49]~185 0xc0 5.88653e+06 0.5;
dout[49]~186 0xc0 5.45581e+06 0.5;
dout[50] 0xc0 8.04014e+06 0.5;
dout[50]~187 0xc0 5.88653e+06 0.5;
dout[50]~188 0xc0 5.45581e+06 0.5;
dout[51] 0xc0 8.04014e+06 0.5;
dout[51]~189 0xc0 5.88653e+06 0.5;
dout[51]~190 0xc0 5.45581e+06 0.5;
dout[52] 0xc0 8.04014e+06 0.5;
dout[52]~191 0xc0 5.88653e+06 0.5;
dout[52]~192 0xc0 5.45581e+06 0.5;
dout[53] 0xc0 8.04014e+06 0.5;
dout[53]~193 0xc0 5.88653e+06 0.5;
dout[53]~194 0xc0 5.45581e+06 0.5;
dout[54] 0xc0 8.04014e+06 0.5;
dout[54]~195 0xc0 5.88653e+06 0.5;
dout[54]~196 0xc0 5.45581e+06 0.5;
dout[55] 0xc0 8.04014e+06 0.5;
dout[55]~197 0xc0 5.88653e+06 0.5;
dout[55]~198 0xc0 5.45581e+06 0.5;
dout[56] 0xc0 8.04014e+06 0.5;
dout[56]~199 0xc0 5.88653e+06 0.5;
dout[56]~200 0xc0 5.45581e+06 0.5;
dout[57] 0xc0 8.04014e+06 0.5;
dout[57]~201 0xc0 5.88653e+06 0.5;
dout[57]~202 0xc0 5.45581e+06 0.5;
dout[58] 0xc0 8.04014e+06 0.5;
dout[58]~203 0xc0 5.88653e+06 0.5;
dout[58]~204 0xc0 5.45581e+06 0.5;
dout[59] 0xc0 8.04014e+06 0.5;
dout[59]~205 0xc0 5.88653e+06 0.5;
dout[59]~206 0xc0 5.45581e+06 0.5;
dout[60] 0xc0 8.04014e+06 0.5;
dout[60]~207 0xc0 5.88653e+06 0.5;
dout[60]~208 0xc0 5.45581e+06 0.5;
dout[61] 0xc0 8.04014e+06 0.5;
dout[61]~209 0xc0 5.88653e+06 0.5;
dout[61]~210 0xc0 5.45581e+06 0.5;
dout[62] 0xc0 8.04014e+06 0.5;
dout[62]~211 0xc0 5.88653e+06 0.5;
dout[62]~212 0xc0 5.45581e+06 0.5;
dout[63] 0xc0 8.04014e+06 0.5;
dout[63]~213 0xc0 5.88653e+06 0.5;
dout[63]~214 0xc0 5.45581e+06 0.5;
dout[64] 0xc0 8.04014e+06 0.5;
dout[64]~215 0xc0 5.88653e+06 0.5;
dout[64]~216 0xc0 5.45581e+06 0.5;
dout[65] 0xc0 8.04014e+06 0.5;
dout[65]~217 0xc0 5.88653e+06 0.5;
dout[65]~218 0xc0 5.45581e+06 0.5;
dout[66] 0xc0 8.04014e+06 0.5;
dout[66]~219 0xc0 5.88653e+06 0.5;
dout[66]~220 0xc0 5.45581e+06 0.5;
dout[67] 0xc0 8.04014e+06 0.5;
dout[67]~221 0xc0 5.88653e+06 0.5;
dout[67]~222 0xc0 5.45581e+06 0.5;
dout[68] 0xc0 8.04014e+06 0.5;
dout[68]~223 0xc0 5.88653e+06 0.5;
dout[68]~224 0xc0 5.45581e+06 0.5;
dout[69] 0xc0 8.04014e+06 0.5;
dout[69]~225 0xc0 5.88653e+06 0.5;
dout[69]~226 0xc0 5.45581e+06 0.5;
dout[70] 0xc0 8.04014e+06 0.5;
dout[70]~227 0xc0 5.88653e+06 0.5;
dout[70]~228 0xc0 5.45581e+06 0.5;
dout[71] 0xc0 8.04014e+06 0.5;
dout[71]~229 0xc0 5.88653e+06 0.5;
dout[71]~230 0xc0 5.45581e+06 0.5;
dout[72] 0xc0 8.04014e+06 0.5;
dout[72]~231 0xc0 5.88653e+06 0.5;
dout[72]~232 0xc0 5.45581e+06 0.5;
dout[73] 0xc0 8.04014e+06 0.5;
dout[73]~233 0xc0 5.88653e+06 0.5;
dout[73]~234 0xc0 5.45581e+06 0.5;
dout[74] 0xc0 8.04014e+06 0.5;
dout[74]~235 0xc0 5.88653e+06 0.5;
dout[74]~236 0xc0 5.45581e+06 0.5;
dout[75] 0xc0 8.04014e+06 0.5;
dout[75]~237 0xc0 5.88653e+06 0.5;
dout[75]~238 0xc0 5.45581e+06 0.5;
dout[76] 0xc0 8.04014e+06 0.5;
dout[76]~239 0xc0 5.88653e+06 0.5;
dout[76]~240 0xc0 5.45581e+06 0.5;
dout[77] 0xc0 8.04014e+06 0.5;
dout[77]~241 0xc0 5.88653e+06 0.5;
dout[77]~242 0xc0 5.45581e+06 0.5;
dout[78] 0xc0 8.04014e+06 0.5;
dout[78]~243 0xc0 5.88653e+06 0.5;
dout[78]~244 0xc0 5.45581e+06 0.5;
dout[79] 0xc0 8.04014e+06 0.5;
dout[79]~245 0xc0 5.88653e+06 0.5;
dout[79]~246 0xc0 5.45581e+06 0.5;
dout[80] 0xc0 8.04014e+06 0.5;
dout[80]~247 0xc0 5.88653e+06 0.5;
dout[80]~248 0xc0 5.45581e+06 0.5;
dout[81] 0xc0 8.04014e+06 0.5;
dout[81]~249 0xc0 5.88653e+06 0.5;
dout[81]~250 0xc0 5.45581e+06 0.5;
dout[82] 0xc0 8.04014e+06 0.5;
dout[82]~251 0xc0 5.88653e+06 0.5;
dout[82]~252 0xc0 5.45581e+06 0.5;
dout[83] 0xc0 8.04014e+06 0.5;
dout[83]~253 0xc0 5.88653e+06 0.5;
dout[83]~254 0xc0 5.45581e+06 0.5;
dout[84] 0xc0 8.04014e+06 0.5;
dout[84]~255 0xc0 5.88653e+06 0.5;
dout[84]~256 0xc0 5.45581e+06 0.5;
dout[85] 0xc0 8.04014e+06 0.5;
dout[85]~257 0xc0 7.39405e+06 0.5;
dout_valid 0xc0 8.04014e+06 0.5;
dout_valid~0 0xc0 6.0301e+06 0.25;
dout~98 0xc0 5.27634e+06 0.625;
dout~259 0xc0 6.0301e+06 0.25;
auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5;
dout[0] 0xc0 8.04014e+06 0.5;
dout[0]~86 0xc0 8.04014e+06 0.5;
dout[0]~87 0xc0 4.52258e+06 0.75;
dout[1] 0xc0 8.04014e+06 0.5;
dout[1]~88 0xc0 6.78387e+06 0.5;
dout[1]~89 0xc0 3.74526e+06 0.375;
dout[2] 0xc0 8.04014e+06 0.5;
dout[2]~90 0xc0 5.74155e+06 0.5;
dout[2]~91 0xc0 4.40971e+06 0.5625;
dout[3] 0xc0 8.04014e+06 0.5;
dout[3]~92 0xc0 5.69567e+06 0.5;
dout[3]~93 0xc0 5.96496e+06 0.46875;
dout[4] 0xc0 8.04014e+06 0.5;
dout[4]~94 0xc0 6.03148e+06 0.5;
dout[4]~95 0xc0 5.25544e+06 0.515625;
dout[5] 0xc0 8.04014e+06 0.5;
dout[5]~96 0xc0 5.84085e+06 0.5;
dout[5]~97 0xc0 5.57675e+06 0.492188;
dout[6] 0xc0 8.04014e+06 0.5;
dout[6]~99 0xc0 5.91787e+06 0.5;
dout[6]~100 0xc0 5.40143e+06 0.503906;
dout[7] 0xc0 8.04014e+06 0.5;
dout[7]~101 0xc0 5.87321e+06 0.5;
dout[7]~102 0xc0 5.48463e+06 0.498047;
dout[8] 0xc0 8.04014e+06 0.5;
dout[8]~103 0xc0 5.8938e+06 0.5;
dout[8]~104 0xc0 5.44182e+06 0.500977;
dout[9] 0xc0 8.04014e+06 0.5;
dout[9]~105 0xc0 5.88305e+06 0.5;
dout[9]~106 0xc0 5.46291e+06 0.499512;
dout[10] 0xc0 8.04014e+06 0.5;
dout[10]~107 0xc0 5.88831e+06 0.5;
dout[10]~108 0xc0 5.45228e+06 0.500244;
dout[11] 0xc0 8.04014e+06 0.5;
dout[11]~109 0xc0 5.88565e+06 0.5;
dout[11]~110 0xc0 5.45758e+06 0.499878;
dout[12] 0xc0 8.04014e+06 0.5;
dout[12]~111 0xc0 5.88697e+06 0.5;
dout[12]~112 0xc0 5.45492e+06 0.500061;
dout[13] 0xc0 8.04014e+06 0.5;
dout[13]~113 0xc0 5.88631e+06 0.5;
dout[13]~114 0xc0 5.45625e+06 0.499969;
dout[14] 0xc0 8.04014e+06 0.5;
dout[14]~115 0xc0 5.88664e+06 0.5;
dout[14]~116 0xc0 5.45559e+06 0.500015;
dout[15] 0xc0 8.04014e+06 0.5;
dout[15]~117 0xc0 5.88647e+06 0.5;
dout[15]~118 0xc0 5.45592e+06 0.499992;
dout[16] 0xc0 8.04014e+06 0.5;
dout[16]~119 0xc0 5.88656e+06 0.5;
dout[16]~120 0xc0 5.45575e+06 0.500004;
dout[17] 0xc0 8.04014e+06 0.5;
dout[17]~121 0xc0 5.88651e+06 0.5;
dout[17]~122 0xc0 5.45583e+06 0.499998;
dout[18] 0xc0 8.04014e+06 0.5;
dout[18]~123 0xc0 5.88654e+06 0.5;
dout[18]~124 0xc0 5.45579e+06 0.500001;
dout[19] 0xc0 8.04014e+06 0.5;
dout[19]~125 0xc0 5.88653e+06 0.5;
dout[19]~126 0xc0 5.45581e+06 0.5;
dout[20] 0xc0 8.04014e+06 0.5;
dout[20]~127 0xc0 5.88653e+06 0.5;
dout[20]~128 0xc0 5.4558e+06 0.5;
dout[21] 0xc0 8.04014e+06 0.5;
dout[21]~129 0xc0 5.88653e+06 0.5;
dout[21]~130 0xc0 5.45581e+06 0.5;
dout[22] 0xc0 8.04014e+06 0.5;
dout[22]~131 0xc0 5.88653e+06 0.5;
dout[22]~132 0xc0 5.45581e+06 0.5;
dout[23] 0xc0 8.04014e+06 0.5;
dout[23]~133 0xc0 5.88653e+06 0.5;
dout[23]~134 0xc0 5.45581e+06 0.5;
dout[24] 0xc0 8.04014e+06 0.5;
dout[24]~135 0xc0 5.88653e+06 0.5;
dout[24]~136 0xc0 5.45581e+06 0.5;
dout[25] 0xc0 8.04014e+06 0.5;
dout[25]~137 0xc0 5.88653e+06 0.5;
dout[25]~138 0xc0 5.45581e+06 0.5;
dout[26] 0xc0 8.04014e+06 0.5;
dout[26]~139 0xc0 5.88653e+06 0.5;
dout[26]~140 0xc0 5.45581e+06 0.5;
dout[27] 0xc0 8.04014e+06 0.5;
dout[27]~141 0xc0 5.88653e+06 0.5;
dout[27]~142 0xc0 5.45581e+06 0.5;
dout[28] 0xc0 8.04014e+06 0.5;
dout[28]~143 0xc0 5.88653e+06 0.5;
dout[28]~144 0xc0 5.45581e+06 0.5;
dout[29] 0xc0 8.04014e+06 0.5;
dout[29]~145 0xc0 5.88653e+06 0.5;
dout[29]~146 0xc0 5.45581e+06 0.5;
dout[30] 0xc0 8.04014e+06 0.5;
dout[30]~147 0xc0 5.88653e+06 0.5;
dout[30]~148 0xc0 5.45581e+06 0.5;
dout[31] 0xc0 8.04014e+06 0.5;
dout[31]~149 0xc0 5.88653e+06 0.5;
dout[31]~150 0xc0 5.45581e+06 0.5;
dout[32] 0xc0 8.04014e+06 0.5;
dout[32]~151 0xc0 5.88653e+06 0.5;
dout[32]~152 0xc0 5.45581e+06 0.5;
dout[33] 0xc0 8.04014e+06 0.5;
dout[33]~153 0xc0 5.88653e+06 0.5;
dout[33]~154 0xc0 5.45581e+06 0.5;
dout[34] 0xc0 8.04014e+06 0.5;
dout[34]~155 0xc0 5.88653e+06 0.5;
dout[34]~156 0xc0 5.45581e+06 0.5;
dout[35] 0xc0 8.04014e+06 0.5;
dout[35]~157 0xc0 5.88653e+06 0.5;
dout[35]~158 0xc0 5.45581e+06 0.5;
dout[36] 0xc0 8.04014e+06 0.5;
dout[36]~159 0xc0 5.88653e+06 0.5;
dout[36]~160 0xc0 5.45581e+06 0.5;
dout[37] 0xc0 8.04014e+06 0.5;
dout[37]~161 0xc0 5.88653e+06 0.5;
dout[37]~162 0xc0 5.45581e+06 0.5;
dout[38] 0xc0 8.04014e+06 0.5;
dout[38]~163 0xc0 5.88653e+06 0.5;
dout[38]~164 0xc0 5.45581e+06 0.5;
dout[39] 0xc0 8.04014e+06 0.5;
dout[39]~165 0xc0 5.88653e+06 0.5;
dout[39]~166 0xc0 5.45581e+06 0.5;
dout[40] 0xc0 8.04014e+06 0.5;
dout[40]~167 0xc0 5.88653e+06 0.5;
dout[40]~168 0xc0 5.45581e+06 0.5;
dout[41] 0xc0 8.04014e+06 0.5;
dout[41]~169 0xc0 5.88653e+06 0.5;
dout[41]~170 0xc0 5.45581e+06 0.5;
dout[42] 0xc0 8.04014e+06 0.5;
dout[42]~171 0xc0 5.88653e+06 0.5;
dout[42]~172 0xc0 5.45581e+06 0.5;
dout[43] 0xc0 8.04014e+06 0.5;
dout[43]~173 0xc0 5.88653e+06 0.5;
dout[43]~174 0xc0 5.45581e+06 0.5;
dout[44] 0xc0 8.04014e+06 0.5;
dout[44]~175 0xc0 5.88653e+06 0.5;
dout[44]~176 0xc0 5.45581e+06 0.5;
dout[45] 0xc0 8.04014e+06 0.5;
dout[45]~177 0xc0 5.88653e+06 0.5;
dout[45]~178 0xc0 5.45581e+06 0.5;
dout[46] 0xc0 8.04014e+06 0.5;
dout[46]~179 0xc0 5.88653e+06 0.5;
dout[46]~180 0xc0 5.45581e+06 0.5;
dout[47] 0xc0 8.04014e+06 0.5;
dout[47]~181 0xc0 5.88653e+06 0.5;
dout[47]~182 0xc0 5.45581e+06 0.5;
dout[48] 0xc0 8.04014e+06 0.5;
dout[48]~183 0xc0 5.88653e+06 0.5;
dout[48]~184 0xc0 5.45581e+06 0.5;
dout[49] 0xc0 8.04014e+06 0.5;
dout[49]~185 0xc0 5.88653e+06 0.5;
dout[49]~186 0xc0 5.45581e+06 0.5;
dout[50] 0xc0 8.04014e+06 0.5;
dout[50]~187 0xc0 5.88653e+06 0.5;
dout[50]~188 0xc0 5.45581e+06 0.5;
dout[51] 0xc0 8.04014e+06 0.5;
dout[51]~189 0xc0 5.88653e+06 0.5;
dout[51]~190 0xc0 5.45581e+06 0.5;
dout[52] 0xc0 8.04014e+06 0.5;
dout[52]~191 0xc0 5.88653e+06 0.5;
dout[52]~192 0xc0 5.45581e+06 0.5;
dout[53] 0xc0 8.04014e+06 0.5;
dout[53]~193 0xc0 5.88653e+06 0.5;
dout[53]~194 0xc0 5.45581e+06 0.5;
dout[54] 0xc0 8.04014e+06 0.5;
dout[54]~195 0xc0 5.88653e+06 0.5;
dout[54]~196 0xc0 5.45581e+06 0.5;
dout[55] 0xc0 8.04014e+06 0.5;
dout[55]~197 0xc0 5.88653e+06 0.5;
dout[55]~198 0xc0 5.45581e+06 0.5;
dout[56] 0xc0 8.04014e+06 0.5;
dout[56]~199 0xc0 5.88653e+06 0.5;
dout[56]~200 0xc0 5.45581e+06 0.5;
dout[57] 0xc0 8.04014e+06 0.5;
dout[57]~201 0xc0 5.88653e+06 0.5;
dout[57]~202 0xc0 5.45581e+06 0.5;
dout[58] 0xc0 8.04014e+06 0.5;
dout[58]~203 0xc0 5.88653e+06 0.5;
dout[58]~204 0xc0 5.45581e+06 0.5;
dout[59] 0xc0 8.04014e+06 0.5;
dout[59]~205 0xc0 5.88653e+06 0.5;
dout[59]~206 0xc0 5.45581e+06 0.5;
dout[60] 0xc0 8.04014e+06 0.5;
dout[60]~207 0xc0 5.88653e+06 0.5;
dout[60]~208 0xc0 5.45581e+06 0.5;
dout[61] 0xc0 8.04014e+06 0.5;
dout[61]~209 0xc0 5.88653e+06 0.5;
dout[61]~210 0xc0 5.45581e+06 0.5;
dout[62] 0xc0 8.04014e+06 0.5;
dout[62]~211 0xc0 5.88653e+06 0.5;
dout[62]~212 0xc0 5.45581e+06 0.5;
dout[63] 0xc0 8.04014e+06 0.5;
dout[63]~213 0xc0 5.88653e+06 0.5;
dout[63]~214 0xc0 5.45581e+06 0.5;
dout[64] 0xc0 8.04014e+06 0.5;
dout[64]~215 0xc0 5.88653e+06 0.5;
dout[64]~216 0xc0 5.45581e+06 0.5;
dout[65] 0xc0 8.04014e+06 0.5;
dout[65]~217 0xc0 5.88653e+06 0.5;
dout[65]~218 0xc0 5.45581e+06 0.5;
dout[66] 0xc0 8.04014e+06 0.5;
dout[66]~219 0xc0 5.88653e+06 0.5;
dout[66]~220 0xc0 5.45581e+06 0.5;
dout[67] 0xc0 8.04014e+06 0.5;
dout[67]~221 0xc0 5.88653e+06 0.5;
dout[67]~222 0xc0 5.45581e+06 0.5;
dout[68] 0xc0 8.04014e+06 0.5;
dout[68]~223 0xc0 5.88653e+06 0.5;
dout[68]~224 0xc0 5.45581e+06 0.5;
dout[69] 0xc0 8.04014e+06 0.5;
dout[69]~225 0xc0 5.88653e+06 0.5;
dout[69]~226 0xc0 5.45581e+06 0.5;
dout[70] 0xc0 8.04014e+06 0.5;
dout[70]~227 0xc0 5.88653e+06 0.5;
dout[70]~228 0xc0 5.45581e+06 0.5;
dout[71] 0xc0 8.04014e+06 0.5;
dout[71]~229 0xc0 5.88653e+06 0.5;
dout[71]~230 0xc0 5.45581e+06 0.5;
dout[72] 0xc0 8.04014e+06 0.5;
dout[72]~231 0xc0 5.88653e+06 0.5;
dout[72]~232 0xc0 5.45581e+06 0.5;
dout[73] 0xc0 8.04014e+06 0.5;
dout[73]~233 0xc0 5.88653e+06 0.5;
dout[73]~234 0xc0 5.45581e+06 0.5;
dout[74] 0xc0 8.04014e+06 0.5;
dout[74]~235 0xc0 5.88653e+06 0.5;
dout[74]~236 0xc0 5.45581e+06 0.5;
dout[75] 0xc0 8.04014e+06 0.5;
dout[75]~237 0xc0 5.88653e+06 0.5;
dout[75]~238 0xc0 5.45581e+06 0.5;
dout[76] 0xc0 8.04014e+06 0.5;
dout[76]~239 0xc0 5.88653e+06 0.5;
dout[76]~240 0xc0 5.45581e+06 0.5;
dout[77] 0xc0 8.04014e+06 0.5;
dout[77]~241 0xc0 5.88653e+06 0.5;
dout[77]~242 0xc0 5.45581e+06 0.5;
dout[78] 0xc0 8.04014e+06 0.5;
dout[78]~243 0xc0 5.88653e+06 0.5;
dout[78]~244 0xc0 5.45581e+06 0.5;
dout[79] 0xc0 8.04014e+06 0.5;
dout[79]~245 0xc0 5.88653e+06 0.5;
dout[79]~246 0xc0 5.45581e+06 0.5;
dout[80] 0xc0 8.04014e+06 0.5;
dout[80]~247 0xc0 5.88653e+06 0.5;
dout[80]~248 0xc0 5.45581e+06 0.5;
dout[81] 0xc0 8.04014e+06 0.5;
dout[81]~249 0xc0 5.88653e+06 0.5;
dout[81]~250 0xc0 5.45581e+06 0.5;
dout[82] 0xc0 8.04014e+06 0.5;
dout[82]~251 0xc0 5.88653e+06 0.5;
dout[82]~252 0xc0 5.45581e+06 0.5;
dout[83] 0xc0 8.04014e+06 0.5;
dout[83]~253 0xc0 5.88653e+06 0.5;
dout[83]~254 0xc0 5.45581e+06 0.5;
dout[84] 0xc0 8.04014e+06 0.5;
dout[84]~255 0xc0 5.88653e+06 0.5;
dout[84]~256 0xc0 5.45581e+06 0.5;
dout[85] 0xc0 8.04014e+06 0.5;
dout[85]~257 0xc0 5.88653e+06 0.5;
dout_valid 0xc0 8.04014e+06 0.5;
dout_valid~0 0xc0 6.0301e+06 0.25;
dout~98 0xc0 7.53763e+06 0.625;
dout~259 0xc0 6.0301e+06 0.25;
auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5;
dout[0] 0xc0 8.04014e+06 0.5;
dout[0]~86 0xc0 8.04014e+06 0.5;
dout[0]~87 0xc0 4.52258e+06 0.75;
dout[1] 0xc0 8.04014e+06 0.5;
dout[1]~88 0xc0 6.78387e+06 0.5;
dout[1]~89 0xc0 3.74526e+06 0.375;
dout[2] 0xc0 8.04014e+06 0.5;
dout[2]~90 0xc0 5.74155e+06 0.5;
dout[2]~91 0xc0 4.40971e+06 0.5625;
dout[3] 0xc0 8.04014e+06 0.5;
dout[3]~92 0xc0 5.69567e+06 0.5;
dout[3]~93 0xc0 5.96496e+06 0.46875;
dout[4] 0xc0 8.04014e+06 0.5;
dout[4]~94 0xc0 6.03148e+06 0.5;
dout[4]~95 0xc0 5.25544e+06 0.515625;
dout[5] 0xc0 8.04014e+06 0.5;
dout[5]~96 0xc0 5.84085e+06 0.5;
dout[5]~97 0xc0 5.57675e+06 0.492188;
dout[6] 0xc0 8.04014e+06 0.5;
dout[6]~99 0xc0 5.91787e+06 0.5;
dout[6]~100 0xc0 5.40143e+06 0.503906;
dout[7] 0xc0 8.04014e+06 0.5;
dout[7]~101 0xc0 5.87321e+06 0.5;
dout[7]~102 0xc0 5.48463e+06 0.498047;
dout[8] 0xc0 8.04014e+06 0.5;
dout[8]~103 0xc0 5.8938e+06 0.5;
dout[8]~104 0xc0 5.44182e+06 0.500977;
dout[9] 0xc0 8.04014e+06 0.5;
dout[9]~105 0xc0 5.88305e+06 0.5;
dout[9]~106 0xc0 5.46291e+06 0.499512;
dout[10] 0xc0 8.04014e+06 0.5;
dout[10]~107 0xc0 5.88831e+06 0.5;
dout[10]~108 0xc0 5.45228e+06 0.500244;
dout[11] 0xc0 8.04014e+06 0.5;
dout[11]~109 0xc0 5.88565e+06 0.5;
dout[11]~110 0xc0 5.45758e+06 0.499878;
dout[12] 0xc0 8.04014e+06 0.5;
dout[12]~111 0xc0 5.88697e+06 0.5;
dout[12]~112 0xc0 5.45492e+06 0.500061;
dout[13] 0xc0 8.04014e+06 0.5;
dout[13]~113 0xc0 5.88631e+06 0.5;
dout[13]~114 0xc0 5.45625e+06 0.499969;
dout[14] 0xc0 8.04014e+06 0.5;
dout[14]~115 0xc0 5.88664e+06 0.5;
dout[14]~116 0xc0 5.45559e+06 0.500015;
dout[15] 0xc0 8.04014e+06 0.5;
dout[15]~117 0xc0 5.88647e+06 0.5;
dout[15]~118 0xc0 5.45592e+06 0.499992;
dout[16] 0xc0 8.04014e+06 0.5;
dout[16]~119 0xc0 5.88656e+06 0.5;
dout[16]~120 0xc0 5.45575e+06 0.500004;
dout[17] 0xc0 8.04014e+06 0.5;
dout[17]~121 0xc0 5.88651e+06 0.5;
dout[17]~122 0xc0 5.45583e+06 0.499998;
dout[18] 0xc0 8.04014e+06 0.5;
dout[18]~123 0xc0 5.88654e+06 0.5;
dout[18]~124 0xc0 5.45579e+06 0.500001;
dout[19] 0xc0 8.04014e+06 0.5;
dout[19]~125 0xc0 5.88653e+06 0.5;
dout[19]~126 0xc0 5.45581e+06 0.5;
dout[20] 0xc0 8.04014e+06 0.5;
dout[20]~127 0xc0 5.88653e+06 0.5;
dout[20]~128 0xc0 5.4558e+06 0.5;
dout[21] 0xc0 8.04014e+06 0.5;
dout[21]~129 0xc0 5.88653e+06 0.5;
dout[21]~130 0xc0 5.45581e+06 0.5;
dout[22] 0xc0 8.04014e+06 0.5;
dout[22]~131 0xc0 5.88653e+06 0.5;
dout[22]~132 0xc0 5.45581e+06 0.5;
dout[23] 0xc0 8.04014e+06 0.5;
dout[23]~133 0xc0 5.88653e+06 0.5;
dout[23]~134 0xc0 5.45581e+06 0.5;
dout[24] 0xc0 8.04014e+06 0.5;
dout[24]~135 0xc0 5.88653e+06 0.5;
dout[24]~136 0xc0 5.45581e+06 0.5;
dout[25] 0xc0 8.04014e+06 0.5;
dout[25]~137 0xc0 5.88653e+06 0.5;
dout[25]~138 0xc0 5.45581e+06 0.5;
dout[26] 0xc0 8.04014e+06 0.5;
dout[26]~139 0xc0 5.88653e+06 0.5;
dout[26]~140 0xc0 5.45581e+06 0.5;
dout[27] 0xc0 8.04014e+06 0.5;
dout[27]~141 0xc0 5.88653e+06 0.5;
dout[27]~142 0xc0 5.45581e+06 0.5;
dout[28] 0xc0 8.04014e+06 0.5;
dout[28]~143 0xc0 5.88653e+06 0.5;
dout[28]~144 0xc0 5.45581e+06 0.5;
dout[29] 0xc0 8.04014e+06 0.5;
dout[29]~145 0xc0 5.88653e+06 0.5;
dout[29]~146 0xc0 5.45581e+06 0.5;
dout[30] 0xc0 8.04014e+06 0.5;
dout[30]~147 0xc0 5.88653e+06 0.5;
dout[30]~148 0xc0 5.45581e+06 0.5;
dout[31] 0xc0 8.04014e+06 0.5;
dout[31]~149 0xc0 5.88653e+06 0.5;
dout[31]~150 0xc0 5.45581e+06 0.5;
dout[32] 0xc0 8.04014e+06 0.5;
dout[32]~151 0xc0 5.88653e+06 0.5;
dout[32]~152 0xc0 5.45581e+06 0.5;
dout[33] 0xc0 8.04014e+06 0.5;
dout[33]~153 0xc0 5.88653e+06 0.5;
dout[33]~154 0xc0 5.45581e+06 0.5;
dout[34] 0xc0 8.04014e+06 0.5;
dout[34]~155 0xc0 5.88653e+06 0.5;
dout[34]~156 0xc0 5.45581e+06 0.5;
dout[35] 0xc0 8.04014e+06 0.5;
dout[35]~157 0xc0 5.88653e+06 0.5;
dout[35]~158 0xc0 5.45581e+06 0.5;
dout[36] 0xc0 8.04014e+06 0.5;
dout[36]~159 0xc0 5.88653e+06 0.5;
dout[36]~160 0xc0 5.45581e+06 0.5;
dout[37] 0xc0 8.04014e+06 0.5;
dout[37]~161 0xc0 5.88653e+06 0.5;
dout[37]~162 0xc0 5.45581e+06 0.5;
dout[38] 0xc0 8.04014e+06 0.5;
dout[38]~163 0xc0 5.88653e+06 0.5;
dout[38]~164 0xc0 5.45581e+06 0.5;
dout[39] 0xc0 8.04014e+06 0.5;
dout[39]~165 0xc0 5.88653e+06 0.5;
dout[39]~166 0xc0 5.45581e+06 0.5;
dout[40] 0xc0 8.04014e+06 0.5;
dout[40]~167 0xc0 5.88653e+06 0.5;
dout[40]~168 0xc0 5.45581e+06 0.5;
dout[41] 0xc0 8.04014e+06 0.5;
dout[41]~169 0xc0 5.88653e+06 0.5;
dout[41]~170 0xc0 5.45581e+06 0.5;
dout[42] 0xc0 8.04014e+06 0.5;
dout[42]~171 0xc0 5.88653e+06 0.5;
dout[42]~172 0xc0 5.45581e+06 0.5;
dout[43] 0xc0 8.04014e+06 0.5;
dout[43]~173 0xc0 5.88653e+06 0.5;
dout[43]~174 0xc0 5.45581e+06 0.5;
dout[44] 0xc0 8.04014e+06 0.5;
dout[44]~175 0xc0 5.88653e+06 0.5;
dout[44]~176 0xc0 5.45581e+06 0.5;
dout[45] 0xc0 8.04014e+06 0.5;
dout[45]~177 0xc0 5.88653e+06 0.5;
dout[45]~178 0xc0 5.45581e+06 0.5;
dout[46] 0xc0 8.04014e+06 0.5;
dout[46]~179 0xc0 5.88653e+06 0.5;
dout[46]~180 0xc0 5.45581e+06 0.5;
dout[47] 0xc0 8.04014e+06 0.5;
dout[47]~181 0xc0 5.88653e+06 0.5;
dout[47]~182 0xc0 5.45581e+06 0.5;
dout[48] 0xc0 8.04014e+06 0.5;
dout[48]~183 0xc0 5.88653e+06 0.5;
dout[48]~184 0xc0 5.45581e+06 0.5;
dout[49] 0xc0 8.04014e+06 0.5;
dout[49]~185 0xc0 5.88653e+06 0.5;
dout[49]~186 0xc0 5.45581e+06 0.5;
dout[50] 0xc0 8.04014e+06 0.5;
dout[50]~187 0xc0 5.88653e+06 0.5;
dout[50]~188 0xc0 5.45581e+06 0.5;
dout[51] 0xc0 8.04014e+06 0.5;
dout[51]~189 0xc0 5.88653e+06 0.5;
dout[51]~190 0xc0 5.45581e+06 0.5;
dout[52] 0xc0 8.04014e+06 0.5;
dout[52]~191 0xc0 5.88653e+06 0.5;
dout[52]~192 0xc0 5.45581e+06 0.5;
dout[53] 0xc0 8.04014e+06 0.5;
dout[53]~193 0xc0 5.88653e+06 0.5;
dout[53]~194 0xc0 5.45581e+06 0.5;
dout[54] 0xc0 8.04014e+06 0.5;
dout[54]~195 0xc0 5.88653e+06 0.5;
dout[54]~196 0xc0 5.45581e+06 0.5;
dout[55] 0xc0 8.04014e+06 0.5;
dout[55]~197 0xc0 5.88653e+06 0.5;
dout[55]~198 0xc0 5.45581e+06 0.5;
dout[56] 0xc0 8.04014e+06 0.5;
dout[56]~199 0xc0 5.88653e+06 0.5;
dout[56]~200 0xc0 5.45581e+06 0.5;
dout[57] 0xc0 8.04014e+06 0.5;
dout[57]~201 0xc0 5.88653e+06 0.5;
dout[57]~202 0xc0 5.45581e+06 0.5;
dout[58] 0xc0 8.04014e+06 0.5;
dout[58]~203 0xc0 5.88653e+06 0.5;
dout[58]~204 0xc0 5.45581e+06 0.5;
dout[59] 0xc0 8.04014e+06 0.5;
dout[59]~205 0xc0 5.88653e+06 0.5;
dout[59]~206 0xc0 5.45581e+06 0.5;
dout[60] 0xc0 8.04014e+06 0.5;
dout[60]~207 0xc0 5.88653e+06 0.5;
dout[60]~208 0xc0 5.45581e+06 0.5;
dout[61] 0xc0 8.04014e+06 0.5;
dout[61]~209 0xc0 5.88653e+06 0.5;
dout[61]~210 0xc0 5.45581e+06 0.5;
dout[62] 0xc0 8.04014e+06 0.5;
dout[62]~211 0xc0 5.88653e+06 0.5;
dout[62]~212 0xc0 5.45581e+06 0.5;
dout[63] 0xc0 8.04014e+06 0.5;
dout[63]~213 0xc0 5.88653e+06 0.5;
dout[63]~214 0xc0 5.45581e+06 0.5;
dout[64] 0xc0 8.04014e+06 0.5;
dout[64]~215 0xc0 5.88653e+06 0.5;
dout[64]~216 0xc0 5.45581e+06 0.5;
dout[65] 0xc0 8.04014e+06 0.5;
dout[65]~217 0xc0 5.88653e+06 0.5;
dout[65]~218 0xc0 5.45581e+06 0.5;
dout[66] 0xc0 8.04014e+06 0.5;
dout[66]~219 0xc0 5.88653e+06 0.5;
dout[66]~220 0xc0 5.45581e+06 0.5;
dout[67] 0xc0 8.04014e+06 0.5;
dout[67]~221 0xc0 5.88653e+06 0.5;
dout[67]~222 0xc0 5.45581e+06 0.5;
dout[68] 0xc0 8.04014e+06 0.5;
dout[68]~223 0xc0 5.88653e+06 0.5;
dout[68]~224 0xc0 5.45581e+06 0.5;
dout[69] 0xc0 8.04014e+06 0.5;
dout[69]~225 0xc0 5.88653e+06 0.5;
dout[69]~226 0xc0 5.45581e+06 0.5;
dout[70] 0xc0 8.04014e+06 0.5;
dout[70]~227 0xc0 5.88653e+06 0.5;
dout[70]~228 0xc0 5.45581e+06 0.5;
dout[71] 0xc0 8.04014e+06 0.5;
dout[71]~229 0xc0 5.88653e+06 0.5;
dout[71]~230 0xc0 5.45581e+06 0.5;
dout[72] 0xc0 8.04014e+06 0.5;
dout[72]~231 0xc0 5.88653e+06 0.5;
dout[72]~232 0xc0 5.45581e+06 0.5;
dout[73] 0xc0 8.04014e+06 0.5;
dout[73]~233 0xc0 5.88653e+06 0.5;
dout[73]~234 0xc0 5.45581e+06 0.5;
dout[74] 0xc0 8.04014e+06 0.5;
dout[74]~235 0xc0 5.88653e+06 0.5;
dout[74]~236 0xc0 5.45581e+06 0.5;
dout[75] 0xc0 8.04014e+06 0.5;
dout[75]~237 0xc0 5.88653e+06 0.5;
dout[75]~238 0xc0 5.45581e+06 0.5;
dout[76] 0xc0 8.04014e+06 0.5;
dout[76]~239 0xc0 5.88653e+06 0.5;
dout[76]~240 0xc0 5.45581e+06 0.5;
dout[77] 0xc0 8.04014e+06 0.5;
dout[77]~241 0xc0 5.88653e+06 0.5;
dout[77]~242 0xc0 5.45581e+06 0.5;
dout[78] 0xc0 8.04014e+06 0.5;
dout[78]~243 0xc0 5.88653e+06 0.5;
dout[78]~244 0xc0 5.45581e+06 0.5;
dout[79] 0xc0 8.04014e+06 0.5;
dout[79]~245 0xc0 5.88653e+06 0.5;
dout[79]~246 0xc0 5.45581e+06 0.5;
dout[80] 0xc0 8.04014e+06 0.5;
dout[80]~247 0xc0 5.88653e+06 0.5;
dout[80]~248 0xc0 5.45581e+06 0.5;
dout[81] 0xc0 8.04014e+06 0.5;
dout[81]~249 0xc0 5.88653e+06 0.5;
dout[81]~250 0xc0 5.45581e+06 0.5;
dout[82] 0xc0 8.04014e+06 0.5;
dout[82]~251 0xc0 5.88653e+06 0.5;
dout[82]~252 0xc0 5.45581e+06 0.5;
dout[83] 0xc0 8.04014e+06 0.5;
dout[83]~253 0xc0 5.88653e+06 0.5;
dout[83]~254 0xc0 5.45581e+06 0.5;
dout[84] 0xc0 8.04014e+06 0.5;
dout[84]~255 0xc0 5.88653e+06 0.5;
dout[84]~256 0xc0 5.45581e+06 0.5;
dout[85] 0xc0 8.04014e+06 0.5;
dout[85]~257 0xc0 5.88653e+06 0.5;
dout_valid 0xc0 8.04014e+06 0.5;
dout_valid~0 0xc0 4.52258e+06 0.75;
dout_valid~1 0xc0 6.0301e+06 0.25;
dout~98 0xc0 5.27634e+06 0.625;
dout~259 0xc0 6.0301e+06 0.25;
auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
dout[0] 0xc0 8.04014e+06 0.5;
dout[0]~86 0xc0 8.04014e+06 0.5;
dout[0]~87 0xc0 4.52258e+06 0.75;
dout[1] 0xc0 8.04014e+06 0.5;
dout[1]~88 0xc0 6.78387e+06 0.5;
dout[1]~89 0xc0 3.74526e+06 0.375;
dout[2] 0xc0 8.04014e+06 0.5;
dout[2]~90 0xc0 5.74155e+06 0.5;
dout[2]~91 0xc0 4.40971e+06 0.5625;
dout[3] 0xc0 8.04014e+06 0.5;
dout[3]~92 0xc0 5.69567e+06 0.5;
dout[3]~93 0xc0 5.96496e+06 0.46875;
dout[4] 0xc0 8.04014e+06 0.5;
dout[4]~94 0xc0 6.03148e+06 0.5;
dout[4]~95 0xc0 5.25544e+06 0.515625;
dout[5] 0xc0 8.04014e+06 0.5;
dout[5]~96 0xc0 5.84085e+06 0.5;
dout[5]~97 0xc0 5.57675e+06 0.492188;
dout[6] 0xc0 8.04014e+06 0.5;
dout[6]~99 0xc0 5.91787e+06 0.5;
dout[6]~100 0xc0 5.40143e+06 0.503906;
dout[7] 0xc0 8.04014e+06 0.5;
dout[7]~101 0xc0 5.87321e+06 0.5;
dout[7]~102 0xc0 5.48463e+06 0.498047;
dout[8] 0xc0 8.04014e+06 0.5;
dout[8]~103 0xc0 5.8938e+06 0.5;
dout[8]~104 0xc0 5.44182e+06 0.500977;
dout[9] 0xc0 8.04014e+06 0.5;
dout[9]~105 0xc0 5.88305e+06 0.5;
dout[9]~106 0xc0 5.46291e+06 0.499512;
dout[10] 0xc0 8.04014e+06 0.5;
dout[10]~107 0xc0 5.88831e+06 0.5;
dout[10]~108 0xc0 5.45228e+06 0.500244;
dout[11] 0xc0 8.04014e+06 0.5;
dout[11]~109 0xc0 5.88565e+06 0.5;
dout[11]~110 0xc0 5.45758e+06 0.499878;
dout[12] 0xc0 8.04014e+06 0.5;
dout[12]~111 0xc0 5.88697e+06 0.5;
dout[12]~112 0xc0 5.45492e+06 0.500061;
dout[13] 0xc0 8.04014e+06 0.5;
dout[13]~113 0xc0 5.88631e+06 0.5;
dout[13]~114 0xc0 5.45625e+06 0.499969;
dout[14] 0xc0 8.04014e+06 0.5;
dout[14]~115 0xc0 5.88664e+06 0.5;
dout[14]~116 0xc0 5.45559e+06 0.500015;
dout[15] 0xc0 8.04014e+06 0.5;
dout[15]~117 0xc0 5.88647e+06 0.5;
dout[15]~118 0xc0 5.45592e+06 0.499992;
dout[16] 0xc0 8.04014e+06 0.5;
dout[16]~119 0xc0 5.88656e+06 0.5;
dout[16]~120 0xc0 5.45575e+06 0.500004;
dout[17] 0xc0 8.04014e+06 0.5;
dout[17]~121 0xc0 5.88651e+06 0.5;
dout[17]~122 0xc0 5.45583e+06 0.499998;
dout[18] 0xc0 8.04014e+06 0.5;
dout[18]~123 0xc0 5.88654e+06 0.5;
dout[18]~124 0xc0 5.45579e+06 0.500001;
dout[19] 0xc0 8.04014e+06 0.5;
dout[19]~125 0xc0 5.88653e+06 0.5;
dout[19]~126 0xc0 5.45581e+06 0.5;
dout[20] 0xc0 8.04014e+06 0.5;
dout[20]~127 0xc0 5.88653e+06 0.5;
dout[20]~128 0xc0 5.4558e+06 0.5;
dout[21] 0xc0 8.04014e+06 0.5;
dout[21]~129 0xc0 5.88653e+06 0.5;
dout[21]~130 0xc0 5.45581e+06 0.5;
dout[22] 0xc0 8.04014e+06 0.5;
dout[22]~131 0xc0 5.88653e+06 0.5;
dout[22]~132 0xc0 5.45581e+06 0.5;
dout[23] 0xc0 8.04014e+06 0.5;
dout[23]~133 0xc0 5.88653e+06 0.5;
dout[23]~134 0xc0 5.45581e+06 0.5;
dout[24] 0xc0 8.04014e+06 0.5;
dout[24]~135 0xc0 5.88653e+06 0.5;
dout[24]~136 0xc0 5.45581e+06 0.5;
dout[25] 0xc0 8.04014e+06 0.5;
dout[25]~137 0xc0 5.88653e+06 0.5;
dout[25]~138 0xc0 5.45581e+06 0.5;
dout[26] 0xc0 8.04014e+06 0.5;
dout[26]~139 0xc0 5.88653e+06 0.5;
dout[26]~140 0xc0 5.45581e+06 0.5;
dout[27] 0xc0 8.04014e+06 0.5;
dout[27]~141 0xc0 5.88653e+06 0.5;
dout[27]~142 0xc0 5.45581e+06 0.5;
dout[28] 0xc0 8.04014e+06 0.5;
dout[28]~143 0xc0 5.88653e+06 0.5;
dout[28]~144 0xc0 5.45581e+06 0.5;
dout[29] 0xc0 8.04014e+06 0.5;
dout[29]~145 0xc0 5.88653e+06 0.5;
dout[29]~146 0xc0 5.45581e+06 0.5;
dout[30] 0xc0 8.04014e+06 0.5;
dout[30]~147 0xc0 5.88653e+06 0.5;
dout[30]~148 0xc0 5.45581e+06 0.5;
dout[31] 0xc0 8.04014e+06 0.5;
dout[31]~149 0xc0 5.88653e+06 0.5;
dout[31]~150 0xc0 5.45581e+06 0.5;
dout[32] 0xc0 8.04014e+06 0.5;
dout[32]~151 0xc0 5.88653e+06 0.5;
dout[32]~152 0xc0 5.45581e+06 0.5;
dout[33] 0xc0 8.04014e+06 0.5;
dout[33]~153 0xc0 5.88653e+06 0.5;
dout[33]~154 0xc0 5.45581e+06 0.5;
dout[34] 0xc0 8.04014e+06 0.5;
dout[34]~155 0xc0 5.88653e+06 0.5;
dout[34]~156 0xc0 5.45581e+06 0.5;
dout[35] 0xc0 8.04014e+06 0.5;
dout[35]~157 0xc0 5.88653e+06 0.5;
dout[35]~158 0xc0 5.45581e+06 0.5;
dout[36] 0xc0 8.04014e+06 0.5;
dout[36]~159 0xc0 5.88653e+06 0.5;
dout[36]~160 0xc0 5.45581e+06 0.5;
dout[37] 0xc0 8.04014e+06 0.5;
dout[37]~161 0xc0 5.88653e+06 0.5;
dout[37]~162 0xc0 5.45581e+06 0.5;
dout[38] 0xc0 8.04014e+06 0.5;
dout[38]~163 0xc0 5.88653e+06 0.5;
dout[38]~164 0xc0 5.45581e+06 0.5;
dout[39] 0xc0 8.04014e+06 0.5;
dout[39]~165 0xc0 5.88653e+06 0.5;
dout[39]~166 0xc0 5.45581e+06 0.5;
dout[40] 0xc0 8.04014e+06 0.5;
dout[40]~167 0xc0 5.88653e+06 0.5;
dout[40]~168 0xc0 5.45581e+06 0.5;
dout[41] 0xc0 8.04014e+06 0.5;
dout[41]~169 0xc0 5.88653e+06 0.5;
dout[41]~170 0xc0 5.45581e+06 0.5;
dout[42] 0xc0 8.04014e+06 0.5;
dout[42]~171 0xc0 5.88653e+06 0.5;
dout[42]~172 0xc0 5.45581e+06 0.5;
dout[43] 0xc0 8.04014e+06 0.5;
dout[43]~173 0xc0 5.88653e+06 0.5;
dout[43]~174 0xc0 5.45581e+06 0.5;
dout[44] 0xc0 8.04014e+06 0.5;
dout[44]~175 0xc0 5.88653e+06 0.5;
dout[44]~176 0xc0 5.45581e+06 0.5;
dout[45] 0xc0 8.04014e+06 0.5;
dout[45]~177 0xc0 5.88653e+06 0.5;
dout[45]~178 0xc0 5.45581e+06 0.5;
dout[46] 0xc0 8.04014e+06 0.5;
dout[46]~179 0xc0 5.88653e+06 0.5;
dout[46]~180 0xc0 5.45581e+06 0.5;
dout[47] 0xc0 8.04014e+06 0.5;
dout[47]~181 0xc0 5.88653e+06 0.5;
dout[47]~182 0xc0 5.45581e+06 0.5;
dout[48] 0xc0 8.04014e+06 0.5;
dout[48]~183 0xc0 5.88653e+06 0.5;
dout[48]~184 0xc0 5.45581e+06 0.5;
dout[49] 0xc0 8.04014e+06 0.5;
dout[49]~185 0xc0 5.88653e+06 0.5;
dout[49]~186 0xc0 5.45581e+06 0.5;
dout[50] 0xc0 8.04014e+06 0.5;
dout[50]~187 0xc0 5.88653e+06 0.5;
dout[50]~188 0xc0 5.45581e+06 0.5;
dout[51] 0xc0 8.04014e+06 0.5;
dout[51]~189 0xc0 5.88653e+06 0.5;
dout[51]~190 0xc0 5.45581e+06 0.5;
dout[52] 0xc0 8.04014e+06 0.5;
dout[52]~191 0xc0 5.88653e+06 0.5;
dout[52]~192 0xc0 5.45581e+06 0.5;
dout[53] 0xc0 8.04014e+06 0.5;
dout[53]~193 0xc0 5.88653e+06 0.5;
dout[53]~194 0xc0 5.45581e+06 0.5;
dout[54] 0xc0 8.04014e+06 0.5;
dout[54]~195 0xc0 5.88653e+06 0.5;
dout[54]~196 0xc0 5.45581e+06 0.5;
dout[55] 0xc0 8.04014e+06 0.5;
dout[55]~197 0xc0 5.88653e+06 0.5;
dout[55]~198 0xc0 5.45581e+06 0.5;
dout[56] 0xc0 8.04014e+06 0.5;
dout[56]~199 0xc0 5.88653e+06 0.5;
dout[56]~200 0xc0 5.45581e+06 0.5;
dout[57] 0xc0 8.04014e+06 0.5;
dout[57]~201 0xc0 5.88653e+06 0.5;
dout[57]~202 0xc0 5.45581e+06 0.5;
dout[58] 0xc0 8.04014e+06 0.5;
dout[58]~203 0xc0 5.88653e+06 0.5;
dout[58]~204 0xc0 5.45581e+06 0.5;
dout[59] 0xc0 8.04014e+06 0.5;
dout[59]~205 0xc0 5.88653e+06 0.5;
dout[59]~206 0xc0 5.45581e+06 0.5;
dout[60] 0xc0 8.04014e+06 0.5;
dout[60]~207 0xc0 5.88653e+06 0.5;
dout[60]~208 0xc0 5.45581e+06 0.5;
dout[61] 0xc0 8.04014e+06 0.5;
dout[61]~209 0xc0 5.88653e+06 0.5;
dout[61]~210 0xc0 5.45581e+06 0.5;
dout[62] 0xc0 8.04014e+06 0.5;
dout[62]~211 0xc0 5.88653e+06 0.5;
dout[62]~212 0xc0 5.45581e+06 0.5;
dout[63] 0xc0 8.04014e+06 0.5;
dout[63]~213 0xc0 5.88653e+06 0.5;
dout[63]~214 0xc0 5.45581e+06 0.5;
dout[64] 0xc0 8.04014e+06 0.5;
dout[64]~215 0xc0 5.88653e+06 0.5;
dout[64]~216 0xc0 5.45581e+06 0.5;
dout[65] 0xc0 8.04014e+06 0.5;
dout[65]~217 0xc0 5.88653e+06 0.5;
dout[65]~218 0xc0 5.45581e+06 0.5;
dout[66] 0xc0 8.04014e+06 0.5;
dout[66]~219 0xc0 5.88653e+06 0.5;
dout[66]~220 0xc0 5.45581e+06 0.5;
dout[67] 0xc0 8.04014e+06 0.5;
dout[67]~221 0xc0 5.88653e+06 0.5;
dout[67]~222 0xc0 5.45581e+06 0.5;
dout[68] 0xc0 8.04014e+06 0.5;
dout[68]~223 0xc0 5.88653e+06 0.5;
dout[68]~224 0xc0 5.45581e+06 0.5;
dout[69] 0xc0 8.04014e+06 0.5;
dout[69]~225 0xc0 5.88653e+06 0.5;
dout[69]~226 0xc0 5.45581e+06 0.5;
dout[70] 0xc0 8.04014e+06 0.5;
dout[70]~227 0xc0 5.88653e+06 0.5;
dout[70]~228 0xc0 5.45581e+06 0.5;
dout[71] 0xc0 8.04014e+06 0.5;
dout[71]~229 0xc0 5.88653e+06 0.5;
dout[71]~230 0xc0 5.45581e+06 0.5;
dout[72] 0xc0 8.04014e+06 0.5;
dout[72]~231 0xc0 5.88653e+06 0.5;
dout[72]~232 0xc0 5.45581e+06 0.5;
dout[73] 0xc0 8.04014e+06 0.5;
dout[73]~233 0xc0 5.88653e+06 0.5;
dout[73]~234 0xc0 5.45581e+06 0.5;
dout[74] 0xc0 8.04014e+06 0.5;
dout[74]~235 0xc0 5.88653e+06 0.5;
dout[74]~236 0xc0 5.45581e+06 0.5;
dout[75] 0xc0 8.04014e+06 0.5;
dout[75]~237 0xc0 5.88653e+06 0.5;
dout[75]~238 0xc0 5.45581e+06 0.5;
dout[76] 0xc0 8.04014e+06 0.5;
dout[76]~239 0xc0 5.88653e+06 0.5;
dout[76]~240 0xc0 5.45581e+06 0.5;
dout[77] 0xc0 8.04014e+06 0.5;
dout[77]~241 0xc0 5.88653e+06 0.5;
dout[77]~242 0xc0 5.45581e+06 0.5;
dout[78] 0xc0 8.04014e+06 0.5;
dout[78]~243 0xc0 5.88653e+06 0.5;
dout[78]~244 0xc0 5.45581e+06 0.5;
dout[79] 0xc0 8.04014e+06 0.5;
dout[79]~245 0xc0 5.88653e+06 0.5;
dout[79]~246 0xc0 5.45581e+06 0.5;
dout[80] 0xc0 8.04014e+06 0.5;
dout[80]~247 0xc0 5.88653e+06 0.5;
dout[80]~248 0xc0 5.45581e+06 0.5;
dout[81] 0xc0 8.04014e+06 0.5;
dout[81]~249 0xc0 5.88653e+06 0.5;
dout[81]~250 0xc0 5.45581e+06 0.5;
dout[82] 0xc0 8.04014e+06 0.5;
dout[82]~251 0xc0 5.88653e+06 0.5;
dout[82]~252 0xc0 5.45581e+06 0.5;
dout[83] 0xc0 8.04014e+06 0.5;
dout[83]~253 0xc0 5.88653e+06 0.5;
dout[83]~254 0xc0 5.45581e+06 0.5;
dout[84] 0xc0 8.04014e+06 0.5;
dout[84]~255 0xc0 5.88653e+06 0.5;
dout[84]~256 0xc0 5.45581e+06 0.5;
dout[85] 0xc0 8.04014e+06 0.5;
dout[85]~257 0xc0 7.39405e+06 0.5;
dout_valid 0xc0 8.04014e+06 0.5;
dout_valid~0 0xc0 6.0301e+06 0.25;
dout~98 0xc0 5.27634e+06 0.625;
dout~259 0xc0 6.0301e+06 0.25;
ena_diff_s[0] 0xc0 8.04014e+06 0.5;
ena_diff_s[1] 0xc0 8.04014e+06 0.5;
ena_diff_s[1]~feeder 0xc0 6.0301e+06 0.25;
ena_diff_s~0 0xc0 1.15549e+07 0.375076;
fifo_rdreq 0xc0 8.04014e+06 0.5;
fifo_rdreq~0 0xc0 6.0301e+06 0.25;
auk_dspip_channel_buffer:fifo_regulator;
scfifo:buffer_FIFO;
scfifo_qm51:auto_generated;
a_dpfifo_5ku:dpfifo;
_~0 0xc0 6.31125e+06 0.125046;
_~1 0xc0 6.76655e+06 0.0624962;
_~2 0xc0 4.52258e+06 0.25;
_~3 0xc0 8.67504e+06 0.437513;
empty_dff 0xc0 8.04014e+06 0.5;
empty_dff~0 0xc0 1.58088e+07 0.263684;
empty_dff~1 0xc0 1.26581e+07 0.26368;
altsyncram_m7h1:FIFOram;
altsyncram:ram_block1a0;
altsyncram_nci3:auto_generated;
decode_msa:address_decoder;
w_anode19w[2]~0 0xc0 2.7638e+06 0.125;
w_anode32w[2]~0 0xc0 1.91581e+06 0.125;
w_anode40w[2]~0 0xc0 1.91581e+06 0.125;
w_anode48w[2]~0 0xc0 1.91581e+06 0.125;
address_reg[0] 0xc0 8.04014e+06 0.5;
address_reg[1] 0xc0 8.04014e+06 0.5;
data_reg[0] 0xc0 8.04014e+06 0.5;
data_reg[0]~feeder 0xc0 8.04014e+06 0.5;
data_reg[1] 0xc0 8.04014e+06 0.5;
data_reg[1]~feeder 0xc0 8.04014e+06 0.5;
data_reg[2] 0xc0 8.04014e+06 0.5;
data_reg[3] 0xc0 8.04014e+06 0.5;
data_reg[3]~feeder 0xc0 8.04014e+06 0.5;
data_reg[4] 0xc0 8.04014e+06 0.5;
data_reg[4]~feeder 0xc0 8.04014e+06 0.5;
data_reg[5] 0xc0 8.04014e+06 0.5;
data_reg[5]~feeder 0xc0 8.04014e+06 0.5;
data_reg[6] 0xc0 8.04014e+06 0.5;
data_reg[7] 0xc0 8.04014e+06 0.5;
data_reg[8] 0xc0 8.04014e+06 0.5;
data_reg[8]~feeder 0xc0 8.04014e+06 0.5;
data_reg[9] 0xc0 8.04014e+06 0.5;
data_reg[9]~feeder 0xc0 8.04014e+06 0.5;
data_reg[10] 0xc0 8.04014e+06 0.5;
data_reg[10]~feeder 0xc0 8.04014e+06 0.5;
data_reg[11] 0xc0 8.04014e+06 0.5;
data_reg[12] 0xc0 8.04014e+06 0.5;
data_reg[12]~feeder 0xc0 8.04014e+06 0.5;
data_reg[13] 0xc0 8.04014e+06 0.5;
data_reg[14] 0xc0 8.04014e+06 0.5;
data_reg[15] 0xc0 8.04014e+06 0.5;
data_reg[16] 0xc0 8.04014e+06 0.5;
data_reg[16]~feeder 0xc0 8.04014e+06 0.5;
data_reg[17] 0xc0 8.04014e+06 0.5;
data_reg[17]~feeder 0xc0 8.04014e+06 0.5;
data_reg[18] 0xc0 8.04014e+06 0.5;
data_reg[18]~feeder 0xc0 8.04014e+06 0.5;
data_reg[19] 0xc0 8.04014e+06 0.5;
data_reg[19]~feeder 0xc0 8.04014e+06 0.5;
data_reg[20] 0xc0 8.04014e+06 0.5;
data_reg[20]~feeder 0xc0 8.04014e+06 0.5;
data_reg[21] 0xc0 8.04014e+06 0.5;
data_reg[21]~feeder 0xc0 8.04014e+06 0.5;
data_reg[22] 0xc0 8.04014e+06 0.5;
data_reg[22]~feeder 0xc0 8.04014e+06 0.5;
data_reg[23] 0xc0 8.04014e+06 0.5;
data_reg[24] 0xc0 8.04014e+06 0.5;
data_reg[25] 0xc0 8.04014e+06 0.5;
data_reg[25]~feeder 0xc0 8.04014e+06 0.5;
data_reg[26] 0xc0 8.04014e+06 0.5;
data_reg[27] 0xc0 8.04014e+06 0.5;
data_reg[28] 0xc0 8.04014e+06 0.5;
data_reg[29] 0xc0 8.04014e+06 0.5;
data_reg[30] 0xc0 8.04014e+06 0.5;
data_reg[31] 0xc0 8.04014e+06 0.5;
data_reg[31]~feeder 0xc0 8.04014e+06 0.5;
data_reg[32] 0xc0 8.04014e+06 0.5;
data_reg[32]~feeder 0xc0 8.04014e+06 0.5;
data_reg[33] 0xc0 8.04014e+06 0.5;
data_reg[34] 0xc0 8.04014e+06 0.5;
data_reg[34]~feeder 0xc0 8.04014e+06 0.5;
data_reg[35] 0xc0 8.04014e+06 0.5;
data_reg[36] 0xc0 8.04014e+06 0.5;
data_reg[36]~feeder 0xc0 8.04014e+06 0.5;
data_reg[37] 0xc0 8.04014e+06 0.5;
data_reg[37]~feeder 0xc0 8.04014e+06 0.5;
data_reg[38] 0xc0 8.04014e+06 0.5;
data_reg[39] 0xc0 8.04014e+06 0.5;
data_reg[39]~feeder 0xc0 8.04014e+06 0.5;
data_reg[40] 0xc0 8.04014e+06 0.5;
data_reg[41] 0xc0 8.04014e+06 0.5;
data_reg[41]~feeder 0xc0 8.04014e+06 0.5;
data_reg[42] 0xc0 8.04014e+06 0.5;
data_reg[42]~feeder 0xc0 8.04014e+06 0.5;
data_reg[43] 0xc0 8.04014e+06 0.5;
data_reg[43]~feeder 0xc0 8.04014e+06 0.5;
data_reg[44] 0xc0 8.04014e+06 0.5;
data_reg[44]~feeder 0xc0 8.04014e+06 0.5;
data_reg[45] 0xc0 8.04014e+06 0.5;
data_reg[46] 0xc0 8.04014e+06 0.5;
data_reg[46]~feeder 0xc0 8.04014e+06 0.5;
data_reg[47] 0xc0 8.04014e+06 0.5;
data_reg[48] 0xc0 8.04014e+06 0.5;
data_reg[48]~feeder 0xc0 8.04014e+06 0.5;
data_reg[49] 0xc0 8.04014e+06 0.5;
data_reg[49]~feeder 0xc0 8.04014e+06 0.5;
data_reg[50] 0xc0 8.04014e+06 0.5;
data_reg[50]~feeder 0xc0 8.04014e+06 0.5;
data_reg[51] 0xc0 8.04014e+06 0.5;
data_reg[51]~feeder 0xc0 8.04014e+06 0.5;
data_reg[52] 0xc0 8.04014e+06 0.5;
data_reg[52]~feeder 0xc0 8.04014e+06 0.5;
data_reg[53] 0xc0 8.04014e+06 0.5;
data_reg[53]~feeder 0xc0 8.04014e+06 0.5;
data_reg[54] 0xc0 8.04014e+06 0.5;
data_reg[54]~feeder 0xc0 8.04014e+06 0.5;
data_reg[55] 0xc0 8.04014e+06 0.5;
data_reg[55]~feeder 0xc0 8.04014e+06 0.5;
data_reg[56] 0xc0 8.04014e+06 0.5;
data_reg[57] 0xc0 8.04014e+06 0.5;
data_reg[57]~feeder 0xc0 8.04014e+06 0.5;
data_reg[58] 0xc0 8.04014e+06 0.5;
data_reg[58]~feeder 0xc0 8.04014e+06 0.5;
data_reg[59] 0xc0 8.04014e+06 0.5;
data_reg[59]~feeder 0xc0 8.04014e+06 0.5;
data_reg[60] 0xc0 8.04014e+06 0.5;
data_reg[60]~feeder 0xc0 8.04014e+06 0.5;
data_reg[61] 0xc0 8.04014e+06 0.5;
data_reg[61]~feeder 0xc0 8.04014e+06 0.5;
data_reg[62] 0xc0 8.04014e+06 0.5;
data_reg[63] 0xc0 8.04014e+06 0.5;
data_reg[63]~feeder 0xc0 8.04014e+06 0.5;
data_reg[64] 0xc0 8.04014e+06 0.5;
data_reg[65] 0xc0 8.04014e+06 0.5;
data_reg[66] 0xc0 8.04014e+06 0.5;
data_reg[66]~feeder 0xc0 8.04014e+06 0.5;
data_reg[67] 0xc0 8.04014e+06 0.5;
data_reg[68] 0xc0 8.04014e+06 0.5;
data_reg[68]~feeder 0xc0 8.04014e+06 0.5;
data_reg[69] 0xc0 8.04014e+06 0.5;
data_reg[69]~feeder 0xc0 8.04014e+06 0.5;
data_reg[70] 0xc0 8.04014e+06 0.5;
data_reg[70]~feeder 0xc0 8.04014e+06 0.5;
data_reg[71] 0xc0 8.04014e+06 0.5;
data_reg[71]~feeder 0xc0 8.04014e+06 0.5;
data_reg[72] 0xc0 8.04014e+06 0.5;
data_reg[73] 0xc0 8.04014e+06 0.5;
data_reg[73]~feeder 0xc0 8.04014e+06 0.5;
data_reg[74] 0xc0 8.04014e+06 0.5;
data_reg[74]~feeder 0xc0 8.04014e+06 0.5;
data_reg[75] 0xc0 8.04014e+06 0.5;
data_reg[76] 0xc0 8.04014e+06 0.5;
data_reg[76]~feeder 0xc0 8.04014e+06 0.5;
data_reg[77] 0xc0 8.04014e+06 0.5;
data_reg[78] 0xc0 8.04014e+06 0.5;
data_reg[78]~feeder 0xc0 8.04014e+06 0.5;
data_reg[79] 0xc0 8.04014e+06 0.5;
data_reg[79]~feeder 0xc0 8.04014e+06 0.5;
data_reg[80] 0xc0 8.04014e+06 0.5;
data_reg[81] 0xc0 8.04014e+06 0.5;
data_reg[81]~feeder 0xc0 8.04014e+06 0.5;
data_reg[82] 0xc0 8.04014e+06 0.5;
data_reg[82]~feeder 0xc0 8.04014e+06 0.5;
data_reg[83] 0xc0 8.04014e+06 0.5;
data_reg[83]~feeder 0xc0 8.04014e+06 0.5;
data_reg[84] 0xc0 8.04014e+06 0.5;
data_reg[84]~feeder 0xc0 8.04014e+06 0.5;
data_reg[85] 0xc0 8.04014e+06 0.5;
outdata_reg[0] 0xc0 8.04014e+06 0.5;
outdata_reg[1] 0xc0 8.04014e+06 0.5;
outdata_reg[1]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[2] 0xc0 8.04014e+06 0.5;
outdata_reg[2]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[3] 0xc0 8.04014e+06 0.5;
outdata_reg[3]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[4] 0xc0 8.04014e+06 0.5;
outdata_reg[5] 0xc0 8.04014e+06 0.5;
outdata_reg[6] 0xc0 8.04014e+06 0.5;
outdata_reg[6]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[7] 0xc0 8.04014e+06 0.5;
outdata_reg[8] 0xc0 8.04014e+06 0.5;
outdata_reg[8]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[9] 0xc0 8.04014e+06 0.5;
outdata_reg[9]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[10] 0xc0 8.04014e+06 0.5;
outdata_reg[11] 0xc0 8.04014e+06 0.5;
outdata_reg[12] 0xc0 8.04014e+06 0.5;
outdata_reg[13] 0xc0 8.04014e+06 0.5;
outdata_reg[13]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[14] 0xc0 8.04014e+06 0.5;
outdata_reg[14]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[15] 0xc0 8.04014e+06 0.5;
outdata_reg[15]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[16] 0xc0 8.04014e+06 0.5;
outdata_reg[16]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[17] 0xc0 8.04014e+06 0.5;
outdata_reg[17]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[18] 0xc0 8.04014e+06 0.5;
outdata_reg[18]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[19] 0xc0 8.04014e+06 0.5;
outdata_reg[19]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[20] 0xc0 8.04014e+06 0.5;
outdata_reg[20]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[21] 0xc0 8.04014e+06 0.5;
outdata_reg[21]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[22] 0xc0 8.04014e+06 0.5;
outdata_reg[22]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[23] 0xc0 8.04014e+06 0.5;
outdata_reg[23]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[24] 0xc0 8.04014e+06 0.5;
outdata_reg[25] 0xc0 8.04014e+06 0.5;
outdata_reg[25]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[26] 0xc0 8.04014e+06 0.5;
outdata_reg[26]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[27] 0xc0 8.04014e+06 0.5;
outdata_reg[27]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[28] 0xc0 8.04014e+06 0.5;
outdata_reg[28]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[29] 0xc0 8.04014e+06 0.5;
outdata_reg[30] 0xc0 8.04014e+06 0.5;
outdata_reg[30]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[31] 0xc0 8.04014e+06 0.5;
outdata_reg[32] 0xc0 8.04014e+06 0.5;
outdata_reg[32]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[33] 0xc0 8.04014e+06 0.5;
outdata_reg[33]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[34] 0xc0 8.04014e+06 0.5;
outdata_reg[35] 0xc0 8.04014e+06 0.5;
outdata_reg[36] 0xc0 8.04014e+06 0.5;
outdata_reg[36]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[37] 0xc0 8.04014e+06 0.5;
outdata_reg[38] 0xc0 8.04014e+06 0.5;
outdata_reg[39] 0xc0 8.04014e+06 0.5;
outdata_reg[39]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[40] 0xc0 8.04014e+06 0.5;
outdata_reg[40]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[41] 0xc0 8.04014e+06 0.5;
outdata_reg[41]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[42] 0xc0 8.04014e+06 0.5;
outdata_reg[42]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[43] 0xc0 8.04014e+06 0.5;
outdata_reg[43]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[44] 0xc0 8.04014e+06 0.5;
outdata_reg[44]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[45] 0xc0 8.04014e+06 0.5;
outdata_reg[45]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[46] 0xc0 8.04014e+06 0.5;
outdata_reg[47] 0xc0 8.04014e+06 0.5;
outdata_reg[47]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[48] 0xc0 8.04014e+06 0.5;
outdata_reg[48]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[49] 0xc0 8.04014e+06 0.5;
outdata_reg[49]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[50] 0xc0 8.04014e+06 0.5;
outdata_reg[51] 0xc0 8.04014e+06 0.5;
outdata_reg[51]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[52] 0xc0 8.04014e+06 0.5;
outdata_reg[52]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[53] 0xc0 8.04014e+06 0.5;
outdata_reg[53]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[54] 0xc0 8.04014e+06 0.5;
outdata_reg[54]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[55] 0xc0 8.04014e+06 0.5;
outdata_reg[55]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[56] 0xc0 8.04014e+06 0.5;
outdata_reg[57] 0xc0 8.04014e+06 0.5;
outdata_reg[57]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[58] 0xc0 8.04014e+06 0.5;
outdata_reg[59] 0xc0 8.04014e+06 0.5;
outdata_reg[59]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[60] 0xc0 8.04014e+06 0.5;
outdata_reg[60]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[61] 0xc0 8.04014e+06 0.5;
outdata_reg[61]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[62] 0xc0 8.04014e+06 0.5;
outdata_reg[62]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[63] 0xc0 8.04014e+06 0.5;
outdata_reg[64] 0xc0 8.04014e+06 0.5;
outdata_reg[65] 0xc0 8.04014e+06 0.5;
outdata_reg[65]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[66] 0xc0 8.04014e+06 0.5;
outdata_reg[66]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[67] 0xc0 8.04014e+06 0.5;
outdata_reg[67]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[68] 0xc0 8.04014e+06 0.5;
outdata_reg[69] 0xc0 8.04014e+06 0.5;
outdata_reg[69]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[70] 0xc0 8.04014e+06 0.5;
outdata_reg[71] 0xc0 8.04014e+06 0.5;
outdata_reg[71]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[72] 0xc0 8.04014e+06 0.5;
outdata_reg[72]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[73] 0xc0 8.04014e+06 0.5;
outdata_reg[74] 0xc0 8.04014e+06 0.5;
outdata_reg[74]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[75] 0xc0 8.04014e+06 0.5;
outdata_reg[76] 0xc0 8.04014e+06 0.5;
outdata_reg[76]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[77] 0xc0 8.04014e+06 0.5;
outdata_reg[77]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[78] 0xc0 8.04014e+06 0.5;
outdata_reg[78]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[79] 0xc0 8.04014e+06 0.5;
outdata_reg[80] 0xc0 8.04014e+06 0.5;
outdata_reg[80]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[81] 0xc0 8.04014e+06 0.5;
outdata_reg[81]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[82] 0xc0 8.04014e+06 0.5;
outdata_reg[82]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[83] 0xc0 8.04014e+06 0.5;
outdata_reg[83]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[84] 0xc0 8.04014e+06 0.5;
outdata_reg[84]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[85] 0xc0 8.04014e+06 0.5;
outdata_reg[85]~feeder 0xc0 8.04014e+06 0.5;
mux_sob:output_mux;
result_node[0]~10 0xc0 4.9819e+06 0.5;
result_node[0]~11 0xc0 3.14921e+06 0.5;
result_node[1]~8 0xc0 4.9819e+06 0.5;
result_node[1]~9 0xc0 3.14921e+06 0.5;
result_node[2]~6 0xc0 5.13943e+06 0.5;
result_node[2]~7 0xc0 4.66572e+06 0.5;
result_node[3]~4 0xc0 5.23954e+06 0.5;
result_node[3]~5 0xc0 4.7247e+06 0.5;
result_node[4]~2 0xc0 5.13943e+06 0.5;
result_node[4]~3 0xc0 5.23739e+06 0.5;
result_node[5]~0 0xc0 4.73261e+06 0.5;
result_node[5]~1 0xc0 3.15135e+06 0.5;
result_node[6]~12 0xc0 4.73261e+06 0.5;
result_node[6]~13 0xc0 4.98313e+06 0.5;
result_node[7]~14 0xc0 5.29523e+06 0.5;
result_node[7]~15 0xc0 5.13463e+06 0.5;
result_node[8]~20 0xc0 5.13943e+06 0.5;
result_node[8]~21 0xc0 5.60015e+06 0.5;
result_node[9]~18 0xc0 5.31314e+06 0.5;
result_node[9]~19 0xc0 5.34596e+06 0.5;
result_node[10]~16 0xc0 5.29523e+06 0.5;
result_node[10]~17 0xc0 5.7394e+06 0.5;
result_node[11]~22 0xc0 5.13943e+06 0.5;
result_node[11]~23 0xc0 5.60015e+06 0.5;
result_node[12]~26 0xc0 4.73261e+06 0.5;
result_node[12]~27 0xc0 3.18067e+06 0.5;
result_node[13]~24 0xc0 5.13943e+06 0.5;
result_node[13]~25 0xc0 4.93766e+06 0.5;
result_node[14]~28 0xc0 5.31314e+06 0.5;
result_node[14]~29 0xc0 5.10992e+06 0.5;
result_node[15]~30 0xc0 5.29523e+06 0.5;
result_node[15]~31 0xc0 5.39709e+06 0.5;
result_node[16]~74 0xc0 4.75224e+06 0.5;
result_node[16]~75 0xc0 5.40829e+06 0.5;
result_node[17]~72 0xc0 5.31314e+06 0.5;
result_node[17]~73 0xc0 5.25594e+06 0.5;
result_node[18]~70 0xc0 5.29523e+06 0.5;
result_node[18]~71 0xc0 5.45085e+06 0.5;
result_node[19]~68 0xc0 4.9819e+06 0.5;
result_node[19]~69 0xc0 3.48964e+06 0.5;
result_node[20]~66 0xc0 5.13943e+06 0.5;
result_node[20]~67 0xc0 5.60015e+06 0.5;
result_node[21]~64 0xc0 4.75224e+06 0.5;
result_node[21]~65 0xc0 5.40829e+06 0.5;
result_node[22]~62 0xc0 5.29523e+06 0.5;
result_node[22]~63 0xc0 5.13895e+06 0.5;
result_node[23]~60 0xc0 5.31314e+06 0.5;
result_node[23]~61 0xc0 4.69946e+06 0.5;
result_node[24]~58 0xc0 4.9819e+06 0.5;
result_node[24]~59 0xc0 3.19663e+06 0.5;
result_node[25]~56 0xc0 4.73261e+06 0.5;
result_node[25]~57 0xc0 5.07453e+06 0.5;
result_node[26]~54 0xc0 5.29523e+06 0.5;
result_node[26]~55 0xc0 5.39196e+06 0.5;
result_node[27]~52 0xc0 4.9819e+06 0.5;
result_node[27]~53 0xc0 3.4995e+06 0.5;
result_node[28]~50 0xc0 4.9819e+06 0.5;
result_node[28]~51 0xc0 3.4995e+06 0.5;
result_node[29]~48 0xc0 5.23954e+06 0.5;
result_node[29]~49 0xc0 5.29996e+06 0.5;
result_node[30]~46 0xc0 4.9819e+06 0.5;
result_node[30]~47 0xc0 3.48964e+06 0.5;
result_node[31]~44 0xc0 4.9819e+06 0.5;
result_node[31]~45 0xc0 4.80769e+06 0.5;
result_node[32]~42 0xc0 5.31314e+06 0.5;
result_node[32]~43 0xc0 5.68204e+06 0.5;
result_node[33]~40 0xc0 4.73261e+06 0.5;
result_node[33]~41 0xc0 3.45312e+06 0.5;
result_node[34]~38 0xc0 5.31314e+06 0.5;
result_node[34]~39 0xc0 5.10992e+06 0.5;
result_node[35]~36 0xc0 5.13943e+06 0.5;
result_node[35]~37 0xc0 5.65489e+06 0.5;
result_node[36]~34 0xc0 5.31314e+06 0.5;
result_node[36]~35 0xc0 5.39399e+06 0.5;
result_node[37]~32 0xc0 5.29523e+06 0.5;
result_node[37]~33 0xc0 5.19351e+06 0.5;
result_node[38]~76 0xc0 4.9819e+06 0.5;
result_node[38]~77 0xc0 4.80769e+06 0.5;
result_node[39]~78 0xc0 4.94902e+06 0.5;
result_node[39]~79 0xc0 4.88234e+06 0.5;
result_node[40]~84 0xc0 4.75224e+06 0.5;
result_node[40]~85 0xc0 4.27912e+06 0.5;
result_node[41]~82 0xc0 5.31314e+06 0.5;
result_node[41]~83 0xc0 5.39399e+06 0.5;
result_node[42]~80 0xc0 4.9819e+06 0.5;
result_node[42]~81 0xc0 4.80769e+06 0.5;
result_node[43]~86 0xc0 5.31314e+06 0.5;
result_node[43]~87 0xc0 5.39399e+06 0.5;
result_node[44]~90 0xc0 4.75224e+06 0.5;
result_node[44]~91 0xc0 3.97088e+06 0.5;
result_node[45]~88 0xc0 4.75224e+06 0.5;
result_node[45]~89 0xc0 3.97088e+06 0.5;
result_node[46]~92 0xc0 5.29523e+06 0.5;
result_node[46]~93 0xc0 5.13463e+06 0.5;
result_node[47]~94 0xc0 5.35412e+06 0.5;
result_node[47]~95 0xc0 5.74492e+06 0.5;
result_node[48]~138 0xc0 5.31314e+06 0.5;
result_node[48]~139 0xc0 5.39399e+06 0.5;
result_node[49]~136 0xc0 4.60796e+06 0.5;
result_node[49]~137 0xc0 3.33642e+06 0.5;
result_node[50]~134 0xc0 4.94902e+06 0.5;
result_node[50]~135 0xc0 4.61575e+06 0.5;
result_node[51]~132 0xc0 5.13943e+06 0.5;
result_node[51]~133 0xc0 5.60015e+06 0.5;
result_node[52]~130 0xc0 5.31314e+06 0.5;
result_node[52]~131 0xc0 5.10992e+06 0.5;
result_node[53]~128 0xc0 4.94902e+06 0.5;
result_node[53]~129 0xc0 4.78714e+06 0.5;
result_node[54]~126 0xc0 4.73261e+06 0.5;
result_node[54]~127 0xc0 4.5346e+06 0.5;
result_node[55]~124 0xc0 5.35412e+06 0.5;
result_node[55]~125 0xc0 5.75076e+06 0.5;
result_node[56]~122 0xc0 4.99024e+06 0.5;
result_node[56]~123 0xc0 4.5219e+06 0.5;
result_node[57]~120 0xc0 4.9819e+06 0.5;
result_node[57]~121 0xc0 3.83993e+06 0.5;
result_node[58]~118 0xc0 5.13943e+06 0.5;
result_node[58]~119 0xc0 5.60015e+06 0.5;
result_node[59]~116 0xc0 5.13943e+06 0.5;
result_node[59]~117 0xc0 5.65489e+06 0.5;
result_node[60]~114 0xc0 5.13943e+06 0.5;
result_node[60]~115 0xc0 5.60015e+06 0.5;
result_node[61]~112 0xc0 4.9819e+06 0.5;
result_node[61]~113 0xc0 3.19663e+06 0.5;
result_node[62]~110 0xc0 4.94902e+06 0.5;
result_node[62]~111 0xc0 5.14216e+06 0.5;
result_node[63]~108 0xc0 4.99024e+06 0.5;
result_node[63]~109 0xc0 4.80782e+06 0.5;
result_node[64]~106 0xc0 4.85726e+06 0.5;
result_node[64]~107 0xc0 3.29863e+06 0.5;
result_node[65]~104 0xc0 5.35412e+06 0.5;
result_node[65]~105 0xc0 5.15735e+06 0.5;
result_node[66]~102 0xc0 5.31314e+06 0.5;
result_node[66]~103 0xc0 5.10992e+06 0.5;
result_node[67]~100 0xc0 5.23954e+06 0.5;
result_node[67]~101 0xc0 5.06391e+06 0.5;
result_node[68]~98 0xc0 5.35412e+06 0.5;
result_node[68]~99 0xc0 5.74492e+06 0.5;
result_node[69]~96 0xc0 4.73261e+06 0.5;
result_node[69]~97 0xc0 4.5346e+06 0.5;
result_node[70]~140 0xc0 4.60796e+06 0.5;
result_node[70]~141 0xc0 4.96755e+06 0.5;
result_node[71]~142 0xc0 4.60796e+06 0.5;
result_node[71]~143 0xc0 3.33642e+06 0.5;
result_node[72]~148 0xc0 4.75224e+06 0.5;
result_node[72]~149 0xc0 4.96926e+06 0.5;
result_node[73]~146 0xc0 5.31314e+06 0.5;
result_node[73]~147 0xc0 4.69946e+06 0.5;
result_node[74]~144 0xc0 4.9819e+06 0.5;
result_node[74]~145 0xc0 3.19663e+06 0.5;
result_node[75]~150 0xc0 5.31314e+06 0.5;
result_node[75]~151 0xc0 5.39399e+06 0.5;
result_node[76]~154 0xc0 5.23954e+06 0.5;
result_node[76]~155 0xc0 5.67053e+06 0.5;
result_node[77]~152 0xc0 4.94902e+06 0.5;
result_node[77]~153 0xc0 5.62514e+06 0.5;
result_node[78]~156 0xc0 4.94902e+06 0.5;
result_node[78]~157 0xc0 4.61575e+06 0.5;
result_node[79]~158 0xc0 4.9819e+06 0.5;
result_node[79]~159 0xc0 4.90289e+06 0.5;
result_node[80]~170 0xc0 4.75224e+06 0.5;
result_node[80]~171 0xc0 4.91344e+06 0.5;
result_node[81]~168 0xc0 4.99024e+06 0.5;
result_node[81]~169 0xc0 4.80782e+06 0.5;
result_node[82]~166 0xc0 4.73261e+06 0.5;
result_node[82]~167 0xc0 4.5346e+06 0.5;
result_node[83]~164 0xc0 5.13943e+06 0.5;
result_node[83]~165 0xc0 5.60015e+06 0.5;
result_node[84]~162 0xc0 4.60796e+06 0.5;
result_node[84]~163 0xc0 5.0979e+06 0.5;
result_node[85]~160 0xc0 5.31314e+06 0.5;
result_node[85]~161 0xc0 5.25594e+06 0.5;
ram_block[0] 0xc0 8.04014e+06 0.5;
ram_block[0]~feeder 0xc0 8.04014e+06 0.5;
ram_block[1] 0xc0 8.04014e+06 0.5;
ram_block[1]~feeder 0xc0 8.04014e+06 0.5;
ram_block[2] 0xc0 8.04014e+06 0.5;
ram_block[2]~feeder 0xc0 8.04014e+06 0.5;
ram_block[3] 0xc0 8.04014e+06 0.5;
ram_block[4] 0xc0 8.04014e+06 0.5;
ram_block[4]~feeder 0xc0 8.04014e+06 0.5;
ram_block[5] 0xc0 8.04014e+06 0.5;
ram_block[5]~feeder 0xc0 8.04014e+06 0.5;
ram_block[6] 0xc0 8.04014e+06 0.5;
ram_block[6]~feeder 0xc0 8.04014e+06 0.5;
ram_block[7] 0xc0 8.04014e+06 0.5;
ram_block[8] 0xc0 8.04014e+06 0.5;
ram_block[8]~feeder 0xc0 8.04014e+06 0.5;
ram_block[9] 0xc0 8.04014e+06 0.5;
ram_block[9]~feeder 0xc0 8.04014e+06 0.5;
ram_block[10] 0xc0 8.04014e+06 0.5;
ram_block[11] 0xc0 8.04014e+06 0.5;
ram_block[11]~feeder 0xc0 8.04014e+06 0.5;
ram_block[12] 0xc0 8.04014e+06 0.5;
ram_block[12]~feeder 0xc0 8.04014e+06 0.5;
ram_block[13] 0xc0 8.04014e+06 0.5;
ram_block[13]~feeder 0xc0 8.04014e+06 0.5;
ram_block[14] 0xc0 8.04014e+06 0.5;
ram_block[14]~feeder 0xc0 8.04014e+06 0.5;
ram_block[15] 0xc0 8.04014e+06 0.5;
ram_block[16] 0xc0 8.04014e+06 0.5;
ram_block[17] 0xc0 8.04014e+06 0.5;
ram_block[17]~feeder 0xc0 8.04014e+06 0.5;
ram_block[18] 0xc0 8.04014e+06 0.5;
ram_block[19] 0xc0 8.04014e+06 0.5;
ram_block[19]~feeder 0xc0 8.04014e+06 0.5;
ram_block[20] 0xc0 8.04014e+06 0.5;
ram_block[20]~feeder 0xc0 8.04014e+06 0.5;
ram_block[21] 0xc0 8.04014e+06 0.5;
ram_block[22] 0xc0 8.04014e+06 0.5;
ram_block[23] 0xc0 8.04014e+06 0.5;
ram_block[23]~feeder 0xc0 8.04014e+06 0.5;
ram_block[24] 0xc0 8.04014e+06 0.5;
ram_block[24]~feeder 0xc0 8.04014e+06 0.5;
ram_block[25] 0xc0 8.04014e+06 0.5;
ram_block[26] 0xc0 8.04014e+06 0.5;
ram_block[27] 0xc0 8.04014e+06 0.5;
ram_block[27]~feeder 0xc0 8.04014e+06 0.5;
ram_block[28] 0xc0 8.04014e+06 0.5;
ram_block[28]~feeder 0xc0 8.04014e+06 0.5;
ram_block[29] 0xc0 8.04014e+06 0.5;
ram_block[29]~feeder 0xc0 8.04014e+06 0.5;
ram_block[30] 0xc0 8.04014e+06 0.5;
ram_block[30]~feeder 0xc0 8.04014e+06 0.5;
ram_block[31] 0xc0 8.04014e+06 0.5;
ram_block[31]~feeder 0xc0 8.04014e+06 0.5;
ram_block[32] 0xc0 8.04014e+06 0.5;
ram_block[32]~feeder 0xc0 8.04014e+06 0.5;
ram_block[33] 0xc0 8.04014e+06 0.5;
ram_block[33]~feeder 0xc0 8.04014e+06 0.5;
ram_block[34] 0xc0 8.04014e+06 0.5;
ram_block[34]~feeder 0xc0 8.04014e+06 0.5;
ram_block[35] 0xc0 8.04014e+06 0.5;
ram_block[35]~feeder 0xc0 8.04014e+06 0.5;
ram_block[36] 0xc0 8.04014e+06 0.5;
ram_block[36]~feeder 0xc0 8.04014e+06 0.5;
ram_block[37] 0xc0 8.04014e+06 0.5;
ram_block[38] 0xc0 8.04014e+06 0.5;
ram_block[38]~feeder 0xc0 8.04014e+06 0.5;
ram_block[39] 0xc0 8.04014e+06 0.5;
ram_block[39]~feeder 0xc0 8.04014e+06 0.5;
ram_block[40] 0xc0 8.04014e+06 0.5;
ram_block[41] 0xc0 8.04014e+06 0.5;
ram_block[41]~feeder 0xc0 8.04014e+06 0.5;
ram_block[42] 0xc0 8.04014e+06 0.5;
ram_block[42]~feeder 0xc0 8.04014e+06 0.5;
ram_block[43] 0xc0 8.04014e+06 0.5;
ram_block[43]~feeder 0xc0 8.04014e+06 0.5;
ram_block[44] 0xc0 8.04014e+06 0.5;
ram_block[45] 0xc0 8.04014e+06 0.5;
ram_block[46] 0xc0 8.04014e+06 0.5;
ram_block[47] 0xc0 8.04014e+06 0.5;
ram_block[48] 0xc0 8.04014e+06 0.5;
ram_block[48]~feeder 0xc0 8.04014e+06 0.5;
ram_block[49] 0xc0 8.04014e+06 0.5;
ram_block[50] 0xc0 8.04014e+06 0.5;
ram_block[50]~feeder 0xc0 8.04014e+06 0.5;
ram_block[51] 0xc0 8.04014e+06 0.5;
ram_block[52] 0xc0 8.04014e+06 0.5;
ram_block[52]~feeder 0xc0 8.04014e+06 0.5;
ram_block[53] 0xc0 8.04014e+06 0.5;
ram_block[53]~feeder 0xc0 8.04014e+06 0.5;
ram_block[54] 0xc0 8.04014e+06 0.5;
ram_block[55] 0xc0 8.04014e+06 0.5;
ram_block[56] 0xc0 8.04014e+06 0.5;
ram_block[57] 0xc0 8.04014e+06 0.5;
ram_block[57]~feeder 0xc0 8.04014e+06 0.5;
ram_block[58] 0xc0 8.04014e+06 0.5;
ram_block[58]~feeder 0xc0 8.04014e+06 0.5;
ram_block[59] 0xc0 8.04014e+06 0.5;
ram_block[59]~feeder 0xc0 8.04014e+06 0.5;
ram_block[60] 0xc0 8.04014e+06 0.5;
ram_block[61] 0xc0 8.04014e+06 0.5;
ram_block[61]~feeder 0xc0 8.04014e+06 0.5;
ram_block[62] 0xc0 8.04014e+06 0.5;
ram_block[62]~feeder 0xc0 8.04014e+06 0.5;
ram_block[63] 0xc0 8.04014e+06 0.5;
ram_block[64] 0xc0 8.04014e+06 0.5;
ram_block[65] 0xc0 8.04014e+06 0.5;
ram_block[66] 0xc0 8.04014e+06 0.5;
ram_block[66]~feeder 0xc0 8.04014e+06 0.5;
ram_block[67] 0xc0 8.04014e+06 0.5;
ram_block[67]~feeder 0xc0 8.04014e+06 0.5;
ram_block[68] 0xc0 8.04014e+06 0.5;
ram_block[69] 0xc0 8.04014e+06 0.5;
ram_block[69]~feeder 0xc0 8.04014e+06 0.5;
ram_block[70] 0xc0 8.04014e+06 0.5;
ram_block[71] 0xc0 8.04014e+06 0.5;
ram_block[72] 0xc0 8.04014e+06 0.5;
ram_block[73] 0xc0 8.04014e+06 0.5;
ram_block[74] 0xc0 8.04014e+06 0.5;
ram_block[74]~feeder 0xc0 8.04014e+06 0.5;
ram_block[75] 0xc0 8.04014e+06 0.5;
ram_block[75]~feeder 0xc0 8.04014e+06 0.5;
ram_block[76] 0xc0 8.04014e+06 0.5;
ram_block[77] 0xc0 8.04014e+06 0.5;
ram_block[77]~feeder 0xc0 8.04014e+06 0.5;
ram_block[78] 0xc0 8.04014e+06 0.5;
ram_block[78]~feeder 0xc0 8.04014e+06 0.5;
ram_block[79] 0xc0 8.04014e+06 0.5;
ram_block[79]~feeder 0xc0 8.04014e+06 0.5;
ram_block[80] 0xc0 8.04014e+06 0.5;
ram_block[81] 0xc0 8.04014e+06 0.5;
ram_block[82] 0xc0 8.04014e+06 0.5;
ram_block[82]~feeder 0xc0 8.04014e+06 0.5;
ram_block[83] 0xc0 8.04014e+06 0.5;
ram_block[83]~feeder 0xc0 8.04014e+06 0.5;
ram_block[84] 0xc0 8.04014e+06 0.5;
ram_block[85] 0xc0 8.04014e+06 0.5;
ram_block[85]~feeder 0xc0 8.04014e+06 0.5;
ram_block[86] 0xc0 8.04014e+06 0.5;
ram_block[86]~feeder 0xc0 8.04014e+06 0.5;
ram_block[87] 0xc0 8.04014e+06 0.5;
ram_block[87]~feeder 0xc0 8.04014e+06 0.5;
ram_block[88] 0xc0 8.04014e+06 0.5;
ram_block[88]~feeder 0xc0 8.04014e+06 0.5;
ram_block[89] 0xc0 8.04014e+06 0.5;
ram_block[89]~feeder 0xc0 8.04014e+06 0.5;
ram_block[90] 0xc0 8.04014e+06 0.5;
ram_block[90]~feeder 0xc0 8.04014e+06 0.5;
ram_block[91] 0xc0 8.04014e+06 0.5;
ram_block[91]~feeder 0xc0 8.04014e+06 0.5;
ram_block[92] 0xc0 8.04014e+06 0.5;
ram_block[92]~feeder 0xc0 8.04014e+06 0.5;
ram_block[93] 0xc0 8.04014e+06 0.5;
ram_block[94] 0xc0 8.04014e+06 0.5;
ram_block[94]~feeder 0xc0 8.04014e+06 0.5;
ram_block[95] 0xc0 8.04014e+06 0.5;
ram_block[95]~feeder 0xc0 8.04014e+06 0.5;
ram_block[96] 0xc0 8.04014e+06 0.5;
ram_block[96]~feeder 0xc0 8.04014e+06 0.5;
ram_block[97] 0xc0 8.04014e+06 0.5;
ram_block[97]~feeder 0xc0 8.04014e+06 0.5;
ram_block[98] 0xc0 8.04014e+06 0.5;
ram_block[98]~feeder 0xc0 8.04014e+06 0.5;
ram_block[99] 0xc0 8.04014e+06 0.5;
ram_block[99]~feeder 0xc0 8.04014e+06 0.5;
ram_block[100] 0xc0 8.04014e+06 0.5;
ram_block[100]~feeder 0xc0 8.04014e+06 0.5;
ram_block[101] 0xc0 8.04014e+06 0.5;
ram_block[101]~feeder 0xc0 8.04014e+06 0.5;
ram_block[102] 0xc0 8.04014e+06 0.5;
ram_block[102]~feeder 0xc0 8.04014e+06 0.5;
ram_block[103] 0xc0 8.04014e+06 0.5;
ram_block[103]~feeder 0xc0 8.04014e+06 0.5;
ram_block[104] 0xc0 8.04014e+06 0.5;
ram_block[104]~feeder 0xc0 8.04014e+06 0.5;
ram_block[105] 0xc0 8.04014e+06 0.5;
ram_block[106] 0xc0 8.04014e+06 0.5;
ram_block[106]~feeder 0xc0 8.04014e+06 0.5;
ram_block[107] 0xc0 8.04014e+06 0.5;
ram_block[107]~feeder 0xc0 8.04014e+06 0.5;
ram_block[108] 0xc0 8.04014e+06 0.5;
ram_block[108]~feeder 0xc0 8.04014e+06 0.5;
ram_block[109] 0xc0 8.04014e+06 0.5;
ram_block[109]~feeder 0xc0 8.04014e+06 0.5;
ram_block[110] 0xc0 8.04014e+06 0.5;
ram_block[110]~feeder 0xc0 8.04014e+06 0.5;
ram_block[111] 0xc0 8.04014e+06 0.5;
ram_block[111]~feeder 0xc0 8.04014e+06 0.5;
ram_block[112] 0xc0 8.04014e+06 0.5;
ram_block[112]~feeder 0xc0 8.04014e+06 0.5;
ram_block[113] 0xc0 8.04014e+06 0.5;
ram_block[114] 0xc0 8.04014e+06 0.5;
ram_block[114]~feeder 0xc0 8.04014e+06 0.5;
ram_block[115] 0xc0 8.04014e+06 0.5;
ram_block[115]~feeder 0xc0 8.04014e+06 0.5;
ram_block[116] 0xc0 8.04014e+06 0.5;
ram_block[116]~feeder 0xc0 8.04014e+06 0.5;
ram_block[117] 0xc0 8.04014e+06 0.5;
ram_block[117]~feeder 0xc0 8.04014e+06 0.5;
ram_block[118] 0xc0 8.04014e+06 0.5;
ram_block[118]~feeder 0xc0 8.04014e+06 0.5;
ram_block[119] 0xc0 8.04014e+06 0.5;
ram_block[120] 0xc0 8.04014e+06 0.5;
ram_block[120]~feeder 0xc0 8.04014e+06 0.5;
ram_block[121] 0xc0 8.04014e+06 0.5;
ram_block[121]~feeder 0xc0 8.04014e+06 0.5;
ram_block[122] 0xc0 8.04014e+06 0.5;
ram_block[122]~feeder 0xc0 8.04014e+06 0.5;
ram_block[123] 0xc0 8.04014e+06 0.5;
ram_block[124] 0xc0 8.04014e+06 0.5;
ram_block[125] 0xc0 8.04014e+06 0.5;
ram_block[125]~feeder 0xc0 8.04014e+06 0.5;
ram_block[126] 0xc0 8.04014e+06 0.5;
ram_block[126]~feeder 0xc0 8.04014e+06 0.5;
ram_block[127] 0xc0 8.04014e+06 0.5;
ram_block[127]~feeder 0xc0 8.04014e+06 0.5;
ram_block[128] 0xc0 8.04014e+06 0.5;
ram_block[128]~feeder 0xc0 8.04014e+06 0.5;
ram_block[129] 0xc0 8.04014e+06 0.5;
ram_block[129]~feeder 0xc0 8.04014e+06 0.5;
ram_block[130] 0xc0 8.04014e+06 0.5;
ram_block[130]~feeder 0xc0 8.04014e+06 0.5;
ram_block[131] 0xc0 8.04014e+06 0.5;
ram_block[131]~feeder 0xc0 8.04014e+06 0.5;
ram_block[132] 0xc0 8.04014e+06 0.5;
ram_block[132]~feeder 0xc0 8.04014e+06 0.5;
ram_block[133] 0xc0 8.04014e+06 0.5;
ram_block[133]~feeder 0xc0 8.04014e+06 0.5;
ram_block[134] 0xc0 8.04014e+06 0.5;
ram_block[134]~feeder 0xc0 8.04014e+06 0.5;
ram_block[135] 0xc0 8.04014e+06 0.5;
ram_block[135]~feeder 0xc0 8.04014e+06 0.5;
ram_block[136] 0xc0 8.04014e+06 0.5;
ram_block[136]~feeder 0xc0 8.04014e+06 0.5;
ram_block[137] 0xc0 8.04014e+06 0.5;
ram_block[138] 0xc0 8.04014e+06 0.5;
ram_block[138]~feeder 0xc0 8.04014e+06 0.5;
ram_block[139] 0xc0 8.04014e+06 0.5;
ram_block[139]~feeder 0xc0 8.04014e+06 0.5;
ram_block[140] 0xc0 8.04014e+06 0.5;
ram_block[140]~feeder 0xc0 8.04014e+06 0.5;
ram_block[141] 0xc0 8.04014e+06 0.5;
ram_block[141]~feeder 0xc0 8.04014e+06 0.5;
ram_block[142] 0xc0 8.04014e+06 0.5;
ram_block[143] 0xc0 8.04014e+06 0.5;
ram_block[143]~feeder 0xc0 8.04014e+06 0.5;
ram_block[144] 0xc0 8.04014e+06 0.5;
ram_block[145] 0xc0 8.04014e+06 0.5;
ram_block[146] 0xc0 8.04014e+06 0.5;
ram_block[147] 0xc0 8.04014e+06 0.5;
ram_block[148] 0xc0 8.04014e+06 0.5;
ram_block[148]~feeder 0xc0 8.04014e+06 0.5;
ram_block[149] 0xc0 8.04014e+06 0.5;
ram_block[149]~feeder 0xc0 8.04014e+06 0.5;
ram_block[150] 0xc0 8.04014e+06 0.5;
ram_block[150]~feeder 0xc0 8.04014e+06 0.5;
ram_block[151] 0xc0 8.04014e+06 0.5;
ram_block[151]~feeder 0xc0 8.04014e+06 0.5;
ram_block[152] 0xc0 8.04014e+06 0.5;
ram_block[153] 0xc0 8.04014e+06 0.5;
ram_block[153]~feeder 0xc0 8.04014e+06 0.5;
ram_block[154] 0xc0 8.04014e+06 0.5;
ram_block[154]~feeder 0xc0 8.04014e+06 0.5;
ram_block[155] 0xc0 8.04014e+06 0.5;
ram_block[155]~feeder 0xc0 8.04014e+06 0.5;
ram_block[156] 0xc0 8.04014e+06 0.5;
ram_block[156]~feeder 0xc0 8.04014e+06 0.5;
ram_block[157] 0xc0 8.04014e+06 0.5;
ram_block[157]~feeder 0xc0 8.04014e+06 0.5;
ram_block[158] 0xc0 8.04014e+06 0.5;
ram_block[159] 0xc0 8.04014e+06 0.5;
ram_block[159]~feeder 0xc0 8.04014e+06 0.5;
ram_block[160] 0xc0 8.04014e+06 0.5;
ram_block[161] 0xc0 8.04014e+06 0.5;
ram_block[162] 0xc0 8.04014e+06 0.5;
ram_block[162]~feeder 0xc0 8.04014e+06 0.5;
ram_block[163] 0xc0 8.04014e+06 0.5;
ram_block[163]~feeder 0xc0 8.04014e+06 0.5;
ram_block[164] 0xc0 8.04014e+06 0.5;
ram_block[164]~feeder 0xc0 8.04014e+06 0.5;
ram_block[165] 0xc0 8.04014e+06 0.5;
ram_block[166] 0xc0 8.04014e+06 0.5;
ram_block[166]~feeder 0xc0 8.04014e+06 0.5;
ram_block[167] 0xc0 8.04014e+06 0.5;
ram_block[167]~feeder 0xc0 8.04014e+06 0.5;
ram_block[168] 0xc0 8.04014e+06 0.5;
ram_block[169] 0xc0 8.04014e+06 0.5;
ram_block[170] 0xc0 8.04014e+06 0.5;
ram_block[170]~feeder 0xc0 8.04014e+06 0.5;
ram_block[171] 0xc0 8.04014e+06 0.5;
ram_block[171]~feeder 0xc0 8.04014e+06 0.5;
ram_block[172] 0xc0 8.04014e+06 0.5;
ram_block[173] 0xc0 8.04014e+06 0.5;
ram_block[174] 0xc0 8.04014e+06 0.5;
ram_block[175] 0xc0 8.04014e+06 0.5;
ram_block[175]~feeder 0xc0 8.04014e+06 0.5;
ram_block[176] 0xc0 8.04014e+06 0.5;
ram_block[177] 0xc0 8.04014e+06 0.5;
ram_block[178] 0xc0 8.04014e+06 0.5;
ram_block[179] 0xc0 8.04014e+06 0.5;
ram_block[179]~feeder 0xc0 8.04014e+06 0.5;
ram_block[180] 0xc0 8.04014e+06 0.5;
ram_block[181] 0xc0 8.04014e+06 0.5;
ram_block[182] 0xc0 8.04014e+06 0.5;
ram_block[183] 0xc0 8.04014e+06 0.5;
ram_block[184] 0xc0 8.04014e+06 0.5;
ram_block[185] 0xc0 8.04014e+06 0.5;
ram_block[186] 0xc0 8.04014e+06 0.5;
ram_block[186]~feeder 0xc0 8.04014e+06 0.5;
ram_block[187] 0xc0 8.04014e+06 0.5;
ram_block[188] 0xc0 8.04014e+06 0.5;
ram_block[189] 0xc0 8.04014e+06 0.5;
ram_block[190] 0xc0 8.04014e+06 0.5;
ram_block[191] 0xc0 8.04014e+06 0.5;
ram_block[191]~feeder 0xc0 8.04014e+06 0.5;
ram_block[192] 0xc0 8.04014e+06 0.5;
ram_block[193] 0xc0 8.04014e+06 0.5;
ram_block[194] 0xc0 8.04014e+06 0.5;
ram_block[194]~feeder 0xc0 8.04014e+06 0.5;
ram_block[195] 0xc0 8.04014e+06 0.5;
ram_block[195]~feeder 0xc0 8.04014e+06 0.5;
ram_block[196] 0xc0 8.04014e+06 0.5;
ram_block[196]~feeder 0xc0 8.04014e+06 0.5;
ram_block[197] 0xc0 8.04014e+06 0.5;
ram_block[198] 0xc0 8.04014e+06 0.5;
ram_block[198]~feeder 0xc0 8.04014e+06 0.5;
ram_block[199] 0xc0 8.04014e+06 0.5;
ram_block[199]~feeder 0xc0 8.04014e+06 0.5;
ram_block[200] 0xc0 8.04014e+06 0.5;
ram_block[200]~feeder 0xc0 8.04014e+06 0.5;
ram_block[201] 0xc0 8.04014e+06 0.5;
ram_block[202] 0xc0 8.04014e+06 0.5;
ram_block[202]~feeder 0xc0 8.04014e+06 0.5;
ram_block[203] 0xc0 8.04014e+06 0.5;
ram_block[204] 0xc0 8.04014e+06 0.5;
ram_block[204]~feeder 0xc0 8.04014e+06 0.5;
ram_block[205] 0xc0 8.04014e+06 0.5;
ram_block[205]~feeder 0xc0 8.04014e+06 0.5;
ram_block[206] 0xc0 8.04014e+06 0.5;
ram_block[206]~feeder 0xc0 8.04014e+06 0.5;
ram_block[207] 0xc0 8.04014e+06 0.5;
ram_block[208] 0xc0 8.04014e+06 0.5;
ram_block[208]~feeder 0xc0 8.04014e+06 0.5;
ram_block[209] 0xc0 8.04014e+06 0.5;
ram_block[209]~feeder 0xc0 8.04014e+06 0.5;
ram_block[210] 0xc0 8.04014e+06 0.5;
ram_block[211] 0xc0 8.04014e+06 0.5;
ram_block[212] 0xc0 8.04014e+06 0.5;
ram_block[212]~feeder 0xc0 8.04014e+06 0.5;
ram_block[213] 0xc0 8.04014e+06 0.5;
ram_block[214] 0xc0 8.04014e+06 0.5;
ram_block[214]~feeder 0xc0 8.04014e+06 0.5;
ram_block[215] 0xc0 8.04014e+06 0.5;
ram_block[216] 0xc0 8.04014e+06 0.5;
ram_block[217] 0xc0 8.04014e+06 0.5;
ram_block[217]~feeder 0xc0 8.04014e+06 0.5;
ram_block[218] 0xc0 8.04014e+06 0.5;
ram_block[218]~feeder 0xc0 8.04014e+06 0.5;
ram_block[219] 0xc0 8.04014e+06 0.5;
ram_block[219]~feeder 0xc0 8.04014e+06 0.5;
ram_block[220] 0xc0 8.04014e+06 0.5;
ram_block[220]~feeder 0xc0 8.04014e+06 0.5;
ram_block[221] 0xc0 8.04014e+06 0.5;
ram_block[221]~feeder 0xc0 8.04014e+06 0.5;
ram_block[222] 0xc0 8.04014e+06 0.5;
ram_block[223] 0xc0 8.04014e+06 0.5;
ram_block[223]~feeder 0xc0 8.04014e+06 0.5;
ram_block[224] 0xc0 8.04014e+06 0.5;
ram_block[224]~feeder 0xc0 8.04014e+06 0.5;
ram_block[225] 0xc0 8.04014e+06 0.5;
ram_block[226] 0xc0 8.04014e+06 0.5;
ram_block[226]~feeder 0xc0 8.04014e+06 0.5;
ram_block[227] 0xc0 8.04014e+06 0.5;
ram_block[227]~feeder 0xc0 8.04014e+06 0.5;
ram_block[228] 0xc0 8.04014e+06 0.5;
ram_block[229] 0xc0 8.04014e+06 0.5;
ram_block[229]~feeder 0xc0 8.04014e+06 0.5;
ram_block[230] 0xc0 8.04014e+06 0.5;
ram_block[230]~feeder 0xc0 8.04014e+06 0.5;
ram_block[231] 0xc0 8.04014e+06 0.5;
ram_block[232] 0xc0 8.04014e+06 0.5;
ram_block[232]~feeder 0xc0 8.04014e+06 0.5;
ram_block[233] 0xc0 8.04014e+06 0.5;
ram_block[234] 0xc0 8.04014e+06 0.5;
ram_block[235] 0xc0 8.04014e+06 0.5;
ram_block[236] 0xc0 8.04014e+06 0.5;
ram_block[236]~feeder 0xc0 8.04014e+06 0.5;
ram_block[237] 0xc0 8.04014e+06 0.5;
ram_block[238] 0xc0 8.04014e+06 0.5;
ram_block[238]~feeder 0xc0 8.04014e+06 0.5;
ram_block[239] 0xc0 8.04014e+06 0.5;
ram_block[239]~feeder 0xc0 8.04014e+06 0.5;
ram_block[240] 0xc0 8.04014e+06 0.5;
ram_block[240]~feeder 0xc0 8.04014e+06 0.5;
ram_block[241] 0xc0 8.04014e+06 0.5;
ram_block[241]~feeder 0xc0 8.04014e+06 0.5;
ram_block[242] 0xc0 8.04014e+06 0.5;
ram_block[242]~feeder 0xc0 8.04014e+06 0.5;
ram_block[243] 0xc0 8.04014e+06 0.5;
ram_block[243]~feeder 0xc0 8.04014e+06 0.5;
ram_block[244] 0xc0 8.04014e+06 0.5;
ram_block[244]~feeder 0xc0 8.04014e+06 0.5;
ram_block[245] 0xc0 8.04014e+06 0.5;
ram_block[245]~feeder 0xc0 8.04014e+06 0.5;
ram_block[246] 0xc0 8.04014e+06 0.5;
ram_block[246]~feeder 0xc0 8.04014e+06 0.5;
ram_block[247] 0xc0 8.04014e+06 0.5;
ram_block[247]~feeder 0xc0 8.04014e+06 0.5;
ram_block[248] 0xc0 8.04014e+06 0.5;
ram_block[249] 0xc0 8.04014e+06 0.5;
ram_block[249]~feeder 0xc0 8.04014e+06 0.5;
ram_block[250] 0xc0 8.04014e+06 0.5;
ram_block[251] 0xc0 8.04014e+06 0.5;
ram_block[252] 0xc0 8.04014e+06 0.5;
ram_block[252]~feeder 0xc0 8.04014e+06 0.5;
ram_block[253] 0xc0 8.04014e+06 0.5;
ram_block[253]~feeder 0xc0 8.04014e+06 0.5;
ram_block[254] 0xc0 8.04014e+06 0.5;
ram_block[254]~feeder 0xc0 8.04014e+06 0.5;
ram_block[255] 0xc0 8.04014e+06 0.5;
ram_block[255]~feeder 0xc0 8.04014e+06 0.5;
ram_block[256] 0xc0 8.04014e+06 0.5;
ram_block[256]~feeder 0xc0 8.04014e+06 0.5;
ram_block[257] 0xc0 8.04014e+06 0.5;
ram_block[258] 0xc0 8.04014e+06 0.5;
ram_block[259] 0xc0 8.04014e+06 0.5;
ram_block[260] 0xc0 8.04014e+06 0.5;
ram_block[260]~feeder 0xc0 8.04014e+06 0.5;
ram_block[261] 0xc0 8.04014e+06 0.5;
ram_block[261]~feeder 0xc0 8.04014e+06 0.5;
ram_block[262] 0xc0 8.04014e+06 0.5;
ram_block[262]~feeder 0xc0 8.04014e+06 0.5;
ram_block[263] 0xc0 8.04014e+06 0.5;
ram_block[263]~feeder 0xc0 8.04014e+06 0.5;
ram_block[264] 0xc0 8.04014e+06 0.5;
ram_block[264]~feeder 0xc0 8.04014e+06 0.5;
ram_block[265] 0xc0 8.04014e+06 0.5;
ram_block[266] 0xc0 8.04014e+06 0.5;
ram_block[266]~feeder 0xc0 8.04014e+06 0.5;
ram_block[267] 0xc0 8.04014e+06 0.5;
ram_block[268] 0xc0 8.04014e+06 0.5;
ram_block[268]~feeder 0xc0 8.04014e+06 0.5;
ram_block[269] 0xc0 8.04014e+06 0.5;
ram_block[269]~feeder 0xc0 8.04014e+06 0.5;
ram_block[270] 0xc0 8.04014e+06 0.5;
ram_block[270]~feeder 0xc0 8.04014e+06 0.5;
ram_block[271] 0xc0 8.04014e+06 0.5;
ram_block[271]~feeder 0xc0 8.04014e+06 0.5;
ram_block[272] 0xc0 8.04014e+06 0.5;
ram_block[273] 0xc0 8.04014e+06 0.5;
ram_block[273]~feeder 0xc0 8.04014e+06 0.5;
ram_block[274] 0xc0 8.04014e+06 0.5;
ram_block[274]~feeder 0xc0 8.04014e+06 0.5;
ram_block[275] 0xc0 8.04014e+06 0.5;
ram_block[275]~feeder 0xc0 8.04014e+06 0.5;
ram_block[276] 0xc0 8.04014e+06 0.5;
ram_block[276]~feeder 0xc0 8.04014e+06 0.5;
ram_block[277] 0xc0 8.04014e+06 0.5;
ram_block[277]~feeder 0xc0 8.04014e+06 0.5;
ram_block[278] 0xc0 8.04014e+06 0.5;
ram_block[278]~feeder 0xc0 8.04014e+06 0.5;
ram_block[279] 0xc0 8.04014e+06 0.5;
ram_block[280] 0xc0 8.04014e+06 0.5;
ram_block[280]~feeder 0xc0 8.04014e+06 0.5;
ram_block[281] 0xc0 8.04014e+06 0.5;
ram_block[282] 0xc0 8.04014e+06 0.5;
ram_block[283] 0xc0 8.04014e+06 0.5;
ram_block[283]~feeder 0xc0 8.04014e+06 0.5;
ram_block[284] 0xc0 8.04014e+06 0.5;
ram_block[284]~feeder 0xc0 8.04014e+06 0.5;
ram_block[285] 0xc0 8.04014e+06 0.5;
ram_block[286] 0xc0 8.04014e+06 0.5;
ram_block[287] 0xc0 8.04014e+06 0.5;
ram_block[287]~feeder 0xc0 8.04014e+06 0.5;
ram_block[288] 0xc0 8.04014e+06 0.5;
ram_block[289] 0xc0 8.04014e+06 0.5;
ram_block[290] 0xc0 8.04014e+06 0.5;
ram_block[291] 0xc0 8.04014e+06 0.5;
ram_block[291]~feeder 0xc0 8.04014e+06 0.5;
ram_block[292] 0xc0 8.04014e+06 0.5;
ram_block[293] 0xc0 8.04014e+06 0.5;
ram_block[293]~feeder 0xc0 8.04014e+06 0.5;
ram_block[294] 0xc0 8.04014e+06 0.5;
ram_block[295] 0xc0 8.04014e+06 0.5;
ram_block[295]~feeder 0xc0 8.04014e+06 0.5;
ram_block[296] 0xc0 8.04014e+06 0.5;
ram_block[297] 0xc0 8.04014e+06 0.5;
ram_block[297]~feeder 0xc0 8.04014e+06 0.5;
ram_block[298] 0xc0 8.04014e+06 0.5;
ram_block[298]~feeder 0xc0 8.04014e+06 0.5;
ram_block[299] 0xc0 8.04014e+06 0.5;
ram_block[299]~feeder 0xc0 8.04014e+06 0.5;
ram_block[300] 0xc0 8.04014e+06 0.5;
ram_block[301] 0xc0 8.04014e+06 0.5;
ram_block[302] 0xc0 8.04014e+06 0.5;
ram_block[302]~feeder 0xc0 8.04014e+06 0.5;
ram_block[303] 0xc0 8.04014e+06 0.5;
ram_block[303]~feeder 0xc0 8.04014e+06 0.5;
ram_block[304] 0xc0 8.04014e+06 0.5;
ram_block[304]~feeder 0xc0 8.04014e+06 0.5;
ram_block[305] 0xc0 8.04014e+06 0.5;
ram_block[305]~feeder 0xc0 8.04014e+06 0.5;
ram_block[306] 0xc0 8.04014e+06 0.5;
ram_block[307] 0xc0 8.04014e+06 0.5;
ram_block[307]~feeder 0xc0 8.04014e+06 0.5;
ram_block[308] 0xc0 8.04014e+06 0.5;
ram_block[308]~feeder 0xc0 8.04014e+06 0.5;
ram_block[309] 0xc0 8.04014e+06 0.5;
ram_block[309]~feeder 0xc0 8.04014e+06 0.5;
ram_block[310] 0xc0 8.04014e+06 0.5;
ram_block[311] 0xc0 8.04014e+06 0.5;
ram_block[311]~feeder 0xc0 8.04014e+06 0.5;
ram_block[312] 0xc0 8.04014e+06 0.5;
ram_block[312]~feeder 0xc0 8.04014e+06 0.5;
ram_block[313] 0xc0 8.04014e+06 0.5;
ram_block[314] 0xc0 8.04014e+06 0.5;
ram_block[315] 0xc0 8.04014e+06 0.5;
ram_block[316] 0xc0 8.04014e+06 0.5;
ram_block[316]~feeder 0xc0 8.04014e+06 0.5;
ram_block[317] 0xc0 8.04014e+06 0.5;
ram_block[317]~feeder 0xc0 8.04014e+06 0.5;
ram_block[318] 0xc0 8.04014e+06 0.5;
ram_block[319] 0xc0 8.04014e+06 0.5;
ram_block[319]~feeder 0xc0 8.04014e+06 0.5;
ram_block[320] 0xc0 8.04014e+06 0.5;
ram_block[320]~feeder 0xc0 8.04014e+06 0.5;
ram_block[321] 0xc0 8.04014e+06 0.5;
ram_block[321]~feeder 0xc0 8.04014e+06 0.5;
ram_block[322] 0xc0 8.04014e+06 0.5;
ram_block[322]~feeder 0xc0 8.04014e+06 0.5;
ram_block[323] 0xc0 8.04014e+06 0.5;
ram_block[324] 0xc0 8.04014e+06 0.5;
ram_block[325] 0xc0 8.04014e+06 0.5;
ram_block[326] 0xc0 8.04014e+06 0.5;
ram_block[326]~feeder 0xc0 8.04014e+06 0.5;
ram_block[327] 0xc0 8.04014e+06 0.5;
ram_block[328] 0xc0 8.04014e+06 0.5;
ram_block[328]~feeder 0xc0 8.04014e+06 0.5;
ram_block[329] 0xc0 8.04014e+06 0.5;
ram_block[329]~feeder 0xc0 8.04014e+06 0.5;
ram_block[330] 0xc0 8.04014e+06 0.5;
ram_block[330]~feeder 0xc0 8.04014e+06 0.5;
ram_block[331] 0xc0 8.04014e+06 0.5;
ram_block[331]~feeder 0xc0 8.04014e+06 0.5;
ram_block[332] 0xc0 8.04014e+06 0.5;
ram_block[332]~feeder 0xc0 8.04014e+06 0.5;
ram_block[333] 0xc0 8.04014e+06 0.5;
ram_block[334] 0xc0 8.04014e+06 0.5;
ram_block[334]~feeder 0xc0 8.04014e+06 0.5;
ram_block[335] 0xc0 8.04014e+06 0.5;
ram_block[336] 0xc0 8.04014e+06 0.5;
ram_block[336]~feeder 0xc0 8.04014e+06 0.5;
ram_block[337] 0xc0 8.04014e+06 0.5;
ram_block[337]~feeder 0xc0 8.04014e+06 0.5;
ram_block[338] 0xc0 8.04014e+06 0.5;
ram_block[338]~feeder 0xc0 8.04014e+06 0.5;
ram_block[339] 0xc0 8.04014e+06 0.5;
ram_block[339]~feeder 0xc0 8.04014e+06 0.5;
ram_block[340] 0xc0 8.04014e+06 0.5;
ram_block[341] 0xc0 8.04014e+06 0.5;
ram_block[341]~feeder 0xc0 8.04014e+06 0.5;
ram_block[342] 0xc0 8.04014e+06 0.5;
ram_block[342]~feeder 0xc0 8.04014e+06 0.5;
ram_block[343] 0xc0 8.04014e+06 0.5;
ram_block[343]~feeder 0xc0 8.04014e+06 0.5;
rd_data_out_latch[0] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[1] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[2] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[3] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[4] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[5] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[6] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[7] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[8] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[9] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[10] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[11] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[12] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[13] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[14] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[15] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[16] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[17] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[18] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[19] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[20] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[21] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[22] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[23] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[24] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[25] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[26] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[27] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[28] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[29] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[30] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[31] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[32] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[33] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[34] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[35] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[36] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[37] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[38] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[39] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[40] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[41] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[42] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[43] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[44] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[45] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[46] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[47] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[48] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[49] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[50] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[51] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[52] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[53] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[54] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[55] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[56] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[57] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[58] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[59] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[60] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[61] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[62] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[63] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[64] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[65] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[66] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[67] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[68] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[69] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[70] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[71] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[72] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[73] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[74] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[75] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[76] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[77] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[78] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[79] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[80] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[81] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[82] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[83] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[84] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[85] 0xc0 8.04014e+06 0.5;
wren_reg 0xc0 8.04014e+06 0.5;
wren_reg~feeder 0xc0 8.03821e+06 6.10352e-05;
full_dff 0xc0 8.04014e+06 0.5;
low_addressa[0] 0xc0 8.04014e+06 0.5;
low_addressa[0]~1 0xc0 4.85235e+06 0.25;
low_addressa[1] 0xc0 8.04014e+06 0.5;
low_addressa[1]~0 0xc0 7.0783e+06 0.25;
ram_read_address[0]~1 0xc0 5.26064e+06 0.5;
ram_read_address[1]~0 0xc0 4.26347e+06 0.5;
rd_ptr_lsb 0xc0 8.04014e+06 0.5;
rd_ptr_lsb~0 0xc0 6.0301e+06 0.25;
rd_ptr_lsb~1 0xc0 7.16075e+06 0.5625;
cntr_q9b:rd_ptr_msb;
_~0 0xc0 8.07154e+06 0.53125;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
cntr_7a7:usedw_counter;
_~0 0xc0 1.23713e+07 0.562523;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 1.20583e+07 0.5;
counter_comb_bita1 0xc0 1.00492e+07 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
usedw_is_0_dff 0xc0 8.04014e+06 0.5;
usedw_is_1_dff 0xc0 8.04014e+06 0.5;
usedw_will_be_1~0 0xc0 4.7963e+06 0.0312748;
usedw_will_be_1~1 0xc0 9.80382e+06 0.227535;
valid_rreq 0xc0 3.51756e+06 0.125;
valid_rreq~0 0xc0 6.0301e+06 0.25;
valid_wreq~0 0xc0 1.60175e+06 0.0625;
valid_wreq~1 0xc0 989314 0.0625;
valid_wreq~2 0xc0 135.308 0.00012207;
valid_wreq~3 0xc0 8.03821e+06 6.10352e-05;
cntr_r9b:wr_ptr;
_~0 0xc0 1.20573e+07 0.500031;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5;
counter_comb_bita1 0xc0 8.04014e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
auk_dspip_integrator:integrator[0].integration;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 7.39405e+06 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 7.7171e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 7.95938e+06 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 7.99976e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 8.03004e+06 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 8.03509e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 8.03888e+06 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 8.03951e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 8.03998e+06 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 8.04006e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 8.04012e+06 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 8.04013e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 8.04013e+06 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~1 0xc0 8.04014e+06 0.5;
auk_dspip_integrator:integrator[1].integration;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~1 0xc0 7.39405e+06 0.5;
auk_dspip_integrator:integrator[2].integration;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~1 0xc0 7.39405e+06 0.5;
auk_dspip_integrator:integrator[3].integration;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~1 0xc0 7.39405e+06 0.5;
auk_dspip_integrator:integrator[4].integration;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~1 0xc0 5.88653e+06 0.5;
auk_dspip_integrator:integrator[5].integration;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~1 0xc0 7.39405e+06 0.5;
counter_module:latency_cnt_inst;
Add0~0 0xc0 4.52258e+06 0.25;
Add0~1 0xc0 1.91581e+06 0.125;
count[0] 0xc0 8.04014e+06 0.5;
count[1] 0xc0 8.04014e+06 0.5;
count[1]~4 0xc0 9.13937e+06 0.46875;
count[2] 0xc0 8.04014e+06 0.5;
count[2]~1 0xc0 5.30044e+06 0.397217;
count[3] 0xc0 8.04014e+06 0.5;
count[3]~0 0xc0 5.65322e+06 0.4375;
count[3]~2 0xc0 5.5621e+06 0.38269;
count~3 0xc0 8.22073e+06 0.46875;
sample_state[0] 0xc0 8.04014e+06 0.5;
sample_state~0 0xc0 3.48615e+06 0.625;
sample_state~1 0xc0 5.63052e+06 0.671875;
state[0] 0xc0 8.04014e+06 0.5;
state~0 0xc0 8.97559e+06 0.515625;
auk_dspip_downsample:vrc_en_0.first_dsample;
counter_module:counter_fs_inst;
Add0~0 0xc0 8.04014e+06 0.5;
Add0~1 0xc0 8.04014e+06 0.5;
Add0~2 0xc0 8.04014e+06 0.5;
Add0~3 0xc0 4.52258e+06 0.75;
Add0~4 0xc0 7.28637e+06 0.5;
Add0~5 0xc0 6.15573e+06 0.125;
Add0~6 0xc0 9.35922e+06 0.5;
Add0~7 0xc0 1.79019e+06 0.9375;
Add0~8 0xc0 7.99303e+06 0.5;
Add0~9 0xc0 7.54548e+06 0.03125;
Add0~10 0xc0 1.13261e+07 0.5;
Add0~11 0xc0 1.90207e+06 0.984375;
Add0~12 0xc0 8.74385e+06 0.5;
Add0~13 0xc0 8.26833e+06 0.0078125;
Add0~14 0xc0 1.20497e+07 0.5;
Add0~15 0xc0 2.06806e+06 0.996094;
Add0~16 0xc0 9.0116e+06 0.5;
Add0~17 0xc0 8.49458e+06 0.00195313;
Add0~18 0xc0 1.22561e+07 0.5;
Add0~19 0xc0 2.12371e+06 0.999023;
Add0~20 0xc0 9.0863e+06 0.5;
Equal0~0 0xc0 989314 0.0625;
Equal0~1 0xc0 724319 0.0625;
Equal0~2 0xc0 4.52258e+06 0.25;
Equal0~3 0xc0 692.767 0.000488281;
count[0] 0xc0 8.04014e+06 0.5;
count[1] 0xc0 8.04014e+06 0.5;
count[2] 0xc0 8.04014e+06 0.5;
count[3] 0xc0 8.04014e+06 0.5;
count[4] 0xc0 8.04014e+06 0.5;
count[5] 0xc0 8.04014e+06 0.5;
count[6] 0xc0 8.04014e+06 0.5;
count[7] 0xc0 8.04014e+06 0.5;
count[8] 0xc0 8.04014e+06 0.5;
count[9] 0xc0 8.04014e+06 0.5;
count[10] 0xc0 8.04014e+06 0.5;
count~0 0xc0 1.45587e+07 0.499756;
count~1 0xc0 5.27634e+06 0.625;
count~2 0xc0 1.87003e+07 0.499756;
count~3 0xc0 1.59706e+07 0.499756;
count~4 0xc0 2.26302e+07 0.499756;
count~5 0xc0 1.80058e+07 0.499756;
count~6 0xc0 1.8155e+07 0.499756;
auk_dspip_avalon_streaming_sink:input_sink;
scfifo:sink_FIFO;
scfifo_ef71:auto_generated;
dffe_nae 0xc0 8.04014e+06 0.5;
dffe_nae~0 0xc0 1.68026e+06 0.125;
dffe_nae~1 0xc0 4.54125e+06 0.524597;
a_dpfifo_vkv:dpfifo;
_~0 0xc0 818539 0.0625;
_~1 0xc0 5.27619e+06 0.502975;
_~2 0xc0 9.51032e+06 0.276611;
_~3 0xc0 285535 0.0199585;
empty_dff 0xc0 8.04014e+06 0.5;
empty_dff~0 0xc0 1.60382e+07 0.312783;
empty_dff~1 0xc0 1.17739e+07 0.295563;
altsyncram_h7h1:FIFOram;
q_b[0] 0xc0 8.04014e+06 0.5;
q_b[1] 0xc0 8.04014e+06 0.5;
q_b[2] 0xc0 8.04014e+06 0.5;
q_b[3] 0xc0 8.04014e+06 0.5;
q_b[4] 0xc0 8.04014e+06 0.5;
q_b[5] 0xc0 8.04014e+06 0.5;
q_b[6] 0xc0 8.04014e+06 0.5;
q_b[7] 0xc0 8.04014e+06 0.5;
q_b[8] 0xc0 8.04014e+06 0.5;
q_b[9] 0xc0 8.04014e+06 0.5;
q_b[10] 0xc0 8.04014e+06 0.5;
q_b[11] 0xc0 8.04014e+06 0.5;
q_b[12] 0xc0 8.04014e+06 0.5;
q_b[13] 0xc0 8.04014e+06 0.5;
q_b[14] 0xc0 8.04014e+06 0.5;
q_b[15] 0xc0 8.04014e+06 0.5;
q_b[16] 0xc0 8.04014e+06 0.5;
q_b[17] 0xc0 8.04014e+06 0.5;
q_b[18] 0xc0 8.04014e+06 0.5;
q_b[19] 0xc0 8.04014e+06 0.5;
q_b[20] 0xc0 8.04014e+06 0.5;
q_b[21] 0xc0 8.04014e+06 0.5;
q_b[22] 0xc0 8.04014e+06 0.5;
full_dff 0xc0 8.04014e+06 0.5;
low_addressa[0] 0xc0 8.04014e+06 0.5;
low_addressa[0]~0 0xc0 4.27789e+06 0.25;
low_addressa[1] 0xc0 8.04014e+06 0.5;
low_addressa[1]~1 0xc0 5.86684e+06 0.25;
low_addressa[2] 0xc0 8.04014e+06 0.5;
low_addressa[2]~2 0xc0 4.95569e+06 0.25;
ram_read_address[0]~0 0xc0 3.30885e+06 0.5;
ram_read_address[1]~1 0xc0 3.30885e+06 0.5;
ram_read_address[2]~2 0xc0 6.10818e+06 0.5;
rd_ptr_lsb 0xc0 8.04014e+06 0.5;
rd_ptr_lsb~0 0xc0 6.0301e+06 0.25;
rd_ptr_lsb~1 0xc0 8.32707e+06 0.526611;
cntr_r9b:rd_ptr_msb;
_~0 0xc0 6.03916e+06 0.513306;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5;
counter_comb_bita1 0xc0 8.04014e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
cntr_8a7:usedw_counter;
_~0 0xc0 9.45619e+06 0.638306;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5;
counter_comb_bita1 0xc0 8.04014e+06 0.5;
counter_comb_bita1~COUT 0xc0 5.0879e+06 0.75;
counter_comb_bita2 0xc0 7.56904e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
counter_reg_bit[2] 0xc0 8.04014e+06 0.5;
usedw_is_0_dff 0xc0 8.04014e+06 0.5;
usedw_is_1_dff 0xc0 8.04014e+06 0.5;
usedw_will_be_1~0 0xc0 1.35442e+06 0.09375;
usedw_will_be_1~1 0xc0 2.7638e+06 0.125;
usedw_will_be_1~2 0xc0 1.50179e+06 0.123337;
usedw_will_be_1~3 0xc0 9.63232e+06 0.22021;
valid_rreq 0xc0 562938 0.0532227;
valid_wreq 0xc0 6.0301e+06 0.25;
cntr_s9b:wr_ptr;
_~0 0xc0 7.53763e+06 0.625;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5;
counter_comb_bita1 0xc0 8.04014e+06 0.5;
counter_comb_bita1~COUT 0xc0 4.52258e+06 0.75;
counter_comb_bita2 0xc0 7.28637e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
counter_reg_bit[2] 0xc0 8.04014e+06 0.5;
auk_dspip_avalon_streaming_source:output_source_0;
scfifo:source_FIFO;
scfifo_ji71:auto_generated;
a_dpfifo_gqv:dpfifo;
_~0 0xc0 873501 0.03125;
_~1 0xc0 8.6778e+06 0.250488;
empty_dff 0xc0 8.04014e+06 0.5;
empty_dff~2 0xc0 1.25671e+07 0.515625;
empty_dff~3 0xc0 7.41703e+06 0.257813;
empty_dff~4 0xc0 8.39292e+06 0.255586;
altsyncram_vah1:FIFOram;
q_b[0] 0xc0 8.04014e+06 0.5;
q_b[1] 0xc0 8.04014e+06 0.5;
q_b[2] 0xc0 8.04014e+06 0.5;
q_b[3] 0xc0 8.04014e+06 0.5;
q_b[4] 0xc0 8.04014e+06 0.5;
q_b[5] 0xc0 8.04014e+06 0.5;
q_b[6] 0xc0 8.04014e+06 0.5;
q_b[7] 0xc0 8.04014e+06 0.5;
q_b[8] 0xc0 8.04014e+06 0.5;
q_b[9] 0xc0 8.04014e+06 0.5;
q_b[10] 0xc0 8.04014e+06 0.5;
q_b[11] 0xc0 8.04014e+06 0.5;
q_b[12] 0xc0 8.04014e+06 0.5;
q_b[13] 0xc0 8.04014e+06 0.5;
q_b[14] 0xc0 8.04014e+06 0.5;
q_b[15] 0xc0 8.04014e+06 0.5;
q_b[16] 0xc0 8.04014e+06 0.5;
q_b[17] 0xc0 8.04014e+06 0.5;
q_b[18] 0xc0 8.04014e+06 0.5;
q_b[19] 0xc0 8.04014e+06 0.5;
q_b[20] 0xc0 8.04014e+06 0.5;
q_b[21] 0xc0 8.04014e+06 0.5;
q_b[22] 0xc0 8.04014e+06 0.5;
q_b[23] 0xc0 8.04014e+06 0.5;
q_b[24] 0xc0 8.04014e+06 0.5;
q_b[25] 0xc0 8.04014e+06 0.5;
q_b[26] 0xc0 8.04014e+06 0.5;
q_b[27] 0xc0 8.04014e+06 0.5;
q_b[28] 0xc0 8.04014e+06 0.5;
q_b[29] 0xc0 8.04014e+06 0.5;
q_b[30] 0xc0 8.04014e+06 0.5;
q_b[31] 0xc0 8.04014e+06 0.5;
q_b[32] 0xc0 8.04014e+06 0.5;
q_b[33] 0xc0 8.04014e+06 0.5;
q_b[34] 0xc0 8.04014e+06 0.5;
q_b[35] 0xc0 8.04014e+06 0.5;
q_b[36] 0xc0 8.04014e+06 0.5;
q_b[37] 0xc0 8.04014e+06 0.5;
q_b[38] 0xc0 8.04014e+06 0.5;
q_b[39] 0xc0 8.04014e+06 0.5;
q_b[40] 0xc0 8.04014e+06 0.5;
q_b[41] 0xc0 8.04014e+06 0.5;
q_b[42] 0xc0 8.04014e+06 0.5;
q_b[43] 0xc0 8.04014e+06 0.5;
q_b[44] 0xc0 8.04014e+06 0.5;
q_b[45] 0xc0 8.04014e+06 0.5;
q_b[46] 0xc0 8.04014e+06 0.5;
q_b[47] 0xc0 8.04014e+06 0.5;
q_b[48] 0xc0 8.04014e+06 0.5;
q_b[49] 0xc0 8.04014e+06 0.5;
q_b[50] 0xc0 8.04014e+06 0.5;
q_b[51] 0xc0 8.04014e+06 0.5;
q_b[52] 0xc0 8.04014e+06 0.5;
q_b[53] 0xc0 8.04014e+06 0.5;
q_b[54] 0xc0 8.04014e+06 0.5;
q_b[55] 0xc0 8.04014e+06 0.5;
q_b[56] 0xc0 8.04014e+06 0.5;
q_b[57] 0xc0 8.04014e+06 0.5;
q_b[58] 0xc0 8.04014e+06 0.5;
q_b[59] 0xc0 8.04014e+06 0.5;
q_b[60] 0xc0 8.04014e+06 0.5;
q_b[61] 0xc0 8.04014e+06 0.5;
q_b[62] 0xc0 8.04014e+06 0.5;
q_b[63] 0xc0 8.04014e+06 0.5;
q_b[64] 0xc0 8.04014e+06 0.5;
q_b[65] 0xc0 8.04014e+06 0.5;
q_b[66] 0xc0 8.04014e+06 0.5;
q_b[67] 0xc0 8.04014e+06 0.5;
q_b[68] 0xc0 8.04014e+06 0.5;
q_b[69] 0xc0 8.04014e+06 0.5;
q_b[70] 0xc0 8.04014e+06 0.5;
q_b[71] 0xc0 8.04014e+06 0.5;
q_b[72] 0xc0 8.04014e+06 0.5;
q_b[73] 0xc0 8.04014e+06 0.5;
q_b[74] 0xc0 8.04014e+06 0.5;
q_b[75] 0xc0 8.04014e+06 0.5;
q_b[76] 0xc0 8.04014e+06 0.5;
q_b[77] 0xc0 8.04014e+06 0.5;
q_b[78] 0xc0 8.04014e+06 0.5;
q_b[79] 0xc0 8.04014e+06 0.5;
q_b[80] 0xc0 8.04014e+06 0.5;
q_b[81] 0xc0 8.04014e+06 0.5;
q_b[82] 0xc0 8.04014e+06 0.5;
q_b[83] 0xc0 8.04014e+06 0.5;
q_b[84] 0xc0 8.04014e+06 0.5;
q_b[85] 0xc0 8.04014e+06 0.5;
full_dff 0xc0 8.04014e+06 0.5;
low_addressa[0] 0xc0 8.04014e+06 0.5;
low_addressa[0]~0 0xc0 5.65322e+06 0.25;
low_addressa[1] 0xc0 8.04014e+06 0.5;
low_addressa[1]~1 0xc0 5.65322e+06 0.25;
low_addressa[2] 0xc0 8.04014e+06 0.5;
low_addressa[2]~2 0xc0 5.27634e+06 0.25;
low_addressa[3] 0xc0 8.04014e+06 0.5;
low_addressa[3]~3 0xc0 5.27634e+06 0.25;
low_addressa[4] 0xc0 8.04014e+06 0.5;
low_addressa[4]~4 0xc0 5.65322e+06 0.25;
ram_read_address[0]~0 0xc0 8.04014e+06 0.5;
ram_read_address[1]~1 0xc0 6.53261e+06 0.5;
ram_read_address[2]~2 0xc0 5.77885e+06 0.5;
ram_read_address[3]~3 0xc0 6.53261e+06 0.5;
ram_read_address[4]~4 0xc0 8.04014e+06 0.5;
rd_ptr_lsb 0xc0 8.04014e+06 0.5;
rd_ptr_lsb~0 0xc0 6.0301e+06 0.25;
rd_ptr_lsb~1 0xc0 6.0301e+06 0.75;
cntr_t9b:rd_ptr_msb;
_~0 0xc0 7.53763e+06 0.625;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5;
counter_comb_bita1 0xc0 8.04014e+06 0.5;
counter_comb_bita1~COUT 0xc0 4.52258e+06 0.75;
counter_comb_bita2 0xc0 7.28637e+06 0.5;
counter_comb_bita2~COUT 0xc0 6.15573e+06 0.125;
counter_comb_bita3 0xc0 9.35922e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
counter_reg_bit[2] 0xc0 8.04014e+06 0.5;
counter_reg_bit[3] 0xc0 8.04014e+06 0.5;
cntr_aa7:usedw_counter;
_~0 0xc0 5.72978e+06 0.75;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 7.6397e+06 0.5;
counter_comb_bita1 0xc0 7.83992e+06 0.5;
counter_comb_bita1~COUT 0xc0 4.28844e+06 0.75;
counter_comb_bita2 0xc0 7.16931e+06 0.5;
counter_comb_bita2~COUT 0xc0 5.48426e+06 0.125;
counter_comb_bita3 0xc0 9.02349e+06 0.5;
counter_comb_bita3~COUT 0xc0 1.57467e+06 0.9375;
counter_comb_bita4 0xc0 7.88527e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
counter_reg_bit[2] 0xc0 8.04014e+06 0.5;
counter_reg_bit[3] 0xc0 8.04014e+06 0.5;
counter_reg_bit[4] 0xc0 8.04014e+06 0.5;
usedw_is_0_dff 0xc0 8.04014e+06 0.5;
usedw_is_1_dff 0xc0 8.04014e+06 0.5;
usedw_will_be_1~0 0xc0 989314 0.0625;
usedw_will_be_1~1 0xc0 144516 0.0146484;
usedw_will_be_1~2 0xc0 1.16787e+07 0.265625;
usedw_will_be_1~3 0xc0 6.80705e+06 0.138191;
usedw_will_be_1~4 0xc0 6.0301e+06 0.25;
valid_wreq~0 0xc0 1.08353e+06 0.0625;
cntr_u9b:wr_ptr;
_~0 0xc0 7.36882e+06 0.53125;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5;
counter_comb_bita1 0xc0 8.04014e+06 0.5;
counter_comb_bita1~COUT 0xc0 4.52258e+06 0.75;
counter_comb_bita2 0xc0 7.28637e+06 0.5;
counter_comb_bita2~COUT 0xc0 6.15573e+06 0.125;
counter_comb_bita3 0xc0 9.35922e+06 0.5;
counter_comb_bita3~COUT 0xc0 1.79019e+06 0.9375;
counter_comb_bita4 0xc0 7.99303e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
counter_reg_bit[2] 0xc0 8.04014e+06 0.5;
counter_reg_bit[3] 0xc0 8.04014e+06 0.5;
counter_reg_bit[4] 0xc0 8.04014e+06 0.5;
source_valid_s 0xc0 8.04014e+06 0.5;
source_valid_s~0 0xc0 6.0301e+06 0.25;
rx_cic:RX_CIC_Q;
rx_cic_cic_ii_0:cic_ii_0;
alt_cic_core:core;
auk_dspip_avalon_streaming_controller:avalon_controller;
auk_dspip_avalon_streaming_small_fifo:ready_FIFO;
Decoder0~0 0xc0 380202 0.0512695;
Decoder0~1 0xc0 380202 0.0512695;
Decoder0~2 0xc0 380202 0.0512695;
Equal2~0 0xc0 2.38692e+06 0.125;
Equal3~0 0xc0 2.38692e+06 0.125;
fifo_array[0][0] 0xc0 8.04014e+06 0.5;
fifo_array[1][0] 0xc0 8.04014e+06 0.5;
fifo_array[2][0] 0xc0 8.04014e+06 0.5;
fifo_array[3][0] 0xc0 8.04014e+06 0.5;
fifo_array[4][0] 0xc0 8.04014e+06 0.5;
fifo_array[5][0] 0xc0 8.04014e+06 0.5;
fifo_array~0 0xc0 4.72502e+06 0.512817;
fifo_array~1 0xc0 1.08975e+07 0.512817;
fifo_array~2 0xc0 4.72502e+06 0.512817;
fifo_array~3 0xc0 4.72502e+06 0.512817;
fifo_array~4 0xc0 1.08975e+07 0.512817;
fifo_array~5 0xc0 4.72502e+06 0.512817;
fifo_usedw[0] 0xc0 8.04014e+06 0.5;
fifo_usedw[1] 0xc0 8.04014e+06 0.5;
fifo_usedw[2] 0xc0 8.04014e+06 0.5;
fifo_usedw~0 0xc0 3.4891e+06 0.28125;
fifo_usedw~1 0xc0 6.56016e+06 0.437378;
fifo_usedw~2 0xc0 5.00228e+06 0.5;
fifo_usedw~3 0xc0 2.0038e+06 0.123047;
fifo_usedw~4 0xc0 9.27777e+06 0.5;
Mux0~0 0xc0 3.37623e+06 0.5;
Mux0~1 0xc0 1.9903e+06 0.5;
rd_addr_ptr[0] 0xc0 8.04014e+06 0.5;
rd_addr_ptr[1] 0xc0 8.04014e+06 0.5;
rd_addr_ptr[2] 0xc0 8.04014e+06 0.5;
rd_addr_ptr~0 0xc0 2.8026e+06 0.472656;
rd_addr_ptr~1 0xc0 3.95609e+06 0.5;
rd_addr_ptr~2 0xc0 3.74075e+06 0.472656;
usedw_process~0 0xc0 1.53964e+06 0.109375;
usedw_process~1 0xc0 3.72338e+06 0.794922;
wr_addr_ptr[0] 0xc0 8.04014e+06 0.5;
wr_addr_ptr[1] 0xc0 8.04014e+06 0.5;
wr_addr_ptr[2] 0xc0 8.04014e+06 0.5;
wr_addr_ptr~0 0xc0 5.18682e+06 0.5;
wr_addr_ptr~1 0xc0 2.55718e+06 0.474365;
wr_addr_ptr~2 0xc0 2.55718e+06 0.474365;
ready_fifo_wrreq~0 0xc0 2.31935e+06 0.234375;
sink_ready_ctrl_process~0 0xc0 1.34755e+06 0.78125;
sink_ready_ctrl~0 0xc0 4.52258e+06 0.25;
sink_ready_ctrl~1 0xc0 4.08288e+06 0.5;
sink_ready_ctrl~2 0xc0 3.406e+06 0.234375;
sink_ready_ctrl~3 0xc0 893552 0.106445;
stall_reg 0xc0 8.04014e+06 0.5;
stall_reg~0 0xc0 1.91581e+06 0.875;
alt_cic_dec_siso:dec_one;
Equal2~0 0xc0 724319 0.0625;
Equal2~1 0xc0 4.52258e+06 0.25;
comb~0 0xc0 8.97498e+06 0.53125;
auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
dout[0] 0xc0 8.04014e+06 0.5;
dout[0]~86 0xc0 8.04014e+06 0.5;
dout[0]~87 0xc0 4.52258e+06 0.75;
dout[1] 0xc0 8.04014e+06 0.5;
dout[1]~88 0xc0 6.78387e+06 0.5;
dout[1]~89 0xc0 3.74526e+06 0.375;
dout[2] 0xc0 8.04014e+06 0.5;
dout[2]~90 0xc0 5.74155e+06 0.5;
dout[2]~91 0xc0 4.40971e+06 0.5625;
dout[3] 0xc0 8.04014e+06 0.5;
dout[3]~92 0xc0 5.69567e+06 0.5;
dout[3]~93 0xc0 5.96496e+06 0.46875;
dout[4] 0xc0 8.04014e+06 0.5;
dout[4]~94 0xc0 6.03148e+06 0.5;
dout[4]~95 0xc0 5.25544e+06 0.515625;
dout[5] 0xc0 8.04014e+06 0.5;
dout[5]~96 0xc0 5.84085e+06 0.5;
dout[5]~97 0xc0 5.57675e+06 0.492188;
dout[6] 0xc0 8.04014e+06 0.5;
dout[6]~98 0xc0 5.91787e+06 0.5;
dout[6]~99 0xc0 5.40143e+06 0.503906;
dout[7] 0xc0 8.04014e+06 0.5;
dout[7]~100 0xc0 5.87321e+06 0.5;
dout[7]~101 0xc0 5.48463e+06 0.498047;
dout[8] 0xc0 8.04014e+06 0.5;
dout[8]~102 0xc0 5.8938e+06 0.5;
dout[8]~103 0xc0 5.44182e+06 0.500977;
dout[9] 0xc0 8.04014e+06 0.5;
dout[9]~104 0xc0 5.88305e+06 0.5;
dout[9]~105 0xc0 5.46291e+06 0.499512;
dout[10] 0xc0 8.04014e+06 0.5;
dout[10]~106 0xc0 5.88831e+06 0.5;
dout[10]~107 0xc0 5.45228e+06 0.500244;
dout[11] 0xc0 8.04014e+06 0.5;
dout[11]~109 0xc0 5.88565e+06 0.5;
dout[11]~110 0xc0 5.45758e+06 0.499878;
dout[12] 0xc0 8.04014e+06 0.5;
dout[12]~111 0xc0 5.88697e+06 0.5;
dout[12]~112 0xc0 5.45492e+06 0.500061;
dout[13] 0xc0 8.04014e+06 0.5;
dout[13]~113 0xc0 5.88631e+06 0.5;
dout[13]~114 0xc0 5.45625e+06 0.499969;
dout[14] 0xc0 8.04014e+06 0.5;
dout[14]~115 0xc0 5.88664e+06 0.5;
dout[14]~116 0xc0 5.45559e+06 0.500015;
dout[15] 0xc0 8.04014e+06 0.5;
dout[15]~117 0xc0 5.88647e+06 0.5;
dout[15]~118 0xc0 5.45592e+06 0.499992;
dout[16] 0xc0 8.04014e+06 0.5;
dout[16]~119 0xc0 5.88656e+06 0.5;
dout[16]~120 0xc0 5.45575e+06 0.500004;
dout[17] 0xc0 8.04014e+06 0.5;
dout[17]~121 0xc0 5.88651e+06 0.5;
dout[17]~122 0xc0 5.45583e+06 0.499998;
dout[18] 0xc0 8.04014e+06 0.5;
dout[18]~123 0xc0 5.88654e+06 0.5;
dout[18]~124 0xc0 5.45579e+06 0.500001;
dout[19] 0xc0 8.04014e+06 0.5;
dout[19]~125 0xc0 5.88653e+06 0.5;
dout[19]~126 0xc0 5.45581e+06 0.5;
dout[20] 0xc0 8.04014e+06 0.5;
dout[20]~127 0xc0 5.88653e+06 0.5;
dout[20]~128 0xc0 5.4558e+06 0.5;
dout[21] 0xc0 8.04014e+06 0.5;
dout[21]~129 0xc0 5.88653e+06 0.5;
dout[21]~130 0xc0 5.45581e+06 0.5;
dout[22] 0xc0 8.04014e+06 0.5;
dout[22]~131 0xc0 5.88653e+06 0.5;
dout[22]~132 0xc0 5.45581e+06 0.5;
dout[23] 0xc0 8.04014e+06 0.5;
dout[23]~133 0xc0 5.88653e+06 0.5;
dout[23]~134 0xc0 5.45581e+06 0.5;
dout[24] 0xc0 8.04014e+06 0.5;
dout[24]~135 0xc0 5.88653e+06 0.5;
dout[24]~136 0xc0 5.45581e+06 0.5;
dout[25] 0xc0 8.04014e+06 0.5;
dout[25]~137 0xc0 5.88653e+06 0.5;
dout[25]~138 0xc0 5.45581e+06 0.5;
dout[26] 0xc0 8.04014e+06 0.5;
dout[26]~139 0xc0 5.88653e+06 0.5;
dout[26]~140 0xc0 5.45581e+06 0.5;
dout[27] 0xc0 8.04014e+06 0.5;
dout[27]~141 0xc0 5.88653e+06 0.5;
dout[27]~142 0xc0 5.45581e+06 0.5;
dout[28] 0xc0 8.04014e+06 0.5;
dout[28]~143 0xc0 5.88653e+06 0.5;
dout[28]~144 0xc0 5.45581e+06 0.5;
dout[29] 0xc0 8.04014e+06 0.5;
dout[29]~145 0xc0 5.88653e+06 0.5;
dout[29]~146 0xc0 5.45581e+06 0.5;
dout[30] 0xc0 8.04014e+06 0.5;
dout[30]~147 0xc0 5.88653e+06 0.5;
dout[30]~148 0xc0 5.45581e+06 0.5;
dout[31] 0xc0 8.04014e+06 0.5;
dout[31]~149 0xc0 5.88653e+06 0.5;
dout[31]~150 0xc0 5.45581e+06 0.5;
dout[32] 0xc0 8.04014e+06 0.5;
dout[32]~151 0xc0 5.88653e+06 0.5;
dout[32]~152 0xc0 5.45581e+06 0.5;
dout[33] 0xc0 8.04014e+06 0.5;
dout[33]~153 0xc0 5.88653e+06 0.5;
dout[33]~154 0xc0 5.45581e+06 0.5;
dout[34] 0xc0 8.04014e+06 0.5;
dout[34]~155 0xc0 5.88653e+06 0.5;
dout[34]~156 0xc0 5.45581e+06 0.5;
dout[35] 0xc0 8.04014e+06 0.5;
dout[35]~157 0xc0 5.88653e+06 0.5;
dout[35]~158 0xc0 5.45581e+06 0.5;
dout[36] 0xc0 8.04014e+06 0.5;
dout[36]~159 0xc0 5.88653e+06 0.5;
dout[36]~160 0xc0 5.45581e+06 0.5;
dout[37] 0xc0 8.04014e+06 0.5;
dout[37]~161 0xc0 5.88653e+06 0.5;
dout[37]~162 0xc0 5.45581e+06 0.5;
dout[38] 0xc0 8.04014e+06 0.5;
dout[38]~163 0xc0 5.88653e+06 0.5;
dout[38]~164 0xc0 5.45581e+06 0.5;
dout[39] 0xc0 8.04014e+06 0.5;
dout[39]~165 0xc0 5.88653e+06 0.5;
dout[39]~166 0xc0 5.45581e+06 0.5;
dout[40] 0xc0 8.04014e+06 0.5;
dout[40]~167 0xc0 5.88653e+06 0.5;
dout[40]~168 0xc0 5.45581e+06 0.5;
dout[41] 0xc0 8.04014e+06 0.5;
dout[41]~169 0xc0 5.88653e+06 0.5;
dout[41]~170 0xc0 5.45581e+06 0.5;
dout[42] 0xc0 8.04014e+06 0.5;
dout[42]~171 0xc0 5.88653e+06 0.5;
dout[42]~172 0xc0 5.45581e+06 0.5;
dout[43] 0xc0 8.04014e+06 0.5;
dout[43]~173 0xc0 5.88653e+06 0.5;
dout[43]~174 0xc0 5.45581e+06 0.5;
dout[44] 0xc0 8.04014e+06 0.5;
dout[44]~175 0xc0 5.88653e+06 0.5;
dout[44]~176 0xc0 5.45581e+06 0.5;
dout[45] 0xc0 8.04014e+06 0.5;
dout[45]~177 0xc0 5.88653e+06 0.5;
dout[45]~178 0xc0 5.45581e+06 0.5;
dout[46] 0xc0 8.04014e+06 0.5;
dout[46]~179 0xc0 5.88653e+06 0.5;
dout[46]~180 0xc0 5.45581e+06 0.5;
dout[47] 0xc0 8.04014e+06 0.5;
dout[47]~181 0xc0 5.88653e+06 0.5;
dout[47]~182 0xc0 5.45581e+06 0.5;
dout[48] 0xc0 8.04014e+06 0.5;
dout[48]~183 0xc0 5.88653e+06 0.5;
dout[48]~184 0xc0 5.45581e+06 0.5;
dout[49] 0xc0 8.04014e+06 0.5;
dout[49]~185 0xc0 5.88653e+06 0.5;
dout[49]~186 0xc0 5.45581e+06 0.5;
dout[50] 0xc0 8.04014e+06 0.5;
dout[50]~187 0xc0 5.88653e+06 0.5;
dout[50]~188 0xc0 5.45581e+06 0.5;
dout[51] 0xc0 8.04014e+06 0.5;
dout[51]~189 0xc0 5.88653e+06 0.5;
dout[51]~190 0xc0 5.45581e+06 0.5;
dout[52] 0xc0 8.04014e+06 0.5;
dout[52]~191 0xc0 5.88653e+06 0.5;
dout[52]~192 0xc0 5.45581e+06 0.5;
dout[53] 0xc0 8.04014e+06 0.5;
dout[53]~193 0xc0 5.88653e+06 0.5;
dout[53]~194 0xc0 5.45581e+06 0.5;
dout[54] 0xc0 8.04014e+06 0.5;
dout[54]~195 0xc0 5.88653e+06 0.5;
dout[54]~196 0xc0 5.45581e+06 0.5;
dout[55] 0xc0 8.04014e+06 0.5;
dout[55]~197 0xc0 5.88653e+06 0.5;
dout[55]~198 0xc0 5.45581e+06 0.5;
dout[56] 0xc0 8.04014e+06 0.5;
dout[56]~199 0xc0 5.88653e+06 0.5;
dout[56]~200 0xc0 5.45581e+06 0.5;
dout[57] 0xc0 8.04014e+06 0.5;
dout[57]~201 0xc0 5.88653e+06 0.5;
dout[57]~202 0xc0 5.45581e+06 0.5;
dout[58] 0xc0 8.04014e+06 0.5;
dout[58]~203 0xc0 5.88653e+06 0.5;
dout[58]~204 0xc0 5.45581e+06 0.5;
dout[59] 0xc0 8.04014e+06 0.5;
dout[59]~205 0xc0 5.88653e+06 0.5;
dout[59]~206 0xc0 5.45581e+06 0.5;
dout[60] 0xc0 8.04014e+06 0.5;
dout[60]~207 0xc0 5.88653e+06 0.5;
dout[60]~208 0xc0 5.45581e+06 0.5;
dout[61] 0xc0 8.04014e+06 0.5;
dout[61]~209 0xc0 5.88653e+06 0.5;
dout[61]~210 0xc0 5.45581e+06 0.5;
dout[62] 0xc0 8.04014e+06 0.5;
dout[62]~211 0xc0 5.88653e+06 0.5;
dout[62]~212 0xc0 5.45581e+06 0.5;
dout[63] 0xc0 8.04014e+06 0.5;
dout[63]~213 0xc0 5.88653e+06 0.5;
dout[63]~214 0xc0 5.45581e+06 0.5;
dout[64] 0xc0 8.04014e+06 0.5;
dout[64]~215 0xc0 5.88653e+06 0.5;
dout[64]~216 0xc0 5.45581e+06 0.5;
dout[65] 0xc0 8.04014e+06 0.5;
dout[65]~217 0xc0 5.88653e+06 0.5;
dout[65]~218 0xc0 5.45581e+06 0.5;
dout[66] 0xc0 8.04014e+06 0.5;
dout[66]~219 0xc0 5.88653e+06 0.5;
dout[66]~220 0xc0 5.45581e+06 0.5;
dout[67] 0xc0 8.04014e+06 0.5;
dout[67]~221 0xc0 5.88653e+06 0.5;
dout[67]~222 0xc0 5.45581e+06 0.5;
dout[68] 0xc0 8.04014e+06 0.5;
dout[68]~223 0xc0 5.88653e+06 0.5;
dout[68]~224 0xc0 5.45581e+06 0.5;
dout[69] 0xc0 8.04014e+06 0.5;
dout[69]~225 0xc0 5.88653e+06 0.5;
dout[69]~226 0xc0 5.45581e+06 0.5;
dout[70] 0xc0 8.04014e+06 0.5;
dout[70]~227 0xc0 5.88653e+06 0.5;
dout[70]~228 0xc0 5.45581e+06 0.5;
dout[71] 0xc0 8.04014e+06 0.5;
dout[71]~229 0xc0 5.88653e+06 0.5;
dout[71]~230 0xc0 5.45581e+06 0.5;
dout[72] 0xc0 8.04014e+06 0.5;
dout[72]~231 0xc0 5.88653e+06 0.5;
dout[72]~232 0xc0 5.45581e+06 0.5;
dout[73] 0xc0 8.04014e+06 0.5;
dout[73]~233 0xc0 5.88653e+06 0.5;
dout[73]~234 0xc0 5.45581e+06 0.5;
dout[74] 0xc0 8.04014e+06 0.5;
dout[74]~235 0xc0 5.88653e+06 0.5;
dout[74]~236 0xc0 5.45581e+06 0.5;
dout[75] 0xc0 8.04014e+06 0.5;
dout[75]~237 0xc0 5.88653e+06 0.5;
dout[75]~238 0xc0 5.45581e+06 0.5;
dout[76] 0xc0 8.04014e+06 0.5;
dout[76]~239 0xc0 5.88653e+06 0.5;
dout[76]~240 0xc0 5.45581e+06 0.5;
dout[77] 0xc0 8.04014e+06 0.5;
dout[77]~241 0xc0 5.88653e+06 0.5;
dout[77]~242 0xc0 5.45581e+06 0.5;
dout[78] 0xc0 8.04014e+06 0.5;
dout[78]~243 0xc0 5.88653e+06 0.5;
dout[78]~244 0xc0 5.45581e+06 0.5;
dout[79] 0xc0 8.04014e+06 0.5;
dout[79]~245 0xc0 5.88653e+06 0.5;
dout[79]~246 0xc0 5.45581e+06 0.5;
dout[80] 0xc0 8.04014e+06 0.5;
dout[80]~247 0xc0 5.88653e+06 0.5;
dout[80]~248 0xc0 5.45581e+06 0.5;
dout[81] 0xc0 8.04014e+06 0.5;
dout[81]~249 0xc0 5.88653e+06 0.5;
dout[81]~250 0xc0 5.45581e+06 0.5;
dout[82] 0xc0 8.04014e+06 0.5;
dout[82]~251 0xc0 5.88653e+06 0.5;
dout[82]~252 0xc0 5.45581e+06 0.5;
dout[83] 0xc0 8.04014e+06 0.5;
dout[83]~253 0xc0 5.88653e+06 0.5;
dout[83]~254 0xc0 5.45581e+06 0.5;
dout[84] 0xc0 8.04014e+06 0.5;
dout[84]~255 0xc0 5.88653e+06 0.5;
dout[84]~256 0xc0 5.45581e+06 0.5;
dout[85] 0xc0 8.04014e+06 0.5;
dout[85]~257 0xc0 5.88653e+06 0.5;
dout_valid 0xc0 8.04014e+06 0.5;
dout_valid~0 0xc0 6.0301e+06 0.25;
dout~108 0xc0 7.53763e+06 0.625;
dout~259 0xc0 6.0301e+06 0.25;
auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5;
dout[0] 0xc0 8.04014e+06 0.5;
dout[0]~86 0xc0 8.04014e+06 0.5;
dout[0]~87 0xc0 4.52258e+06 0.75;
dout[1] 0xc0 8.04014e+06 0.5;
dout[1]~88 0xc0 6.78387e+06 0.5;
dout[1]~89 0xc0 3.74526e+06 0.375;
dout[2] 0xc0 8.04014e+06 0.5;
dout[2]~90 0xc0 5.74155e+06 0.5;
dout[2]~91 0xc0 4.40971e+06 0.5625;
dout[3] 0xc0 8.04014e+06 0.5;
dout[3]~92 0xc0 5.69567e+06 0.5;
dout[3]~93 0xc0 5.96496e+06 0.46875;
dout[4] 0xc0 8.04014e+06 0.5;
dout[4]~94 0xc0 6.03148e+06 0.5;
dout[4]~95 0xc0 5.25544e+06 0.515625;
dout[5] 0xc0 8.04014e+06 0.5;
dout[5]~96 0xc0 5.84085e+06 0.5;
dout[5]~97 0xc0 5.57675e+06 0.492188;
dout[6] 0xc0 8.04014e+06 0.5;
dout[6]~98 0xc0 5.91787e+06 0.5;
dout[6]~99 0xc0 5.40143e+06 0.503906;
dout[7] 0xc0 8.04014e+06 0.5;
dout[7]~100 0xc0 5.87321e+06 0.5;
dout[7]~101 0xc0 5.48463e+06 0.498047;
dout[8] 0xc0 8.04014e+06 0.5;
dout[8]~102 0xc0 5.8938e+06 0.5;
dout[8]~103 0xc0 5.44182e+06 0.500977;
dout[9] 0xc0 8.04014e+06 0.5;
dout[9]~104 0xc0 5.88305e+06 0.5;
dout[9]~105 0xc0 5.46291e+06 0.499512;
dout[10] 0xc0 8.04014e+06 0.5;
dout[10]~106 0xc0 5.88831e+06 0.5;
dout[10]~107 0xc0 5.45228e+06 0.500244;
dout[11] 0xc0 8.04014e+06 0.5;
dout[11]~109 0xc0 5.88565e+06 0.5;
dout[11]~110 0xc0 5.45758e+06 0.499878;
dout[12] 0xc0 8.04014e+06 0.5;
dout[12]~111 0xc0 5.88697e+06 0.5;
dout[12]~112 0xc0 5.45492e+06 0.500061;
dout[13] 0xc0 8.04014e+06 0.5;
dout[13]~113 0xc0 5.88631e+06 0.5;
dout[13]~114 0xc0 5.45625e+06 0.499969;
dout[14] 0xc0 8.04014e+06 0.5;
dout[14]~115 0xc0 5.88664e+06 0.5;
dout[14]~116 0xc0 5.45559e+06 0.500015;
dout[15] 0xc0 8.04014e+06 0.5;
dout[15]~117 0xc0 5.88647e+06 0.5;
dout[15]~118 0xc0 5.45592e+06 0.499992;
dout[16] 0xc0 8.04014e+06 0.5;
dout[16]~119 0xc0 5.88656e+06 0.5;
dout[16]~120 0xc0 5.45575e+06 0.500004;
dout[17] 0xc0 8.04014e+06 0.5;
dout[17]~121 0xc0 5.88651e+06 0.5;
dout[17]~122 0xc0 5.45583e+06 0.499998;
dout[18] 0xc0 8.04014e+06 0.5;
dout[18]~123 0xc0 5.88654e+06 0.5;
dout[18]~124 0xc0 5.45579e+06 0.500001;
dout[19] 0xc0 8.04014e+06 0.5;
dout[19]~125 0xc0 5.88653e+06 0.5;
dout[19]~126 0xc0 5.45581e+06 0.5;
dout[20] 0xc0 8.04014e+06 0.5;
dout[20]~127 0xc0 5.88653e+06 0.5;
dout[20]~128 0xc0 5.4558e+06 0.5;
dout[21] 0xc0 8.04014e+06 0.5;
dout[21]~129 0xc0 5.88653e+06 0.5;
dout[21]~130 0xc0 5.45581e+06 0.5;
dout[22] 0xc0 8.04014e+06 0.5;
dout[22]~131 0xc0 5.88653e+06 0.5;
dout[22]~132 0xc0 5.45581e+06 0.5;
dout[23] 0xc0 8.04014e+06 0.5;
dout[23]~133 0xc0 5.88653e+06 0.5;
dout[23]~134 0xc0 5.45581e+06 0.5;
dout[24] 0xc0 8.04014e+06 0.5;
dout[24]~135 0xc0 5.88653e+06 0.5;
dout[24]~136 0xc0 5.45581e+06 0.5;
dout[25] 0xc0 8.04014e+06 0.5;
dout[25]~137 0xc0 5.88653e+06 0.5;
dout[25]~138 0xc0 5.45581e+06 0.5;
dout[26] 0xc0 8.04014e+06 0.5;
dout[26]~139 0xc0 5.88653e+06 0.5;
dout[26]~140 0xc0 5.45581e+06 0.5;
dout[27] 0xc0 8.04014e+06 0.5;
dout[27]~141 0xc0 5.88653e+06 0.5;
dout[27]~142 0xc0 5.45581e+06 0.5;
dout[28] 0xc0 8.04014e+06 0.5;
dout[28]~143 0xc0 5.88653e+06 0.5;
dout[28]~144 0xc0 5.45581e+06 0.5;
dout[29] 0xc0 8.04014e+06 0.5;
dout[29]~145 0xc0 5.88653e+06 0.5;
dout[29]~146 0xc0 5.45581e+06 0.5;
dout[30] 0xc0 8.04014e+06 0.5;
dout[30]~147 0xc0 5.88653e+06 0.5;
dout[30]~148 0xc0 5.45581e+06 0.5;
dout[31] 0xc0 8.04014e+06 0.5;
dout[31]~149 0xc0 5.88653e+06 0.5;
dout[31]~150 0xc0 5.45581e+06 0.5;
dout[32] 0xc0 8.04014e+06 0.5;
dout[32]~151 0xc0 5.88653e+06 0.5;
dout[32]~152 0xc0 5.45581e+06 0.5;
dout[33] 0xc0 8.04014e+06 0.5;
dout[33]~153 0xc0 5.88653e+06 0.5;
dout[33]~154 0xc0 5.45581e+06 0.5;
dout[34] 0xc0 8.04014e+06 0.5;
dout[34]~155 0xc0 5.88653e+06 0.5;
dout[34]~156 0xc0 5.45581e+06 0.5;
dout[35] 0xc0 8.04014e+06 0.5;
dout[35]~157 0xc0 5.88653e+06 0.5;
dout[35]~158 0xc0 5.45581e+06 0.5;
dout[36] 0xc0 8.04014e+06 0.5;
dout[36]~159 0xc0 5.88653e+06 0.5;
dout[36]~160 0xc0 5.45581e+06 0.5;
dout[37] 0xc0 8.04014e+06 0.5;
dout[37]~161 0xc0 5.88653e+06 0.5;
dout[37]~162 0xc0 5.45581e+06 0.5;
dout[38] 0xc0 8.04014e+06 0.5;
dout[38]~163 0xc0 5.88653e+06 0.5;
dout[38]~164 0xc0 5.45581e+06 0.5;
dout[39] 0xc0 8.04014e+06 0.5;
dout[39]~165 0xc0 5.88653e+06 0.5;
dout[39]~166 0xc0 5.45581e+06 0.5;
dout[40] 0xc0 8.04014e+06 0.5;
dout[40]~167 0xc0 5.88653e+06 0.5;
dout[40]~168 0xc0 5.45581e+06 0.5;
dout[41] 0xc0 8.04014e+06 0.5;
dout[41]~169 0xc0 5.88653e+06 0.5;
dout[41]~170 0xc0 5.45581e+06 0.5;
dout[42] 0xc0 8.04014e+06 0.5;
dout[42]~171 0xc0 5.88653e+06 0.5;
dout[42]~172 0xc0 5.45581e+06 0.5;
dout[43] 0xc0 8.04014e+06 0.5;
dout[43]~173 0xc0 5.88653e+06 0.5;
dout[43]~174 0xc0 5.45581e+06 0.5;
dout[44] 0xc0 8.04014e+06 0.5;
dout[44]~175 0xc0 5.88653e+06 0.5;
dout[44]~176 0xc0 5.45581e+06 0.5;
dout[45] 0xc0 8.04014e+06 0.5;
dout[45]~177 0xc0 5.88653e+06 0.5;
dout[45]~178 0xc0 5.45581e+06 0.5;
dout[46] 0xc0 8.04014e+06 0.5;
dout[46]~179 0xc0 5.88653e+06 0.5;
dout[46]~180 0xc0 5.45581e+06 0.5;
dout[47] 0xc0 8.04014e+06 0.5;
dout[47]~181 0xc0 5.88653e+06 0.5;
dout[47]~182 0xc0 5.45581e+06 0.5;
dout[48] 0xc0 8.04014e+06 0.5;
dout[48]~183 0xc0 5.88653e+06 0.5;
dout[48]~184 0xc0 5.45581e+06 0.5;
dout[49] 0xc0 8.04014e+06 0.5;
dout[49]~185 0xc0 5.88653e+06 0.5;
dout[49]~186 0xc0 5.45581e+06 0.5;
dout[50] 0xc0 8.04014e+06 0.5;
dout[50]~187 0xc0 5.88653e+06 0.5;
dout[50]~188 0xc0 5.45581e+06 0.5;
dout[51] 0xc0 8.04014e+06 0.5;
dout[51]~189 0xc0 5.88653e+06 0.5;
dout[51]~190 0xc0 5.45581e+06 0.5;
dout[52] 0xc0 8.04014e+06 0.5;
dout[52]~191 0xc0 5.88653e+06 0.5;
dout[52]~192 0xc0 5.45581e+06 0.5;
dout[53] 0xc0 8.04014e+06 0.5;
dout[53]~193 0xc0 5.88653e+06 0.5;
dout[53]~194 0xc0 5.45581e+06 0.5;
dout[54] 0xc0 8.04014e+06 0.5;
dout[54]~195 0xc0 5.88653e+06 0.5;
dout[54]~196 0xc0 5.45581e+06 0.5;
dout[55] 0xc0 8.04014e+06 0.5;
dout[55]~197 0xc0 5.88653e+06 0.5;
dout[55]~198 0xc0 5.45581e+06 0.5;
dout[56] 0xc0 8.04014e+06 0.5;
dout[56]~199 0xc0 5.88653e+06 0.5;
dout[56]~200 0xc0 5.45581e+06 0.5;
dout[57] 0xc0 8.04014e+06 0.5;
dout[57]~201 0xc0 5.88653e+06 0.5;
dout[57]~202 0xc0 5.45581e+06 0.5;
dout[58] 0xc0 8.04014e+06 0.5;
dout[58]~203 0xc0 5.88653e+06 0.5;
dout[58]~204 0xc0 5.45581e+06 0.5;
dout[59] 0xc0 8.04014e+06 0.5;
dout[59]~205 0xc0 5.88653e+06 0.5;
dout[59]~206 0xc0 5.45581e+06 0.5;
dout[60] 0xc0 8.04014e+06 0.5;
dout[60]~207 0xc0 5.88653e+06 0.5;
dout[60]~208 0xc0 5.45581e+06 0.5;
dout[61] 0xc0 8.04014e+06 0.5;
dout[61]~209 0xc0 5.88653e+06 0.5;
dout[61]~210 0xc0 5.45581e+06 0.5;
dout[62] 0xc0 8.04014e+06 0.5;
dout[62]~211 0xc0 5.88653e+06 0.5;
dout[62]~212 0xc0 5.45581e+06 0.5;
dout[63] 0xc0 8.04014e+06 0.5;
dout[63]~213 0xc0 5.88653e+06 0.5;
dout[63]~214 0xc0 5.45581e+06 0.5;
dout[64] 0xc0 8.04014e+06 0.5;
dout[64]~215 0xc0 5.88653e+06 0.5;
dout[64]~216 0xc0 5.45581e+06 0.5;
dout[65] 0xc0 8.04014e+06 0.5;
dout[65]~217 0xc0 5.88653e+06 0.5;
dout[65]~218 0xc0 5.45581e+06 0.5;
dout[66] 0xc0 8.04014e+06 0.5;
dout[66]~219 0xc0 5.88653e+06 0.5;
dout[66]~220 0xc0 5.45581e+06 0.5;
dout[67] 0xc0 8.04014e+06 0.5;
dout[67]~221 0xc0 5.88653e+06 0.5;
dout[67]~222 0xc0 5.45581e+06 0.5;
dout[68] 0xc0 8.04014e+06 0.5;
dout[68]~223 0xc0 5.88653e+06 0.5;
dout[68]~224 0xc0 5.45581e+06 0.5;
dout[69] 0xc0 8.04014e+06 0.5;
dout[69]~225 0xc0 5.88653e+06 0.5;
dout[69]~226 0xc0 5.45581e+06 0.5;
dout[70] 0xc0 8.04014e+06 0.5;
dout[70]~227 0xc0 5.88653e+06 0.5;
dout[70]~228 0xc0 5.45581e+06 0.5;
dout[71] 0xc0 8.04014e+06 0.5;
dout[71]~229 0xc0 5.88653e+06 0.5;
dout[71]~230 0xc0 5.45581e+06 0.5;
dout[72] 0xc0 8.04014e+06 0.5;
dout[72]~231 0xc0 5.88653e+06 0.5;
dout[72]~232 0xc0 5.45581e+06 0.5;
dout[73] 0xc0 8.04014e+06 0.5;
dout[73]~233 0xc0 5.88653e+06 0.5;
dout[73]~234 0xc0 5.45581e+06 0.5;
dout[74] 0xc0 8.04014e+06 0.5;
dout[74]~235 0xc0 5.88653e+06 0.5;
dout[74]~236 0xc0 5.45581e+06 0.5;
dout[75] 0xc0 8.04014e+06 0.5;
dout[75]~237 0xc0 5.88653e+06 0.5;
dout[75]~238 0xc0 5.45581e+06 0.5;
dout[76] 0xc0 8.04014e+06 0.5;
dout[76]~239 0xc0 5.88653e+06 0.5;
dout[76]~240 0xc0 5.45581e+06 0.5;
dout[77] 0xc0 8.04014e+06 0.5;
dout[77]~241 0xc0 5.88653e+06 0.5;
dout[77]~242 0xc0 5.45581e+06 0.5;
dout[78] 0xc0 8.04014e+06 0.5;
dout[78]~243 0xc0 5.88653e+06 0.5;
dout[78]~244 0xc0 5.45581e+06 0.5;
dout[79] 0xc0 8.04014e+06 0.5;
dout[79]~245 0xc0 5.88653e+06 0.5;
dout[79]~246 0xc0 5.45581e+06 0.5;
dout[80] 0xc0 8.04014e+06 0.5;
dout[80]~247 0xc0 5.88653e+06 0.5;
dout[80]~248 0xc0 5.45581e+06 0.5;
dout[81] 0xc0 8.04014e+06 0.5;
dout[81]~249 0xc0 5.88653e+06 0.5;
dout[81]~250 0xc0 5.45581e+06 0.5;
dout[82] 0xc0 8.04014e+06 0.5;
dout[82]~251 0xc0 5.88653e+06 0.5;
dout[82]~252 0xc0 5.45581e+06 0.5;
dout[83] 0xc0 8.04014e+06 0.5;
dout[83]~253 0xc0 5.88653e+06 0.5;
dout[83]~254 0xc0 5.45581e+06 0.5;
dout[84] 0xc0 8.04014e+06 0.5;
dout[84]~255 0xc0 5.88653e+06 0.5;
dout[84]~256 0xc0 5.45581e+06 0.5;
dout[85] 0xc0 8.04014e+06 0.5;
dout[85]~257 0xc0 7.39405e+06 0.5;
dout_valid 0xc0 8.04014e+06 0.5;
dout_valid~0 0xc0 6.0301e+06 0.25;
dout~108 0xc0 7.53763e+06 0.625;
dout~259 0xc0 6.0301e+06 0.25;
auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5;
dout[0] 0xc0 8.04014e+06 0.5;
dout[0]~86 0xc0 8.04014e+06 0.5;
dout[0]~87 0xc0 4.52258e+06 0.75;
dout[1] 0xc0 8.04014e+06 0.5;
dout[1]~88 0xc0 6.78387e+06 0.5;
dout[1]~89 0xc0 3.74526e+06 0.375;
dout[2] 0xc0 8.04014e+06 0.5;
dout[2]~90 0xc0 5.74155e+06 0.5;
dout[2]~91 0xc0 4.40971e+06 0.5625;
dout[3] 0xc0 8.04014e+06 0.5;
dout[3]~92 0xc0 5.69567e+06 0.5;
dout[3]~93 0xc0 5.96496e+06 0.46875;
dout[4] 0xc0 8.04014e+06 0.5;
dout[4]~94 0xc0 6.03148e+06 0.5;
dout[4]~95 0xc0 5.25544e+06 0.515625;
dout[5] 0xc0 8.04014e+06 0.5;
dout[5]~96 0xc0 5.84085e+06 0.5;
dout[5]~97 0xc0 5.57675e+06 0.492188;
dout[6] 0xc0 8.04014e+06 0.5;
dout[6]~98 0xc0 5.91787e+06 0.5;
dout[6]~99 0xc0 5.40143e+06 0.503906;
dout[7] 0xc0 8.04014e+06 0.5;
dout[7]~100 0xc0 5.87321e+06 0.5;
dout[7]~101 0xc0 5.48463e+06 0.498047;
dout[8] 0xc0 8.04014e+06 0.5;
dout[8]~102 0xc0 5.8938e+06 0.5;
dout[8]~103 0xc0 5.44182e+06 0.500977;
dout[9] 0xc0 8.04014e+06 0.5;
dout[9]~104 0xc0 5.88305e+06 0.5;
dout[9]~105 0xc0 5.46291e+06 0.499512;
dout[10] 0xc0 8.04014e+06 0.5;
dout[10]~106 0xc0 5.88831e+06 0.5;
dout[10]~107 0xc0 5.45228e+06 0.500244;
dout[11] 0xc0 8.04014e+06 0.5;
dout[11]~109 0xc0 5.88565e+06 0.5;
dout[11]~110 0xc0 5.45758e+06 0.499878;
dout[12] 0xc0 8.04014e+06 0.5;
dout[12]~111 0xc0 5.88697e+06 0.5;
dout[12]~112 0xc0 5.45492e+06 0.500061;
dout[13] 0xc0 8.04014e+06 0.5;
dout[13]~113 0xc0 5.88631e+06 0.5;
dout[13]~114 0xc0 5.45625e+06 0.499969;
dout[14] 0xc0 8.04014e+06 0.5;
dout[14]~115 0xc0 5.88664e+06 0.5;
dout[14]~116 0xc0 5.45559e+06 0.500015;
dout[15] 0xc0 8.04014e+06 0.5;
dout[15]~117 0xc0 5.88647e+06 0.5;
dout[15]~118 0xc0 5.45592e+06 0.499992;
dout[16] 0xc0 8.04014e+06 0.5;
dout[16]~119 0xc0 5.88656e+06 0.5;
dout[16]~120 0xc0 5.45575e+06 0.500004;
dout[17] 0xc0 8.04014e+06 0.5;
dout[17]~121 0xc0 5.88651e+06 0.5;
dout[17]~122 0xc0 5.45583e+06 0.499998;
dout[18] 0xc0 8.04014e+06 0.5;
dout[18]~123 0xc0 5.88654e+06 0.5;
dout[18]~124 0xc0 5.45579e+06 0.500001;
dout[19] 0xc0 8.04014e+06 0.5;
dout[19]~125 0xc0 5.88653e+06 0.5;
dout[19]~126 0xc0 5.45581e+06 0.5;
dout[20] 0xc0 8.04014e+06 0.5;
dout[20]~127 0xc0 5.88653e+06 0.5;
dout[20]~128 0xc0 5.4558e+06 0.5;
dout[21] 0xc0 8.04014e+06 0.5;
dout[21]~129 0xc0 5.88653e+06 0.5;
dout[21]~130 0xc0 5.45581e+06 0.5;
dout[22] 0xc0 8.04014e+06 0.5;
dout[22]~131 0xc0 5.88653e+06 0.5;
dout[22]~132 0xc0 5.45581e+06 0.5;
dout[23] 0xc0 8.04014e+06 0.5;
dout[23]~133 0xc0 5.88653e+06 0.5;
dout[23]~134 0xc0 5.45581e+06 0.5;
dout[24] 0xc0 8.04014e+06 0.5;
dout[24]~135 0xc0 5.88653e+06 0.5;
dout[24]~136 0xc0 5.45581e+06 0.5;
dout[25] 0xc0 8.04014e+06 0.5;
dout[25]~137 0xc0 5.88653e+06 0.5;
dout[25]~138 0xc0 5.45581e+06 0.5;
dout[26] 0xc0 8.04014e+06 0.5;
dout[26]~139 0xc0 5.88653e+06 0.5;
dout[26]~140 0xc0 5.45581e+06 0.5;
dout[27] 0xc0 8.04014e+06 0.5;
dout[27]~141 0xc0 5.88653e+06 0.5;
dout[27]~142 0xc0 5.45581e+06 0.5;
dout[28] 0xc0 8.04014e+06 0.5;
dout[28]~143 0xc0 5.88653e+06 0.5;
dout[28]~144 0xc0 5.45581e+06 0.5;
dout[29] 0xc0 8.04014e+06 0.5;
dout[29]~145 0xc0 5.88653e+06 0.5;
dout[29]~146 0xc0 5.45581e+06 0.5;
dout[30] 0xc0 8.04014e+06 0.5;
dout[30]~147 0xc0 5.88653e+06 0.5;
dout[30]~148 0xc0 5.45581e+06 0.5;
dout[31] 0xc0 8.04014e+06 0.5;
dout[31]~149 0xc0 5.88653e+06 0.5;
dout[31]~150 0xc0 5.45581e+06 0.5;
dout[32] 0xc0 8.04014e+06 0.5;
dout[32]~151 0xc0 5.88653e+06 0.5;
dout[32]~152 0xc0 5.45581e+06 0.5;
dout[33] 0xc0 8.04014e+06 0.5;
dout[33]~153 0xc0 5.88653e+06 0.5;
dout[33]~154 0xc0 5.45581e+06 0.5;
dout[34] 0xc0 8.04014e+06 0.5;
dout[34]~155 0xc0 5.88653e+06 0.5;
dout[34]~156 0xc0 5.45581e+06 0.5;
dout[35] 0xc0 8.04014e+06 0.5;
dout[35]~157 0xc0 5.88653e+06 0.5;
dout[35]~158 0xc0 5.45581e+06 0.5;
dout[36] 0xc0 8.04014e+06 0.5;
dout[36]~159 0xc0 5.88653e+06 0.5;
dout[36]~160 0xc0 5.45581e+06 0.5;
dout[37] 0xc0 8.04014e+06 0.5;
dout[37]~161 0xc0 5.88653e+06 0.5;
dout[37]~162 0xc0 5.45581e+06 0.5;
dout[38] 0xc0 8.04014e+06 0.5;
dout[38]~163 0xc0 5.88653e+06 0.5;
dout[38]~164 0xc0 5.45581e+06 0.5;
dout[39] 0xc0 8.04014e+06 0.5;
dout[39]~165 0xc0 5.88653e+06 0.5;
dout[39]~166 0xc0 5.45581e+06 0.5;
dout[40] 0xc0 8.04014e+06 0.5;
dout[40]~167 0xc0 5.88653e+06 0.5;
dout[40]~168 0xc0 5.45581e+06 0.5;
dout[41] 0xc0 8.04014e+06 0.5;
dout[41]~169 0xc0 5.88653e+06 0.5;
dout[41]~170 0xc0 5.45581e+06 0.5;
dout[42] 0xc0 8.04014e+06 0.5;
dout[42]~171 0xc0 5.88653e+06 0.5;
dout[42]~172 0xc0 5.45581e+06 0.5;
dout[43] 0xc0 8.04014e+06 0.5;
dout[43]~173 0xc0 5.88653e+06 0.5;
dout[43]~174 0xc0 5.45581e+06 0.5;
dout[44] 0xc0 8.04014e+06 0.5;
dout[44]~175 0xc0 5.88653e+06 0.5;
dout[44]~176 0xc0 5.45581e+06 0.5;
dout[45] 0xc0 8.04014e+06 0.5;
dout[45]~177 0xc0 5.88653e+06 0.5;
dout[45]~178 0xc0 5.45581e+06 0.5;
dout[46] 0xc0 8.04014e+06 0.5;
dout[46]~179 0xc0 5.88653e+06 0.5;
dout[46]~180 0xc0 5.45581e+06 0.5;
dout[47] 0xc0 8.04014e+06 0.5;
dout[47]~181 0xc0 5.88653e+06 0.5;
dout[47]~182 0xc0 5.45581e+06 0.5;
dout[48] 0xc0 8.04014e+06 0.5;
dout[48]~183 0xc0 5.88653e+06 0.5;
dout[48]~184 0xc0 5.45581e+06 0.5;
dout[49] 0xc0 8.04014e+06 0.5;
dout[49]~185 0xc0 5.88653e+06 0.5;
dout[49]~186 0xc0 5.45581e+06 0.5;
dout[50] 0xc0 8.04014e+06 0.5;
dout[50]~187 0xc0 5.88653e+06 0.5;
dout[50]~188 0xc0 5.45581e+06 0.5;
dout[51] 0xc0 8.04014e+06 0.5;
dout[51]~189 0xc0 5.88653e+06 0.5;
dout[51]~190 0xc0 5.45581e+06 0.5;
dout[52] 0xc0 8.04014e+06 0.5;
dout[52]~191 0xc0 5.88653e+06 0.5;
dout[52]~192 0xc0 5.45581e+06 0.5;
dout[53] 0xc0 8.04014e+06 0.5;
dout[53]~193 0xc0 5.88653e+06 0.5;
dout[53]~194 0xc0 5.45581e+06 0.5;
dout[54] 0xc0 8.04014e+06 0.5;
dout[54]~195 0xc0 5.88653e+06 0.5;
dout[54]~196 0xc0 5.45581e+06 0.5;
dout[55] 0xc0 8.04014e+06 0.5;
dout[55]~197 0xc0 5.88653e+06 0.5;
dout[55]~198 0xc0 5.45581e+06 0.5;
dout[56] 0xc0 8.04014e+06 0.5;
dout[56]~199 0xc0 5.88653e+06 0.5;
dout[56]~200 0xc0 5.45581e+06 0.5;
dout[57] 0xc0 8.04014e+06 0.5;
dout[57]~201 0xc0 5.88653e+06 0.5;
dout[57]~202 0xc0 5.45581e+06 0.5;
dout[58] 0xc0 8.04014e+06 0.5;
dout[58]~203 0xc0 5.88653e+06 0.5;
dout[58]~204 0xc0 5.45581e+06 0.5;
dout[59] 0xc0 8.04014e+06 0.5;
dout[59]~205 0xc0 5.88653e+06 0.5;
dout[59]~206 0xc0 5.45581e+06 0.5;
dout[60] 0xc0 8.04014e+06 0.5;
dout[60]~207 0xc0 5.88653e+06 0.5;
dout[60]~208 0xc0 5.45581e+06 0.5;
dout[61] 0xc0 8.04014e+06 0.5;
dout[61]~209 0xc0 5.88653e+06 0.5;
dout[61]~210 0xc0 5.45581e+06 0.5;
dout[62] 0xc0 8.04014e+06 0.5;
dout[62]~211 0xc0 5.88653e+06 0.5;
dout[62]~212 0xc0 5.45581e+06 0.5;
dout[63] 0xc0 8.04014e+06 0.5;
dout[63]~213 0xc0 5.88653e+06 0.5;
dout[63]~214 0xc0 5.45581e+06 0.5;
dout[64] 0xc0 8.04014e+06 0.5;
dout[64]~215 0xc0 5.88653e+06 0.5;
dout[64]~216 0xc0 5.45581e+06 0.5;
dout[65] 0xc0 8.04014e+06 0.5;
dout[65]~217 0xc0 5.88653e+06 0.5;
dout[65]~218 0xc0 5.45581e+06 0.5;
dout[66] 0xc0 8.04014e+06 0.5;
dout[66]~219 0xc0 5.88653e+06 0.5;
dout[66]~220 0xc0 5.45581e+06 0.5;
dout[67] 0xc0 8.04014e+06 0.5;
dout[67]~221 0xc0 5.88653e+06 0.5;
dout[67]~222 0xc0 5.45581e+06 0.5;
dout[68] 0xc0 8.04014e+06 0.5;
dout[68]~223 0xc0 5.88653e+06 0.5;
dout[68]~224 0xc0 5.45581e+06 0.5;
dout[69] 0xc0 8.04014e+06 0.5;
dout[69]~225 0xc0 5.88653e+06 0.5;
dout[69]~226 0xc0 5.45581e+06 0.5;
dout[70] 0xc0 8.04014e+06 0.5;
dout[70]~227 0xc0 5.88653e+06 0.5;
dout[70]~228 0xc0 5.45581e+06 0.5;
dout[71] 0xc0 8.04014e+06 0.5;
dout[71]~229 0xc0 5.88653e+06 0.5;
dout[71]~230 0xc0 5.45581e+06 0.5;
dout[72] 0xc0 8.04014e+06 0.5;
dout[72]~231 0xc0 5.88653e+06 0.5;
dout[72]~232 0xc0 5.45581e+06 0.5;
dout[73] 0xc0 8.04014e+06 0.5;
dout[73]~233 0xc0 5.88653e+06 0.5;
dout[73]~234 0xc0 5.45581e+06 0.5;
dout[74] 0xc0 8.04014e+06 0.5;
dout[74]~235 0xc0 5.88653e+06 0.5;
dout[74]~236 0xc0 5.45581e+06 0.5;
dout[75] 0xc0 8.04014e+06 0.5;
dout[75]~237 0xc0 5.88653e+06 0.5;
dout[75]~238 0xc0 5.45581e+06 0.5;
dout[76] 0xc0 8.04014e+06 0.5;
dout[76]~239 0xc0 5.88653e+06 0.5;
dout[76]~240 0xc0 5.45581e+06 0.5;
dout[77] 0xc0 8.04014e+06 0.5;
dout[77]~241 0xc0 5.88653e+06 0.5;
dout[77]~242 0xc0 5.45581e+06 0.5;
dout[78] 0xc0 8.04014e+06 0.5;
dout[78]~243 0xc0 5.88653e+06 0.5;
dout[78]~244 0xc0 5.45581e+06 0.5;
dout[79] 0xc0 8.04014e+06 0.5;
dout[79]~245 0xc0 5.88653e+06 0.5;
dout[79]~246 0xc0 5.45581e+06 0.5;
dout[80] 0xc0 8.04014e+06 0.5;
dout[80]~247 0xc0 5.88653e+06 0.5;
dout[80]~248 0xc0 5.45581e+06 0.5;
dout[81] 0xc0 8.04014e+06 0.5;
dout[81]~249 0xc0 5.88653e+06 0.5;
dout[81]~250 0xc0 5.45581e+06 0.5;
dout[82] 0xc0 8.04014e+06 0.5;
dout[82]~251 0xc0 5.88653e+06 0.5;
dout[82]~252 0xc0 5.45581e+06 0.5;
dout[83] 0xc0 8.04014e+06 0.5;
dout[83]~253 0xc0 5.88653e+06 0.5;
dout[83]~254 0xc0 5.45581e+06 0.5;
dout[84] 0xc0 8.04014e+06 0.5;
dout[84]~255 0xc0 5.88653e+06 0.5;
dout[84]~256 0xc0 5.45581e+06 0.5;
dout[85] 0xc0 8.04014e+06 0.5;
dout[85]~257 0xc0 5.88653e+06 0.5;
dout_valid 0xc0 8.04014e+06 0.5;
dout_valid~0 0xc0 6.0301e+06 0.25;
dout~108 0xc0 5.27634e+06 0.625;
dout~259 0xc0 6.0301e+06 0.25;
auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5;
dout[0] 0xc0 8.04014e+06 0.5;
dout[0]~86 0xc0 8.04014e+06 0.5;
dout[0]~87 0xc0 4.52258e+06 0.75;
dout[1] 0xc0 8.04014e+06 0.5;
dout[1]~88 0xc0 6.78387e+06 0.5;
dout[1]~89 0xc0 3.74526e+06 0.375;
dout[2] 0xc0 8.04014e+06 0.5;
dout[2]~90 0xc0 5.74155e+06 0.5;
dout[2]~91 0xc0 4.40971e+06 0.5625;
dout[3] 0xc0 8.04014e+06 0.5;
dout[3]~92 0xc0 5.69567e+06 0.5;
dout[3]~93 0xc0 5.96496e+06 0.46875;
dout[4] 0xc0 8.04014e+06 0.5;
dout[4]~94 0xc0 6.03148e+06 0.5;
dout[4]~95 0xc0 5.25544e+06 0.515625;
dout[5] 0xc0 8.04014e+06 0.5;
dout[5]~96 0xc0 5.84085e+06 0.5;
dout[5]~97 0xc0 5.57675e+06 0.492188;
dout[6] 0xc0 8.04014e+06 0.5;
dout[6]~98 0xc0 5.91787e+06 0.5;
dout[6]~99 0xc0 5.40143e+06 0.503906;
dout[7] 0xc0 8.04014e+06 0.5;
dout[7]~100 0xc0 5.87321e+06 0.5;
dout[7]~101 0xc0 5.48463e+06 0.498047;
dout[8] 0xc0 8.04014e+06 0.5;
dout[8]~102 0xc0 5.8938e+06 0.5;
dout[8]~103 0xc0 5.44182e+06 0.500977;
dout[9] 0xc0 8.04014e+06 0.5;
dout[9]~104 0xc0 5.88305e+06 0.5;
dout[9]~105 0xc0 5.46291e+06 0.499512;
dout[10] 0xc0 8.04014e+06 0.5;
dout[10]~106 0xc0 5.88831e+06 0.5;
dout[10]~107 0xc0 5.45228e+06 0.500244;
dout[11] 0xc0 8.04014e+06 0.5;
dout[11]~109 0xc0 5.88565e+06 0.5;
dout[11]~110 0xc0 5.45758e+06 0.499878;
dout[12] 0xc0 8.04014e+06 0.5;
dout[12]~111 0xc0 5.88697e+06 0.5;
dout[12]~112 0xc0 5.45492e+06 0.500061;
dout[13] 0xc0 8.04014e+06 0.5;
dout[13]~113 0xc0 5.88631e+06 0.5;
dout[13]~114 0xc0 5.45625e+06 0.499969;
dout[14] 0xc0 8.04014e+06 0.5;
dout[14]~115 0xc0 5.88664e+06 0.5;
dout[14]~116 0xc0 5.45559e+06 0.500015;
dout[15] 0xc0 8.04014e+06 0.5;
dout[15]~117 0xc0 5.88647e+06 0.5;
dout[15]~118 0xc0 5.45592e+06 0.499992;
dout[16] 0xc0 8.04014e+06 0.5;
dout[16]~119 0xc0 5.88656e+06 0.5;
dout[16]~120 0xc0 5.45575e+06 0.500004;
dout[17] 0xc0 8.04014e+06 0.5;
dout[17]~121 0xc0 5.88651e+06 0.5;
dout[17]~122 0xc0 5.45583e+06 0.499998;
dout[18] 0xc0 8.04014e+06 0.5;
dout[18]~123 0xc0 5.88654e+06 0.5;
dout[18]~124 0xc0 5.45579e+06 0.500001;
dout[19] 0xc0 8.04014e+06 0.5;
dout[19]~125 0xc0 5.88653e+06 0.5;
dout[19]~126 0xc0 5.45581e+06 0.5;
dout[20] 0xc0 8.04014e+06 0.5;
dout[20]~127 0xc0 5.88653e+06 0.5;
dout[20]~128 0xc0 5.4558e+06 0.5;
dout[21] 0xc0 8.04014e+06 0.5;
dout[21]~129 0xc0 5.88653e+06 0.5;
dout[21]~130 0xc0 5.45581e+06 0.5;
dout[22] 0xc0 8.04014e+06 0.5;
dout[22]~131 0xc0 5.88653e+06 0.5;
dout[22]~132 0xc0 5.45581e+06 0.5;
dout[23] 0xc0 8.04014e+06 0.5;
dout[23]~133 0xc0 5.88653e+06 0.5;
dout[23]~134 0xc0 5.45581e+06 0.5;
dout[24] 0xc0 8.04014e+06 0.5;
dout[24]~135 0xc0 5.88653e+06 0.5;
dout[24]~136 0xc0 5.45581e+06 0.5;
dout[25] 0xc0 8.04014e+06 0.5;
dout[25]~137 0xc0 5.88653e+06 0.5;
dout[25]~138 0xc0 5.45581e+06 0.5;
dout[26] 0xc0 8.04014e+06 0.5;
dout[26]~139 0xc0 5.88653e+06 0.5;
dout[26]~140 0xc0 5.45581e+06 0.5;
dout[27] 0xc0 8.04014e+06 0.5;
dout[27]~141 0xc0 5.88653e+06 0.5;
dout[27]~142 0xc0 5.45581e+06 0.5;
dout[28] 0xc0 8.04014e+06 0.5;
dout[28]~143 0xc0 5.88653e+06 0.5;
dout[28]~144 0xc0 5.45581e+06 0.5;
dout[29] 0xc0 8.04014e+06 0.5;
dout[29]~145 0xc0 5.88653e+06 0.5;
dout[29]~146 0xc0 5.45581e+06 0.5;
dout[30] 0xc0 8.04014e+06 0.5;
dout[30]~147 0xc0 5.88653e+06 0.5;
dout[30]~148 0xc0 5.45581e+06 0.5;
dout[31] 0xc0 8.04014e+06 0.5;
dout[31]~149 0xc0 5.88653e+06 0.5;
dout[31]~150 0xc0 5.45581e+06 0.5;
dout[32] 0xc0 8.04014e+06 0.5;
dout[32]~151 0xc0 5.88653e+06 0.5;
dout[32]~152 0xc0 5.45581e+06 0.5;
dout[33] 0xc0 8.04014e+06 0.5;
dout[33]~153 0xc0 5.88653e+06 0.5;
dout[33]~154 0xc0 5.45581e+06 0.5;
dout[34] 0xc0 8.04014e+06 0.5;
dout[34]~155 0xc0 5.88653e+06 0.5;
dout[34]~156 0xc0 5.45581e+06 0.5;
dout[35] 0xc0 8.04014e+06 0.5;
dout[35]~157 0xc0 5.88653e+06 0.5;
dout[35]~158 0xc0 5.45581e+06 0.5;
dout[36] 0xc0 8.04014e+06 0.5;
dout[36]~159 0xc0 5.88653e+06 0.5;
dout[36]~160 0xc0 5.45581e+06 0.5;
dout[37] 0xc0 8.04014e+06 0.5;
dout[37]~161 0xc0 5.88653e+06 0.5;
dout[37]~162 0xc0 5.45581e+06 0.5;
dout[38] 0xc0 8.04014e+06 0.5;
dout[38]~163 0xc0 5.88653e+06 0.5;
dout[38]~164 0xc0 5.45581e+06 0.5;
dout[39] 0xc0 8.04014e+06 0.5;
dout[39]~165 0xc0 5.88653e+06 0.5;
dout[39]~166 0xc0 5.45581e+06 0.5;
dout[40] 0xc0 8.04014e+06 0.5;
dout[40]~167 0xc0 5.88653e+06 0.5;
dout[40]~168 0xc0 5.45581e+06 0.5;
dout[41] 0xc0 8.04014e+06 0.5;
dout[41]~169 0xc0 5.88653e+06 0.5;
dout[41]~170 0xc0 5.45581e+06 0.5;
dout[42] 0xc0 8.04014e+06 0.5;
dout[42]~171 0xc0 5.88653e+06 0.5;
dout[42]~172 0xc0 5.45581e+06 0.5;
dout[43] 0xc0 8.04014e+06 0.5;
dout[43]~173 0xc0 5.88653e+06 0.5;
dout[43]~174 0xc0 5.45581e+06 0.5;
dout[44] 0xc0 8.04014e+06 0.5;
dout[44]~175 0xc0 5.88653e+06 0.5;
dout[44]~176 0xc0 5.45581e+06 0.5;
dout[45] 0xc0 8.04014e+06 0.5;
dout[45]~177 0xc0 5.88653e+06 0.5;
dout[45]~178 0xc0 5.45581e+06 0.5;
dout[46] 0xc0 8.04014e+06 0.5;
dout[46]~179 0xc0 5.88653e+06 0.5;
dout[46]~180 0xc0 5.45581e+06 0.5;
dout[47] 0xc0 8.04014e+06 0.5;
dout[47]~181 0xc0 5.88653e+06 0.5;
dout[47]~182 0xc0 5.45581e+06 0.5;
dout[48] 0xc0 8.04014e+06 0.5;
dout[48]~183 0xc0 5.88653e+06 0.5;
dout[48]~184 0xc0 5.45581e+06 0.5;
dout[49] 0xc0 8.04014e+06 0.5;
dout[49]~185 0xc0 5.88653e+06 0.5;
dout[49]~186 0xc0 5.45581e+06 0.5;
dout[50] 0xc0 8.04014e+06 0.5;
dout[50]~187 0xc0 5.88653e+06 0.5;
dout[50]~188 0xc0 5.45581e+06 0.5;
dout[51] 0xc0 8.04014e+06 0.5;
dout[51]~189 0xc0 5.88653e+06 0.5;
dout[51]~190 0xc0 5.45581e+06 0.5;
dout[52] 0xc0 8.04014e+06 0.5;
dout[52]~191 0xc0 5.88653e+06 0.5;
dout[52]~192 0xc0 5.45581e+06 0.5;
dout[53] 0xc0 8.04014e+06 0.5;
dout[53]~193 0xc0 5.88653e+06 0.5;
dout[53]~194 0xc0 5.45581e+06 0.5;
dout[54] 0xc0 8.04014e+06 0.5;
dout[54]~195 0xc0 5.88653e+06 0.5;
dout[54]~196 0xc0 5.45581e+06 0.5;
dout[55] 0xc0 8.04014e+06 0.5;
dout[55]~197 0xc0 5.88653e+06 0.5;
dout[55]~198 0xc0 5.45581e+06 0.5;
dout[56] 0xc0 8.04014e+06 0.5;
dout[56]~199 0xc0 5.88653e+06 0.5;
dout[56]~200 0xc0 5.45581e+06 0.5;
dout[57] 0xc0 8.04014e+06 0.5;
dout[57]~201 0xc0 5.88653e+06 0.5;
dout[57]~202 0xc0 5.45581e+06 0.5;
dout[58] 0xc0 8.04014e+06 0.5;
dout[58]~203 0xc0 5.88653e+06 0.5;
dout[58]~204 0xc0 5.45581e+06 0.5;
dout[59] 0xc0 8.04014e+06 0.5;
dout[59]~205 0xc0 5.88653e+06 0.5;
dout[59]~206 0xc0 5.45581e+06 0.5;
dout[60] 0xc0 8.04014e+06 0.5;
dout[60]~207 0xc0 5.88653e+06 0.5;
dout[60]~208 0xc0 5.45581e+06 0.5;
dout[61] 0xc0 8.04014e+06 0.5;
dout[61]~209 0xc0 5.88653e+06 0.5;
dout[61]~210 0xc0 5.45581e+06 0.5;
dout[62] 0xc0 8.04014e+06 0.5;
dout[62]~211 0xc0 5.88653e+06 0.5;
dout[62]~212 0xc0 5.45581e+06 0.5;
dout[63] 0xc0 8.04014e+06 0.5;
dout[63]~213 0xc0 5.88653e+06 0.5;
dout[63]~214 0xc0 5.45581e+06 0.5;
dout[64] 0xc0 8.04014e+06 0.5;
dout[64]~215 0xc0 5.88653e+06 0.5;
dout[64]~216 0xc0 5.45581e+06 0.5;
dout[65] 0xc0 8.04014e+06 0.5;
dout[65]~217 0xc0 5.88653e+06 0.5;
dout[65]~218 0xc0 5.45581e+06 0.5;
dout[66] 0xc0 8.04014e+06 0.5;
dout[66]~219 0xc0 5.88653e+06 0.5;
dout[66]~220 0xc0 5.45581e+06 0.5;
dout[67] 0xc0 8.04014e+06 0.5;
dout[67]~221 0xc0 5.88653e+06 0.5;
dout[67]~222 0xc0 5.45581e+06 0.5;
dout[68] 0xc0 8.04014e+06 0.5;
dout[68]~223 0xc0 5.88653e+06 0.5;
dout[68]~224 0xc0 5.45581e+06 0.5;
dout[69] 0xc0 8.04014e+06 0.5;
dout[69]~225 0xc0 5.88653e+06 0.5;
dout[69]~226 0xc0 5.45581e+06 0.5;
dout[70] 0xc0 8.04014e+06 0.5;
dout[70]~227 0xc0 5.88653e+06 0.5;
dout[70]~228 0xc0 5.45581e+06 0.5;
dout[71] 0xc0 8.04014e+06 0.5;
dout[71]~229 0xc0 5.88653e+06 0.5;
dout[71]~230 0xc0 5.45581e+06 0.5;
dout[72] 0xc0 8.04014e+06 0.5;
dout[72]~231 0xc0 5.88653e+06 0.5;
dout[72]~232 0xc0 5.45581e+06 0.5;
dout[73] 0xc0 8.04014e+06 0.5;
dout[73]~233 0xc0 5.88653e+06 0.5;
dout[73]~234 0xc0 5.45581e+06 0.5;
dout[74] 0xc0 8.04014e+06 0.5;
dout[74]~235 0xc0 5.88653e+06 0.5;
dout[74]~236 0xc0 5.45581e+06 0.5;
dout[75] 0xc0 8.04014e+06 0.5;
dout[75]~237 0xc0 5.88653e+06 0.5;
dout[75]~238 0xc0 5.45581e+06 0.5;
dout[76] 0xc0 8.04014e+06 0.5;
dout[76]~239 0xc0 5.88653e+06 0.5;
dout[76]~240 0xc0 5.45581e+06 0.5;
dout[77] 0xc0 8.04014e+06 0.5;
dout[77]~241 0xc0 5.88653e+06 0.5;
dout[77]~242 0xc0 5.45581e+06 0.5;
dout[78] 0xc0 8.04014e+06 0.5;
dout[78]~243 0xc0 5.88653e+06 0.5;
dout[78]~244 0xc0 5.45581e+06 0.5;
dout[79] 0xc0 8.04014e+06 0.5;
dout[79]~245 0xc0 5.88653e+06 0.5;
dout[79]~246 0xc0 5.45581e+06 0.5;
dout[80] 0xc0 8.04014e+06 0.5;
dout[80]~247 0xc0 5.88653e+06 0.5;
dout[80]~248 0xc0 5.45581e+06 0.5;
dout[81] 0xc0 8.04014e+06 0.5;
dout[81]~249 0xc0 5.88653e+06 0.5;
dout[81]~250 0xc0 5.45581e+06 0.5;
dout[82] 0xc0 8.04014e+06 0.5;
dout[82]~251 0xc0 5.88653e+06 0.5;
dout[82]~252 0xc0 5.45581e+06 0.5;
dout[83] 0xc0 8.04014e+06 0.5;
dout[83]~253 0xc0 5.88653e+06 0.5;
dout[83]~254 0xc0 5.45581e+06 0.5;
dout[84] 0xc0 8.04014e+06 0.5;
dout[84]~255 0xc0 5.88653e+06 0.5;
dout[84]~256 0xc0 5.45581e+06 0.5;
dout[85] 0xc0 8.04014e+06 0.5;
dout[85]~257 0xc0 7.39405e+06 0.5;
dout_valid 0xc0 8.04014e+06 0.5;
dout_valid~0 0xc0 4.52258e+06 0.75;
dout_valid~1 0xc0 6.0301e+06 0.25;
dout~108 0xc0 5.27634e+06 0.625;
dout~259 0xc0 6.0301e+06 0.25;
auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5;
dout[0] 0xc0 8.04014e+06 0.5;
dout[0]~86 0xc0 8.04014e+06 0.5;
dout[0]~87 0xc0 4.52258e+06 0.75;
dout[1] 0xc0 8.04014e+06 0.5;
dout[1]~88 0xc0 6.78387e+06 0.5;
dout[1]~89 0xc0 3.74526e+06 0.375;
dout[2] 0xc0 8.04014e+06 0.5;
dout[2]~90 0xc0 5.74155e+06 0.5;
dout[2]~91 0xc0 4.40971e+06 0.5625;
dout[3] 0xc0 8.04014e+06 0.5;
dout[3]~92 0xc0 5.69567e+06 0.5;
dout[3]~93 0xc0 5.96496e+06 0.46875;
dout[4] 0xc0 8.04014e+06 0.5;
dout[4]~94 0xc0 6.03148e+06 0.5;
dout[4]~95 0xc0 5.25544e+06 0.515625;
dout[5] 0xc0 8.04014e+06 0.5;
dout[5]~96 0xc0 5.84085e+06 0.5;
dout[5]~97 0xc0 5.57675e+06 0.492188;
dout[6] 0xc0 8.04014e+06 0.5;
dout[6]~98 0xc0 5.91787e+06 0.5;
dout[6]~99 0xc0 5.40143e+06 0.503906;
dout[7] 0xc0 8.04014e+06 0.5;
dout[7]~100 0xc0 5.87321e+06 0.5;
dout[7]~101 0xc0 5.48463e+06 0.498047;
dout[8] 0xc0 8.04014e+06 0.5;
dout[8]~102 0xc0 5.8938e+06 0.5;
dout[8]~103 0xc0 5.44182e+06 0.500977;
dout[9] 0xc0 8.04014e+06 0.5;
dout[9]~104 0xc0 5.88305e+06 0.5;
dout[9]~105 0xc0 5.46291e+06 0.499512;
dout[10] 0xc0 8.04014e+06 0.5;
dout[10]~106 0xc0 5.88831e+06 0.5;
dout[10]~107 0xc0 5.45228e+06 0.500244;
dout[11] 0xc0 8.04014e+06 0.5;
dout[11]~109 0xc0 5.88565e+06 0.5;
dout[11]~110 0xc0 5.45758e+06 0.499878;
dout[12] 0xc0 8.04014e+06 0.5;
dout[12]~111 0xc0 5.88697e+06 0.5;
dout[12]~112 0xc0 5.45492e+06 0.500061;
dout[13] 0xc0 8.04014e+06 0.5;
dout[13]~113 0xc0 5.88631e+06 0.5;
dout[13]~114 0xc0 5.45625e+06 0.499969;
dout[14] 0xc0 8.04014e+06 0.5;
dout[14]~115 0xc0 5.88664e+06 0.5;
dout[14]~116 0xc0 5.45559e+06 0.500015;
dout[15] 0xc0 8.04014e+06 0.5;
dout[15]~117 0xc0 5.88647e+06 0.5;
dout[15]~118 0xc0 5.45592e+06 0.499992;
dout[16] 0xc0 8.04014e+06 0.5;
dout[16]~119 0xc0 5.88656e+06 0.5;
dout[16]~120 0xc0 5.45575e+06 0.500004;
dout[17] 0xc0 8.04014e+06 0.5;
dout[17]~121 0xc0 5.88651e+06 0.5;
dout[17]~122 0xc0 5.45583e+06 0.499998;
dout[18] 0xc0 8.04014e+06 0.5;
dout[18]~123 0xc0 5.88654e+06 0.5;
dout[18]~124 0xc0 5.45579e+06 0.500001;
dout[19] 0xc0 8.04014e+06 0.5;
dout[19]~125 0xc0 5.88653e+06 0.5;
dout[19]~126 0xc0 5.45581e+06 0.5;
dout[20] 0xc0 8.04014e+06 0.5;
dout[20]~127 0xc0 5.88653e+06 0.5;
dout[20]~128 0xc0 5.4558e+06 0.5;
dout[21] 0xc0 8.04014e+06 0.5;
dout[21]~129 0xc0 5.88653e+06 0.5;
dout[21]~130 0xc0 5.45581e+06 0.5;
dout[22] 0xc0 8.04014e+06 0.5;
dout[22]~131 0xc0 5.88653e+06 0.5;
dout[22]~132 0xc0 5.45581e+06 0.5;
dout[23] 0xc0 8.04014e+06 0.5;
dout[23]~133 0xc0 5.88653e+06 0.5;
dout[23]~134 0xc0 5.45581e+06 0.5;
dout[24] 0xc0 8.04014e+06 0.5;
dout[24]~135 0xc0 5.88653e+06 0.5;
dout[24]~136 0xc0 5.45581e+06 0.5;
dout[25] 0xc0 8.04014e+06 0.5;
dout[25]~137 0xc0 5.88653e+06 0.5;
dout[25]~138 0xc0 5.45581e+06 0.5;
dout[26] 0xc0 8.04014e+06 0.5;
dout[26]~139 0xc0 5.88653e+06 0.5;
dout[26]~140 0xc0 5.45581e+06 0.5;
dout[27] 0xc0 8.04014e+06 0.5;
dout[27]~141 0xc0 5.88653e+06 0.5;
dout[27]~142 0xc0 5.45581e+06 0.5;
dout[28] 0xc0 8.04014e+06 0.5;
dout[28]~143 0xc0 5.88653e+06 0.5;
dout[28]~144 0xc0 5.45581e+06 0.5;
dout[29] 0xc0 8.04014e+06 0.5;
dout[29]~145 0xc0 5.88653e+06 0.5;
dout[29]~146 0xc0 5.45581e+06 0.5;
dout[30] 0xc0 8.04014e+06 0.5;
dout[30]~147 0xc0 5.88653e+06 0.5;
dout[30]~148 0xc0 5.45581e+06 0.5;
dout[31] 0xc0 8.04014e+06 0.5;
dout[31]~149 0xc0 5.88653e+06 0.5;
dout[31]~150 0xc0 5.45581e+06 0.5;
dout[32] 0xc0 8.04014e+06 0.5;
dout[32]~151 0xc0 5.88653e+06 0.5;
dout[32]~152 0xc0 5.45581e+06 0.5;
dout[33] 0xc0 8.04014e+06 0.5;
dout[33]~153 0xc0 5.88653e+06 0.5;
dout[33]~154 0xc0 5.45581e+06 0.5;
dout[34] 0xc0 8.04014e+06 0.5;
dout[34]~155 0xc0 5.88653e+06 0.5;
dout[34]~156 0xc0 5.45581e+06 0.5;
dout[35] 0xc0 8.04014e+06 0.5;
dout[35]~157 0xc0 5.88653e+06 0.5;
dout[35]~158 0xc0 5.45581e+06 0.5;
dout[36] 0xc0 8.04014e+06 0.5;
dout[36]~159 0xc0 5.88653e+06 0.5;
dout[36]~160 0xc0 5.45581e+06 0.5;
dout[37] 0xc0 8.04014e+06 0.5;
dout[37]~161 0xc0 5.88653e+06 0.5;
dout[37]~162 0xc0 5.45581e+06 0.5;
dout[38] 0xc0 8.04014e+06 0.5;
dout[38]~163 0xc0 5.88653e+06 0.5;
dout[38]~164 0xc0 5.45581e+06 0.5;
dout[39] 0xc0 8.04014e+06 0.5;
dout[39]~165 0xc0 5.88653e+06 0.5;
dout[39]~166 0xc0 5.45581e+06 0.5;
dout[40] 0xc0 8.04014e+06 0.5;
dout[40]~167 0xc0 5.88653e+06 0.5;
dout[40]~168 0xc0 5.45581e+06 0.5;
dout[41] 0xc0 8.04014e+06 0.5;
dout[41]~169 0xc0 5.88653e+06 0.5;
dout[41]~170 0xc0 5.45581e+06 0.5;
dout[42] 0xc0 8.04014e+06 0.5;
dout[42]~171 0xc0 5.88653e+06 0.5;
dout[42]~172 0xc0 5.45581e+06 0.5;
dout[43] 0xc0 8.04014e+06 0.5;
dout[43]~173 0xc0 5.88653e+06 0.5;
dout[43]~174 0xc0 5.45581e+06 0.5;
dout[44] 0xc0 8.04014e+06 0.5;
dout[44]~175 0xc0 5.88653e+06 0.5;
dout[44]~176 0xc0 5.45581e+06 0.5;
dout[45] 0xc0 8.04014e+06 0.5;
dout[45]~177 0xc0 5.88653e+06 0.5;
dout[45]~178 0xc0 5.45581e+06 0.5;
dout[46] 0xc0 8.04014e+06 0.5;
dout[46]~179 0xc0 5.88653e+06 0.5;
dout[46]~180 0xc0 5.45581e+06 0.5;
dout[47] 0xc0 8.04014e+06 0.5;
dout[47]~181 0xc0 5.88653e+06 0.5;
dout[47]~182 0xc0 5.45581e+06 0.5;
dout[48] 0xc0 8.04014e+06 0.5;
dout[48]~183 0xc0 5.88653e+06 0.5;
dout[48]~184 0xc0 5.45581e+06 0.5;
dout[49] 0xc0 8.04014e+06 0.5;
dout[49]~185 0xc0 5.88653e+06 0.5;
dout[49]~186 0xc0 5.45581e+06 0.5;
dout[50] 0xc0 8.04014e+06 0.5;
dout[50]~187 0xc0 5.88653e+06 0.5;
dout[50]~188 0xc0 5.45581e+06 0.5;
dout[51] 0xc0 8.04014e+06 0.5;
dout[51]~189 0xc0 5.88653e+06 0.5;
dout[51]~190 0xc0 5.45581e+06 0.5;
dout[52] 0xc0 8.04014e+06 0.5;
dout[52]~191 0xc0 5.88653e+06 0.5;
dout[52]~192 0xc0 5.45581e+06 0.5;
dout[53] 0xc0 8.04014e+06 0.5;
dout[53]~193 0xc0 5.88653e+06 0.5;
dout[53]~194 0xc0 5.45581e+06 0.5;
dout[54] 0xc0 8.04014e+06 0.5;
dout[54]~195 0xc0 5.88653e+06 0.5;
dout[54]~196 0xc0 5.45581e+06 0.5;
dout[55] 0xc0 8.04014e+06 0.5;
dout[55]~197 0xc0 5.88653e+06 0.5;
dout[55]~198 0xc0 5.45581e+06 0.5;
dout[56] 0xc0 8.04014e+06 0.5;
dout[56]~199 0xc0 5.88653e+06 0.5;
dout[56]~200 0xc0 5.45581e+06 0.5;
dout[57] 0xc0 8.04014e+06 0.5;
dout[57]~201 0xc0 5.88653e+06 0.5;
dout[57]~202 0xc0 5.45581e+06 0.5;
dout[58] 0xc0 8.04014e+06 0.5;
dout[58]~203 0xc0 5.88653e+06 0.5;
dout[58]~204 0xc0 5.45581e+06 0.5;
dout[59] 0xc0 8.04014e+06 0.5;
dout[59]~205 0xc0 5.88653e+06 0.5;
dout[59]~206 0xc0 5.45581e+06 0.5;
dout[60] 0xc0 8.04014e+06 0.5;
dout[60]~207 0xc0 5.88653e+06 0.5;
dout[60]~208 0xc0 5.45581e+06 0.5;
dout[61] 0xc0 8.04014e+06 0.5;
dout[61]~209 0xc0 5.88653e+06 0.5;
dout[61]~210 0xc0 5.45581e+06 0.5;
dout[62] 0xc0 8.04014e+06 0.5;
dout[62]~211 0xc0 5.88653e+06 0.5;
dout[62]~212 0xc0 5.45581e+06 0.5;
dout[63] 0xc0 8.04014e+06 0.5;
dout[63]~213 0xc0 5.88653e+06 0.5;
dout[63]~214 0xc0 5.45581e+06 0.5;
dout[64] 0xc0 8.04014e+06 0.5;
dout[64]~215 0xc0 5.88653e+06 0.5;
dout[64]~216 0xc0 5.45581e+06 0.5;
dout[65] 0xc0 8.04014e+06 0.5;
dout[65]~217 0xc0 5.88653e+06 0.5;
dout[65]~218 0xc0 5.45581e+06 0.5;
dout[66] 0xc0 8.04014e+06 0.5;
dout[66]~219 0xc0 5.88653e+06 0.5;
dout[66]~220 0xc0 5.45581e+06 0.5;
dout[67] 0xc0 8.04014e+06 0.5;
dout[67]~221 0xc0 5.88653e+06 0.5;
dout[67]~222 0xc0 5.45581e+06 0.5;
dout[68] 0xc0 8.04014e+06 0.5;
dout[68]~223 0xc0 5.88653e+06 0.5;
dout[68]~224 0xc0 5.45581e+06 0.5;
dout[69] 0xc0 8.04014e+06 0.5;
dout[69]~225 0xc0 5.88653e+06 0.5;
dout[69]~226 0xc0 5.45581e+06 0.5;
dout[70] 0xc0 8.04014e+06 0.5;
dout[70]~227 0xc0 5.88653e+06 0.5;
dout[70]~228 0xc0 5.45581e+06 0.5;
dout[71] 0xc0 8.04014e+06 0.5;
dout[71]~229 0xc0 5.88653e+06 0.5;
dout[71]~230 0xc0 5.45581e+06 0.5;
dout[72] 0xc0 8.04014e+06 0.5;
dout[72]~231 0xc0 5.88653e+06 0.5;
dout[72]~232 0xc0 5.45581e+06 0.5;
dout[73] 0xc0 8.04014e+06 0.5;
dout[73]~233 0xc0 5.88653e+06 0.5;
dout[73]~234 0xc0 5.45581e+06 0.5;
dout[74] 0xc0 8.04014e+06 0.5;
dout[74]~235 0xc0 5.88653e+06 0.5;
dout[74]~236 0xc0 5.45581e+06 0.5;
dout[75] 0xc0 8.04014e+06 0.5;
dout[75]~237 0xc0 5.88653e+06 0.5;
dout[75]~238 0xc0 5.45581e+06 0.5;
dout[76] 0xc0 8.04014e+06 0.5;
dout[76]~239 0xc0 5.88653e+06 0.5;
dout[76]~240 0xc0 5.45581e+06 0.5;
dout[77] 0xc0 8.04014e+06 0.5;
dout[77]~241 0xc0 5.88653e+06 0.5;
dout[77]~242 0xc0 5.45581e+06 0.5;
dout[78] 0xc0 8.04014e+06 0.5;
dout[78]~243 0xc0 5.88653e+06 0.5;
dout[78]~244 0xc0 5.45581e+06 0.5;
dout[79] 0xc0 8.04014e+06 0.5;
dout[79]~245 0xc0 5.88653e+06 0.5;
dout[79]~246 0xc0 5.45581e+06 0.5;
dout[80] 0xc0 8.04014e+06 0.5;
dout[80]~247 0xc0 5.88653e+06 0.5;
dout[80]~248 0xc0 5.45581e+06 0.5;
dout[81] 0xc0 8.04014e+06 0.5;
dout[81]~249 0xc0 5.88653e+06 0.5;
dout[81]~250 0xc0 5.45581e+06 0.5;
dout[82] 0xc0 8.04014e+06 0.5;
dout[82]~251 0xc0 5.88653e+06 0.5;
dout[82]~252 0xc0 5.45581e+06 0.5;
dout[83] 0xc0 8.04014e+06 0.5;
dout[83]~253 0xc0 5.88653e+06 0.5;
dout[83]~254 0xc0 5.45581e+06 0.5;
dout[84] 0xc0 8.04014e+06 0.5;
dout[84]~255 0xc0 5.88653e+06 0.5;
dout[84]~256 0xc0 5.45581e+06 0.5;
dout[85] 0xc0 8.04014e+06 0.5;
dout[85]~257 0xc0 7.39405e+06 0.5;
dout_valid 0xc0 8.04014e+06 0.5;
dout_valid~0 0xc0 6.0301e+06 0.25;
dout~108 0xc0 5.27634e+06 0.625;
dout~259 0xc0 6.0301e+06 0.25;
auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
dout[0] 0xc0 8.04014e+06 0.5;
dout[0]~86 0xc0 8.04014e+06 0.5;
dout[0]~87 0xc0 4.52258e+06 0.75;
dout[1] 0xc0 8.04014e+06 0.5;
dout[1]~88 0xc0 6.78387e+06 0.5;
dout[1]~89 0xc0 3.74526e+06 0.375;
dout[2] 0xc0 8.04014e+06 0.5;
dout[2]~90 0xc0 5.74155e+06 0.5;
dout[2]~91 0xc0 4.40971e+06 0.5625;
dout[3] 0xc0 8.04014e+06 0.5;
dout[3]~92 0xc0 5.69567e+06 0.5;
dout[3]~93 0xc0 5.96496e+06 0.46875;
dout[4] 0xc0 8.04014e+06 0.5;
dout[4]~94 0xc0 6.03148e+06 0.5;
dout[4]~95 0xc0 5.25544e+06 0.515625;
dout[5] 0xc0 8.04014e+06 0.5;
dout[5]~96 0xc0 5.84085e+06 0.5;
dout[5]~97 0xc0 5.57675e+06 0.492188;
dout[6] 0xc0 8.04014e+06 0.5;
dout[6]~98 0xc0 5.91787e+06 0.5;
dout[6]~99 0xc0 5.40143e+06 0.503906;
dout[7] 0xc0 8.04014e+06 0.5;
dout[7]~100 0xc0 5.87321e+06 0.5;
dout[7]~101 0xc0 5.48463e+06 0.498047;
dout[8] 0xc0 8.04014e+06 0.5;
dout[8]~102 0xc0 5.8938e+06 0.5;
dout[8]~103 0xc0 5.44182e+06 0.500977;
dout[9] 0xc0 8.04014e+06 0.5;
dout[9]~104 0xc0 5.88305e+06 0.5;
dout[9]~105 0xc0 5.46291e+06 0.499512;
dout[10] 0xc0 8.04014e+06 0.5;
dout[10]~106 0xc0 5.88831e+06 0.5;
dout[10]~107 0xc0 5.45228e+06 0.500244;
dout[11] 0xc0 8.04014e+06 0.5;
dout[11]~109 0xc0 5.88565e+06 0.5;
dout[11]~110 0xc0 5.45758e+06 0.499878;
dout[12] 0xc0 8.04014e+06 0.5;
dout[12]~111 0xc0 5.88697e+06 0.5;
dout[12]~112 0xc0 5.45492e+06 0.500061;
dout[13] 0xc0 8.04014e+06 0.5;
dout[13]~113 0xc0 5.88631e+06 0.5;
dout[13]~114 0xc0 5.45625e+06 0.499969;
dout[14] 0xc0 8.04014e+06 0.5;
dout[14]~115 0xc0 5.88664e+06 0.5;
dout[14]~116 0xc0 5.45559e+06 0.500015;
dout[15] 0xc0 8.04014e+06 0.5;
dout[15]~117 0xc0 5.88647e+06 0.5;
dout[15]~118 0xc0 5.45592e+06 0.499992;
dout[16] 0xc0 8.04014e+06 0.5;
dout[16]~119 0xc0 5.88656e+06 0.5;
dout[16]~120 0xc0 5.45575e+06 0.500004;
dout[17] 0xc0 8.04014e+06 0.5;
dout[17]~121 0xc0 5.88651e+06 0.5;
dout[17]~122 0xc0 5.45583e+06 0.499998;
dout[18] 0xc0 8.04014e+06 0.5;
dout[18]~123 0xc0 5.88654e+06 0.5;
dout[18]~124 0xc0 5.45579e+06 0.500001;
dout[19] 0xc0 8.04014e+06 0.5;
dout[19]~125 0xc0 5.88653e+06 0.5;
dout[19]~126 0xc0 5.45581e+06 0.5;
dout[20] 0xc0 8.04014e+06 0.5;
dout[20]~127 0xc0 5.88653e+06 0.5;
dout[20]~128 0xc0 5.4558e+06 0.5;
dout[21] 0xc0 8.04014e+06 0.5;
dout[21]~129 0xc0 5.88653e+06 0.5;
dout[21]~130 0xc0 5.45581e+06 0.5;
dout[22] 0xc0 8.04014e+06 0.5;
dout[22]~131 0xc0 5.88653e+06 0.5;
dout[22]~132 0xc0 5.45581e+06 0.5;
dout[23] 0xc0 8.04014e+06 0.5;
dout[23]~133 0xc0 5.88653e+06 0.5;
dout[23]~134 0xc0 5.45581e+06 0.5;
dout[24] 0xc0 8.04014e+06 0.5;
dout[24]~135 0xc0 5.88653e+06 0.5;
dout[24]~136 0xc0 5.45581e+06 0.5;
dout[25] 0xc0 8.04014e+06 0.5;
dout[25]~137 0xc0 5.88653e+06 0.5;
dout[25]~138 0xc0 5.45581e+06 0.5;
dout[26] 0xc0 8.04014e+06 0.5;
dout[26]~139 0xc0 5.88653e+06 0.5;
dout[26]~140 0xc0 5.45581e+06 0.5;
dout[27] 0xc0 8.04014e+06 0.5;
dout[27]~141 0xc0 5.88653e+06 0.5;
dout[27]~142 0xc0 5.45581e+06 0.5;
dout[28] 0xc0 8.04014e+06 0.5;
dout[28]~143 0xc0 5.88653e+06 0.5;
dout[28]~144 0xc0 5.45581e+06 0.5;
dout[29] 0xc0 8.04014e+06 0.5;
dout[29]~145 0xc0 5.88653e+06 0.5;
dout[29]~146 0xc0 5.45581e+06 0.5;
dout[30] 0xc0 8.04014e+06 0.5;
dout[30]~147 0xc0 5.88653e+06 0.5;
dout[30]~148 0xc0 5.45581e+06 0.5;
dout[31] 0xc0 8.04014e+06 0.5;
dout[31]~149 0xc0 5.88653e+06 0.5;
dout[31]~150 0xc0 5.45581e+06 0.5;
dout[32] 0xc0 8.04014e+06 0.5;
dout[32]~151 0xc0 5.88653e+06 0.5;
dout[32]~152 0xc0 5.45581e+06 0.5;
dout[33] 0xc0 8.04014e+06 0.5;
dout[33]~153 0xc0 5.88653e+06 0.5;
dout[33]~154 0xc0 5.45581e+06 0.5;
dout[34] 0xc0 8.04014e+06 0.5;
dout[34]~155 0xc0 5.88653e+06 0.5;
dout[34]~156 0xc0 5.45581e+06 0.5;
dout[35] 0xc0 8.04014e+06 0.5;
dout[35]~157 0xc0 5.88653e+06 0.5;
dout[35]~158 0xc0 5.45581e+06 0.5;
dout[36] 0xc0 8.04014e+06 0.5;
dout[36]~159 0xc0 5.88653e+06 0.5;
dout[36]~160 0xc0 5.45581e+06 0.5;
dout[37] 0xc0 8.04014e+06 0.5;
dout[37]~161 0xc0 5.88653e+06 0.5;
dout[37]~162 0xc0 5.45581e+06 0.5;
dout[38] 0xc0 8.04014e+06 0.5;
dout[38]~163 0xc0 5.88653e+06 0.5;
dout[38]~164 0xc0 5.45581e+06 0.5;
dout[39] 0xc0 8.04014e+06 0.5;
dout[39]~165 0xc0 5.88653e+06 0.5;
dout[39]~166 0xc0 5.45581e+06 0.5;
dout[40] 0xc0 8.04014e+06 0.5;
dout[40]~167 0xc0 5.88653e+06 0.5;
dout[40]~168 0xc0 5.45581e+06 0.5;
dout[41] 0xc0 8.04014e+06 0.5;
dout[41]~169 0xc0 5.88653e+06 0.5;
dout[41]~170 0xc0 5.45581e+06 0.5;
dout[42] 0xc0 8.04014e+06 0.5;
dout[42]~171 0xc0 5.88653e+06 0.5;
dout[42]~172 0xc0 5.45581e+06 0.5;
dout[43] 0xc0 8.04014e+06 0.5;
dout[43]~173 0xc0 5.88653e+06 0.5;
dout[43]~174 0xc0 5.45581e+06 0.5;
dout[44] 0xc0 8.04014e+06 0.5;
dout[44]~175 0xc0 5.88653e+06 0.5;
dout[44]~176 0xc0 5.45581e+06 0.5;
dout[45] 0xc0 8.04014e+06 0.5;
dout[45]~177 0xc0 5.88653e+06 0.5;
dout[45]~178 0xc0 5.45581e+06 0.5;
dout[46] 0xc0 8.04014e+06 0.5;
dout[46]~179 0xc0 5.88653e+06 0.5;
dout[46]~180 0xc0 5.45581e+06 0.5;
dout[47] 0xc0 8.04014e+06 0.5;
dout[47]~181 0xc0 5.88653e+06 0.5;
dout[47]~182 0xc0 5.45581e+06 0.5;
dout[48] 0xc0 8.04014e+06 0.5;
dout[48]~183 0xc0 5.88653e+06 0.5;
dout[48]~184 0xc0 5.45581e+06 0.5;
dout[49] 0xc0 8.04014e+06 0.5;
dout[49]~185 0xc0 5.88653e+06 0.5;
dout[49]~186 0xc0 5.45581e+06 0.5;
dout[50] 0xc0 8.04014e+06 0.5;
dout[50]~187 0xc0 5.88653e+06 0.5;
dout[50]~188 0xc0 5.45581e+06 0.5;
dout[51] 0xc0 8.04014e+06 0.5;
dout[51]~189 0xc0 5.88653e+06 0.5;
dout[51]~190 0xc0 5.45581e+06 0.5;
dout[52] 0xc0 8.04014e+06 0.5;
dout[52]~191 0xc0 5.88653e+06 0.5;
dout[52]~192 0xc0 5.45581e+06 0.5;
dout[53] 0xc0 8.04014e+06 0.5;
dout[53]~193 0xc0 5.88653e+06 0.5;
dout[53]~194 0xc0 5.45581e+06 0.5;
dout[54] 0xc0 8.04014e+06 0.5;
dout[54]~195 0xc0 5.88653e+06 0.5;
dout[54]~196 0xc0 5.45581e+06 0.5;
dout[55] 0xc0 8.04014e+06 0.5;
dout[55]~197 0xc0 5.88653e+06 0.5;
dout[55]~198 0xc0 5.45581e+06 0.5;
dout[56] 0xc0 8.04014e+06 0.5;
dout[56]~199 0xc0 5.88653e+06 0.5;
dout[56]~200 0xc0 5.45581e+06 0.5;
dout[57] 0xc0 8.04014e+06 0.5;
dout[57]~201 0xc0 5.88653e+06 0.5;
dout[57]~202 0xc0 5.45581e+06 0.5;
dout[58] 0xc0 8.04014e+06 0.5;
dout[58]~203 0xc0 5.88653e+06 0.5;
dout[58]~204 0xc0 5.45581e+06 0.5;
dout[59] 0xc0 8.04014e+06 0.5;
dout[59]~205 0xc0 5.88653e+06 0.5;
dout[59]~206 0xc0 5.45581e+06 0.5;
dout[60] 0xc0 8.04014e+06 0.5;
dout[60]~207 0xc0 5.88653e+06 0.5;
dout[60]~208 0xc0 5.45581e+06 0.5;
dout[61] 0xc0 8.04014e+06 0.5;
dout[61]~209 0xc0 5.88653e+06 0.5;
dout[61]~210 0xc0 5.45581e+06 0.5;
dout[62] 0xc0 8.04014e+06 0.5;
dout[62]~211 0xc0 5.88653e+06 0.5;
dout[62]~212 0xc0 5.45581e+06 0.5;
dout[63] 0xc0 8.04014e+06 0.5;
dout[63]~213 0xc0 5.88653e+06 0.5;
dout[63]~214 0xc0 5.45581e+06 0.5;
dout[64] 0xc0 8.04014e+06 0.5;
dout[64]~215 0xc0 5.88653e+06 0.5;
dout[64]~216 0xc0 5.45581e+06 0.5;
dout[65] 0xc0 8.04014e+06 0.5;
dout[65]~217 0xc0 5.88653e+06 0.5;
dout[65]~218 0xc0 5.45581e+06 0.5;
dout[66] 0xc0 8.04014e+06 0.5;
dout[66]~219 0xc0 5.88653e+06 0.5;
dout[66]~220 0xc0 5.45581e+06 0.5;
dout[67] 0xc0 8.04014e+06 0.5;
dout[67]~221 0xc0 5.88653e+06 0.5;
dout[67]~222 0xc0 5.45581e+06 0.5;
dout[68] 0xc0 8.04014e+06 0.5;
dout[68]~223 0xc0 5.88653e+06 0.5;
dout[68]~224 0xc0 5.45581e+06 0.5;
dout[69] 0xc0 8.04014e+06 0.5;
dout[69]~225 0xc0 5.88653e+06 0.5;
dout[69]~226 0xc0 5.45581e+06 0.5;
dout[70] 0xc0 8.04014e+06 0.5;
dout[70]~227 0xc0 5.88653e+06 0.5;
dout[70]~228 0xc0 5.45581e+06 0.5;
dout[71] 0xc0 8.04014e+06 0.5;
dout[71]~229 0xc0 5.88653e+06 0.5;
dout[71]~230 0xc0 5.45581e+06 0.5;
dout[72] 0xc0 8.04014e+06 0.5;
dout[72]~231 0xc0 5.88653e+06 0.5;
dout[72]~232 0xc0 5.45581e+06 0.5;
dout[73] 0xc0 8.04014e+06 0.5;
dout[73]~233 0xc0 5.88653e+06 0.5;
dout[73]~234 0xc0 5.45581e+06 0.5;
dout[74] 0xc0 8.04014e+06 0.5;
dout[74]~235 0xc0 5.88653e+06 0.5;
dout[74]~236 0xc0 5.45581e+06 0.5;
dout[75] 0xc0 8.04014e+06 0.5;
dout[75]~237 0xc0 5.88653e+06 0.5;
dout[75]~238 0xc0 5.45581e+06 0.5;
dout[76] 0xc0 8.04014e+06 0.5;
dout[76]~239 0xc0 5.88653e+06 0.5;
dout[76]~240 0xc0 5.45581e+06 0.5;
dout[77] 0xc0 8.04014e+06 0.5;
dout[77]~241 0xc0 5.88653e+06 0.5;
dout[77]~242 0xc0 5.45581e+06 0.5;
dout[78] 0xc0 8.04014e+06 0.5;
dout[78]~243 0xc0 5.88653e+06 0.5;
dout[78]~244 0xc0 5.45581e+06 0.5;
dout[79] 0xc0 8.04014e+06 0.5;
dout[79]~245 0xc0 5.88653e+06 0.5;
dout[79]~246 0xc0 5.45581e+06 0.5;
dout[80] 0xc0 8.04014e+06 0.5;
dout[80]~247 0xc0 5.88653e+06 0.5;
dout[80]~248 0xc0 5.45581e+06 0.5;
dout[81] 0xc0 8.04014e+06 0.5;
dout[81]~249 0xc0 5.88653e+06 0.5;
dout[81]~250 0xc0 5.45581e+06 0.5;
dout[82] 0xc0 8.04014e+06 0.5;
dout[82]~251 0xc0 5.88653e+06 0.5;
dout[82]~252 0xc0 5.45581e+06 0.5;
dout[83] 0xc0 8.04014e+06 0.5;
dout[83]~253 0xc0 5.88653e+06 0.5;
dout[83]~254 0xc0 5.45581e+06 0.5;
dout[84] 0xc0 8.04014e+06 0.5;
dout[84]~255 0xc0 5.88653e+06 0.5;
dout[84]~256 0xc0 5.45581e+06 0.5;
dout[85] 0xc0 8.04014e+06 0.5;
dout[85]~257 0xc0 7.39405e+06 0.5;
dout_valid 0xc0 8.04014e+06 0.5;
dout_valid~0 0xc0 6.0301e+06 0.25;
dout~108 0xc0 5.27634e+06 0.625;
dout~259 0xc0 6.0301e+06 0.25;
ena_diff_s[0] 0xc0 8.04014e+06 0.5;
ena_diff_s[1] 0xc0 8.04014e+06 0.5;
ena_diff_s[1]~feeder 0xc0 6.0301e+06 0.25;
ena_diff_s~0 0xc0 1.40668e+07 0.375076;
fifo_rdreq 0xc0 8.04014e+06 0.5;
fifo_rdreq~0 0xc0 6.0301e+06 0.25;
auk_dspip_channel_buffer:fifo_regulator;
scfifo:buffer_FIFO;
scfifo_qm51:auto_generated;
a_dpfifo_5ku:dpfifo;
_~0 0xc0 3.32835e+06 0.125046;
_~1 0xc0 6.97065e+06 0.0624962;
_~2 0xc0 6.0301e+06 0.25;
_~3 0xc0 1.18748e+07 0.437513;
empty_dff 0xc0 8.04014e+06 0.5;
empty_dff~0 0xc0 8.73562e+06 0.263684;
empty_dff~1 0xc0 1.13269e+07 0.26368;
altsyncram_m7h1:FIFOram;
altsyncram:ram_block1a0;
altsyncram_nci3:auto_generated;
decode_msa:address_decoder;
w_anode19w[2]~0 0xc0 2.38692e+06 0.125;
w_anode32w[2]~0 0xc0 1.91581e+06 0.125;
w_anode40w[2]~0 0xc0 1.91581e+06 0.125;
w_anode48w[2]~0 0xc0 1.91581e+06 0.125;
address_reg[0] 0xc0 8.04014e+06 0.5;
address_reg[0]~feeder 0xc0 8.04014e+06 0.5;
address_reg[1] 0xc0 8.04014e+06 0.5;
address_reg[1]~feeder 0xc0 8.04014e+06 0.5;
data_reg[0] 0xc0 8.04014e+06 0.5;
data_reg[1] 0xc0 8.04014e+06 0.5;
data_reg[2] 0xc0 8.04014e+06 0.5;
data_reg[3] 0xc0 8.04014e+06 0.5;
data_reg[3]~feeder 0xc0 8.04014e+06 0.5;
data_reg[4] 0xc0 8.04014e+06 0.5;
data_reg[4]~feeder 0xc0 8.04014e+06 0.5;
data_reg[5] 0xc0 8.04014e+06 0.5;
data_reg[5]~feeder 0xc0 8.04014e+06 0.5;
data_reg[6] 0xc0 8.04014e+06 0.5;
data_reg[7] 0xc0 8.04014e+06 0.5;
data_reg[8] 0xc0 8.04014e+06 0.5;
data_reg[8]~feeder 0xc0 8.04014e+06 0.5;
data_reg[9] 0xc0 8.04014e+06 0.5;
data_reg[9]~feeder 0xc0 8.04014e+06 0.5;
data_reg[10] 0xc0 8.04014e+06 0.5;
data_reg[11] 0xc0 8.04014e+06 0.5;
data_reg[11]~feeder 0xc0 8.04014e+06 0.5;
data_reg[12] 0xc0 8.04014e+06 0.5;
data_reg[12]~feeder 0xc0 8.04014e+06 0.5;
data_reg[13] 0xc0 8.04014e+06 0.5;
data_reg[13]~feeder 0xc0 8.04014e+06 0.5;
data_reg[14] 0xc0 8.04014e+06 0.5;
data_reg[14]~feeder 0xc0 8.04014e+06 0.5;
data_reg[15] 0xc0 8.04014e+06 0.5;
data_reg[16] 0xc0 8.04014e+06 0.5;
data_reg[16]~feeder 0xc0 8.04014e+06 0.5;
data_reg[17] 0xc0 8.04014e+06 0.5;
data_reg[17]~feeder 0xc0 8.04014e+06 0.5;
data_reg[18] 0xc0 8.04014e+06 0.5;
data_reg[19] 0xc0 8.04014e+06 0.5;
data_reg[19]~feeder 0xc0 8.04014e+06 0.5;
data_reg[20] 0xc0 8.04014e+06 0.5;
data_reg[20]~feeder 0xc0 8.04014e+06 0.5;
data_reg[21] 0xc0 8.04014e+06 0.5;
data_reg[21]~feeder 0xc0 8.04014e+06 0.5;
data_reg[22] 0xc0 8.04014e+06 0.5;
data_reg[23] 0xc0 8.04014e+06 0.5;
data_reg[23]~feeder 0xc0 8.04014e+06 0.5;
data_reg[24] 0xc0 8.04014e+06 0.5;
data_reg[24]~feeder 0xc0 8.04014e+06 0.5;
data_reg[25] 0xc0 8.04014e+06 0.5;
data_reg[25]~feeder 0xc0 8.04014e+06 0.5;
data_reg[26] 0xc0 8.04014e+06 0.5;
data_reg[27] 0xc0 8.04014e+06 0.5;
data_reg[28] 0xc0 8.04014e+06 0.5;
data_reg[28]~feeder 0xc0 8.04014e+06 0.5;
data_reg[29] 0xc0 8.04014e+06 0.5;
data_reg[29]~feeder 0xc0 8.04014e+06 0.5;
data_reg[30] 0xc0 8.04014e+06 0.5;
data_reg[30]~feeder 0xc0 8.04014e+06 0.5;
data_reg[31] 0xc0 8.04014e+06 0.5;
data_reg[32] 0xc0 8.04014e+06 0.5;
data_reg[33] 0xc0 8.04014e+06 0.5;
data_reg[33]~feeder 0xc0 8.04014e+06 0.5;
data_reg[34] 0xc0 8.04014e+06 0.5;
data_reg[34]~feeder 0xc0 8.04014e+06 0.5;
data_reg[35] 0xc0 8.04014e+06 0.5;
data_reg[36] 0xc0 8.04014e+06 0.5;
data_reg[36]~feeder 0xc0 8.04014e+06 0.5;
data_reg[37] 0xc0 8.04014e+06 0.5;
data_reg[37]~feeder 0xc0 8.04014e+06 0.5;
data_reg[38] 0xc0 8.04014e+06 0.5;
data_reg[38]~feeder 0xc0 8.04014e+06 0.5;
data_reg[39] 0xc0 8.04014e+06 0.5;
data_reg[39]~feeder 0xc0 8.04014e+06 0.5;
data_reg[40] 0xc0 8.04014e+06 0.5;
data_reg[40]~feeder 0xc0 8.04014e+06 0.5;
data_reg[41] 0xc0 8.04014e+06 0.5;
data_reg[41]~feeder 0xc0 8.04014e+06 0.5;
data_reg[42] 0xc0 8.04014e+06 0.5;
data_reg[43] 0xc0 8.04014e+06 0.5;
data_reg[44] 0xc0 8.04014e+06 0.5;
data_reg[44]~feeder 0xc0 8.04014e+06 0.5;
data_reg[45] 0xc0 8.04014e+06 0.5;
data_reg[45]~feeder 0xc0 8.04014e+06 0.5;
data_reg[46] 0xc0 8.04014e+06 0.5;
data_reg[46]~feeder 0xc0 8.04014e+06 0.5;
data_reg[47] 0xc0 8.04014e+06 0.5;
data_reg[48] 0xc0 8.04014e+06 0.5;
data_reg[49] 0xc0 8.04014e+06 0.5;
data_reg[49]~feeder 0xc0 8.04014e+06 0.5;
data_reg[50] 0xc0 8.04014e+06 0.5;
data_reg[50]~feeder 0xc0 8.04014e+06 0.5;
data_reg[51] 0xc0 8.04014e+06 0.5;
data_reg[51]~feeder 0xc0 8.04014e+06 0.5;
data_reg[52] 0xc0 8.04014e+06 0.5;
data_reg[52]~feeder 0xc0 8.04014e+06 0.5;
data_reg[53] 0xc0 8.04014e+06 0.5;
data_reg[54] 0xc0 8.04014e+06 0.5;
data_reg[54]~feeder 0xc0 8.04014e+06 0.5;
data_reg[55] 0xc0 8.04014e+06 0.5;
data_reg[55]~feeder 0xc0 8.04014e+06 0.5;
data_reg[56] 0xc0 8.04014e+06 0.5;
data_reg[57] 0xc0 8.04014e+06 0.5;
data_reg[57]~feeder 0xc0 8.04014e+06 0.5;
data_reg[58] 0xc0 8.04014e+06 0.5;
data_reg[59] 0xc0 8.04014e+06 0.5;
data_reg[60] 0xc0 8.04014e+06 0.5;
data_reg[60]~feeder 0xc0 8.04014e+06 0.5;
data_reg[61] 0xc0 8.04014e+06 0.5;
data_reg[61]~feeder 0xc0 8.04014e+06 0.5;
data_reg[62] 0xc0 8.04014e+06 0.5;
data_reg[62]~feeder 0xc0 8.04014e+06 0.5;
data_reg[63] 0xc0 8.04014e+06 0.5;
data_reg[64] 0xc0 8.04014e+06 0.5;
data_reg[64]~feeder 0xc0 8.04014e+06 0.5;
data_reg[65] 0xc0 8.04014e+06 0.5;
data_reg[65]~feeder 0xc0 8.04014e+06 0.5;
data_reg[66] 0xc0 8.04014e+06 0.5;
data_reg[67] 0xc0 8.04014e+06 0.5;
data_reg[67]~feeder 0xc0 8.04014e+06 0.5;
data_reg[68] 0xc0 8.04014e+06 0.5;
data_reg[68]~feeder 0xc0 8.04014e+06 0.5;
data_reg[69] 0xc0 8.04014e+06 0.5;
data_reg[70] 0xc0 8.04014e+06 0.5;
data_reg[70]~feeder 0xc0 8.04014e+06 0.5;
data_reg[71] 0xc0 8.04014e+06 0.5;
data_reg[71]~feeder 0xc0 8.04014e+06 0.5;
data_reg[72] 0xc0 8.04014e+06 0.5;
data_reg[72]~feeder 0xc0 8.04014e+06 0.5;
data_reg[73] 0xc0 8.04014e+06 0.5;
data_reg[73]~feeder 0xc0 8.04014e+06 0.5;
data_reg[74] 0xc0 8.04014e+06 0.5;
data_reg[74]~feeder 0xc0 8.04014e+06 0.5;
data_reg[75] 0xc0 8.04014e+06 0.5;
data_reg[75]~feeder 0xc0 8.04014e+06 0.5;
data_reg[76] 0xc0 8.04014e+06 0.5;
data_reg[76]~feeder 0xc0 8.04014e+06 0.5;
data_reg[77] 0xc0 8.04014e+06 0.5;
data_reg[78] 0xc0 8.04014e+06 0.5;
data_reg[79] 0xc0 8.04014e+06 0.5;
data_reg[79]~feeder 0xc0 8.04014e+06 0.5;
data_reg[80] 0xc0 8.04014e+06 0.5;
data_reg[81] 0xc0 8.04014e+06 0.5;
data_reg[81]~feeder 0xc0 8.04014e+06 0.5;
data_reg[82] 0xc0 8.04014e+06 0.5;
data_reg[83] 0xc0 8.04014e+06 0.5;
data_reg[83]~feeder 0xc0 8.04014e+06 0.5;
data_reg[84] 0xc0 8.04014e+06 0.5;
data_reg[84]~feeder 0xc0 8.04014e+06 0.5;
data_reg[85] 0xc0 8.04014e+06 0.5;
outdata_reg[0] 0xc0 8.04014e+06 0.5;
outdata_reg[1] 0xc0 8.04014e+06 0.5;
outdata_reg[2] 0xc0 8.04014e+06 0.5;
outdata_reg[3] 0xc0 8.04014e+06 0.5;
outdata_reg[3]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[4] 0xc0 8.04014e+06 0.5;
outdata_reg[4]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[5] 0xc0 8.04014e+06 0.5;
outdata_reg[5]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[6] 0xc0 8.04014e+06 0.5;
outdata_reg[6]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[7] 0xc0 8.04014e+06 0.5;
outdata_reg[7]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[8] 0xc0 8.04014e+06 0.5;
outdata_reg[9] 0xc0 8.04014e+06 0.5;
outdata_reg[10] 0xc0 8.04014e+06 0.5;
outdata_reg[11] 0xc0 8.04014e+06 0.5;
outdata_reg[11]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[12] 0xc0 8.04014e+06 0.5;
outdata_reg[12]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[13] 0xc0 8.04014e+06 0.5;
outdata_reg[14] 0xc0 8.04014e+06 0.5;
outdata_reg[14]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[15] 0xc0 8.04014e+06 0.5;
outdata_reg[16] 0xc0 8.04014e+06 0.5;
outdata_reg[16]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[17] 0xc0 8.04014e+06 0.5;
outdata_reg[17]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[18] 0xc0 8.04014e+06 0.5;
outdata_reg[18]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[19] 0xc0 8.04014e+06 0.5;
outdata_reg[20] 0xc0 8.04014e+06 0.5;
outdata_reg[21] 0xc0 8.04014e+06 0.5;
outdata_reg[22] 0xc0 8.04014e+06 0.5;
outdata_reg[22]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[23] 0xc0 8.04014e+06 0.5;
outdata_reg[24] 0xc0 8.04014e+06 0.5;
outdata_reg[24]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[25] 0xc0 8.04014e+06 0.5;
outdata_reg[25]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[26] 0xc0 8.04014e+06 0.5;
outdata_reg[26]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[27] 0xc0 8.04014e+06 0.5;
outdata_reg[28] 0xc0 8.04014e+06 0.5;
outdata_reg[28]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[29] 0xc0 8.04014e+06 0.5;
outdata_reg[29]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[30] 0xc0 8.04014e+06 0.5;
outdata_reg[30]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[31] 0xc0 8.04014e+06 0.5;
outdata_reg[31]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[32] 0xc0 8.04014e+06 0.5;
outdata_reg[33] 0xc0 8.04014e+06 0.5;
outdata_reg[33]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[34] 0xc0 8.04014e+06 0.5;
outdata_reg[35] 0xc0 8.04014e+06 0.5;
outdata_reg[36] 0xc0 8.04014e+06 0.5;
outdata_reg[36]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[37] 0xc0 8.04014e+06 0.5;
outdata_reg[37]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[38] 0xc0 8.04014e+06 0.5;
outdata_reg[39] 0xc0 8.04014e+06 0.5;
outdata_reg[39]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[40] 0xc0 8.04014e+06 0.5;
outdata_reg[40]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[41] 0xc0 8.04014e+06 0.5;
outdata_reg[42] 0xc0 8.04014e+06 0.5;
outdata_reg[42]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[43] 0xc0 8.04014e+06 0.5;
outdata_reg[43]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[44] 0xc0 8.04014e+06 0.5;
outdata_reg[45] 0xc0 8.04014e+06 0.5;
outdata_reg[45]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[46] 0xc0 8.04014e+06 0.5;
outdata_reg[47] 0xc0 8.04014e+06 0.5;
outdata_reg[48] 0xc0 8.04014e+06 0.5;
outdata_reg[49] 0xc0 8.04014e+06 0.5;
outdata_reg[49]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[50] 0xc0 8.04014e+06 0.5;
outdata_reg[50]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[51] 0xc0 8.04014e+06 0.5;
outdata_reg[52] 0xc0 8.04014e+06 0.5;
outdata_reg[52]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[53] 0xc0 8.04014e+06 0.5;
outdata_reg[54] 0xc0 8.04014e+06 0.5;
outdata_reg[55] 0xc0 8.04014e+06 0.5;
outdata_reg[55]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[56] 0xc0 8.04014e+06 0.5;
outdata_reg[57] 0xc0 8.04014e+06 0.5;
outdata_reg[57]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[58] 0xc0 8.04014e+06 0.5;
outdata_reg[58]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[59] 0xc0 8.04014e+06 0.5;
outdata_reg[60] 0xc0 8.04014e+06 0.5;
outdata_reg[61] 0xc0 8.04014e+06 0.5;
outdata_reg[61]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[62] 0xc0 8.04014e+06 0.5;
outdata_reg[63] 0xc0 8.04014e+06 0.5;
outdata_reg[63]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[64] 0xc0 8.04014e+06 0.5;
outdata_reg[64]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[65] 0xc0 8.04014e+06 0.5;
outdata_reg[65]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[66] 0xc0 8.04014e+06 0.5;
outdata_reg[67] 0xc0 8.04014e+06 0.5;
outdata_reg[68] 0xc0 8.04014e+06 0.5;
outdata_reg[68]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[69] 0xc0 8.04014e+06 0.5;
outdata_reg[69]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[70] 0xc0 8.04014e+06 0.5;
outdata_reg[70]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[71] 0xc0 8.04014e+06 0.5;
outdata_reg[71]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[72] 0xc0 8.04014e+06 0.5;
outdata_reg[72]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[73] 0xc0 8.04014e+06 0.5;
outdata_reg[74] 0xc0 8.04014e+06 0.5;
outdata_reg[74]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[75] 0xc0 8.04014e+06 0.5;
outdata_reg[76] 0xc0 8.04014e+06 0.5;
outdata_reg[76]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[77] 0xc0 8.04014e+06 0.5;
outdata_reg[77]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[78] 0xc0 8.04014e+06 0.5;
outdata_reg[79] 0xc0 8.04014e+06 0.5;
outdata_reg[79]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[80] 0xc0 8.04014e+06 0.5;
outdata_reg[81] 0xc0 8.04014e+06 0.5;
outdata_reg[82] 0xc0 8.04014e+06 0.5;
outdata_reg[82]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[83] 0xc0 8.04014e+06 0.5;
outdata_reg[83]~feeder 0xc0 8.04014e+06 0.5;
outdata_reg[84] 0xc0 8.04014e+06 0.5;
outdata_reg[85] 0xc0 8.04014e+06 0.5;
mux_sob:output_mux;
result_node[0]~20 0xc0 5.14998e+06 0.5;
result_node[0]~21 0xc0 4.87577e+06 0.5;
result_node[1]~18 0xc0 5.05796e+06 0.5;
result_node[1]~19 0xc0 4.85523e+06 0.5;
result_node[2]~16 0xc0 5.06361e+06 0.5;
result_node[2]~17 0xc0 5.64506e+06 0.5;
result_node[3]~14 0xc0 4.56674e+06 0.5;
result_node[3]~15 0xc0 3.16618e+06 0.5;
result_node[4]~12 0xc0 4.95e+06 0.5;
result_node[4]~13 0xc0 4.6594e+06 0.5;
result_node[5]~10 0xc0 5.06361e+06 0.5;
result_node[5]~11 0xc0 4.86679e+06 0.5;
result_node[6]~8 0xc0 5.06361e+06 0.5;
result_node[6]~9 0xc0 4.85957e+06 0.5;
result_node[7]~6 0xc0 4.71593e+06 0.5;
result_node[7]~7 0xc0 4.73641e+06 0.5;
result_node[8]~4 0xc0 4.95393e+06 0.5;
result_node[8]~5 0xc0 4.13865e+06 0.5;
result_node[9]~2 0xc0 4.61876e+06 0.5;
result_node[9]~3 0xc0 3.15287e+06 0.5;
result_node[10]~0 0xc0 5.06361e+06 0.5;
result_node[10]~1 0xc0 4.85957e+06 0.5;
result_node[11]~22 0xc0 4.89455e+06 0.5;
result_node[11]~23 0xc0 5.57719e+06 0.5;
result_node[12]~26 0xc0 5.05796e+06 0.5;
result_node[12]~27 0xc0 5.36795e+06 0.5;
result_node[13]~24 0xc0 5.05796e+06 0.5;
result_node[13]~25 0xc0 4.94016e+06 0.5;
result_node[14]~28 0xc0 4.56674e+06 0.5;
result_node[14]~29 0xc0 3.6369e+06 0.5;
result_node[15]~30 0xc0 4.56674e+06 0.5;
result_node[15]~31 0xc0 4.69544e+06 0.5;
result_node[16]~84 0xc0 4.51473e+06 0.5;
result_node[16]~85 0xc0 4.61091e+06 0.5;
result_node[17]~82 0xc0 4.56674e+06 0.5;
result_node[17]~83 0xc0 4.69544e+06 0.5;
result_node[18]~80 0xc0 4.51473e+06 0.5;
result_node[18]~81 0xc0 3.42121e+06 0.5;
result_node[19]~78 0xc0 4.51473e+06 0.5;
result_node[19]~79 0xc0 3.42121e+06 0.5;
result_node[20]~76 0xc0 4.56674e+06 0.5;
result_node[20]~77 0xc0 3.6369e+06 0.5;
result_node[21]~74 0xc0 4.56674e+06 0.5;
result_node[21]~75 0xc0 5.0487e+06 0.5;
result_node[22]~72 0xc0 4.71593e+06 0.5;
result_node[22]~73 0xc0 3.79603e+06 0.5;
result_node[23]~70 0xc0 4.51473e+06 0.5;
result_node[23]~71 0xc0 3.11177e+06 0.5;
result_node[24]~68 0xc0 4.56674e+06 0.5;
result_node[24]~69 0xc0 4.68047e+06 0.5;
result_node[25]~66 0xc0 4.46271e+06 0.5;
result_node[25]~67 0xc0 3.93544e+06 0.5;
result_node[26]~64 0xc0 4.95393e+06 0.5;
result_node[26]~65 0xc0 4.71986e+06 0.5;
result_node[27]~62 0xc0 4.56674e+06 0.5;
result_node[27]~63 0xc0 4.83867e+06 0.5;
result_node[28]~60 0xc0 4.56674e+06 0.5;
result_node[28]~61 0xc0 3.6369e+06 0.5;
result_node[29]~58 0xc0 4.51473e+06 0.5;
result_node[29]~59 0xc0 4.45289e+06 0.5;
result_node[30]~56 0xc0 4.56674e+06 0.5;
result_node[30]~57 0xc0 4.65225e+06 0.5;
result_node[31]~54 0xc0 4.56674e+06 0.5;
result_node[31]~55 0xc0 4.90547e+06 0.5;
result_node[32]~52 0xc0 4.56674e+06 0.5;
result_node[32]~53 0xc0 3.6369e+06 0.5;
result_node[33]~50 0xc0 4.56674e+06 0.5;
result_node[33]~51 0xc0 3.958e+06 0.5;
result_node[34]~48 0xc0 4.51473e+06 0.5;
result_node[34]~49 0xc0 4.7704e+06 0.5;
result_node[35]~46 0xc0 4.46271e+06 0.5;
result_node[35]~47 0xc0 4.64146e+06 0.5;
result_node[36]~44 0xc0 4.51473e+06 0.5;
result_node[36]~45 0xc0 3.42121e+06 0.5;
result_node[37]~42 0xc0 4.95393e+06 0.5;
result_node[37]~43 0xc0 5.14746e+06 0.5;
result_node[38]~40 0xc0 4.46271e+06 0.5;
result_node[38]~41 0xc0 3.14078e+06 0.5;
result_node[39]~38 0xc0 4.56674e+06 0.5;
result_node[39]~39 0xc0 5.0487e+06 0.5;
result_node[40]~36 0xc0 5.14998e+06 0.5;
result_node[40]~37 0xc0 5.01677e+06 0.5;
result_node[41]~34 0xc0 4.95393e+06 0.5;
result_node[41]~35 0xc0 4.95966e+06 0.5;
result_node[42]~32 0xc0 5.05796e+06 0.5;
result_node[42]~33 0xc0 4.03179e+06 0.5;
result_node[43]~86 0xc0 4.89455e+06 0.5;
result_node[43]~87 0xc0 4.88909e+06 0.5;
result_node[44]~90 0xc0 4.56674e+06 0.5;
result_node[44]~91 0xc0 3.958e+06 0.5;
result_node[45]~88 0xc0 5.06361e+06 0.5;
result_node[45]~89 0xc0 5.13862e+06 0.5;
result_node[46]~92 0xc0 4.56674e+06 0.5;
result_node[46]~93 0xc0 3.6369e+06 0.5;
result_node[47]~94 0xc0 4.56674e+06 0.5;
result_node[47]~95 0xc0 3.6369e+06 0.5;
result_node[48]~148 0xc0 4.95393e+06 0.5;
result_node[48]~149 0xc0 3.84931e+06 0.5;
result_node[49]~146 0xc0 4.95393e+06 0.5;
result_node[49]~147 0xc0 4.82566e+06 0.5;
result_node[50]~144 0xc0 5.14998e+06 0.5;
result_node[50]~145 0xc0 4.87577e+06 0.5;
result_node[51]~142 0xc0 5.14998e+06 0.5;
result_node[51]~143 0xc0 5.01677e+06 0.5;
result_node[52]~140 0xc0 4.56674e+06 0.5;
result_node[52]~141 0xc0 3.16618e+06 0.5;
result_node[53]~138 0xc0 5.14998e+06 0.5;
result_node[53]~139 0xc0 5.05996e+06 0.5;
result_node[54]~136 0xc0 4.56674e+06 0.5;
result_node[54]~137 0xc0 4.83867e+06 0.5;
result_node[55]~134 0xc0 4.56674e+06 0.5;
result_node[55]~135 0xc0 4.90547e+06 0.5;
result_node[56]~132 0xc0 5.14998e+06 0.5;
result_node[56]~133 0xc0 4.96213e+06 0.5;
result_node[57]~130 0xc0 4.56674e+06 0.5;
result_node[57]~131 0xc0 3.6369e+06 0.5;
result_node[58]~128 0xc0 5.14998e+06 0.5;
result_node[58]~129 0xc0 5.02093e+06 0.5;
result_node[59]~126 0xc0 4.56674e+06 0.5;
result_node[59]~127 0xc0 4.65225e+06 0.5;
result_node[60]~124 0xc0 4.71593e+06 0.5;
result_node[60]~125 0xc0 4.99871e+06 0.5;
result_node[61]~122 0xc0 4.56674e+06 0.5;
result_node[61]~123 0xc0 3.6369e+06 0.5;
result_node[62]~120 0xc0 4.56674e+06 0.5;
result_node[62]~121 0xc0 3.6369e+06 0.5;
result_node[63]~118 0xc0 4.51473e+06 0.5;
result_node[63]~119 0xc0 4.45289e+06 0.5;
result_node[64]~116 0xc0 4.56674e+06 0.5;
result_node[64]~117 0xc0 3.6369e+06 0.5;
result_node[65]~114 0xc0 4.51473e+06 0.5;
result_node[65]~115 0xc0 3.73865e+06 0.5;
result_node[66]~112 0xc0 4.51473e+06 0.5;
result_node[66]~113 0xc0 4.61091e+06 0.5;
result_node[67]~110 0xc0 4.56674e+06 0.5;
result_node[67]~111 0xc0 4.69544e+06 0.5;
result_node[68]~108 0xc0 4.95393e+06 0.5;
result_node[68]~109 0xc0 4.89424e+06 0.5;
result_node[69]~106 0xc0 5.14998e+06 0.5;
result_node[69]~107 0xc0 4.89918e+06 0.5;
result_node[70]~104 0xc0 4.56674e+06 0.5;
result_node[70]~105 0xc0 3.6369e+06 0.5;
result_node[71]~102 0xc0 4.95393e+06 0.5;
result_node[71]~103 0xc0 5.65192e+06 0.5;
result_node[72]~100 0xc0 5.06361e+06 0.5;
result_node[72]~101 0xc0 4.86679e+06 0.5;
result_node[73]~98 0xc0 4.51473e+06 0.5;
result_node[73]~99 0xc0 4.45289e+06 0.5;
result_node[74]~96 0xc0 4.56674e+06 0.5;
result_node[74]~97 0xc0 4.68047e+06 0.5;
result_node[75]~150 0xc0 4.56674e+06 0.5;
result_node[75]~151 0xc0 3.17324e+06 0.5;
result_node[76]~154 0xc0 5.14998e+06 0.5;
result_node[76]~155 0xc0 4.87577e+06 0.5;
result_node[77]~152 0xc0 4.56674e+06 0.5;
result_node[77]~153 0xc0 3.958e+06 0.5;
result_node[78]~156 0xc0 5.14998e+06 0.5;
result_node[78]~157 0xc0 5.05996e+06 0.5;
result_node[79]~158 0xc0 5.14998e+06 0.5;
result_node[79]~159 0xc0 5.26999e+06 0.5;
result_node[80]~170 0xc0 4.71593e+06 0.5;
result_node[80]~171 0xc0 4.78868e+06 0.5;
result_node[81]~168 0xc0 4.56674e+06 0.5;
result_node[81]~169 0xc0 3.16618e+06 0.5;
result_node[82]~166 0xc0 4.71593e+06 0.5;
result_node[82]~167 0xc0 3.79603e+06 0.5;
result_node[83]~164 0xc0 5.06361e+06 0.5;
result_node[83]~165 0xc0 5.64506e+06 0.5;
result_node[84]~162 0xc0 5.06361e+06 0.5;
result_node[84]~163 0xc0 5.22499e+06 0.5;
result_node[85]~160 0xc0 4.56674e+06 0.5;
result_node[85]~161 0xc0 3.958e+06 0.5;
ram_block[0] 0xc0 8.04014e+06 0.5;
ram_block[1] 0xc0 8.04014e+06 0.5;
ram_block[2] 0xc0 8.04014e+06 0.5;
ram_block[2]~feeder 0xc0 8.04014e+06 0.5;
ram_block[3] 0xc0 8.04014e+06 0.5;
ram_block[3]~feeder 0xc0 8.04014e+06 0.5;
ram_block[4] 0xc0 8.04014e+06 0.5;
ram_block[5] 0xc0 8.04014e+06 0.5;
ram_block[5]~feeder 0xc0 8.04014e+06 0.5;
ram_block[6] 0xc0 8.04014e+06 0.5;
ram_block[7] 0xc0 8.04014e+06 0.5;
ram_block[8] 0xc0 8.04014e+06 0.5;
ram_block[8]~feeder 0xc0 8.04014e+06 0.5;
ram_block[9] 0xc0 8.04014e+06 0.5;
ram_block[10] 0xc0 8.04014e+06 0.5;
ram_block[11] 0xc0 8.04014e+06 0.5;
ram_block[12] 0xc0 8.04014e+06 0.5;
ram_block[13] 0xc0 8.04014e+06 0.5;
ram_block[14] 0xc0 8.04014e+06 0.5;
ram_block[14]~feeder 0xc0 8.04014e+06 0.5;
ram_block[15] 0xc0 8.04014e+06 0.5;
ram_block[15]~feeder 0xc0 8.04014e+06 0.5;
ram_block[16] 0xc0 8.04014e+06 0.5;
ram_block[17] 0xc0 8.04014e+06 0.5;
ram_block[17]~feeder 0xc0 8.04014e+06 0.5;
ram_block[18] 0xc0 8.04014e+06 0.5;
ram_block[19] 0xc0 8.04014e+06 0.5;
ram_block[20] 0xc0 8.04014e+06 0.5;
ram_block[21] 0xc0 8.04014e+06 0.5;
ram_block[21]~feeder 0xc0 8.04014e+06 0.5;
ram_block[22] 0xc0 8.04014e+06 0.5;
ram_block[22]~feeder 0xc0 8.04014e+06 0.5;
ram_block[23] 0xc0 8.04014e+06 0.5;
ram_block[24] 0xc0 8.04014e+06 0.5;
ram_block[24]~feeder 0xc0 8.04014e+06 0.5;
ram_block[25] 0xc0 8.04014e+06 0.5;
ram_block[26] 0xc0 8.04014e+06 0.5;
ram_block[26]~feeder 0xc0 8.04014e+06 0.5;
ram_block[27] 0xc0 8.04014e+06 0.5;
ram_block[27]~feeder 0xc0 8.04014e+06 0.5;
ram_block[28] 0xc0 8.04014e+06 0.5;
ram_block[28]~feeder 0xc0 8.04014e+06 0.5;
ram_block[29] 0xc0 8.04014e+06 0.5;
ram_block[30] 0xc0 8.04014e+06 0.5;
ram_block[30]~feeder 0xc0 8.04014e+06 0.5;
ram_block[31] 0xc0 8.04014e+06 0.5;
ram_block[31]~feeder 0xc0 8.04014e+06 0.5;
ram_block[32] 0xc0 8.04014e+06 0.5;
ram_block[32]~feeder 0xc0 8.04014e+06 0.5;
ram_block[33] 0xc0 8.04014e+06 0.5;
ram_block[33]~feeder 0xc0 8.04014e+06 0.5;
ram_block[34] 0xc0 8.04014e+06 0.5;
ram_block[35] 0xc0 8.04014e+06 0.5;
ram_block[36] 0xc0 8.04014e+06 0.5;
ram_block[37] 0xc0 8.04014e+06 0.5;
ram_block[37]~feeder 0xc0 8.04014e+06 0.5;
ram_block[38] 0xc0 8.04014e+06 0.5;
ram_block[39] 0xc0 8.04014e+06 0.5;
ram_block[39]~feeder 0xc0 8.04014e+06 0.5;
ram_block[40] 0xc0 8.04014e+06 0.5;
ram_block[40]~feeder 0xc0 8.04014e+06 0.5;
ram_block[41] 0xc0 8.04014e+06 0.5;
ram_block[41]~feeder 0xc0 8.04014e+06 0.5;
ram_block[42] 0xc0 8.04014e+06 0.5;
ram_block[43] 0xc0 8.04014e+06 0.5;
ram_block[44] 0xc0 8.04014e+06 0.5;
ram_block[44]~feeder 0xc0 8.04014e+06 0.5;
ram_block[45] 0xc0 8.04014e+06 0.5;
ram_block[45]~feeder 0xc0 8.04014e+06 0.5;
ram_block[46] 0xc0 8.04014e+06 0.5;
ram_block[46]~feeder 0xc0 8.04014e+06 0.5;
ram_block[47] 0xc0 8.04014e+06 0.5;
ram_block[47]~feeder 0xc0 8.04014e+06 0.5;
ram_block[48] 0xc0 8.04014e+06 0.5;
ram_block[48]~feeder 0xc0 8.04014e+06 0.5;
ram_block[49] 0xc0 8.04014e+06 0.5;
ram_block[49]~feeder 0xc0 8.04014e+06 0.5;
ram_block[50] 0xc0 8.04014e+06 0.5;
ram_block[50]~feeder 0xc0 8.04014e+06 0.5;
ram_block[51] 0xc0 8.04014e+06 0.5;
ram_block[51]~feeder 0xc0 8.04014e+06 0.5;
ram_block[52] 0xc0 8.04014e+06 0.5;
ram_block[52]~feeder 0xc0 8.04014e+06 0.5;
ram_block[53] 0xc0 8.04014e+06 0.5;
ram_block[53]~feeder 0xc0 8.04014e+06 0.5;
ram_block[54] 0xc0 8.04014e+06 0.5;
ram_block[55] 0xc0 8.04014e+06 0.5;
ram_block[55]~feeder 0xc0 8.04014e+06 0.5;
ram_block[56] 0xc0 8.04014e+06 0.5;
ram_block[56]~feeder 0xc0 8.04014e+06 0.5;
ram_block[57] 0xc0 8.04014e+06 0.5;
ram_block[57]~feeder 0xc0 8.04014e+06 0.5;
ram_block[58] 0xc0 8.04014e+06 0.5;
ram_block[58]~feeder 0xc0 8.04014e+06 0.5;
ram_block[59] 0xc0 8.04014e+06 0.5;
ram_block[59]~feeder 0xc0 8.04014e+06 0.5;
ram_block[60] 0xc0 8.04014e+06 0.5;
ram_block[60]~feeder 0xc0 8.04014e+06 0.5;
ram_block[61] 0xc0 8.04014e+06 0.5;
ram_block[61]~feeder 0xc0 8.04014e+06 0.5;
ram_block[62] 0xc0 8.04014e+06 0.5;
ram_block[63] 0xc0 8.04014e+06 0.5;
ram_block[64] 0xc0 8.04014e+06 0.5;
ram_block[64]~feeder 0xc0 8.04014e+06 0.5;
ram_block[65] 0xc0 8.04014e+06 0.5;
ram_block[66] 0xc0 8.04014e+06 0.5;
ram_block[67] 0xc0 8.04014e+06 0.5;
ram_block[67]~feeder 0xc0 8.04014e+06 0.5;
ram_block[68] 0xc0 8.04014e+06 0.5;
ram_block[68]~feeder 0xc0 8.04014e+06 0.5;
ram_block[69] 0xc0 8.04014e+06 0.5;
ram_block[69]~feeder 0xc0 8.04014e+06 0.5;
ram_block[70] 0xc0 8.04014e+06 0.5;
ram_block[70]~feeder 0xc0 8.04014e+06 0.5;
ram_block[71] 0xc0 8.04014e+06 0.5;
ram_block[72] 0xc0 8.04014e+06 0.5;
ram_block[72]~feeder 0xc0 8.04014e+06 0.5;
ram_block[73] 0xc0 8.04014e+06 0.5;
ram_block[74] 0xc0 8.04014e+06 0.5;
ram_block[74]~feeder 0xc0 8.04014e+06 0.5;
ram_block[75] 0xc0 8.04014e+06 0.5;
ram_block[75]~feeder 0xc0 8.04014e+06 0.5;
ram_block[76] 0xc0 8.04014e+06 0.5;
ram_block[76]~feeder 0xc0 8.04014e+06 0.5;
ram_block[77] 0xc0 8.04014e+06 0.5;
ram_block[77]~feeder 0xc0 8.04014e+06 0.5;
ram_block[78] 0xc0 8.04014e+06 0.5;
ram_block[78]~feeder 0xc0 8.04014e+06 0.5;
ram_block[79] 0xc0 8.04014e+06 0.5;
ram_block[80] 0xc0 8.04014e+06 0.5;
ram_block[80]~feeder 0xc0 8.04014e+06 0.5;
ram_block[81] 0xc0 8.04014e+06 0.5;
ram_block[81]~feeder 0xc0 8.04014e+06 0.5;
ram_block[82] 0xc0 8.04014e+06 0.5;
ram_block[82]~feeder 0xc0 8.04014e+06 0.5;
ram_block[83] 0xc0 8.04014e+06 0.5;
ram_block[83]~feeder 0xc0 8.04014e+06 0.5;
ram_block[84] 0xc0 8.04014e+06 0.5;
ram_block[84]~feeder 0xc0 8.04014e+06 0.5;
ram_block[85] 0xc0 8.04014e+06 0.5;
ram_block[86] 0xc0 8.04014e+06 0.5;
ram_block[86]~feeder 0xc0 8.04014e+06 0.5;
ram_block[87] 0xc0 8.04014e+06 0.5;
ram_block[87]~feeder 0xc0 8.04014e+06 0.5;
ram_block[88] 0xc0 8.04014e+06 0.5;
ram_block[89] 0xc0 8.04014e+06 0.5;
ram_block[89]~feeder 0xc0 8.04014e+06 0.5;
ram_block[90] 0xc0 8.04014e+06 0.5;
ram_block[90]~feeder 0xc0 8.04014e+06 0.5;
ram_block[91] 0xc0 8.04014e+06 0.5;
ram_block[92] 0xc0 8.04014e+06 0.5;
ram_block[92]~feeder 0xc0 8.04014e+06 0.5;
ram_block[93] 0xc0 8.04014e+06 0.5;
ram_block[93]~feeder 0xc0 8.04014e+06 0.5;
ram_block[94] 0xc0 8.04014e+06 0.5;
ram_block[95] 0xc0 8.04014e+06 0.5;
ram_block[95]~feeder 0xc0 8.04014e+06 0.5;
ram_block[96] 0xc0 8.04014e+06 0.5;
ram_block[96]~feeder 0xc0 8.04014e+06 0.5;
ram_block[97] 0xc0 8.04014e+06 0.5;
ram_block[97]~feeder 0xc0 8.04014e+06 0.5;
ram_block[98] 0xc0 8.04014e+06 0.5;
ram_block[98]~feeder 0xc0 8.04014e+06 0.5;
ram_block[99] 0xc0 8.04014e+06 0.5;
ram_block[99]~feeder 0xc0 8.04014e+06 0.5;
ram_block[100] 0xc0 8.04014e+06 0.5;
ram_block[100]~feeder 0xc0 8.04014e+06 0.5;
ram_block[101] 0xc0 8.04014e+06 0.5;
ram_block[101]~feeder 0xc0 8.04014e+06 0.5;
ram_block[102] 0xc0 8.04014e+06 0.5;
ram_block[102]~feeder 0xc0 8.04014e+06 0.5;
ram_block[103] 0xc0 8.04014e+06 0.5;
ram_block[103]~feeder 0xc0 8.04014e+06 0.5;
ram_block[104] 0xc0 8.04014e+06 0.5;
ram_block[104]~feeder 0xc0 8.04014e+06 0.5;
ram_block[105] 0xc0 8.04014e+06 0.5;
ram_block[105]~feeder 0xc0 8.04014e+06 0.5;
ram_block[106] 0xc0 8.04014e+06 0.5;
ram_block[106]~feeder 0xc0 8.04014e+06 0.5;
ram_block[107] 0xc0 8.04014e+06 0.5;
ram_block[108] 0xc0 8.04014e+06 0.5;
ram_block[108]~feeder 0xc0 8.04014e+06 0.5;
ram_block[109] 0xc0 8.04014e+06 0.5;
ram_block[109]~feeder 0xc0 8.04014e+06 0.5;
ram_block[110] 0xc0 8.04014e+06 0.5;
ram_block[110]~feeder 0xc0 8.04014e+06 0.5;
ram_block[111] 0xc0 8.04014e+06 0.5;
ram_block[111]~feeder 0xc0 8.04014e+06 0.5;
ram_block[112] 0xc0 8.04014e+06 0.5;
ram_block[112]~feeder 0xc0 8.04014e+06 0.5;
ram_block[113] 0xc0 8.04014e+06 0.5;
ram_block[113]~feeder 0xc0 8.04014e+06 0.5;
ram_block[114] 0xc0 8.04014e+06 0.5;
ram_block[115] 0xc0 8.04014e+06 0.5;
ram_block[115]~feeder 0xc0 8.04014e+06 0.5;
ram_block[116] 0xc0 8.04014e+06 0.5;
ram_block[116]~feeder 0xc0 8.04014e+06 0.5;
ram_block[117] 0xc0 8.04014e+06 0.5;
ram_block[117]~feeder 0xc0 8.04014e+06 0.5;
ram_block[118] 0xc0 8.04014e+06 0.5;
ram_block[118]~feeder 0xc0 8.04014e+06 0.5;
ram_block[119] 0xc0 8.04014e+06 0.5;
ram_block[119]~feeder 0xc0 8.04014e+06 0.5;
ram_block[120] 0xc0 8.04014e+06 0.5;
ram_block[121] 0xc0 8.04014e+06 0.5;
ram_block[121]~feeder 0xc0 8.04014e+06 0.5;
ram_block[122] 0xc0 8.04014e+06 0.5;
ram_block[122]~feeder 0xc0 8.04014e+06 0.5;
ram_block[123] 0xc0 8.04014e+06 0.5;
ram_block[124] 0xc0 8.04014e+06 0.5;
ram_block[124]~feeder 0xc0 8.04014e+06 0.5;
ram_block[125] 0xc0 8.04014e+06 0.5;
ram_block[125]~feeder 0xc0 8.04014e+06 0.5;
ram_block[126] 0xc0 8.04014e+06 0.5;
ram_block[127] 0xc0 8.04014e+06 0.5;
ram_block[127]~feeder 0xc0 8.04014e+06 0.5;
ram_block[128] 0xc0 8.04014e+06 0.5;
ram_block[128]~feeder 0xc0 8.04014e+06 0.5;
ram_block[129] 0xc0 8.04014e+06 0.5;
ram_block[129]~feeder 0xc0 8.04014e+06 0.5;
ram_block[130] 0xc0 8.04014e+06 0.5;
ram_block[130]~feeder 0xc0 8.04014e+06 0.5;
ram_block[131] 0xc0 8.04014e+06 0.5;
ram_block[131]~feeder 0xc0 8.04014e+06 0.5;
ram_block[132] 0xc0 8.04014e+06 0.5;
ram_block[133] 0xc0 8.04014e+06 0.5;
ram_block[134] 0xc0 8.04014e+06 0.5;
ram_block[134]~feeder 0xc0 8.04014e+06 0.5;
ram_block[135] 0xc0 8.04014e+06 0.5;
ram_block[135]~feeder 0xc0 8.04014e+06 0.5;
ram_block[136] 0xc0 8.04014e+06 0.5;
ram_block[136]~feeder 0xc0 8.04014e+06 0.5;
ram_block[137] 0xc0 8.04014e+06 0.5;
ram_block[137]~feeder 0xc0 8.04014e+06 0.5;
ram_block[138] 0xc0 8.04014e+06 0.5;
ram_block[138]~feeder 0xc0 8.04014e+06 0.5;
ram_block[139] 0xc0 8.04014e+06 0.5;
ram_block[139]~feeder 0xc0 8.04014e+06 0.5;
ram_block[140] 0xc0 8.04014e+06 0.5;
ram_block[140]~feeder 0xc0 8.04014e+06 0.5;
ram_block[141] 0xc0 8.04014e+06 0.5;
ram_block[141]~feeder 0xc0 8.04014e+06 0.5;
ram_block[142] 0xc0 8.04014e+06 0.5;
ram_block[142]~feeder 0xc0 8.04014e+06 0.5;
ram_block[143] 0xc0 8.04014e+06 0.5;
ram_block[143]~feeder 0xc0 8.04014e+06 0.5;
ram_block[144] 0xc0 8.04014e+06 0.5;
ram_block[144]~feeder 0xc0 8.04014e+06 0.5;
ram_block[145] 0xc0 8.04014e+06 0.5;
ram_block[145]~feeder 0xc0 8.04014e+06 0.5;
ram_block[146] 0xc0 8.04014e+06 0.5;
ram_block[146]~feeder 0xc0 8.04014e+06 0.5;
ram_block[147] 0xc0 8.04014e+06 0.5;
ram_block[148] 0xc0 8.04014e+06 0.5;
ram_block[148]~feeder 0xc0 8.04014e+06 0.5;
ram_block[149] 0xc0 8.04014e+06 0.5;
ram_block[149]~feeder 0xc0 8.04014e+06 0.5;
ram_block[150] 0xc0 8.04014e+06 0.5;
ram_block[150]~feeder 0xc0 8.04014e+06 0.5;
ram_block[151] 0xc0 8.04014e+06 0.5;
ram_block[152] 0xc0 8.04014e+06 0.5;
ram_block[152]~feeder 0xc0 8.04014e+06 0.5;
ram_block[153] 0xc0 8.04014e+06 0.5;
ram_block[153]~feeder 0xc0 8.04014e+06 0.5;
ram_block[154] 0xc0 8.04014e+06 0.5;
ram_block[154]~feeder 0xc0 8.04014e+06 0.5;
ram_block[155] 0xc0 8.04014e+06 0.5;
ram_block[156] 0xc0 8.04014e+06 0.5;
ram_block[156]~feeder 0xc0 8.04014e+06 0.5;
ram_block[157] 0xc0 8.04014e+06 0.5;
ram_block[158] 0xc0 8.04014e+06 0.5;
ram_block[159] 0xc0 8.04014e+06 0.5;
ram_block[159]~feeder 0xc0 8.04014e+06 0.5;
ram_block[160] 0xc0 8.04014e+06 0.5;
ram_block[160]~feeder 0xc0 8.04014e+06 0.5;
ram_block[161] 0xc0 8.04014e+06 0.5;
ram_block[161]~feeder 0xc0 8.04014e+06 0.5;
ram_block[162] 0xc0 8.04014e+06 0.5;
ram_block[162]~feeder 0xc0 8.04014e+06 0.5;
ram_block[163] 0xc0 8.04014e+06 0.5;
ram_block[164] 0xc0 8.04014e+06 0.5;
ram_block[164]~feeder 0xc0 8.04014e+06 0.5;
ram_block[165] 0xc0 8.04014e+06 0.5;
ram_block[165]~feeder 0xc0 8.04014e+06 0.5;
ram_block[166] 0xc0 8.04014e+06 0.5;
ram_block[166]~feeder 0xc0 8.04014e+06 0.5;
ram_block[167] 0xc0 8.04014e+06 0.5;
ram_block[168] 0xc0 8.04014e+06 0.5;
ram_block[168]~feeder 0xc0 8.04014e+06 0.5;
ram_block[169] 0xc0 8.04014e+06 0.5;
ram_block[169]~feeder 0xc0 8.04014e+06 0.5;
ram_block[170] 0xc0 8.04014e+06 0.5;
ram_block[171] 0xc0 8.04014e+06 0.5;
ram_block[171]~feeder 0xc0 8.04014e+06 0.5;
ram_block[172] 0xc0 8.04014e+06 0.5;
ram_block[172]~feeder 0xc0 8.04014e+06 0.5;
ram_block[173] 0xc0 8.04014e+06 0.5;
ram_block[173]~feeder 0xc0 8.04014e+06 0.5;
ram_block[174] 0xc0 8.04014e+06 0.5;
ram_block[174]~feeder 0xc0 8.04014e+06 0.5;
ram_block[175] 0xc0 8.04014e+06 0.5;
ram_block[176] 0xc0 8.04014e+06 0.5;
ram_block[176]~feeder 0xc0 8.04014e+06 0.5;
ram_block[177] 0xc0 8.04014e+06 0.5;
ram_block[177]~feeder 0xc0 8.04014e+06 0.5;
ram_block[178] 0xc0 8.04014e+06 0.5;
ram_block[178]~feeder 0xc0 8.04014e+06 0.5;
ram_block[179] 0xc0 8.04014e+06 0.5;
ram_block[179]~feeder 0xc0 8.04014e+06 0.5;
ram_block[180] 0xc0 8.04014e+06 0.5;
ram_block[180]~feeder 0xc0 8.04014e+06 0.5;
ram_block[181] 0xc0 8.04014e+06 0.5;
ram_block[181]~feeder 0xc0 8.04014e+06 0.5;
ram_block[182] 0xc0 8.04014e+06 0.5;
ram_block[182]~feeder 0xc0 8.04014e+06 0.5;
ram_block[183] 0xc0 8.04014e+06 0.5;
ram_block[183]~feeder 0xc0 8.04014e+06 0.5;
ram_block[184] 0xc0 8.04014e+06 0.5;
ram_block[184]~feeder 0xc0 8.04014e+06 0.5;
ram_block[185] 0xc0 8.04014e+06 0.5;
ram_block[186] 0xc0 8.04014e+06 0.5;
ram_block[187] 0xc0 8.04014e+06 0.5;
ram_block[187]~feeder 0xc0 8.04014e+06 0.5;
ram_block[188] 0xc0 8.04014e+06 0.5;
ram_block[188]~feeder 0xc0 8.04014e+06 0.5;
ram_block[189] 0xc0 8.04014e+06 0.5;
ram_block[189]~feeder 0xc0 8.04014e+06 0.5;
ram_block[190] 0xc0 8.04014e+06 0.5;
ram_block[190]~feeder 0xc0 8.04014e+06 0.5;
ram_block[191] 0xc0 8.04014e+06 0.5;
ram_block[191]~feeder 0xc0 8.04014e+06 0.5;
ram_block[192] 0xc0 8.04014e+06 0.5;
ram_block[193] 0xc0 8.04014e+06 0.5;
ram_block[193]~feeder 0xc0 8.04014e+06 0.5;
ram_block[194] 0xc0 8.04014e+06 0.5;
ram_block[195] 0xc0 8.04014e+06 0.5;
ram_block[195]~feeder 0xc0 8.04014e+06 0.5;
ram_block[196] 0xc0 8.04014e+06 0.5;
ram_block[197] 0xc0 8.04014e+06 0.5;
ram_block[197]~feeder 0xc0 8.04014e+06 0.5;
ram_block[198] 0xc0 8.04014e+06 0.5;
ram_block[198]~feeder 0xc0 8.04014e+06 0.5;
ram_block[199] 0xc0 8.04014e+06 0.5;
ram_block[199]~feeder 0xc0 8.04014e+06 0.5;
ram_block[200] 0xc0 8.04014e+06 0.5;
ram_block[200]~feeder 0xc0 8.04014e+06 0.5;
ram_block[201] 0xc0 8.04014e+06 0.5;
ram_block[201]~feeder 0xc0 8.04014e+06 0.5;
ram_block[202] 0xc0 8.04014e+06 0.5;
ram_block[202]~feeder 0xc0 8.04014e+06 0.5;
ram_block[203] 0xc0 8.04014e+06 0.5;
ram_block[204] 0xc0 8.04014e+06 0.5;
ram_block[205] 0xc0 8.04014e+06 0.5;
ram_block[205]~feeder 0xc0 8.04014e+06 0.5;
ram_block[206] 0xc0 8.04014e+06 0.5;
ram_block[207] 0xc0 8.04014e+06 0.5;
ram_block[207]~feeder 0xc0 8.04014e+06 0.5;
ram_block[208] 0xc0 8.04014e+06 0.5;
ram_block[208]~feeder 0xc0 8.04014e+06 0.5;
ram_block[209] 0xc0 8.04014e+06 0.5;
ram_block[210] 0xc0 8.04014e+06 0.5;
ram_block[210]~feeder 0xc0 8.04014e+06 0.5;
ram_block[211] 0xc0 8.04014e+06 0.5;
ram_block[211]~feeder 0xc0 8.04014e+06 0.5;
ram_block[212] 0xc0 8.04014e+06 0.5;
ram_block[212]~feeder 0xc0 8.04014e+06 0.5;
ram_block[213] 0xc0 8.04014e+06 0.5;
ram_block[214] 0xc0 8.04014e+06 0.5;
ram_block[215] 0xc0 8.04014e+06 0.5;
ram_block[215]~feeder 0xc0 8.04014e+06 0.5;
ram_block[216] 0xc0 8.04014e+06 0.5;
ram_block[216]~feeder 0xc0 8.04014e+06 0.5;
ram_block[217] 0xc0 8.04014e+06 0.5;
ram_block[218] 0xc0 8.04014e+06 0.5;
ram_block[218]~feeder 0xc0 8.04014e+06 0.5;
ram_block[219] 0xc0 8.04014e+06 0.5;
ram_block[219]~feeder 0xc0 8.04014e+06 0.5;
ram_block[220] 0xc0 8.04014e+06 0.5;
ram_block[221] 0xc0 8.04014e+06 0.5;
ram_block[222] 0xc0 8.04014e+06 0.5;
ram_block[222]~feeder 0xc0 8.04014e+06 0.5;
ram_block[223] 0xc0 8.04014e+06 0.5;
ram_block[223]~feeder 0xc0 8.04014e+06 0.5;
ram_block[224] 0xc0 8.04014e+06 0.5;
ram_block[225] 0xc0 8.04014e+06 0.5;
ram_block[225]~feeder 0xc0 8.04014e+06 0.5;
ram_block[226] 0xc0 8.04014e+06 0.5;
ram_block[226]~feeder 0xc0 8.04014e+06 0.5;
ram_block[227] 0xc0 8.04014e+06 0.5;
ram_block[228] 0xc0 8.04014e+06 0.5;
ram_block[228]~feeder 0xc0 8.04014e+06 0.5;
ram_block[229] 0xc0 8.04014e+06 0.5;
ram_block[230] 0xc0 8.04014e+06 0.5;
ram_block[230]~feeder 0xc0 8.04014e+06 0.5;
ram_block[231] 0xc0 8.04014e+06 0.5;
ram_block[231]~feeder 0xc0 8.04014e+06 0.5;
ram_block[232] 0xc0 8.04014e+06 0.5;
ram_block[233] 0xc0 8.04014e+06 0.5;
ram_block[234] 0xc0 8.04014e+06 0.5;
ram_block[235] 0xc0 8.04014e+06 0.5;
ram_block[235]~feeder 0xc0 8.04014e+06 0.5;
ram_block[236] 0xc0 8.04014e+06 0.5;
ram_block[236]~feeder 0xc0 8.04014e+06 0.5;
ram_block[237] 0xc0 8.04014e+06 0.5;
ram_block[238] 0xc0 8.04014e+06 0.5;
ram_block[238]~feeder 0xc0 8.04014e+06 0.5;
ram_block[239] 0xc0 8.04014e+06 0.5;
ram_block[239]~feeder 0xc0 8.04014e+06 0.5;
ram_block[240] 0xc0 8.04014e+06 0.5;
ram_block[240]~feeder 0xc0 8.04014e+06 0.5;
ram_block[241] 0xc0 8.04014e+06 0.5;
ram_block[241]~feeder 0xc0 8.04014e+06 0.5;
ram_block[242] 0xc0 8.04014e+06 0.5;
ram_block[242]~feeder 0xc0 8.04014e+06 0.5;
ram_block[243] 0xc0 8.04014e+06 0.5;
ram_block[243]~feeder 0xc0 8.04014e+06 0.5;
ram_block[244] 0xc0 8.04014e+06 0.5;
ram_block[244]~feeder 0xc0 8.04014e+06 0.5;
ram_block[245] 0xc0 8.04014e+06 0.5;
ram_block[245]~feeder 0xc0 8.04014e+06 0.5;
ram_block[246] 0xc0 8.04014e+06 0.5;
ram_block[247] 0xc0 8.04014e+06 0.5;
ram_block[248] 0xc0 8.04014e+06 0.5;
ram_block[248]~feeder 0xc0 8.04014e+06 0.5;
ram_block[249] 0xc0 8.04014e+06 0.5;
ram_block[249]~feeder 0xc0 8.04014e+06 0.5;
ram_block[250] 0xc0 8.04014e+06 0.5;
ram_block[250]~feeder 0xc0 8.04014e+06 0.5;
ram_block[251] 0xc0 8.04014e+06 0.5;
ram_block[251]~feeder 0xc0 8.04014e+06 0.5;
ram_block[252] 0xc0 8.04014e+06 0.5;
ram_block[252]~feeder 0xc0 8.04014e+06 0.5;
ram_block[253] 0xc0 8.04014e+06 0.5;
ram_block[253]~feeder 0xc0 8.04014e+06 0.5;
ram_block[254] 0xc0 8.04014e+06 0.5;
ram_block[255] 0xc0 8.04014e+06 0.5;
ram_block[255]~feeder 0xc0 8.04014e+06 0.5;
ram_block[256] 0xc0 8.04014e+06 0.5;
ram_block[257] 0xc0 8.04014e+06 0.5;
ram_block[257]~feeder 0xc0 8.04014e+06 0.5;
ram_block[258] 0xc0 8.04014e+06 0.5;
ram_block[258]~feeder 0xc0 8.04014e+06 0.5;
ram_block[259] 0xc0 8.04014e+06 0.5;
ram_block[259]~feeder 0xc0 8.04014e+06 0.5;
ram_block[260] 0xc0 8.04014e+06 0.5;
ram_block[260]~feeder 0xc0 8.04014e+06 0.5;
ram_block[261] 0xc0 8.04014e+06 0.5;
ram_block[261]~feeder 0xc0 8.04014e+06 0.5;
ram_block[262] 0xc0 8.04014e+06 0.5;
ram_block[262]~feeder 0xc0 8.04014e+06 0.5;
ram_block[263] 0xc0 8.04014e+06 0.5;
ram_block[263]~feeder 0xc0 8.04014e+06 0.5;
ram_block[264] 0xc0 8.04014e+06 0.5;
ram_block[264]~feeder 0xc0 8.04014e+06 0.5;
ram_block[265] 0xc0 8.04014e+06 0.5;
ram_block[266] 0xc0 8.04014e+06 0.5;
ram_block[266]~feeder 0xc0 8.04014e+06 0.5;
ram_block[267] 0xc0 8.04014e+06 0.5;
ram_block[267]~feeder 0xc0 8.04014e+06 0.5;
ram_block[268] 0xc0 8.04014e+06 0.5;
ram_block[268]~feeder 0xc0 8.04014e+06 0.5;
ram_block[269] 0xc0 8.04014e+06 0.5;
ram_block[269]~feeder 0xc0 8.04014e+06 0.5;
ram_block[270] 0xc0 8.04014e+06 0.5;
ram_block[270]~feeder 0xc0 8.04014e+06 0.5;
ram_block[271] 0xc0 8.04014e+06 0.5;
ram_block[271]~feeder 0xc0 8.04014e+06 0.5;
ram_block[272] 0xc0 8.04014e+06 0.5;
ram_block[272]~feeder 0xc0 8.04014e+06 0.5;
ram_block[273] 0xc0 8.04014e+06 0.5;
ram_block[274] 0xc0 8.04014e+06 0.5;
ram_block[274]~feeder 0xc0 8.04014e+06 0.5;
ram_block[275] 0xc0 8.04014e+06 0.5;
ram_block[276] 0xc0 8.04014e+06 0.5;
ram_block[276]~feeder 0xc0 8.04014e+06 0.5;
ram_block[277] 0xc0 8.04014e+06 0.5;
ram_block[277]~feeder 0xc0 8.04014e+06 0.5;
ram_block[278] 0xc0 8.04014e+06 0.5;
ram_block[278]~feeder 0xc0 8.04014e+06 0.5;
ram_block[279] 0xc0 8.04014e+06 0.5;
ram_block[279]~feeder 0xc0 8.04014e+06 0.5;
ram_block[280] 0xc0 8.04014e+06 0.5;
ram_block[280]~feeder 0xc0 8.04014e+06 0.5;
ram_block[281] 0xc0 8.04014e+06 0.5;
ram_block[281]~feeder 0xc0 8.04014e+06 0.5;
ram_block[282] 0xc0 8.04014e+06 0.5;
ram_block[282]~feeder 0xc0 8.04014e+06 0.5;
ram_block[283] 0xc0 8.04014e+06 0.5;
ram_block[283]~feeder 0xc0 8.04014e+06 0.5;
ram_block[284] 0xc0 8.04014e+06 0.5;
ram_block[285] 0xc0 8.04014e+06 0.5;
ram_block[286] 0xc0 8.04014e+06 0.5;
ram_block[286]~feeder 0xc0 8.04014e+06 0.5;
ram_block[287] 0xc0 8.04014e+06 0.5;
ram_block[287]~feeder 0xc0 8.04014e+06 0.5;
ram_block[288] 0xc0 8.04014e+06 0.5;
ram_block[289] 0xc0 8.04014e+06 0.5;
ram_block[289]~feeder 0xc0 8.04014e+06 0.5;
ram_block[290] 0xc0 8.04014e+06 0.5;
ram_block[290]~feeder 0xc0 8.04014e+06 0.5;
ram_block[291] 0xc0 8.04014e+06 0.5;
ram_block[292] 0xc0 8.04014e+06 0.5;
ram_block[292]~feeder 0xc0 8.04014e+06 0.5;
ram_block[293] 0xc0 8.04014e+06 0.5;
ram_block[293]~feeder 0xc0 8.04014e+06 0.5;
ram_block[294] 0xc0 8.04014e+06 0.5;
ram_block[294]~feeder 0xc0 8.04014e+06 0.5;
ram_block[295] 0xc0 8.04014e+06 0.5;
ram_block[295]~feeder 0xc0 8.04014e+06 0.5;
ram_block[296] 0xc0 8.04014e+06 0.5;
ram_block[296]~feeder 0xc0 8.04014e+06 0.5;
ram_block[297] 0xc0 8.04014e+06 0.5;
ram_block[298] 0xc0 8.04014e+06 0.5;
ram_block[298]~feeder 0xc0 8.04014e+06 0.5;
ram_block[299] 0xc0 8.04014e+06 0.5;
ram_block[299]~feeder 0xc0 8.04014e+06 0.5;
ram_block[300] 0xc0 8.04014e+06 0.5;
ram_block[300]~feeder 0xc0 8.04014e+06 0.5;
ram_block[301] 0xc0 8.04014e+06 0.5;
ram_block[301]~feeder 0xc0 8.04014e+06 0.5;
ram_block[302] 0xc0 8.04014e+06 0.5;
ram_block[303] 0xc0 8.04014e+06 0.5;
ram_block[303]~feeder 0xc0 8.04014e+06 0.5;
ram_block[304] 0xc0 8.04014e+06 0.5;
ram_block[305] 0xc0 8.04014e+06 0.5;
ram_block[305]~feeder 0xc0 8.04014e+06 0.5;
ram_block[306] 0xc0 8.04014e+06 0.5;
ram_block[306]~feeder 0xc0 8.04014e+06 0.5;
ram_block[307] 0xc0 8.04014e+06 0.5;
ram_block[307]~feeder 0xc0 8.04014e+06 0.5;
ram_block[308] 0xc0 8.04014e+06 0.5;
ram_block[309] 0xc0 8.04014e+06 0.5;
ram_block[310] 0xc0 8.04014e+06 0.5;
ram_block[310]~feeder 0xc0 8.04014e+06 0.5;
ram_block[311] 0xc0 8.04014e+06 0.5;
ram_block[312] 0xc0 8.04014e+06 0.5;
ram_block[312]~feeder 0xc0 8.04014e+06 0.5;
ram_block[313] 0xc0 8.04014e+06 0.5;
ram_block[313]~feeder 0xc0 8.04014e+06 0.5;
ram_block[314] 0xc0 8.04014e+06 0.5;
ram_block[315] 0xc0 8.04014e+06 0.5;
ram_block[315]~feeder 0xc0 8.04014e+06 0.5;
ram_block[316] 0xc0 8.04014e+06 0.5;
ram_block[317] 0xc0 8.04014e+06 0.5;
ram_block[318] 0xc0 8.04014e+06 0.5;
ram_block[318]~feeder 0xc0 8.04014e+06 0.5;
ram_block[319] 0xc0 8.04014e+06 0.5;
ram_block[320] 0xc0 8.04014e+06 0.5;
ram_block[320]~feeder 0xc0 8.04014e+06 0.5;
ram_block[321] 0xc0 8.04014e+06 0.5;
ram_block[321]~feeder 0xc0 8.04014e+06 0.5;
ram_block[322] 0xc0 8.04014e+06 0.5;
ram_block[323] 0xc0 8.04014e+06 0.5;
ram_block[323]~feeder 0xc0 8.04014e+06 0.5;
ram_block[324] 0xc0 8.04014e+06 0.5;
ram_block[324]~feeder 0xc0 8.04014e+06 0.5;
ram_block[325] 0xc0 8.04014e+06 0.5;
ram_block[326] 0xc0 8.04014e+06 0.5;
ram_block[327] 0xc0 8.04014e+06 0.5;
ram_block[327]~feeder 0xc0 8.04014e+06 0.5;
ram_block[328] 0xc0 8.04014e+06 0.5;
ram_block[329] 0xc0 8.04014e+06 0.5;
ram_block[330] 0xc0 8.04014e+06 0.5;
ram_block[330]~feeder 0xc0 8.04014e+06 0.5;
ram_block[331] 0xc0 8.04014e+06 0.5;
ram_block[331]~feeder 0xc0 8.04014e+06 0.5;
ram_block[332] 0xc0 8.04014e+06 0.5;
ram_block[332]~feeder 0xc0 8.04014e+06 0.5;
ram_block[333] 0xc0 8.04014e+06 0.5;
ram_block[333]~feeder 0xc0 8.04014e+06 0.5;
ram_block[334] 0xc0 8.04014e+06 0.5;
ram_block[335] 0xc0 8.04014e+06 0.5;
ram_block[335]~feeder 0xc0 8.04014e+06 0.5;
ram_block[336] 0xc0 8.04014e+06 0.5;
ram_block[337] 0xc0 8.04014e+06 0.5;
ram_block[338] 0xc0 8.04014e+06 0.5;
ram_block[339] 0xc0 8.04014e+06 0.5;
ram_block[339]~feeder 0xc0 8.04014e+06 0.5;
ram_block[340] 0xc0 8.04014e+06 0.5;
ram_block[340]~feeder 0xc0 8.04014e+06 0.5;
ram_block[341] 0xc0 8.04014e+06 0.5;
ram_block[342] 0xc0 8.04014e+06 0.5;
ram_block[342]~feeder 0xc0 8.04014e+06 0.5;
ram_block[343] 0xc0 8.04014e+06 0.5;
ram_block[343]~feeder 0xc0 8.04014e+06 0.5;
rd_data_out_latch[0] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[1] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[2] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[3] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[4] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[5] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[6] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[7] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[8] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[9] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[10] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[11] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[12] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[13] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[14] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[15] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[16] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[17] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[18] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[19] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[20] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[21] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[22] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[23] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[24] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[25] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[26] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[27] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[28] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[29] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[30] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[31] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[32] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[33] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[34] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[35] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[36] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[37] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[38] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[39] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[40] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[41] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[42] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[43] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[44] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[45] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[46] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[47] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[48] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[49] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[50] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[51] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[52] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[53] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[54] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[55] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[56] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[57] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[58] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[59] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[60] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[61] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[62] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[63] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[64] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[65] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[66] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[67] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[68] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[69] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[70] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[71] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[72] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[73] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[74] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[75] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[76] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[77] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[78] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[79] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[80] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[81] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[82] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[83] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[84] 0xc0 8.04014e+06 0.5;
rd_data_out_latch[85] 0xc0 8.04014e+06 0.5;
wren_reg 0xc0 8.04014e+06 0.5;
wren_reg~feeder 0xc0 40.9032 6.10352e-05;
full_dff 0xc0 8.04014e+06 0.5;
low_addressa[0] 0xc0 8.04014e+06 0.5;
low_addressa[0]~1 0xc0 4.56969e+06 0.25;
low_addressa[1] 0xc0 8.04014e+06 0.5;
low_addressa[1]~0 0xc0 4.56969e+06 0.25;
ram_read_address[0]~1 0xc0 4.26347e+06 0.5;
ram_read_address[1]~0 0xc0 4.67961e+06 0.5;
rd_ptr_lsb 0xc0 8.04014e+06 0.5;
rd_ptr_lsb~0 0xc0 6.0301e+06 0.25;
rd_ptr_lsb~1 0xc0 4.52258e+06 0.5625;
cntr_q9b:rd_ptr_msb;
_~0 0xc0 9.40633e+06 0.53125;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
cntr_7a7:usedw_counter;
_~0 0xc0 1.51344e+07 0.562523;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 8.03918e+06 0.5;
counter_comb_bita1 0xc0 8.03966e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
usedw_is_0_dff 0xc0 8.04014e+06 0.5;
usedw_is_1_dff 0xc0 8.04014e+06 0.5;
usedw_will_be_1~0 0xc0 5.60936e+06 0.0312748;
usedw_will_be_1~1 0xc0 1.23842e+07 0.227535;
valid_rreq 0xc0 2.7638e+06 0.125;
valid_rreq~0 0xc0 6.0301e+06 0.25;
valid_wreq~0 0xc0 1.48397e+06 0.0625;
valid_wreq~1 0xc0 989314 0.0625;
valid_wreq~2 0xc0 81.5667 0.00012207;
valid_wreq~3 0xc0 40.9032 6.10352e-05;
cntr_r9b:wr_ptr;
_~0 0xc0 8.03822e+06 0.500031;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5;
counter_comb_bita1 0xc0 8.04014e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
auk_dspip_integrator:integrator[0].integration;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 7.39405e+06 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 7.7171e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 7.95938e+06 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 7.99976e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 8.03004e+06 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 8.03509e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 8.03888e+06 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 8.03951e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 8.03998e+06 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 8.04006e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 8.04012e+06 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 8.04013e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 8.04013e+06 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~2 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~1 0xc0 8.04014e+06 0.5;
auk_dspip_integrator:integrator[1].integration;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~1 0xc0 7.39405e+06 0.5;
auk_dspip_integrator:integrator[2].integration;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~1 0xc0 7.39405e+06 0.5;
auk_dspip_integrator:integrator[3].integration;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~1 0xc0 7.39405e+06 0.5;
auk_dspip_integrator:integrator[4].integration;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~1 0xc0 5.88653e+06 0.5;
auk_dspip_integrator:integrator[5].integration;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25;
\register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5;
\register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5;
\register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5;
\register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5;
\register_fifo:fifo_data[0][85]~1 0xc0 5.88653e+06 0.5;
counter_module:latency_cnt_inst;
Add0~0 0xc0 4.52258e+06 0.25;
Add0~1 0xc0 1.91581e+06 0.125;
count[0] 0xc0 8.04014e+06 0.5;
count[1] 0xc0 8.04014e+06 0.5;
count[1]~4 0xc0 6.08899e+06 0.46875;
count[2] 0xc0 8.04014e+06 0.5;
count[2]~1 0xc0 6.95638e+06 0.397217;
count[3] 0xc0 8.04014e+06 0.5;
count[3]~0 0xc0 7.63185e+06 0.4375;
count[3]~2 0xc0 9.19288e+06 0.38269;
count~3 0xc0 6.33632e+06 0.46875;
sample_state[0] 0xc0 8.04014e+06 0.5;
sample_state~0 0xc0 4.1457e+06 0.625;
sample_state~1 0xc0 5.05846e+06 0.671875;
state[0] 0xc0 8.04014e+06 0.5;
state~0 0xc0 8.95949e+06 0.515625;
auk_dspip_downsample:vrc_en_0.first_dsample;
counter_module:counter_fs_inst;
Add0~0 0xc0 8.04014e+06 0.5;
Add0~1 0xc0 8.04014e+06 0.5;
Add0~2 0xc0 8.04014e+06 0.5;
Add0~3 0xc0 4.52258e+06 0.75;
Add0~4 0xc0 7.28637e+06 0.5;
Add0~5 0xc0 6.15573e+06 0.125;
Add0~6 0xc0 9.35922e+06 0.5;
Add0~7 0xc0 1.79019e+06 0.9375;
Add0~8 0xc0 7.99303e+06 0.5;
Add0~9 0xc0 7.54548e+06 0.03125;
Add0~10 0xc0 1.13261e+07 0.5;
Add0~11 0xc0 1.90207e+06 0.984375;
Add0~12 0xc0 8.74385e+06 0.5;
Add0~13 0xc0 8.26833e+06 0.0078125;
Add0~14 0xc0 1.20497e+07 0.5;
Add0~15 0xc0 2.06806e+06 0.996094;
Add0~16 0xc0 9.0116e+06 0.5;
Add0~17 0xc0 8.49458e+06 0.00195313;
Add0~18 0xc0 1.22561e+07 0.5;
Add0~19 0xc0 2.12371e+06 0.999023;
Add0~20 0xc0 9.0863e+06 0.5;
Equal0~0 0xc0 989314 0.0625;
Equal0~1 0xc0 724319 0.0625;
Equal0~2 0xc0 4.52258e+06 0.25;
Equal0~3 0xc0 452.073 0.000488281;
count[0] 0xc0 8.04014e+06 0.5;
count[1] 0xc0 8.04014e+06 0.5;
count[2] 0xc0 8.04014e+06 0.5;
count[3] 0xc0 8.04014e+06 0.5;
count[4] 0xc0 8.04014e+06 0.5;
count[5] 0xc0 8.04014e+06 0.5;
count[6] 0xc0 8.04014e+06 0.5;
count[7] 0xc0 8.04014e+06 0.5;
count[8] 0xc0 8.04014e+06 0.5;
count[9] 0xc0 8.04014e+06 0.5;
count[10] 0xc0 8.04014e+06 0.5;
count~0 0xc0 7.27949e+06 0.499756;
count~1 0xc0 6.40698e+06 0.625;
count~2 0xc0 9.35031e+06 0.499756;
count~3 0xc0 7.98545e+06 0.499756;
count~4 0xc0 1.13152e+07 0.499756;
count~5 0xc0 9.00303e+06 0.499756;
count~6 0xc0 1.8155e+07 0.499756;
auk_dspip_avalon_streaming_sink:input_sink;
scfifo:sink_FIFO;
scfifo_ef71:auto_generated;
dffe_nae 0xc0 8.04014e+06 0.5;
dffe_nae~0 0xc0 1.44471e+06 0.125;
dffe_nae~1 0xc0 6.89282e+06 0.524597;
a_dpfifo_vkv:dpfifo;
_~0 0xc0 724319 0.0625;
_~1 0xc0 1.10184e+07 0.502975;
_~2 0xc0 5.82471e+06 0.276611;
empty_dff 0xc0 8.04014e+06 0.5;
empty_dff~0 0xc0 4.36554e+06 0.3125;
empty_dff~1 0xc0 5.99977e+06 0.859583;
empty_dff~2 0xc0 1.28965e+07 0.593491;
empty_dff~3 0xc0 6.32758e+06 0.242495;
empty_dff~4 0xc0 8.60291e+06 0.441508;
empty_dff~5 0xc0 9.03419e+06 0.40721;
altsyncram_h7h1:FIFOram;
q_b[0] 0xc0 8.04014e+06 0.5;
q_b[1] 0xc0 8.04014e+06 0.5;
q_b[2] 0xc0 8.04014e+06 0.5;
q_b[3] 0xc0 8.04014e+06 0.5;
q_b[4] 0xc0 8.04014e+06 0.5;
q_b[5] 0xc0 8.04014e+06 0.5;
q_b[6] 0xc0 8.04014e+06 0.5;
q_b[7] 0xc0 8.04014e+06 0.5;
q_b[8] 0xc0 8.04014e+06 0.5;
q_b[9] 0xc0 8.04014e+06 0.5;
q_b[10] 0xc0 8.04014e+06 0.5;
q_b[11] 0xc0 8.04014e+06 0.5;
q_b[12] 0xc0 8.04014e+06 0.5;
q_b[13] 0xc0 8.04014e+06 0.5;
q_b[14] 0xc0 8.04014e+06 0.5;
q_b[15] 0xc0 8.04014e+06 0.5;
q_b[16] 0xc0 8.04014e+06 0.5;
q_b[17] 0xc0 8.04014e+06 0.5;
q_b[18] 0xc0 8.04014e+06 0.5;
q_b[19] 0xc0 8.04014e+06 0.5;
q_b[20] 0xc0 8.04014e+06 0.5;
q_b[21] 0xc0 8.04014e+06 0.5;
q_b[22] 0xc0 8.04014e+06 0.5;
full_dff 0xc0 8.04014e+06 0.5;
low_addressa[0] 0xc0 8.04014e+06 0.5;
low_addressa[0]~0 0xc0 9.20127e+06 0.25;
low_addressa[1] 0xc0 8.04014e+06 0.5;
low_addressa[1]~1 0xc0 9.20127e+06 0.25;
low_addressa[2] 0xc0 8.04014e+06 0.5;
low_addressa[2]~2 0xc0 9.20127e+06 0.25;
ram_read_address[0]~0 0xc0 5.40481e+06 0.5;
ram_read_address[1]~1 0xc0 5.40481e+06 0.5;
ram_read_address[2]~2 0xc0 5.40481e+06 0.5;
rd_ptr_lsb 0xc0 8.04014e+06 0.5;
rd_ptr_lsb~0 0xc0 1.30316e+07 0.526611;
rd_ptr_lsb~1 0xc0 6.0301e+06 0.25;
cntr_r9b:rd_ptr_msb;
_~0 0xc0 1.23232e+07 0.513306;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5;
counter_comb_bita1 0xc0 8.04014e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
cntr_8a7:usedw_counter;
_~0 0xc0 9.13938e+06 0.638306;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 7.28637e+06 0.5;
counter_comb_bita1 0xc0 7.66326e+06 0.5;
counter_comb_bita1~COUT 0xc0 5.60071e+06 0.75;
counter_comb_bita2 0xc0 7.82544e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
counter_reg_bit[2] 0xc0 8.04014e+06 0.5;
usedw_is_0_dff 0xc0 8.04014e+06 0.5;
usedw_is_1_dff 0xc0 8.04014e+06 0.5;
usedw_will_be_1~0 0xc0 1.8373e+06 0.09375;
usedw_will_be_1~1 0xc0 3.14068e+06 0.125;
usedw_will_be_1~2 0xc0 1.51986e+07 0.123337;
usedw_will_be_1~3 0xc0 9.84881e+06 0.22021;
valid_rreq 0xc0 6.73405e+06 0.0532227;
valid_wreq 0xc0 4.52258e+06 0.25;
cntr_s9b:wr_ptr;
_~0 0xc0 7.53763e+06 0.625;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5;
counter_comb_bita1 0xc0 8.04014e+06 0.5;
counter_comb_bita1~COUT 0xc0 4.52258e+06 0.75;
counter_comb_bita2 0xc0 7.28637e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
counter_reg_bit[2] 0xc0 8.04014e+06 0.5;
auk_dspip_avalon_streaming_source:output_source_0;
scfifo:source_FIFO;
scfifo_ji71:auto_generated;
a_dpfifo_gqv:dpfifo;
_~0 0xc0 257756 0.03125;
_~1 0xc0 6.95965e+06 0.250488;
empty_dff 0xc0 8.04014e+06 0.5;
empty_dff~2 0xc0 1.03875e+07 0.515625;
empty_dff~3 0xc0 6.87214e+06 0.257813;
empty_dff~4 0xc0 7.46117e+06 0.255586;
altsyncram_vah1:FIFOram;
q_b[0] 0xc0 8.04014e+06 0.5;
q_b[1] 0xc0 8.04014e+06 0.5;
q_b[2] 0xc0 8.04014e+06 0.5;
q_b[3] 0xc0 8.04014e+06 0.5;
q_b[4] 0xc0 8.04014e+06 0.5;
q_b[5] 0xc0 8.04014e+06 0.5;
q_b[6] 0xc0 8.04014e+06 0.5;
q_b[7] 0xc0 8.04014e+06 0.5;
q_b[8] 0xc0 8.04014e+06 0.5;
q_b[9] 0xc0 8.04014e+06 0.5;
q_b[10] 0xc0 8.04014e+06 0.5;
q_b[11] 0xc0 8.04014e+06 0.5;
q_b[12] 0xc0 8.04014e+06 0.5;
q_b[13] 0xc0 8.04014e+06 0.5;
q_b[14] 0xc0 8.04014e+06 0.5;
q_b[15] 0xc0 8.04014e+06 0.5;
q_b[16] 0xc0 8.04014e+06 0.5;
q_b[17] 0xc0 8.04014e+06 0.5;
q_b[18] 0xc0 8.04014e+06 0.5;
q_b[19] 0xc0 8.04014e+06 0.5;
q_b[20] 0xc0 8.04014e+06 0.5;
q_b[21] 0xc0 8.04014e+06 0.5;
q_b[22] 0xc0 8.04014e+06 0.5;
q_b[23] 0xc0 8.04014e+06 0.5;
q_b[24] 0xc0 8.04014e+06 0.5;
q_b[25] 0xc0 8.04014e+06 0.5;
q_b[26] 0xc0 8.04014e+06 0.5;
q_b[27] 0xc0 8.04014e+06 0.5;
q_b[28] 0xc0 8.04014e+06 0.5;
q_b[29] 0xc0 8.04014e+06 0.5;
q_b[30] 0xc0 8.04014e+06 0.5;
q_b[31] 0xc0 8.04014e+06 0.5;
q_b[32] 0xc0 8.04014e+06 0.5;
q_b[33] 0xc0 8.04014e+06 0.5;
q_b[34] 0xc0 8.04014e+06 0.5;
q_b[35] 0xc0 8.04014e+06 0.5;
q_b[36] 0xc0 8.04014e+06 0.5;
q_b[37] 0xc0 8.04014e+06 0.5;
q_b[38] 0xc0 8.04014e+06 0.5;
q_b[39] 0xc0 8.04014e+06 0.5;
q_b[40] 0xc0 8.04014e+06 0.5;
q_b[41] 0xc0 8.04014e+06 0.5;
q_b[42] 0xc0 8.04014e+06 0.5;
q_b[43] 0xc0 8.04014e+06 0.5;
q_b[44] 0xc0 8.04014e+06 0.5;
q_b[45] 0xc0 8.04014e+06 0.5;
q_b[46] 0xc0 8.04014e+06 0.5;
q_b[47] 0xc0 8.04014e+06 0.5;
q_b[48] 0xc0 8.04014e+06 0.5;
q_b[49] 0xc0 8.04014e+06 0.5;
q_b[50] 0xc0 8.04014e+06 0.5;
q_b[51] 0xc0 8.04014e+06 0.5;
q_b[52] 0xc0 8.04014e+06 0.5;
q_b[53] 0xc0 8.04014e+06 0.5;
q_b[54] 0xc0 8.04014e+06 0.5;
q_b[55] 0xc0 8.04014e+06 0.5;
q_b[56] 0xc0 8.04014e+06 0.5;
q_b[57] 0xc0 8.04014e+06 0.5;
q_b[58] 0xc0 8.04014e+06 0.5;
q_b[59] 0xc0 8.04014e+06 0.5;
q_b[60] 0xc0 8.04014e+06 0.5;
q_b[61] 0xc0 8.04014e+06 0.5;
q_b[62] 0xc0 8.04014e+06 0.5;
q_b[63] 0xc0 8.04014e+06 0.5;
q_b[64] 0xc0 8.04014e+06 0.5;
q_b[65] 0xc0 8.04014e+06 0.5;
q_b[66] 0xc0 8.04014e+06 0.5;
q_b[67] 0xc0 8.04014e+06 0.5;
q_b[68] 0xc0 8.04014e+06 0.5;
q_b[69] 0xc0 8.04014e+06 0.5;
q_b[70] 0xc0 8.04014e+06 0.5;
q_b[71] 0xc0 8.04014e+06 0.5;
q_b[72] 0xc0 8.04014e+06 0.5;
q_b[73] 0xc0 8.04014e+06 0.5;
q_b[74] 0xc0 8.04014e+06 0.5;
q_b[75] 0xc0 8.04014e+06 0.5;
q_b[76] 0xc0 8.04014e+06 0.5;
q_b[77] 0xc0 8.04014e+06 0.5;
q_b[78] 0xc0 8.04014e+06 0.5;
q_b[79] 0xc0 8.04014e+06 0.5;
q_b[80] 0xc0 8.04014e+06 0.5;
q_b[81] 0xc0 8.04014e+06 0.5;
q_b[82] 0xc0 8.04014e+06 0.5;
q_b[83] 0xc0 8.04014e+06 0.5;
q_b[84] 0xc0 8.04014e+06 0.5;
q_b[85] 0xc0 8.04014e+06 0.5;
full_dff 0xc0 8.04014e+06 0.5;
low_addressa[0] 0xc0 8.04014e+06 0.5;
low_addressa[0]~0 0xc0 5.27634e+06 0.25;
low_addressa[1] 0xc0 8.04014e+06 0.5;
low_addressa[1]~1 0xc0 5.65322e+06 0.25;
low_addressa[2] 0xc0 8.04014e+06 0.5;
low_addressa[2]~2 0xc0 5.65322e+06 0.25;
low_addressa[3] 0xc0 8.04014e+06 0.5;
low_addressa[3]~3 0xc0 4.52258e+06 0.25;
low_addressa[4] 0xc0 8.04014e+06 0.5;
low_addressa[4]~4 0xc0 5.27634e+06 0.25;
ram_read_address[0]~0 0xc0 4.08288e+06 0.5;
ram_read_address[1]~1 0xc0 4.83664e+06 0.5;
ram_read_address[2]~2 0xc0 4.83664e+06 0.5;
ram_read_address[3]~3 0xc0 6.53261e+06 0.5;
ram_read_address[4]~4 0xc0 6.53261e+06 0.5;
rd_ptr_lsb 0xc0 8.04014e+06 0.5;
rd_ptr_lsb~0 0xc0 6.0301e+06 0.25;
rd_ptr_lsb~1 0xc0 6.0301e+06 0.75;
cntr_t9b:rd_ptr_msb;
_~0 0xc0 7.53763e+06 0.625;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5;
counter_comb_bita1 0xc0 8.04014e+06 0.5;
counter_comb_bita1~COUT 0xc0 4.52258e+06 0.75;
counter_comb_bita2 0xc0 7.28637e+06 0.5;
counter_comb_bita2~COUT 0xc0 6.15573e+06 0.125;
counter_comb_bita3 0xc0 9.35922e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
counter_reg_bit[2] 0xc0 8.04014e+06 0.5;
counter_reg_bit[3] 0xc0 8.04014e+06 0.5;
cntr_aa7:usedw_counter;
_~0 0xc0 7.28196e+06 0.75;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 7.68681e+06 0.5;
counter_comb_bita1 0xc0 7.86347e+06 0.5;
counter_comb_bita1~COUT 0xc0 5.46306e+06 0.75;
counter_comb_bita2 0xc0 7.75662e+06 0.5;
counter_comb_bita2~COUT 0xc0 1.00026e+07 0.125;
counter_comb_bita3 0xc0 1.12826e+07 0.5;
counter_comb_bita3~COUT 0xc0 2.45701e+06 0.9375;
counter_comb_bita4 0xc0 8.32644e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
counter_reg_bit[2] 0xc0 8.04014e+06 0.5;
counter_reg_bit[3] 0xc0 8.04014e+06 0.5;
counter_reg_bit[4] 0xc0 8.04014e+06 0.5;
usedw_is_0_dff 0xc0 8.04014e+06 0.5;
usedw_is_1_dff 0xc0 8.04014e+06 0.5;
usedw_will_be_1~0 0xc0 989314 0.0625;
usedw_will_be_1~1 0xc0 110300 0.0146484;
usedw_will_be_1~2 0xc0 8.41947e+06 0.265625;
usedw_will_be_1~3 0xc0 7.25177e+06 0.138191;
usedw_will_be_1~4 0xc0 4.52258e+06 0.25;
valid_wreq~0 0xc0 1.17775e+06 0.0625;
cntr_u9b:wr_ptr;
_~0 0xc0 7.39237e+06 0.53125;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5;
counter_comb_bita1 0xc0 8.04014e+06 0.5;
counter_comb_bita1~COUT 0xc0 4.52258e+06 0.75;
counter_comb_bita2 0xc0 7.28637e+06 0.5;
counter_comb_bita2~COUT 0xc0 6.15573e+06 0.125;
counter_comb_bita3 0xc0 9.35922e+06 0.5;
counter_comb_bita3~COUT 0xc0 1.79019e+06 0.9375;
counter_comb_bita4 0xc0 7.99303e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
counter_reg_bit[2] 0xc0 8.04014e+06 0.5;
counter_reg_bit[3] 0xc0 8.04014e+06 0.5;
counter_reg_bit[4] 0xc0 8.04014e+06 0.5;
source_valid_s 0xc0 8.04014e+06 0.5;
source_valid_s~0 0xc0 6.0301e+06 0.25;
rx_ciccomp:RX_CICCOMP_I;
rx_ciccomp_0002:rx_ciccomp_inst;
rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst;
rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~1 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~2 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~1 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~2 0xc0 4.52258e+06 0.25;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~1 0xc0 7.28637e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~2 0xc0 2.13566e+06 0.875;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~1 0xc0 7.34919e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~2 0xc0 6.81527e+06 0.0625;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~1 0xc0 1.05056e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~2 0xc0 1.76663e+06 0.96875;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~1 0xc0 8.43665e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~2 0xc0 7.99499e+06 0.015625;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~1 0xc0 1.17903e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~2 0xc0 2.00267e+06 0.992188;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~1 0xc0 8.91683e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~2 0xc0 8.41616e+06 0.00390625;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8]~1 0xc0 1.21856e+07 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6] 0xc0 8.04014e+06 0.5;
Add0~0 0xc0 8.04014e+06 0.5;
Add0~1 0xc0 8.04014e+06 0.5;
Add0~2 0xc0 8.04014e+06 0.5;
Add0~3 0xc0 4.52258e+06 0.25;
Add0~4 0xc0 7.28637e+06 0.5;
Add0~5 0xc0 2.13566e+06 0.875;
Add0~6 0xc0 7.34919e+06 0.5;
Add0~7 0xc0 6.81527e+06 0.0625;
Add0~8 0xc0 1.05056e+07 0.5;
Add0~9 0xc0 1.76663e+06 0.96875;
Add0~10 0xc0 8.43665e+06 0.5;
Add0~11 0xc0 7.99499e+06 0.015625;
Add0~12 0xc0 1.17903e+07 0.5;
Add1~0 0xc0 8.04014e+06 0.5;
Add1~1 0xc0 8.04014e+06 0.5;
Add1~2 0xc0 8.04014e+06 0.5;
Add1~3 0xc0 4.52258e+06 0.25;
Add1~4 0xc0 7.28637e+06 0.5;
Add1~5 0xc0 2.13566e+06 0.875;
Add1~6 0xc0 7.34919e+06 0.5;
Add1~7 0xc0 6.81527e+06 0.0625;
Add1~8 0xc0 1.05056e+07 0.5;
Add1~9 0xc0 1.76663e+06 0.96875;
Add1~10 0xc0 8.43665e+06 0.5;
Add1~11 0xc0 7.99499e+06 0.015625;
Add1~12 0xc0 1.17903e+07 0.5;
Add1~14 0xc0 7.41573e+06 0.5;
Add1~15 0xc0 6.68252e+06 0.5;
Add1~16 0xc0 7.54471e+06 0.5;
Add1~17 0xc0 7.52192e+06 0.5;
Add1~18 0xc0 7.47481e+06 0.5;
Add1~19 0xc0 5.77885e+06 0.5;
Add1~20 0xc0 5.77885e+06 0.5;
Add2~0 0xc0 4.68746e+06 0.4375;
Add3~0 0xc0 8.04014e+06 0.5;
Add3~1 0xc0 8.04014e+06 0.5;
Add3~2 0xc0 8.04014e+06 0.5;
Add3~3 0xc0 4.52258e+06 0.25;
Add3~4 0xc0 7.28637e+06 0.5;
Add3~5 0xc0 2.13566e+06 0.875;
Add3~6 0xc0 7.34919e+06 0.5;
Add3~7 0xc0 6.81527e+06 0.0625;
Add3~8 0xc0 1.05056e+07 0.5;
Add3~9 0xc0 1.76663e+06 0.96875;
Add3~10 0xc0 8.43665e+06 0.5;
Add3~11 0xc0 7.99499e+06 0.015625;
Add3~12 0xc0 1.17903e+07 0.5;
Add3~13 0xc0 9.79156e+06 0.492188;
Add3~14 0xc0 8.91683e+06 0.5;
Add3~15 0xc0 6.34331e+06 0.753906;
Add3~16 0xc0 8.22839e+06 0.5;
Add6~0 0xc0 8.04014e+06 0.5;
Add6~1 0xc0 8.04014e+06 0.5;
Add6~2 0xc0 8.04014e+06 0.5;
Add6~2_wirecell 0xc0 8.04014e+06 0.5;
Add6~3 0xc0 4.52258e+06 0.25;
Add6~4 0xc0 7.28637e+06 0.5;
Add6~4_wirecell 0xc0 7.28637e+06 0.5;
Add6~5 0xc0 2.13566e+06 0.875;
Add6~6 0xc0 7.34919e+06 0.5;
Add6~6_wirecell 0xc0 7.34919e+06 0.5;
Add6~7 0xc0 6.81527e+06 0.0625;
Add6~8 0xc0 1.05056e+07 0.5;
Add6~8_wirecell 0xc0 1.05056e+07 0.5;
Add6~9 0xc0 1.76663e+06 0.96875;
Add6~10 0xc0 8.43665e+06 0.5;
Add6~10_wirecell 0xc0 8.43665e+06 0.5;
Add6~11 0xc0 7.99499e+06 0.015625;
Add6~12 0xc0 1.17903e+07 0.5;
dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13;
delay_signals[0][0] 0xc0 8.04014e+06 0.5;
delay_signals[1][0] 0xc0 8.04014e+06 0.5;
delay_signals[2][0] 0xc0 8.04014e+06 0.5;
delay_signals[2][0]~feeder 0xc0 4.52258e+06 0.25;
dspba_delay:d_u0_m0_wo0_compute_q_14;
delay_signals[0][0] 0xc0 8.04014e+06 0.5;
delay_signals[0][0]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][0] 0xc0 8.04014e+06 0.5;
delay_signals[1][0]~feeder 0xc0 8.04014e+06 0.5;
dspba_delay:d_u0_m0_wo0_compute_q_15;
delay_signals[0][0] 0xc0 8.04014e+06 0.5;
delay_signals[0][0]~feeder 0xc0 8.04014e+06 0.5;
dspba_delay:d_xIn_0_13;
delay_signals[0][0] 0xc0 8.04014e+06 0.5;
delay_signals[0][1] 0xc0 8.04014e+06 0.5;
delay_signals[0][2] 0xc0 8.04014e+06 0.5;
delay_signals[0][3] 0xc0 8.04014e+06 0.5;
delay_signals[0][3]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[0][4] 0xc0 8.04014e+06 0.5;
delay_signals[0][5] 0xc0 8.04014e+06 0.5;
delay_signals[0][5]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[0][6] 0xc0 8.04014e+06 0.5;
delay_signals[0][7] 0xc0 8.04014e+06 0.5;
delay_signals[0][7]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[0][8] 0xc0 8.04014e+06 0.5;
delay_signals[0][9] 0xc0 8.04014e+06 0.5;
delay_signals[0][9]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[0][10] 0xc0 8.04014e+06 0.5;
delay_signals[0][10]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[0][11] 0xc0 8.04014e+06 0.5;
delay_signals[0][11]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[0][12] 0xc0 8.04014e+06 0.5;
delay_signals[0][12]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[0][13] 0xc0 8.04014e+06 0.5;
delay_signals[0][14] 0xc0 8.04014e+06 0.5;
delay_signals[0][14]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[0][15] 0xc0 8.04014e+06 0.5;
delay_signals[0][15]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][0] 0xc0 8.04014e+06 0.5;
delay_signals[1][1] 0xc0 8.04014e+06 0.5;
delay_signals[1][2] 0xc0 8.04014e+06 0.5;
delay_signals[1][2]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][3] 0xc0 8.04014e+06 0.5;
delay_signals[1][3]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][4] 0xc0 8.04014e+06 0.5;
delay_signals[1][5] 0xc0 8.04014e+06 0.5;
delay_signals[1][5]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][6] 0xc0 8.04014e+06 0.5;
delay_signals[1][7] 0xc0 8.04014e+06 0.5;
delay_signals[1][7]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][8] 0xc0 8.04014e+06 0.5;
delay_signals[1][8]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][9] 0xc0 8.04014e+06 0.5;
delay_signals[1][9]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][10] 0xc0 8.04014e+06 0.5;
delay_signals[1][10]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][11] 0xc0 8.04014e+06 0.5;
delay_signals[1][11]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][12] 0xc0 8.04014e+06 0.5;
delay_signals[1][12]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][13] 0xc0 8.04014e+06 0.5;
delay_signals[1][13]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][14] 0xc0 8.04014e+06 0.5;
delay_signals[1][14]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][15] 0xc0 8.04014e+06 0.5;
delay_signals[1][15]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[2][0] 0xc0 8.04014e+06 0.5;
delay_signals[2][1] 0xc0 8.04014e+06 0.5;
delay_signals[2][2] 0xc0 8.04014e+06 0.5;
delay_signals[2][3] 0xc0 8.04014e+06 0.5;
delay_signals[2][4] 0xc0 8.04014e+06 0.5;
delay_signals[2][5] 0xc0 8.04014e+06 0.5;
delay_signals[2][6] 0xc0 8.04014e+06 0.5;
delay_signals[2][7] 0xc0 8.04014e+06 0.5;
delay_signals[2][8] 0xc0 8.04014e+06 0.5;
delay_signals[2][9] 0xc0 8.04014e+06 0.5;
delay_signals[2][10] 0xc0 8.04014e+06 0.5;
delay_signals[2][11] 0xc0 8.04014e+06 0.5;
delay_signals[2][12] 0xc0 8.04014e+06 0.5;
delay_signals[2][13] 0xc0 8.04014e+06 0.5;
delay_signals[2][14] 0xc0 8.04014e+06 0.5;
delay_signals[2][15] 0xc0 8.04014e+06 0.5;
Mux2~0 0xc0 6.51706e+06 0.125;
Mux2~1 0xc0 8.06419e+06 0.28125;
Mux3~0 0xc0 3.02094e+06 0.4375;
Mux3~1 0xc0 3.02094e+06 0.4375;
Mux3~2 0xc0 5.36327e+06 0.40625;
Mux3~3 0xc0 3.74371e+06 0.382813;
Mux4~0 0xc0 3.03468e+06 0.5;
Mux4~1 0xc0 3.03468e+06 0.5;
Mux4~2 0xc0 5.70088e+06 0.390625;
Mux4~3 0xc0 1.13766e+07 0.359863;
Mux5~0 0xc0 2.95616e+06 0.625;
Mux5~1 0xc0 2.95616e+06 0.625;
Mux5~2 0xc0 5.7303e+06 0.359375;
Mux5~3 0xc0 3.79016e+06 0.311035;
Mux6~0 0xc0 2.82857e+06 0.3125;
Mux6~1 0xc0 4.08288e+06 0.6875;
Mux6~2 0xc0 6.1159e+06 0.4375;
Mux6~3 0xc0 6.18084e+06 0.425781;
Mux7~0 0xc0 3.15246e+06 0.5;
Mux7~1 0xc0 3.15246e+06 0.5;
Mux7~2 0xc0 5.36141e+06 0.390625;
Mux7~3 0xc0 3.68591e+06 0.359863;
Mux8~0 0xc0 4.50687e+06 0.5;
Mux8~1 0xc0 3.918e+06 0.5;
Mux8~2 0xc0 4.18352e+06 0.40625;
Mux8~3 0xc0 5.02732e+06 0.376953;
Mux9~0 0xc0 3.61178e+06 0.3125;
Mux9~1 0xc0 2.7108e+06 0.6875;
Mux9~2 0xc0 724319 0.0625;
Mux9~3 0xc0 1.51021e+07 0.34375;
Mux9~4 0xc0 724319 0.0625;
Mux9~5 0xc0 1.10883e+07 0.285156;
Mux9~6 0xc0 1.91581e+06 0.125;
Mux9~7 0xc0 2.7638e+06 0.125;
u0_m0_wo0_accum_o[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[0]~30 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[0]~31 0xc0 4.52258e+06 0.25;
u0_m0_wo0_accum_o[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[1]~32 0xc0 6.78387e+06 0.5;
u0_m0_wo0_accum_o[1]~33 0xc0 3.74526e+06 0.625;
u0_m0_wo0_accum_o[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[2]~34 0xc0 5.74155e+06 0.5;
u0_m0_wo0_accum_o[2]~35 0xc0 6.671e+06 0.4375;
u0_m0_wo0_accum_o[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[3]~36 0xc0 6.26099e+06 0.5;
u0_m0_wo0_accum_o[3]~37 0xc0 5.11697e+06 0.53125;
u0_m0_wo0_accum_o[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[4]~38 0xc0 5.81949e+06 0.5;
u0_m0_wo0_accum_o[4]~39 0xc0 5.71476e+06 0.484375;
u0_m0_wo0_accum_o[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[5]~40 0xc0 5.95568e+06 0.5;
u0_m0_wo0_accum_o[5]~41 0xc0 5.35151e+06 0.507813;
u0_m0_wo0_accum_o[6] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[6]~42 0xc0 5.86156e+06 0.5;
u0_m0_wo0_accum_o[6]~43 0xc0 5.5146e+06 0.496094;
u0_m0_wo0_accum_o[7] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[7]~44 0xc0 5.9015e+06 0.5;
u0_m0_wo0_accum_o[7]~45 0xc0 5.42812e+06 0.501953;
u0_m0_wo0_accum_o[8] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[8]~46 0xc0 5.87967e+06 0.5;
u0_m0_wo0_accum_o[8]~47 0xc0 5.47008e+06 0.499023;
u0_m0_wo0_accum_o[9] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[9]~48 0xc0 5.89012e+06 0.5;
u0_m0_wo0_accum_o[9]~49 0xc0 5.44878e+06 0.500488;
u0_m0_wo0_accum_o[10] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[10]~50 0xc0 5.88478e+06 0.5;
u0_m0_wo0_accum_o[10]~51 0xc0 5.45935e+06 0.499756;
u0_m0_wo0_accum_o[11] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[11]~52 0xc0 5.88741e+06 0.5;
u0_m0_wo0_accum_o[11]~53 0xc0 5.45404e+06 0.500122;
u0_m0_wo0_accum_o[12] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[12]~54 0xc0 5.88609e+06 0.5;
u0_m0_wo0_accum_o[12]~55 0xc0 5.45669e+06 0.499939;
u0_m0_wo0_accum_o[13] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[13]~56 0xc0 5.88675e+06 0.5;
u0_m0_wo0_accum_o[13]~57 0xc0 5.45537e+06 0.500031;
u0_m0_wo0_accum_o[14] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[14]~58 0xc0 5.88642e+06 0.5;
u0_m0_wo0_accum_o[14]~59 0xc0 5.45603e+06 0.499985;
u0_m0_wo0_accum_o[15] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[15]~60 0xc0 5.88658e+06 0.5;
u0_m0_wo0_accum_o[15]~61 0xc0 5.4557e+06 0.500008;
u0_m0_wo0_accum_o[16] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[16]~62 0xc0 5.8865e+06 0.5;
u0_m0_wo0_accum_o[16]~63 0xc0 5.45586e+06 0.499996;
u0_m0_wo0_accum_o[17] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[17]~64 0xc0 5.88654e+06 0.5;
u0_m0_wo0_accum_o[17]~65 0xc0 5.45578e+06 0.500002;
u0_m0_wo0_accum_o[18] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[18]~66 0xc0 5.88652e+06 0.5;
u0_m0_wo0_accum_o[18]~67 0xc0 5.45582e+06 0.499999;
u0_m0_wo0_accum_o[19] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[19]~68 0xc0 5.88653e+06 0.5;
u0_m0_wo0_accum_o[19]~69 0xc0 5.4558e+06 0.5;
u0_m0_wo0_accum_o[20] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[20]~70 0xc0 5.88653e+06 0.5;
u0_m0_wo0_accum_o[20]~71 0xc0 5.45581e+06 0.5;
u0_m0_wo0_accum_o[21] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[21]~72 0xc0 5.88653e+06 0.5;
u0_m0_wo0_accum_o[21]~73 0xc0 5.45581e+06 0.5;
u0_m0_wo0_accum_o[22] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[22]~74 0xc0 5.88653e+06 0.5;
u0_m0_wo0_accum_o[22]~75 0xc0 5.45581e+06 0.5;
u0_m0_wo0_accum_o[23] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[23]~76 0xc0 7.39406e+06 0.5;
u0_m0_wo0_accum_o[23]~77 0xc0 7.7171e+06 0.5;
u0_m0_wo0_accum_o[24] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[24]~78 0xc0 7.95938e+06 0.5;
u0_m0_wo0_accum_o[24]~79 0xc0 7.99976e+06 0.5;
u0_m0_wo0_accum_o[25] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[25]~80 0xc0 8.03004e+06 0.5;
u0_m0_wo0_accum_o[25]~81 0xc0 8.03509e+06 0.5;
u0_m0_wo0_accum_o[26] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[26]~82 0xc0 8.03887e+06 0.5;
u0_m0_wo0_accum_o[26]~83 0xc0 8.03951e+06 0.5;
u0_m0_wo0_accum_o[27] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[27]~84 0xc0 8.03998e+06 0.5;
u0_m0_wo0_accum_o[27]~85 0xc0 8.04006e+06 0.5;
u0_m0_wo0_accum_o[28] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[28]~86 0xc0 8.04012e+06 0.5;
u0_m0_wo0_accum_o[28]~87 0xc0 8.04013e+06 0.5;
u0_m0_wo0_accum_o[29] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[29]~88 0xc0 8.04013e+06 0.5;
u0_m0_wo0_aseq_eq 0xc0 8.04014e+06 0.5;
u0_m0_wo0_aseq_eq~0 0xc0 1.50753e+06 0.0625;
u0_m0_wo0_aseq_eq~1 0xc0 989314 0.0625;
u0_m0_wo0_aseq_eq~2 0xc0 178135 0.015625;
u0_m0_wo0_aseq_eq~3 0xc0 3.98455e+06 0.250732;
u0_m0_wo0_ca0_i[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[0]~5 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[1]~6 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[1]~7 0xc0 4.52258e+06 0.25;
u0_m0_wo0_ca0_i[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[2]~8 0xc0 7.28637e+06 0.5;
u0_m0_wo0_ca0_i[2]~9 0xc0 1.91581e+06 0.875;
u0_m0_wo0_ca0_i[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[3]~10 0xc0 7.23926e+06 0.5;
u0_m0_wo0_ca0_i[3]~11 0xc0 6.76031e+06 0.0625;
u0_m0_wo0_ca0_i[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[4]~12 0xc0 1.04781e+07 0.5;
u0_m0_wo0_ca0_i[4]~13 0xc0 1.75289e+06 0.96875;
u0_m0_wo0_ca0_i[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[5]~14 0xc0 8.42978e+06 0.5;
u0_m0_wo0_cm0_q[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_cm0_q[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_cm0_q[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_cm0_q[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_cm0_q[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_cm0_q[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_cm0_q[6] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_cm0_q[7] 0xc0 8.04014e+06 0.5;
dspba_delay:u0_m0_wo0_compute;
delay_signals[0][0] 0xc0 8.04014e+06 0.5;
delay_signals[0][0]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][0] 0xc0 8.04014e+06 0.5;
dspba_delay:u0_m0_wo0_memread;
delay_signals[0][0] 0xc0 8.04014e+06 0.5;
lpm_mult:u0_m0_wo0_mtree_mult1_0_component;
mult_ncu:auto_generated;
mac_mult1 0xc0 3.21605e+07 0.5;
mac_mult1~12 0xc0 0 0;
mac_mult1~13 0xc0 0 0;
mac_mult1~14 0xc0 0 0;
mac_mult1~15 0xc0 0 0;
mac_mult1~16 0xc0 0 0;
mac_mult1~17 0xc0 0 0;
mac_mult1~18 0xc0 0 0;
mac_mult1~19 0xc0 0 0;
mac_mult1~20 0xc0 0 0;
mac_mult1~21 0xc0 0 0;
mac_mult1~22 0xc0 0 0;
mac_mult1~23 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT2 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT3 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT4 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT5 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT6 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT7 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT8 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT9 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT10 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT11 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT12 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT13 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT14 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT15 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT16 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT17 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT18 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT19 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT20 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT21 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT22 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT23 0xc0 3.21605e+07 0.5;
result[0] 0xc0 8.04014e+06 0.5;
result[1] 0xc0 8.04014e+06 0.5;
result[2] 0xc0 8.04014e+06 0.5;
result[3] 0xc0 8.04014e+06 0.5;
result[4] 0xc0 8.04014e+06 0.5;
result[5] 0xc0 8.04014e+06 0.5;
result[6] 0xc0 8.04014e+06 0.5;
result[7] 0xc0 8.04014e+06 0.5;
result[8] 0xc0 8.04014e+06 0.5;
result[9] 0xc0 8.04014e+06 0.5;
result[10] 0xc0 8.04014e+06 0.5;
result[11] 0xc0 8.04014e+06 0.5;
result[12] 0xc0 8.04014e+06 0.5;
result[13] 0xc0 8.04014e+06 0.5;
result[14] 0xc0 8.04014e+06 0.5;
result[15] 0xc0 8.04014e+06 0.5;
result[16] 0xc0 8.04014e+06 0.5;
result[17] 0xc0 8.04014e+06 0.5;
result[18] 0xc0 8.04014e+06 0.5;
result[19] 0xc0 8.04014e+06 0.5;
result[20] 0xc0 8.04014e+06 0.5;
result[21] 0xc0 8.04014e+06 0.5;
result[22] 0xc0 8.04014e+06 0.5;
result[23] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_run_count[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_run_count[0]~0 0xc0 4.48381e+06 0.5;
u0_m0_wo0_run_count[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_run_enableQ[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_run_enableQ~0 0xc0 3.73092e+06 0.25;
u0_m0_wo0_run_q[0] 0xc0 8.04014e+06 0.5;
altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem;
altsyncram_0mn3:auto_generated;
q_b[0] 0xc0 8.04014e+06 0.5;
q_b[1] 0xc0 8.04014e+06 0.5;
q_b[2] 0xc0 8.04014e+06 0.5;
q_b[3] 0xc0 8.04014e+06 0.5;
q_b[4] 0xc0 8.04014e+06 0.5;
q_b[5] 0xc0 8.04014e+06 0.5;
q_b[6] 0xc0 8.04014e+06 0.5;
q_b[7] 0xc0 8.04014e+06 0.5;
q_b[8] 0xc0 8.04014e+06 0.5;
q_b[9] 0xc0 8.04014e+06 0.5;
q_b[10] 0xc0 8.04014e+06 0.5;
q_b[11] 0xc0 8.04014e+06 0.5;
q_b[12] 0xc0 8.04014e+06 0.5;
q_b[13] 0xc0 8.04014e+06 0.5;
q_b[14] 0xc0 8.04014e+06 0.5;
q_b[15] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 0xc0 4.52258e+06 0.25;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 0xc0 6.78387e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 0xc0 3.74526e+06 0.625;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 0xc0 5.74155e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 0xc0 6.671e+06 0.4375;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 0xc0 6.26099e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 0xc0 5.11697e+06 0.53125;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 0xc0 5.81949e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 0xc0 5.71476e+06 0.484375;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 0xc0 7.46468e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 0xc0 6.0301e+06 0.25;
u0_m0_wo0_wi0_r0_ra0_count0_i[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 0xc0 4.83664e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 0xc0 4.52258e+06 0.25;
u0_m0_wo0_wi0_r0_ra0_count0_i[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 0xc0 7.28637e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 0xc0 1.91581e+06 0.875;
u0_m0_wo0_wi0_r0_ra0_count0_i[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 0xc0 7.23926e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 0xc0 6.76031e+06 0.0625;
u0_m0_wo0_wi0_r0_ra0_count0_i[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 0xc0 1.04781e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 0xc0 1.75289e+06 0.96875;
u0_m0_wo0_wi0_r0_ra0_count0_i[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 0xc0 8.42978e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 0xc0 4.52258e+06 0.25;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 0xc0 7.28637e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 0xc0 2.13566e+06 0.875;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 0xc0 7.34919e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 0xc0 6.81527e+06 0.0625;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 0xc0 1.05056e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 0xc0 1.76663e+06 0.96875;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 0xc0 8.43665e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 0xc0 7.99499e+06 0.015625;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 0xc0 1.17903e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[0]~5 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[1]~7 0xc0 4.52258e+06 0.25;
u0_m0_wo0_wi0_r0_ra0_count1_i[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 0xc0 7.28637e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[2]~9 0xc0 1.91581e+06 0.875;
u0_m0_wo0_wi0_r0_ra0_count1_i[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 0xc0 7.23926e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[3]~11 0xc0 6.76031e+06 0.0625;
u0_m0_wo0_wi0_r0_ra0_count1_i[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 0xc0 1.04781e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[4]~13 0xc0 1.75289e+06 0.96875;
u0_m0_wo0_wi0_r0_ra0_count1_i[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[5]~14 0xc0 8.42978e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[0]~5 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[1]~6 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[1]~7 0xc0 4.52258e+06 0.25;
u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[2]~8 0xc0 7.28637e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[2]~9 0xc0 1.91581e+06 0.875;
u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[3]~10 0xc0 7.23926e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[3]~11 0xc0 6.76031e+06 0.0625;
u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[4]~12 0xc0 1.04781e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[4]~13 0xc0 1.75289e+06 0.96875;
u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[5]~14 0xc0 8.42978e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell 0xc0 8.04014e+06 0.5;
auk_dspip_avalon_streaming_sink_hpfir:sink;
auk_dspip_avalon_streaming_source_hpfir:source;
data_out[0] 0xc0 8.04014e+06 0.5;
data_out[1] 0xc0 8.04014e+06 0.5;
data_out[2] 0xc0 8.04014e+06 0.5;
data_out[3] 0xc0 8.04014e+06 0.5;
data_out[4] 0xc0 8.04014e+06 0.5;
data_out[5] 0xc0 8.04014e+06 0.5;
data_out[6] 0xc0 8.04014e+06 0.5;
data_out[7] 0xc0 8.04014e+06 0.5;
data_out[8] 0xc0 8.04014e+06 0.5;
data_out[9] 0xc0 8.04014e+06 0.5;
data_out[9]~feeder 0xc0 8.04014e+06 0.5;
data_out[10] 0xc0 8.04014e+06 0.5;
data_out[10]~feeder 0xc0 8.04014e+06 0.5;
data_out[11] 0xc0 8.04014e+06 0.5;
data_out[11]~feeder 0xc0 8.04014e+06 0.5;
data_out[12] 0xc0 8.04014e+06 0.5;
data_out[13] 0xc0 8.04014e+06 0.5;
data_out[14] 0xc0 8.04014e+06 0.5;
data_out[15] 0xc0 8.04014e+06 0.5;
data_out[16] 0xc0 8.04014e+06 0.5;
data_out[17] 0xc0 8.04014e+06 0.5;
data_out[18] 0xc0 8.04014e+06 0.5;
data_out[19] 0xc0 8.04014e+06 0.5;
data_out[20] 0xc0 8.04014e+06 0.5;
data_out[21] 0xc0 8.04014e+06 0.5;
data_out[22] 0xc0 8.04014e+06 0.5;
data_out[23] 0xc0 8.04014e+06 0.5;
data_out[24] 0xc0 8.04014e+06 0.5;
data_out[24]~feeder 0xc0 8.04014e+06 0.5;
data_out[25] 0xc0 8.04014e+06 0.5;
data_out[26] 0xc0 8.04014e+06 0.5;
data_out[27] 0xc0 8.04014e+06 0.5;
data_out[28] 0xc0 8.04014e+06 0.5;
data_out[28]~feeder 0xc0 8.04014e+06 0.5;
data_out[29] 0xc0 8.04014e+06 0.5;
data_shifter:RX_CICFIR_GAINER;
Mux0~0 0xc0 2.78735e+06 0.5;
Mux0~1 0xc0 1.88966e+06 0.5;
Mux0~2 0xc0 1.6741e+06 0.5;
Mux0~3 0xc0 4.08288e+06 0.5;
Mux0~4 0xc0 1.92066e+06 0.375;
Mux0~5 0xc0 1.07052e+06 0.46875;
Mux0~6 0xc0 1.67198e+06 0.46875;
Mux0~7 0xc0 896021 0.484375;
Mux0~8 0xc0 1.31845e+06 0.476563;
Mux1~0 0xc0 2.78735e+06 0.5;
Mux1~1 0xc0 1.88966e+06 0.5;
Mux1~2 0xc0 1.6741e+06 0.5;
Mux1~3 0xc0 2.78735e+06 0.5;
Mux1~4 0xc0 1.88966e+06 0.5;
Mux1~5 0xc0 893593 0.5;
Mux1~6 0xc0 1.86418e+06 0.4375;
Mux1~7 0xc0 927652 0.46875;
Mux1~8 0xc0 1.30131e+06 0.484375;
Mux2~0 0xc0 2.78735e+06 0.5;
Mux2~1 0xc0 1.88966e+06 0.5;
Mux2~2 0xc0 1.6741e+06 0.5;
Mux2~3 0xc0 2.78735e+06 0.5;
Mux2~4 0xc0 1.88966e+06 0.5;
Mux2~5 0xc0 893593 0.5;
Mux2~6 0xc0 2.29662e+06 0.25;
Mux2~7 0xc0 1.88785e+06 0.4375;
Mux2~8 0xc0 930555 0.46875;
Mux2~9 0xc0 1.30178e+06 0.484375;
Mux3~0 0xc0 2.78735e+06 0.5;
Mux3~1 0xc0 1.88966e+06 0.5;
Mux3~2 0xc0 1.6741e+06 0.5;
Mux3~3 0xc0 2.78735e+06 0.5;
Mux3~4 0xc0 1.88966e+06 0.5;
Mux3~5 0xc0 893593 0.5;
Mux3~6 0xc0 1.91581e+06 0.125;
Mux3~7 0xc0 2.23315e+06 0.40625;
Mux3~8 0xc0 986334 0.453125;
Mux3~9 0xc0 1.31652e+06 0.476563;
Mux4~0 0xc0 1.6741e+06 0.5;
Mux4~1 0xc0 893593 0.5;
Mux4~2 0xc0 1.91581e+06 0.125;
Mux4~3 0xc0 2.32723e+06 0.375;
Mux4~4 0xc0 1.01669e+06 0.4375;
Mux4~5 0xc0 1.32933e+06 0.46875;
Mux5~0 0xc0 1.6741e+06 0.5;
Mux5~1 0xc0 893593 0.5;
Mux5~2 0xc0 1.91581e+06 0.125;
Mux5~3 0xc0 2.67938e+06 0.34375;
Mux5~4 0xc0 1.08407e+06 0.421875;
Mux5~5 0xc0 1.35044e+06 0.460938;
Mux6~0 0xc0 1.6741e+06 0.5;
Mux6~1 0xc0 893593 0.5;
Mux6~2 0xc0 1.91581e+06 0.125;
Mux6~3 0xc0 3.26483e+06 0.3125;
Mux6~4 0xc0 1.18542e+06 0.40625;
Mux6~5 0xc0 1.37937e+06 0.453125;
Mux7~0 0xc0 1.45851e+06 0.296875;
Mux7~1 0xc0 1.6741e+06 0.5;
Mux7~2 0xc0 893593 0.5;
Mux7~3 0xc0 1.04889e+06 0.273438;
Mux7~4 0xc0 1.55175e+06 0.386719;
Mux8~0 0xc0 2.78735e+06 0.5;
Mux8~1 0xc0 1.88966e+06 0.5;
Mux8~2 0xc0 2.78735e+06 0.5;
Mux8~3 0xc0 1.88966e+06 0.5;
Mux8~4 0xc0 2.78735e+06 0.5;
Mux8~5 0xc0 1.88966e+06 0.5;
Mux8~6 0xc0 2.78735e+06 0.5;
Mux8~7 0xc0 1.88966e+06 0.5;
Mux8~8 0xc0 4.08288e+06 0.5;
Mux8~9 0xc0 1.92066e+06 0.375;
Mux8~10 0xc0 2.78735e+06 0.5;
Mux8~11 0xc0 1.88966e+06 0.5;
Mux8~12 0xc0 1.6741e+06 0.5;
Mux8~13 0xc0 893593 0.5;
Mux8~14 0xc0 1.06992e+06 0.238281;
Mux8~15 0xc0 1.03472e+06 0.244141;
Mux8~16 0xc0 1.61413e+06 0.37207;
Mux9~0 0xc0 2.78735e+06 0.5;
Mux9~1 0xc0 1.88966e+06 0.5;
Mux9~2 0xc0 2.78735e+06 0.5;
Mux9~3 0xc0 1.88966e+06 0.5;
Mux9~4 0xc0 2.78735e+06 0.5;
Mux9~5 0xc0 1.88966e+06 0.5;
Mux9~6 0xc0 2.78735e+06 0.5;
Mux9~7 0xc0 1.88966e+06 0.5;
Mux9~8 0xc0 2.00218e+06 0.25;
Mux9~9 0xc0 2.78735e+06 0.5;
Mux9~10 0xc0 1.88966e+06 0.5;
Mux9~11 0xc0 1.6741e+06 0.5;
Mux9~12 0xc0 893593 0.5;
Mux9~13 0xc0 834124 0.179688;
Mux9~14 0xc0 1.06509e+06 0.214844;
Mux9~15 0xc0 1.69169e+06 0.357422;
Mux10~0 0xc0 2.78735e+06 0.5;
Mux10~1 0xc0 1.88966e+06 0.5;
Mux10~2 0xc0 2.78735e+06 0.5;
Mux10~3 0xc0 1.88966e+06 0.5;
Mux10~4 0xc0 2.78735e+06 0.5;
Mux10~5 0xc0 1.88966e+06 0.5;
Mux10~6 0xc0 1.6741e+06 0.5;
Mux10~7 0xc0 2.78735e+06 0.5;
Mux10~8 0xc0 1.88966e+06 0.5;
Mux10~9 0xc0 893593 0.5;
Mux10~10 0xc0 2.78735e+06 0.5;
Mux10~11 0xc0 1.88966e+06 0.5;
Mux10~12 0xc0 1.91581e+06 0.125;
Mux10~13 0xc0 716417 0.121094;
Mux10~14 0xc0 1.13605e+06 0.185547;
Mux10~15 0xc0 1.78376e+06 0.342773;
Mux11~0 0xc0 2.78735e+06 0.5;
Mux11~1 0xc0 1.88966e+06 0.5;
Mux11~2 0xc0 2.78735e+06 0.5;
Mux11~3 0xc0 1.88966e+06 0.5;
Mux11~4 0xc0 2.78735e+06 0.5;
Mux11~5 0xc0 1.88966e+06 0.5;
Mux11~6 0xc0 2.78735e+06 0.5;
Mux11~7 0xc0 1.88966e+06 0.5;
Mux11~8 0xc0 2.78735e+06 0.5;
Mux11~9 0xc0 1.88966e+06 0.5;
Mux11~10 0xc0 1.45851e+06 0.296875;
Mux11~11 0xc0 1.6741e+06 0.5;
Mux11~12 0xc0 893593 0.5;
Mux11~13 0xc0 1.02225e+06 0.305664;
Mux12~0 0xc0 1.06992e+06 0.238281;
Mux12~1 0xc0 1.6741e+06 0.5;
Mux12~2 0xc0 893593 0.5;
Mux12~3 0xc0 1.07195e+06 0.294678;
Mux13~0 0xc0 834124 0.179688;
Mux13~1 0xc0 1.6741e+06 0.5;
Mux13~2 0xc0 893593 0.5;
Mux13~3 0xc0 1.15365e+06 0.283691;
Mux14~0 0xc0 716417 0.121094;
Mux14~1 0xc0 1.6741e+06 0.5;
Mux14~2 0xc0 893593 0.5;
Mux14~3 0xc0 1.26587e+06 0.272705;
Mux15~0 0xc0 744629 0.125;
Mux15~1 0xc0 1.91581e+06 0.125;
Mux15~2 0xc0 1.91581e+06 0.125;
Mux15~3 0xc0 1.6741e+06 0.5;
Mux15~4 0xc0 893593 0.5;
Mux15~5 0xc0 1.52343e+06 0.261719;
Mux16~0 0xc0 2.78735e+06 0.5;
Mux16~1 0xc0 1.88966e+06 0.5;
Mux16~2 0xc0 2.78735e+06 0.5;
Mux16~3 0xc0 1.88966e+06 0.5;
Mux16~4 0xc0 2.78735e+06 0.5;
Mux16~5 0xc0 1.88966e+06 0.5;
Mux16~6 0xc0 1.6741e+06 0.5;
Mux16~7 0xc0 4.08288e+06 0.5;
Mux16~8 0xc0 1.92066e+06 0.375;
Mux16~9 0xc0 1.07052e+06 0.46875;
Mux16~10 0xc0 2.78735e+06 0.5;
Mux16~11 0xc0 1.88966e+06 0.5;
Mux16~12 0xc0 2.78735e+06 0.5;
Mux16~13 0xc0 1.88966e+06 0.5;
Mux16~14 0xc0 4.08288e+06 0.5;
Mux16~15 0xc0 1.92066e+06 0.375;
Mux16~16 0xc0 1.67198e+06 0.46875;
Mux16~17 0xc0 2.78735e+06 0.5;
Mux16~18 0xc0 1.88966e+06 0.5;
Mux16~19 0xc0 896021 0.484375;
Mux16~20 0xc0 1.31845e+06 0.476563;
Mux17~0 0xc0 2.78735e+06 0.5;
Mux17~1 0xc0 1.88966e+06 0.5;
Mux17~2 0xc0 2.78735e+06 0.5;
Mux17~3 0xc0 1.88966e+06 0.5;
Mux17~4 0xc0 2.78735e+06 0.5;
Mux17~5 0xc0 1.88966e+06 0.5;
Mux17~6 0xc0 1.6741e+06 0.5;
Mux17~7 0xc0 2.78735e+06 0.5;
Mux17~8 0xc0 1.88966e+06 0.5;
Mux17~9 0xc0 893593 0.5;
Mux17~10 0xc0 2.78735e+06 0.5;
Mux17~11 0xc0 1.88966e+06 0.5;
Mux17~12 0xc0 2.78735e+06 0.5;
Mux17~13 0xc0 1.88966e+06 0.5;
Mux17~14 0xc0 2.00218e+06 0.25;
Mux17~15 0xc0 1.86418e+06 0.4375;
Mux17~16 0xc0 2.78735e+06 0.5;
Mux17~17 0xc0 1.88966e+06 0.5;
Mux17~18 0xc0 927652 0.46875;
Mux17~19 0xc0 1.30131e+06 0.484375;
Mux18~0 0xc0 2.78735e+06 0.5;
Mux18~1 0xc0 1.88966e+06 0.5;
Mux18~2 0xc0 2.78735e+06 0.5;
Mux18~3 0xc0 1.88966e+06 0.5;
Mux18~4 0xc0 2.78735e+06 0.5;
Mux18~5 0xc0 1.88966e+06 0.5;
Mux18~6 0xc0 1.6741e+06 0.5;
Mux18~7 0xc0 2.78735e+06 0.5;
Mux18~8 0xc0 1.88966e+06 0.5;
Mux18~9 0xc0 893593 0.5;
Mux18~10 0xc0 2.78735e+06 0.5;
Mux18~11 0xc0 1.88966e+06 0.5;
Mux18~12 0xc0 2.78735e+06 0.5;
Mux18~13 0xc0 1.88966e+06 0.5;
Mux18~14 0xc0 2.29662e+06 0.25;
Mux18~15 0xc0 1.88785e+06 0.4375;
Mux18~16 0xc0 2.78735e+06 0.5;
Mux18~17 0xc0 1.88966e+06 0.5;
Mux18~18 0xc0 930555 0.46875;
Mux18~19 0xc0 1.30178e+06 0.484375;
Mux19~0 0xc0 2.78735e+06 0.5;
Mux19~1 0xc0 1.88966e+06 0.5;
Mux19~2 0xc0 2.78735e+06 0.5;
Mux19~3 0xc0 1.88966e+06 0.5;
Mux19~4 0xc0 2.78735e+06 0.5;
Mux19~5 0xc0 1.88966e+06 0.5;
Mux19~6 0xc0 1.6741e+06 0.5;
Mux19~7 0xc0 2.78735e+06 0.5;
Mux19~8 0xc0 1.88966e+06 0.5;
Mux19~9 0xc0 893593 0.5;
Mux19~10 0xc0 2.78735e+06 0.5;
Mux19~11 0xc0 1.88966e+06 0.5;
Mux19~12 0xc0 2.78735e+06 0.5;
Mux19~13 0xc0 1.88966e+06 0.5;
Mux19~14 0xc0 1.91581e+06 0.125;
Mux19~15 0xc0 2.23315e+06 0.40625;
Mux19~16 0xc0 2.78735e+06 0.5;
Mux19~17 0xc0 1.88966e+06 0.5;
Mux19~18 0xc0 986334 0.453125;
Mux19~19 0xc0 1.31652e+06 0.476563;
Mux20~0 0xc0 1.6741e+06 0.5;
Mux20~1 0xc0 893593 0.5;
Mux20~2 0xc0 1.91581e+06 0.125;
Mux20~3 0xc0 2.32723e+06 0.375;
Mux20~4 0xc0 1.01669e+06 0.4375;
Mux20~5 0xc0 1.32933e+06 0.46875;
Mux21~0 0xc0 1.6741e+06 0.5;
Mux21~1 0xc0 893593 0.5;
Mux21~2 0xc0 1.91581e+06 0.125;
Mux21~3 0xc0 2.67938e+06 0.34375;
Mux21~4 0xc0 1.08407e+06 0.421875;
Mux21~5 0xc0 1.35044e+06 0.460938;
Mux22~0 0xc0 1.6741e+06 0.5;
Mux22~1 0xc0 893593 0.5;
Mux22~2 0xc0 1.91581e+06 0.125;
Mux22~3 0xc0 1.91581e+06 0.125;
Mux22~4 0xc0 3.26483e+06 0.3125;
Mux22~5 0xc0 1.18542e+06 0.40625;
Mux22~6 0xc0 1.37937e+06 0.453125;
Mux23~0 0xc0 1.45851e+06 0.296875;
Mux23~1 0xc0 1.6741e+06 0.5;
Mux23~2 0xc0 893593 0.5;
Mux23~3 0xc0 1.04889e+06 0.273438;
Mux23~4 0xc0 1.55175e+06 0.386719;
Mux24~0 0xc0 1.6741e+06 0.5;
Mux24~1 0xc0 893593 0.5;
Mux24~2 0xc0 1.06992e+06 0.238281;
Mux24~3 0xc0 1.03472e+06 0.244141;
Mux24~4 0xc0 1.61413e+06 0.37207;
Mux25~0 0xc0 1.6741e+06 0.5;
Mux25~1 0xc0 893593 0.5;
Mux25~2 0xc0 834124 0.179688;
Mux25~3 0xc0 1.06509e+06 0.214844;
Mux25~4 0xc0 1.69169e+06 0.357422;
Mux26~0 0xc0 1.6741e+06 0.5;
Mux26~1 0xc0 893593 0.5;
Mux26~2 0xc0 716417 0.121094;
Mux26~3 0xc0 1.13605e+06 0.185547;
Mux26~4 0xc0 1.78376e+06 0.342773;
Mux27~0 0xc0 1.45851e+06 0.296875;
Mux27~1 0xc0 1.3916e+06 0.25;
Mux27~2 0xc0 1.6741e+06 0.5;
Mux27~3 0xc0 893593 0.5;
Mux27~4 0xc0 1.02225e+06 0.305664;
Mux28~0 0xc0 1.06992e+06 0.238281;
Mux28~1 0xc0 1.6741e+06 0.5;
Mux28~2 0xc0 893593 0.5;
Mux28~3 0xc0 1.07195e+06 0.294678;
Mux29~0 0xc0 834124 0.179688;
Mux29~1 0xc0 1.6741e+06 0.5;
Mux29~2 0xc0 893593 0.5;
Mux29~3 0xc0 1.15365e+06 0.283691;
Mux30~0 0xc0 716417 0.121094;
Mux30~1 0xc0 1.6741e+06 0.5;
Mux30~2 0xc0 893593 0.5;
Mux30~3 0xc0 1.26587e+06 0.272705;
Mux31~0 0xc0 1.6741e+06 0.5;
Mux31~1 0xc0 893593 0.5;
Mux31~2 0xc0 1.52343e+06 0.261719;
data_valid_out_Q 0xc0 4.52258e+06 0.25;
data_valid_out_Q~clkctrl 0xc0 4.52258e+06 0.25;
rx_ciccomp:RX_CICOMP_Q;
rx_ciccomp_0002:rx_ciccomp_inst;
rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst;
rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~1 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~2 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~1 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~2 0xc0 4.52258e+06 0.25;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~1 0xc0 7.28637e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~2 0xc0 2.13566e+06 0.875;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~1 0xc0 7.34919e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~2 0xc0 6.81527e+06 0.0625;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~1 0xc0 1.05056e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~2 0xc0 1.76663e+06 0.96875;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~1 0xc0 8.43665e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~2 0xc0 7.99499e+06 0.015625;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~1 0xc0 1.17903e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~2 0xc0 2.00267e+06 0.992188;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~1 0xc0 8.91683e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~2 0xc0 8.41616e+06 0.00390625;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8]~1 0xc0 1.21856e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~1 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~2 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~_wirecell 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~1 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~2 0xc0 4.52258e+06 0.25;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~1 0xc0 7.28637e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~2 0xc0 2.13566e+06 0.875;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~1 0xc0 7.34919e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~2 0xc0 6.81527e+06 0.0625;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~1 0xc0 1.05056e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~2 0xc0 1.76663e+06 0.96875;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~1 0xc0 8.43665e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~2 0xc0 7.99499e+06 0.015625;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~1 0xc0 1.17903e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~2 0xc0 2.00267e+06 0.992188;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~1 0xc0 8.91683e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~2 0xc0 8.41616e+06 0.00390625;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8]~1 0xc0 1.21856e+07 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5] 0xc0 8.04014e+06 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6] 0xc0 8.04014e+06 0.5;
Add0~0 0xc0 8.04014e+06 0.5;
Add0~1 0xc0 8.04014e+06 0.5;
Add0~2 0xc0 8.04014e+06 0.5;
Add0~3 0xc0 4.52258e+06 0.25;
Add0~4 0xc0 7.28637e+06 0.5;
Add0~5 0xc0 2.13566e+06 0.875;
Add0~6 0xc0 7.34919e+06 0.5;
Add0~7 0xc0 6.81527e+06 0.0625;
Add0~8 0xc0 1.05056e+07 0.5;
Add0~9 0xc0 1.76663e+06 0.96875;
Add0~10 0xc0 8.43665e+06 0.5;
Add0~11 0xc0 7.99499e+06 0.015625;
Add0~12 0xc0 1.17903e+07 0.5;
Add1~0 0xc0 8.04014e+06 0.5;
Add1~1 0xc0 8.04014e+06 0.5;
Add1~2 0xc0 8.04014e+06 0.5;
Add1~3 0xc0 4.52258e+06 0.25;
Add1~4 0xc0 7.28637e+06 0.5;
Add1~5 0xc0 2.13566e+06 0.875;
Add1~6 0xc0 7.34919e+06 0.5;
Add1~7 0xc0 6.81527e+06 0.0625;
Add1~8 0xc0 1.05056e+07 0.5;
Add1~9 0xc0 1.76663e+06 0.96875;
Add1~10 0xc0 8.43665e+06 0.5;
Add1~11 0xc0 7.99499e+06 0.015625;
Add1~12 0xc0 1.17903e+07 0.5;
Add1~14 0xc0 1.08528e+07 0.5;
Add1~15 0xc0 6.68252e+06 0.5;
Add1~16 0xc0 7.5044e+06 0.5;
Add1~17 0xc0 6.27722e+06 0.5;
Add1~18 0xc0 7.47481e+06 0.5;
Add1~19 0xc0 6.53261e+06 0.5;
Add1~20 0xc0 5.02509e+06 0.5;
Add2~0 0xc0 4.25758e+06 0.4375;
Add3~0 0xc0 8.04014e+06 0.5;
Add3~1 0xc0 8.04014e+06 0.5;
Add3~2 0xc0 8.04014e+06 0.5;
Add3~3 0xc0 4.52258e+06 0.25;
Add3~4 0xc0 7.28637e+06 0.5;
Add3~5 0xc0 2.13566e+06 0.875;
Add3~6 0xc0 7.34919e+06 0.5;
Add3~7 0xc0 6.81527e+06 0.0625;
Add3~8 0xc0 1.05056e+07 0.5;
Add3~9 0xc0 1.76663e+06 0.96875;
Add3~10 0xc0 8.43665e+06 0.5;
Add3~11 0xc0 7.99499e+06 0.015625;
Add3~12 0xc0 1.17903e+07 0.5;
Add3~13 0xc0 9.79156e+06 0.492188;
Add3~14 0xc0 8.91683e+06 0.5;
Add3~15 0xc0 6.34331e+06 0.753906;
Add3~16 0xc0 8.22839e+06 0.5;
Add6~0 0xc0 8.04014e+06 0.5;
Add6~1 0xc0 8.04014e+06 0.5;
Add6~2 0xc0 8.04014e+06 0.5;
Add6~2_wirecell 0xc0 8.04014e+06 0.5;
Add6~3 0xc0 4.52258e+06 0.25;
Add6~4 0xc0 7.28637e+06 0.5;
Add6~4_wirecell 0xc0 7.28637e+06 0.5;
Add6~5 0xc0 2.13566e+06 0.875;
Add6~6 0xc0 7.34919e+06 0.5;
Add6~6_wirecell 0xc0 7.34919e+06 0.5;
Add6~7 0xc0 6.81527e+06 0.0625;
Add6~8 0xc0 1.05056e+07 0.5;
Add6~8_wirecell 0xc0 1.05056e+07 0.5;
Add6~9 0xc0 1.76663e+06 0.96875;
Add6~10 0xc0 8.43665e+06 0.5;
Add6~10_wirecell 0xc0 8.43665e+06 0.5;
Add6~11 0xc0 7.99499e+06 0.015625;
Add6~12 0xc0 1.17903e+07 0.5;
Add13~1 0xc0 8.04014e+06 0.5;
Add13~2 0xc0 8.04014e+06 0.5;
Add13~2_wirecell 0xc0 8.04014e+06 0.5;
Add13~3 0xc0 4.52258e+06 0.25;
Add13~4 0xc0 7.28637e+06 0.5;
Add13~4_wirecell 0xc0 7.28637e+06 0.5;
Add13~5 0xc0 2.13566e+06 0.875;
Add13~6 0xc0 7.34919e+06 0.5;
Add13~6_wirecell 0xc0 7.34919e+06 0.5;
Add13~7 0xc0 6.81527e+06 0.0625;
Add13~8 0xc0 1.05056e+07 0.5;
Add13~8_wirecell 0xc0 1.05056e+07 0.5;
Add13~9 0xc0 1.76663e+06 0.96875;
Add13~10 0xc0 8.43665e+06 0.5;
Add13~10_wirecell 0xc0 8.43665e+06 0.5;
Add13~11 0xc0 7.99499e+06 0.015625;
Add13~12 0xc0 1.17903e+07 0.5;
Add13~13 0xc0 9.79156e+06 0.492188;
Add13~14 0xc0 8.91683e+06 0.5;
Add13~15 0xc0 6.34331e+06 0.753906;
Add13~16 0xc0 8.22839e+06 0.5;
dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13;
delay_signals[0][0] 0xc0 8.04014e+06 0.5;
delay_signals[0][0]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][0] 0xc0 8.04014e+06 0.5;
delay_signals[1][0]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[2][0] 0xc0 8.04014e+06 0.5;
delay_signals[2][0]~feeder 0xc0 4.52258e+06 0.25;
dspba_delay:d_u0_m0_wo0_compute_q_14;
delay_signals[0][0] 0xc0 8.04014e+06 0.5;
delay_signals[0][0]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][0] 0xc0 8.04014e+06 0.5;
delay_signals[1][0]~feeder 0xc0 8.04014e+06 0.5;
dspba_delay:d_u0_m0_wo0_compute_q_15;
delay_signals[0][0] 0xc0 8.04014e+06 0.5;
delay_signals[0][0]~feeder 0xc0 8.04014e+06 0.5;
dspba_delay:d_xIn_0_13;
delay_signals[0][0] 0xc0 8.04014e+06 0.5;
delay_signals[0][1] 0xc0 8.04014e+06 0.5;
delay_signals[0][1]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[0][2] 0xc0 8.04014e+06 0.5;
delay_signals[0][2]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[0][3] 0xc0 8.04014e+06 0.5;
delay_signals[0][4] 0xc0 8.04014e+06 0.5;
delay_signals[0][5] 0xc0 8.04014e+06 0.5;
delay_signals[0][5]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[0][6] 0xc0 8.04014e+06 0.5;
delay_signals[0][7] 0xc0 8.04014e+06 0.5;
delay_signals[0][7]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[0][8] 0xc0 8.04014e+06 0.5;
delay_signals[0][9] 0xc0 8.04014e+06 0.5;
delay_signals[0][10] 0xc0 8.04014e+06 0.5;
delay_signals[0][10]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[0][11] 0xc0 8.04014e+06 0.5;
delay_signals[0][12] 0xc0 8.04014e+06 0.5;
delay_signals[0][13] 0xc0 8.04014e+06 0.5;
delay_signals[0][13]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[0][14] 0xc0 8.04014e+06 0.5;
delay_signals[0][14]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[0][15] 0xc0 8.04014e+06 0.5;
delay_signals[1][0] 0xc0 8.04014e+06 0.5;
delay_signals[1][0]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][1] 0xc0 8.04014e+06 0.5;
delay_signals[1][1]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][2] 0xc0 8.04014e+06 0.5;
delay_signals[1][2]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][3] 0xc0 8.04014e+06 0.5;
delay_signals[1][3]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][4] 0xc0 8.04014e+06 0.5;
delay_signals[1][4]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][5] 0xc0 8.04014e+06 0.5;
delay_signals[1][6] 0xc0 8.04014e+06 0.5;
delay_signals[1][6]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][7] 0xc0 8.04014e+06 0.5;
delay_signals[1][7]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][8] 0xc0 8.04014e+06 0.5;
delay_signals[1][9] 0xc0 8.04014e+06 0.5;
delay_signals[1][10] 0xc0 8.04014e+06 0.5;
delay_signals[1][11] 0xc0 8.04014e+06 0.5;
delay_signals[1][12] 0xc0 8.04014e+06 0.5;
delay_signals[1][12]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][13] 0xc0 8.04014e+06 0.5;
delay_signals[1][13]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][14] 0xc0 8.04014e+06 0.5;
delay_signals[1][14]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][15] 0xc0 8.04014e+06 0.5;
delay_signals[1][15]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[2][0] 0xc0 8.04014e+06 0.5;
delay_signals[2][1] 0xc0 8.04014e+06 0.5;
delay_signals[2][2] 0xc0 8.04014e+06 0.5;
delay_signals[2][3] 0xc0 8.04014e+06 0.5;
delay_signals[2][4] 0xc0 8.04014e+06 0.5;
delay_signals[2][5] 0xc0 8.04014e+06 0.5;
delay_signals[2][6] 0xc0 8.04014e+06 0.5;
delay_signals[2][7] 0xc0 8.04014e+06 0.5;
delay_signals[2][8] 0xc0 8.04014e+06 0.5;
delay_signals[2][9] 0xc0 8.04014e+06 0.5;
delay_signals[2][10] 0xc0 8.04014e+06 0.5;
delay_signals[2][11] 0xc0 8.04014e+06 0.5;
delay_signals[2][12] 0xc0 8.04014e+06 0.5;
delay_signals[2][13] 0xc0 8.04014e+06 0.5;
delay_signals[2][14] 0xc0 8.04014e+06 0.5;
delay_signals[2][15] 0xc0 8.04014e+06 0.5;
Equal0~0 0xc0 1.60175e+06 0.0625;
Equal0~1 0xc0 989314 0.0625;
Equal0~2 0xc0 11342.4 0.00195313;
Equal1~0 0xc0 724319 0.0625;
Equal1~1 0xc0 724319 0.0625;
Equal1~2 0xc0 70125.4 0.00195313;
Mux2~0 0xc0 1.80144e+06 0.125;
Mux2~1 0xc0 9.2153e+06 0.28125;
Mux3~0 0xc0 3.02094e+06 0.4375;
Mux3~1 0xc0 3.02094e+06 0.4375;
Mux3~2 0xc0 1.23137e+07 0.40625;
Mux3~3 0xc0 6.58437e+06 0.382813;
Mux4~0 0xc0 3.03468e+06 0.5;
Mux4~1 0xc0 3.84733e+06 0.5;
Mux4~2 0xc0 1.12404e+07 0.390625;
Mux4~3 0xc0 8.69616e+06 0.359863;
Mux5~0 0xc0 2.95616e+06 0.625;
Mux5~1 0xc0 2.95616e+06 0.625;
Mux5~2 0xc0 1.38556e+07 0.359375;
Mux5~3 0xc0 5.79283e+06 0.311035;
Mux6~0 0xc0 2.99346e+06 0.3125;
Mux6~1 0xc0 2.82857e+06 0.6875;
Mux6~2 0xc0 3.96013e+06 0.4375;
Mux6~3 0xc0 5.47419e+06 0.425781;
Mux7~0 0xc0 3.706e+06 0.5;
Mux7~1 0xc0 3.15246e+06 0.5;
Mux7~2 0xc0 8.70681e+06 0.390625;
Mux7~3 0xc0 1.35474e+07 0.359863;
Mux8~0 0xc0 4.1771e+06 0.5;
Mux8~1 0xc0 4.6482e+06 0.5;
Mux8~2 0xc0 5.42206e+06 0.40625;
Mux8~3 0xc0 6.26648e+06 0.376953;
Mux9~0 0xc0 3.61178e+06 0.3125;
Mux9~1 0xc0 2.7108e+06 0.6875;
Mux9~2 0xc0 724319 0.0625;
Mux9~3 0xc0 1.51021e+07 0.34375;
Mux9~4 0xc0 989314 0.0625;
Mux9~5 0xc0 1.11043e+07 0.285156;
Mux9~6 0xc0 1.91581e+06 0.125;
Mux9~7 0xc0 2.7638e+06 0.125;
u0_m0_wo0_accum_o[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[0]~30 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[0]~31 0xc0 4.52258e+06 0.25;
u0_m0_wo0_accum_o[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[1]~32 0xc0 6.78387e+06 0.5;
u0_m0_wo0_accum_o[1]~33 0xc0 3.74526e+06 0.625;
u0_m0_wo0_accum_o[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[2]~34 0xc0 5.74155e+06 0.5;
u0_m0_wo0_accum_o[2]~35 0xc0 6.671e+06 0.4375;
u0_m0_wo0_accum_o[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[3]~36 0xc0 6.26099e+06 0.5;
u0_m0_wo0_accum_o[3]~37 0xc0 5.11697e+06 0.53125;
u0_m0_wo0_accum_o[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[4]~38 0xc0 5.81949e+06 0.5;
u0_m0_wo0_accum_o[4]~39 0xc0 5.71476e+06 0.484375;
u0_m0_wo0_accum_o[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[5]~40 0xc0 5.95568e+06 0.5;
u0_m0_wo0_accum_o[5]~41 0xc0 5.35151e+06 0.507813;
u0_m0_wo0_accum_o[6] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[6]~42 0xc0 5.86156e+06 0.5;
u0_m0_wo0_accum_o[6]~43 0xc0 5.5146e+06 0.496094;
u0_m0_wo0_accum_o[7] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[7]~44 0xc0 5.9015e+06 0.5;
u0_m0_wo0_accum_o[7]~45 0xc0 5.42812e+06 0.501953;
u0_m0_wo0_accum_o[8] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[8]~46 0xc0 5.87967e+06 0.5;
u0_m0_wo0_accum_o[8]~47 0xc0 5.47008e+06 0.499023;
u0_m0_wo0_accum_o[9] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[9]~48 0xc0 5.89012e+06 0.5;
u0_m0_wo0_accum_o[9]~49 0xc0 5.44878e+06 0.500488;
u0_m0_wo0_accum_o[10] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[10]~50 0xc0 5.88478e+06 0.5;
u0_m0_wo0_accum_o[10]~51 0xc0 5.45935e+06 0.499756;
u0_m0_wo0_accum_o[11] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[11]~52 0xc0 5.88741e+06 0.5;
u0_m0_wo0_accum_o[11]~53 0xc0 5.45404e+06 0.500122;
u0_m0_wo0_accum_o[12] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[12]~54 0xc0 5.88609e+06 0.5;
u0_m0_wo0_accum_o[12]~55 0xc0 5.45669e+06 0.499939;
u0_m0_wo0_accum_o[13] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[13]~56 0xc0 5.88675e+06 0.5;
u0_m0_wo0_accum_o[13]~57 0xc0 5.45537e+06 0.500031;
u0_m0_wo0_accum_o[14] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[14]~58 0xc0 5.88642e+06 0.5;
u0_m0_wo0_accum_o[14]~59 0xc0 5.45603e+06 0.499985;
u0_m0_wo0_accum_o[15] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[15]~60 0xc0 5.88658e+06 0.5;
u0_m0_wo0_accum_o[15]~61 0xc0 5.4557e+06 0.500008;
u0_m0_wo0_accum_o[16] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[16]~62 0xc0 5.8865e+06 0.5;
u0_m0_wo0_accum_o[16]~63 0xc0 5.45586e+06 0.499996;
u0_m0_wo0_accum_o[17] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[17]~64 0xc0 5.88654e+06 0.5;
u0_m0_wo0_accum_o[17]~65 0xc0 5.45578e+06 0.500002;
u0_m0_wo0_accum_o[18] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[18]~66 0xc0 5.88652e+06 0.5;
u0_m0_wo0_accum_o[18]~67 0xc0 5.45582e+06 0.499999;
u0_m0_wo0_accum_o[19] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[19]~68 0xc0 5.88653e+06 0.5;
u0_m0_wo0_accum_o[19]~69 0xc0 5.4558e+06 0.5;
u0_m0_wo0_accum_o[20] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[20]~70 0xc0 5.88653e+06 0.5;
u0_m0_wo0_accum_o[20]~71 0xc0 5.45581e+06 0.5;
u0_m0_wo0_accum_o[21] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[21]~72 0xc0 5.88653e+06 0.5;
u0_m0_wo0_accum_o[21]~73 0xc0 5.45581e+06 0.5;
u0_m0_wo0_accum_o[22] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[22]~74 0xc0 5.88653e+06 0.5;
u0_m0_wo0_accum_o[22]~75 0xc0 5.45581e+06 0.5;
u0_m0_wo0_accum_o[23] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[23]~76 0xc0 7.39406e+06 0.5;
u0_m0_wo0_accum_o[23]~77 0xc0 7.7171e+06 0.5;
u0_m0_wo0_accum_o[24] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[24]~78 0xc0 7.95938e+06 0.5;
u0_m0_wo0_accum_o[24]~79 0xc0 7.99976e+06 0.5;
u0_m0_wo0_accum_o[25] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[25]~80 0xc0 8.03004e+06 0.5;
u0_m0_wo0_accum_o[25]~81 0xc0 8.03509e+06 0.5;
u0_m0_wo0_accum_o[26] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[26]~82 0xc0 8.03887e+06 0.5;
u0_m0_wo0_accum_o[26]~83 0xc0 8.03951e+06 0.5;
u0_m0_wo0_accum_o[27] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[27]~84 0xc0 8.03998e+06 0.5;
u0_m0_wo0_accum_o[27]~85 0xc0 8.04006e+06 0.5;
u0_m0_wo0_accum_o[28] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[28]~86 0xc0 8.04012e+06 0.5;
u0_m0_wo0_accum_o[28]~87 0xc0 8.04013e+06 0.5;
u0_m0_wo0_accum_o[29] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_accum_o[29]~88 0xc0 8.04013e+06 0.5;
u0_m0_wo0_aseq_eq 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[0]~5 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[1]~6 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[1]~7 0xc0 4.52258e+06 0.25;
u0_m0_wo0_ca0_i[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[2]~8 0xc0 7.28637e+06 0.5;
u0_m0_wo0_ca0_i[2]~9 0xc0 1.91581e+06 0.875;
u0_m0_wo0_ca0_i[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[3]~10 0xc0 7.23926e+06 0.5;
u0_m0_wo0_ca0_i[3]~11 0xc0 6.76031e+06 0.0625;
u0_m0_wo0_ca0_i[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[4]~12 0xc0 1.04781e+07 0.5;
u0_m0_wo0_ca0_i[4]~13 0xc0 1.75289e+06 0.96875;
u0_m0_wo0_ca0_i[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_ca0_i[5]~14 0xc0 8.42978e+06 0.5;
u0_m0_wo0_cm0_q[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_cm0_q[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_cm0_q[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_cm0_q[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_cm0_q[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_cm0_q[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_cm0_q[6] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_cm0_q[7] 0xc0 8.04014e+06 0.5;
dspba_delay:u0_m0_wo0_compute;
delay_signals[0][0] 0xc0 8.04014e+06 0.5;
delay_signals[0][0]~feeder 0xc0 8.04014e+06 0.5;
delay_signals[1][0] 0xc0 8.04014e+06 0.5;
delay_signals[1][0]~feeder 0xc0 8.04014e+06 0.5;
dspba_delay:u0_m0_wo0_memread;
delay_signals[0][0] 0xc0 8.04014e+06 0.5;
lpm_mult:u0_m0_wo0_mtree_mult1_0_component;
mult_ncu:auto_generated;
mac_mult1 0xc0 3.21605e+07 0.5;
mac_mult1~12 0xc0 0 0;
mac_mult1~13 0xc0 0 0;
mac_mult1~14 0xc0 0 0;
mac_mult1~15 0xc0 0 0;
mac_mult1~16 0xc0 0 0;
mac_mult1~17 0xc0 0 0;
mac_mult1~18 0xc0 0 0;
mac_mult1~19 0xc0 0 0;
mac_mult1~20 0xc0 0 0;
mac_mult1~21 0xc0 0 0;
mac_mult1~22 0xc0 0 0;
mac_mult1~23 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT2 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT3 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT4 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT5 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT6 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT7 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT8 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT9 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT10 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT11 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT12 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT13 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT14 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT15 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT16 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT17 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT18 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT19 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT20 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT21 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT22 0xc0 3.21605e+07 0.5;
mac_mult1~DATAOUT23 0xc0 3.21605e+07 0.5;
result[0] 0xc0 8.04014e+06 0.5;
result[1] 0xc0 8.04014e+06 0.5;
result[2] 0xc0 8.04014e+06 0.5;
result[3] 0xc0 8.04014e+06 0.5;
result[4] 0xc0 8.04014e+06 0.5;
result[5] 0xc0 8.04014e+06 0.5;
result[6] 0xc0 8.04014e+06 0.5;
result[7] 0xc0 8.04014e+06 0.5;
result[8] 0xc0 8.04014e+06 0.5;
result[9] 0xc0 8.04014e+06 0.5;
result[10] 0xc0 8.04014e+06 0.5;
result[11] 0xc0 8.04014e+06 0.5;
result[12] 0xc0 8.04014e+06 0.5;
result[13] 0xc0 8.04014e+06 0.5;
result[14] 0xc0 8.04014e+06 0.5;
result[15] 0xc0 8.04014e+06 0.5;
result[16] 0xc0 8.04014e+06 0.5;
result[17] 0xc0 8.04014e+06 0.5;
result[18] 0xc0 8.04014e+06 0.5;
result[19] 0xc0 8.04014e+06 0.5;
result[20] 0xc0 8.04014e+06 0.5;
result[21] 0xc0 8.04014e+06 0.5;
result[22] 0xc0 8.04014e+06 0.5;
result[23] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_oseq_eq 0xc0 8.04014e+06 0.5;
u0_m0_wo0_oseq_gated_q[0] 0xc0 6.0301e+06 0.25;
u0_m0_wo0_oseq_gated_reg_q[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_run_count[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_run_count[0]~0 0xc0 5.17819e+06 0.5;
u0_m0_wo0_run_count[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_run_enableQ[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_run_enableQ~0 0xc0 5.21708e+06 0.25;
u0_m0_wo0_run_q[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_run_q[0]~feeder 0xc0 8.04014e+06 0.5;
altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem;
altsyncram_0mn3:auto_generated;
q_b[0] 0xc0 8.04014e+06 0.5;
q_b[1] 0xc0 8.04014e+06 0.5;
q_b[2] 0xc0 8.04014e+06 0.5;
q_b[3] 0xc0 8.04014e+06 0.5;
q_b[4] 0xc0 8.04014e+06 0.5;
q_b[5] 0xc0 8.04014e+06 0.5;
q_b[6] 0xc0 8.04014e+06 0.5;
q_b[7] 0xc0 8.04014e+06 0.5;
q_b[8] 0xc0 8.04014e+06 0.5;
q_b[9] 0xc0 8.04014e+06 0.5;
q_b[10] 0xc0 8.04014e+06 0.5;
q_b[11] 0xc0 8.04014e+06 0.5;
q_b[12] 0xc0 8.04014e+06 0.5;
q_b[13] 0xc0 8.04014e+06 0.5;
q_b[14] 0xc0 8.04014e+06 0.5;
q_b[15] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 0xc0 4.52258e+06 0.25;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 0xc0 6.78387e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 0xc0 3.74526e+06 0.625;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 0xc0 5.74155e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 0xc0 6.671e+06 0.4375;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 0xc0 6.26099e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 0xc0 5.11697e+06 0.53125;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 0xc0 5.81949e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 0xc0 5.71476e+06 0.484375;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 0xc0 7.46468e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 0xc0 4.52258e+06 0.25;
u0_m0_wo0_wi0_r0_ra0_count0_i[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 0xc0 4.83664e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 0xc0 4.52258e+06 0.25;
u0_m0_wo0_wi0_r0_ra0_count0_i[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 0xc0 7.28637e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 0xc0 1.91581e+06 0.875;
u0_m0_wo0_wi0_r0_ra0_count0_i[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 0xc0 7.23926e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 0xc0 6.76031e+06 0.0625;
u0_m0_wo0_wi0_r0_ra0_count0_i[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 0xc0 1.04781e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 0xc0 1.75289e+06 0.96875;
u0_m0_wo0_wi0_r0_ra0_count0_i[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 0xc0 8.42978e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 0xc0 4.52258e+06 0.25;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 0xc0 7.28637e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 0xc0 2.13566e+06 0.875;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 0xc0 7.34919e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 0xc0 6.81527e+06 0.0625;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 0xc0 1.05056e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 0xc0 1.76663e+06 0.96875;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 0xc0 8.43665e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 0xc0 7.99499e+06 0.015625;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 0xc0 1.17903e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[0]~5 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[1]~7 0xc0 4.52258e+06 0.25;
u0_m0_wo0_wi0_r0_ra0_count1_i[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 0xc0 7.28637e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[2]~9 0xc0 1.91581e+06 0.875;
u0_m0_wo0_wi0_r0_ra0_count1_i[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 0xc0 7.23926e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[3]~11 0xc0 6.76031e+06 0.0625;
u0_m0_wo0_wi0_r0_ra0_count1_i[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 0xc0 1.04781e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[4]~13 0xc0 1.75289e+06 0.96875;
u0_m0_wo0_wi0_r0_ra0_count1_i[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[5]~14 0xc0 8.42978e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[0] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[0]~5 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[1] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[1]~6 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[1]~7 0xc0 4.52258e+06 0.25;
u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[2] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[2]~8 0xc0 7.28637e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[2]~9 0xc0 1.91581e+06 0.875;
u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[3] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[3]~10 0xc0 7.23926e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[3]~11 0xc0 6.76031e+06 0.0625;
u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[4] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[4]~12 0xc0 1.04781e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[4]~13 0xc0 1.75289e+06 0.96875;
u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[5] 0xc0 8.04014e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[5]~14 0xc0 8.42978e+06 0.5;
u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell 0xc0 8.04014e+06 0.5;
auk_dspip_avalon_streaming_sink_hpfir:sink;
auk_dspip_avalon_streaming_source_hpfir:source;
data_out[0] 0xc0 8.04014e+06 0.5;
data_out[1] 0xc0 8.04014e+06 0.5;
data_out[2] 0xc0 8.04014e+06 0.5;
data_out[3] 0xc0 8.04014e+06 0.5;
data_out[4] 0xc0 8.04014e+06 0.5;
data_out[5] 0xc0 8.04014e+06 0.5;
data_out[5]~feeder 0xc0 8.04014e+06 0.5;
data_out[6] 0xc0 8.04014e+06 0.5;
data_out[7] 0xc0 8.04014e+06 0.5;
data_out[8] 0xc0 8.04014e+06 0.5;
data_out[9] 0xc0 8.04014e+06 0.5;
data_out[10] 0xc0 8.04014e+06 0.5;
data_out[11] 0xc0 8.04014e+06 0.5;
data_out[12] 0xc0 8.04014e+06 0.5;
data_out[13] 0xc0 8.04014e+06 0.5;
data_out[14] 0xc0 8.04014e+06 0.5;
data_out[14]~feeder 0xc0 8.04014e+06 0.5;
data_out[15] 0xc0 8.04014e+06 0.5;
data_out[16] 0xc0 8.04014e+06 0.5;
data_out[17] 0xc0 8.04014e+06 0.5;
data_out[18] 0xc0 8.04014e+06 0.5;
data_out[19] 0xc0 8.04014e+06 0.5;
data_out[20] 0xc0 8.04014e+06 0.5;
data_out[21] 0xc0 8.04014e+06 0.5;
data_out[22] 0xc0 8.04014e+06 0.5;
data_out[23] 0xc0 8.04014e+06 0.5;
data_out[24] 0xc0 8.04014e+06 0.5;
data_out[25] 0xc0 8.04014e+06 0.5;
data_out[26] 0xc0 8.04014e+06 0.5;
data_out[27] 0xc0 8.04014e+06 0.5;
data_out[28] 0xc0 8.04014e+06 0.5;
data_out[28]~feeder 0xc0 8.04014e+06 0.5;
data_out[29] 0xc0 8.04014e+06 0.5;
data_valid 0xc0 8.04014e+06 0.5;
mixer:RX_MIXER_I;
lpm_mult:lpm_mult_component;
mult_jnp:auto_generated;
mac_mult1 0xc0 2.01003e+06 0.5;
mac_mult1~0 0xc0 0 0;
mac_mult1~1 0xc0 0 0;
mac_mult1~2 0xc0 0 0;
mac_mult1~3 0xc0 0 0;
mac_mult1~4 0xc0 0 0;
mac_mult1~5 0xc0 0 0;
mac_mult1~6 0xc0 0 0;
mac_mult1~7 0xc0 0 0;
mac_mult1~8 0xc0 0 0;
mac_mult1~9 0xc0 0 0;
mac_mult1~10 0xc0 0 0;
mac_mult1~11 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT2 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT3 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT4 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT5 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT6 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT7 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT8 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT9 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT10 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT11 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT12 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT13 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT14 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT15 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT16 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT17 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT18 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT19 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT20 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT21 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT22 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT23 0xc0 2.01003e+06 0.5;
result[0] 0xc0 8.04014e+06 0.5;
result[1] 0xc0 8.04014e+06 0.5;
result[2] 0xc0 8.04014e+06 0.5;
result[3] 0xc0 8.04014e+06 0.5;
result[4] 0xc0 8.04014e+06 0.5;
result[5] 0xc0 8.04014e+06 0.5;
result[6] 0xc0 8.04014e+06 0.5;
result[7] 0xc0 8.04014e+06 0.5;
result[8] 0xc0 8.04014e+06 0.5;
result[9] 0xc0 8.04014e+06 0.5;
result[10] 0xc0 8.04014e+06 0.5;
result[11] 0xc0 8.04014e+06 0.5;
result[12] 0xc0 8.04014e+06 0.5;
result[13] 0xc0 8.04014e+06 0.5;
result[14] 0xc0 8.04014e+06 0.5;
result[15] 0xc0 8.04014e+06 0.5;
result[16] 0xc0 8.04014e+06 0.5;
result[17] 0xc0 8.04014e+06 0.5;
result[18] 0xc0 8.04014e+06 0.5;
result[19] 0xc0 8.04014e+06 0.5;
result[20] 0xc0 8.04014e+06 0.5;
result[21] 0xc0 8.04014e+06 0.5;
result[22] 0xc0 8.04014e+06 0.5;
mixer:RX_MIXER_Q;
lpm_mult:lpm_mult_component;
mult_jnp:auto_generated;
mac_mult1 0xc0 2.01003e+06 0.5;
mac_mult1~0 0xc0 0 0;
mac_mult1~1 0xc0 0 0;
mac_mult1~2 0xc0 0 0;
mac_mult1~3 0xc0 0 0;
mac_mult1~4 0xc0 0 0;
mac_mult1~5 0xc0 0 0;
mac_mult1~6 0xc0 0 0;
mac_mult1~7 0xc0 0 0;
mac_mult1~8 0xc0 0 0;
mac_mult1~9 0xc0 0 0;
mac_mult1~10 0xc0 0 0;
mac_mult1~11 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT2 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT3 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT4 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT5 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT6 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT7 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT8 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT9 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT10 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT11 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT12 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT13 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT14 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT15 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT16 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT17 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT18 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT19 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT20 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT21 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT22 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT23 0xc0 2.01003e+06 0.5;
result[0] 0xc0 8.04014e+06 0.5;
result[1] 0xc0 8.04014e+06 0.5;
result[2] 0xc0 8.04014e+06 0.5;
result[3] 0xc0 8.04014e+06 0.5;
result[4] 0xc0 8.04014e+06 0.5;
result[5] 0xc0 8.04014e+06 0.5;
result[6] 0xc0 8.04014e+06 0.5;
result[7] 0xc0 8.04014e+06 0.5;
result[8] 0xc0 8.04014e+06 0.5;
result[9] 0xc0 8.04014e+06 0.5;
result[10] 0xc0 8.04014e+06 0.5;
result[11] 0xc0 8.04014e+06 0.5;
result[12] 0xc0 8.04014e+06 0.5;
result[13] 0xc0 8.04014e+06 0.5;
result[14] 0xc0 8.04014e+06 0.5;
result[15] 0xc0 8.04014e+06 0.5;
result[16] 0xc0 8.04014e+06 0.5;
result[17] 0xc0 8.04014e+06 0.5;
result[18] 0xc0 8.04014e+06 0.5;
result[19] 0xc0 8.04014e+06 0.5;
result[20] 0xc0 8.04014e+06 0.5;
result[21] 0xc0 8.04014e+06 0.5;
result[22] 0xc0 8.04014e+06 0.5;
nco:RX_NCO;
nco_nco_ii_0:nco_ii_0;
asj_nco_mob_w:blk0;
Equal0~0 0xc0 724319 0.9375;
Equal0~1 0xc0 724319 0.9375;
Equal0~2 0xc0 724319 0.9375;
Equal0~3 0xc0 31.6908 0.999878;
add_one 0xc0 4.52258e+06 0.25;
data_tmp[0] 0xc0 8.04014e+06 0.5;
data_tmp[1] 0xc0 8.04014e+06 0.5;
data_tmp[2] 0xc0 8.04014e+06 0.5;
data_tmp[3] 0xc0 8.04014e+06 0.5;
data_tmp[4] 0xc0 8.04014e+06 0.5;
data_tmp[5] 0xc0 8.04014e+06 0.5;
data_tmp[6] 0xc0 8.04014e+06 0.5;
data_tmp[7] 0xc0 8.04014e+06 0.5;
data_tmp[8] 0xc0 8.04014e+06 0.5;
data_tmp[9] 0xc0 8.04014e+06 0.5;
data_tmp[10] 0xc0 8.04014e+06 0.5;
data_tmp[11] 0xc0 8.04014e+06 0.5;
data_tmp~0 0xc0 4.08288e+06 0.5;
data_tmp~1 0xc0 4.08288e+06 0.5;
data_tmp~2 0xc0 4.08288e+06 0.5;
data_tmp~3 0xc0 4.08288e+06 0.5;
data_tmp~4 0xc0 4.08288e+06 0.5;
data_tmp~5 0xc0 4.08288e+06 0.5;
data_tmp~6 0xc0 4.08288e+06 0.5;
data_tmp~7 0xc0 4.08288e+06 0.5;
data_tmp~8 0xc0 4.08288e+06 0.5;
data_tmp~9 0xc0 4.08288e+06 0.5;
data_tmp~10 0xc0 4.08288e+06 0.5;
data_tmp~11 0xc0 4.08288e+06 0.5;
is_zero 0xc0 8.04014e+06 0.5;
is_zero~0 0xc0 4.52258e+06 0.75;
lpm_add_sub:lpm_add_sub_component;
add_sub_fpk:auto_generated;
pipeline_dffe[0] 0xc0 8.04014e+06 0.5;
pipeline_dffe[0]~12 0xc0 7.28637e+06 0.5;
pipeline_dffe[0]~13 0xc0 6.15573e+06 0.125;
pipeline_dffe[1] 0xc0 8.04014e+06 0.5;
pipeline_dffe[1]~14 0xc0 9.35922e+06 0.5;
pipeline_dffe[1]~15 0xc0 1.79019e+06 0.9375;
pipeline_dffe[2] 0xc0 8.04014e+06 0.5;
pipeline_dffe[2]~16 0xc0 7.99303e+06 0.5;
pipeline_dffe[2]~17 0xc0 7.54548e+06 0.03125;
pipeline_dffe[3] 0xc0 8.04014e+06 0.5;
pipeline_dffe[3]~18 0xc0 1.13261e+07 0.5;
pipeline_dffe[3]~19 0xc0 1.90207e+06 0.984375;
pipeline_dffe[4] 0xc0 8.04014e+06 0.5;
pipeline_dffe[4]~20 0xc0 8.74385e+06 0.5;
pipeline_dffe[4]~21 0xc0 8.26833e+06 0.0078125;
pipeline_dffe[5] 0xc0 8.04014e+06 0.5;
pipeline_dffe[5]~22 0xc0 1.20497e+07 0.5;
pipeline_dffe[5]~23 0xc0 2.06806e+06 0.996094;
pipeline_dffe[6] 0xc0 8.04014e+06 0.5;
pipeline_dffe[6]~24 0xc0 9.0116e+06 0.5;
pipeline_dffe[6]~25 0xc0 8.49458e+06 0.00195313;
pipeline_dffe[7] 0xc0 8.04014e+06 0.5;
pipeline_dffe[7]~26 0xc0 1.22561e+07 0.5;
pipeline_dffe[7]~27 0xc0 2.12371e+06 0.999023;
pipeline_dffe[8] 0xc0 8.04014e+06 0.5;
pipeline_dffe[8]~28 0xc0 9.0863e+06 0.5;
pipeline_dffe[8]~29 0xc0 8.55538e+06 0.000488281;
pipeline_dffe[9] 0xc0 8.04014e+06 0.5;
pipeline_dffe[9]~30 0xc0 1.231e+07 0.5;
pipeline_dffe[9]~31 0xc0 2.13885e+06 0.999756;
pipeline_dffe[10] 0xc0 8.04014e+06 0.5;
pipeline_dffe[10]~32 0xc0 9.10564e+06 0.5;
pipeline_dffe[10]~33 0xc0 8.57092e+06 0.00012207;
pipeline_dffe[11] 0xc0 8.04014e+06 0.5;
pipeline_dffe[11]~34 0xc0 1.23236e+07 0.5;
asj_nco_mob_w:blk1;
Equal0~0 0xc0 724319 0.9375;
Equal0~1 0xc0 724319 0.9375;
Equal0~2 0xc0 724319 0.9375;
Equal0~3 0xc0 50.3628 0.999878;
add_one 0xc0 4.52258e+06 0.25;
data_tmp[0] 0xc0 8.04014e+06 0.5;
data_tmp[1] 0xc0 8.04014e+06 0.5;
data_tmp[2] 0xc0 8.04014e+06 0.5;
data_tmp[3] 0xc0 8.04014e+06 0.5;
data_tmp[4] 0xc0 8.04014e+06 0.5;
data_tmp[5] 0xc0 8.04014e+06 0.5;
data_tmp[6] 0xc0 8.04014e+06 0.5;
data_tmp[7] 0xc0 8.04014e+06 0.5;
data_tmp[8] 0xc0 8.04014e+06 0.5;
data_tmp[9] 0xc0 8.04014e+06 0.5;
data_tmp[10] 0xc0 8.04014e+06 0.5;
data_tmp[11] 0xc0 8.04014e+06 0.5;
data_tmp~0 0xc0 4.08288e+06 0.5;
data_tmp~1 0xc0 4.08288e+06 0.5;
data_tmp~2 0xc0 4.08288e+06 0.5;
data_tmp~3 0xc0 4.08288e+06 0.5;
data_tmp~4 0xc0 4.08288e+06 0.5;
data_tmp~5 0xc0 4.08288e+06 0.5;
data_tmp~6 0xc0 4.08288e+06 0.5;
data_tmp~7 0xc0 4.08288e+06 0.5;
data_tmp~8 0xc0 4.08288e+06 0.5;
data_tmp~9 0xc0 4.08288e+06 0.5;
data_tmp~10 0xc0 4.08288e+06 0.5;
data_tmp~11 0xc0 4.08288e+06 0.5;
is_zero 0xc0 8.04014e+06 0.5;
lpm_add_sub:lpm_add_sub_component;
add_sub_fpk:auto_generated;
pipeline_dffe[0] 0xc0 8.04014e+06 0.5;
pipeline_dffe[0]~12 0xc0 7.28637e+06 0.5;
pipeline_dffe[0]~13 0xc0 2.7638e+06 0.125;
pipeline_dffe[1] 0xc0 8.04014e+06 0.5;
pipeline_dffe[1]~14 0xc0 7.66326e+06 0.5;
pipeline_dffe[1]~15 0xc0 989314 0.9375;
pipeline_dffe[2] 0xc0 8.04014e+06 0.5;
pipeline_dffe[2]~16 0xc0 7.59259e+06 0.5;
pipeline_dffe[2]~17 0xc0 7.34526e+06 0.03125;
pipeline_dffe[3] 0xc0 8.04014e+06 0.5;
pipeline_dffe[3]~18 0xc0 1.1226e+07 0.5;
pipeline_dffe[3]~19 0xc0 1.85202e+06 0.984375;
pipeline_dffe[4] 0xc0 8.04014e+06 0.5;
pipeline_dffe[4]~20 0xc0 8.71882e+06 0.5;
pipeline_dffe[4]~21 0xc0 8.25581e+06 0.0078125;
pipeline_dffe[5] 0xc0 8.04014e+06 0.5;
pipeline_dffe[5]~22 0xc0 1.20434e+07 0.5;
pipeline_dffe[5]~23 0xc0 2.06493e+06 0.996094;
pipeline_dffe[6] 0xc0 8.04014e+06 0.5;
pipeline_dffe[6]~24 0xc0 9.01004e+06 0.5;
pipeline_dffe[6]~25 0xc0 8.4938e+06 0.00195313;
pipeline_dffe[7] 0xc0 8.04014e+06 0.5;
pipeline_dffe[7]~26 0xc0 1.22557e+07 0.5;
pipeline_dffe[7]~27 0xc0 2.12351e+06 0.999023;
pipeline_dffe[8] 0xc0 8.04014e+06 0.5;
pipeline_dffe[8]~28 0xc0 9.0862e+06 0.5;
pipeline_dffe[8]~29 0xc0 8.55533e+06 0.000488281;
pipeline_dffe[9] 0xc0 8.04014e+06 0.5;
pipeline_dffe[9]~30 0xc0 1.231e+07 0.5;
pipeline_dffe[9]~31 0xc0 2.13884e+06 0.999756;
pipeline_dffe[10] 0xc0 8.04014e+06 0.5;
pipeline_dffe[10]~32 0xc0 9.10563e+06 0.5;
pipeline_dffe[10]~33 0xc0 8.57092e+06 0.00012207;
pipeline_dffe[11] 0xc0 8.04014e+06 0.5;
pipeline_dffe[11]~34 0xc0 1.23236e+07 0.5;
asj_nco_mady_cen:m0;
lpm_mult:Mult0;
mult_t5t:auto_generated;
mac_mult1 0xc0 2.01003e+06 0.5;
mac_mult1~0 0xc0 0 0;
mac_mult1~1 0xc0 0 0;
mac_mult1~2 0xc0 0 0;
mac_mult1~3 0xc0 0 0;
mac_mult1~4 0xc0 0 0;
mac_mult1~5 0xc0 0 0;
mac_mult1~6 0xc0 0 0;
mac_mult1~7 0xc0 0 0;
mac_mult1~8 0xc0 0 0;
mac_mult1~9 0xc0 0 0;
mac_mult1~10 0xc0 0 0;
mac_mult1~11 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT2 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT3 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT4 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT5 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT6 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT7 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT8 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT9 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT10 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT11 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT12 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT13 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT14 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT15 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT16 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT17 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT18 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT19 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT20 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT21 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT22 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT23 0xc0 2.01003e+06 0.5;
mac_out2 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT1 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT2 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT3 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT4 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT5 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT6 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT7 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT8 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT9 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT10 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT11 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT12 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT13 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT14 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT15 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT16 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT17 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT18 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT19 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT20 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT21 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT22 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT23 0xc0 2.01003e+06 0.5;
lpm_mult:Mult1;
mult_t5t:auto_generated;
mac_mult1 0xc0 2.01003e+06 0.5;
mac_mult1~0 0xc0 0 0;
mac_mult1~1 0xc0 0 0;
mac_mult1~2 0xc0 0 0;
mac_mult1~3 0xc0 0 0;
mac_mult1~4 0xc0 0 0;
mac_mult1~5 0xc0 0 0;
mac_mult1~6 0xc0 0 0;
mac_mult1~7 0xc0 0 0;
mac_mult1~8 0xc0 0 0;
mac_mult1~9 0xc0 0 0;
mac_mult1~10 0xc0 0 0;
mac_mult1~11 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT2 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT3 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT4 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT5 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT6 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT7 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT8 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT9 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT10 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT11 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT12 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT13 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT14 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT15 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT16 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT17 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT18 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT19 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT20 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT21 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT22 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT23 0xc0 2.01003e+06 0.5;
mac_out2 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT1 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT2 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT3 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT4 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT5 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT6 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT7 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT8 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT9 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT10 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT11 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT12 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT13 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT14 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT15 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT16 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT17 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT18 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT19 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT20 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT21 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT22 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT23 0xc0 2.01003e+06 0.5;
out[11] 0xc0 8.04014e+06 0.5;
out[11]~14 0xc0 1.03642e+06 0.25;
out[11]~16 0xc0 794524 0.625;
out[11]~18 0xc0 1.47631e+06 0.4375;
out[11]~20 0xc0 1.12355e+06 0.53125;
out[11]~22 0xc0 1.26146e+06 0.484375;
out[11]~24 0xc0 1.17845e+06 0.507813;
out[11]~26 0xc0 1.21588e+06 0.496094;
out[11]~28 0xc0 1.19608e+06 0.501953;
out[11]~30 0xc0 1.2057e+06 0.499023;
out[11]~32 0xc0 1.20082e+06 0.500488;
out[11]~34 0xc0 1.20324e+06 0.499756;
out[11]~35 0xc0 1.33723e+06 0.5;
out[11]~36 0xc0 1.20203e+06 0.500122;
out[12] 0xc0 8.04014e+06 0.5;
out[12]~37 0xc0 1.33693e+06 0.5;
out[12]~38 0xc0 1.20263e+06 0.499939;
out[13] 0xc0 8.04014e+06 0.5;
out[13]~39 0xc0 1.33708e+06 0.5;
out[13]~40 0xc0 1.20233e+06 0.500031;
out[14] 0xc0 8.04014e+06 0.5;
out[14]~41 0xc0 1.33701e+06 0.5;
out[14]~42 0xc0 1.20248e+06 0.499985;
out[15] 0xc0 8.04014e+06 0.5;
out[15]~43 0xc0 1.33704e+06 0.5;
out[15]~44 0xc0 1.20241e+06 0.500008;
out[16] 0xc0 8.04014e+06 0.5;
out[16]~45 0xc0 1.33703e+06 0.5;
out[16]~46 0xc0 1.20244e+06 0.499996;
out[17] 0xc0 8.04014e+06 0.5;
out[17]~47 0xc0 1.33703e+06 0.5;
out[17]~48 0xc0 1.20242e+06 0.500002;
out[18] 0xc0 8.04014e+06 0.5;
out[18]~49 0xc0 1.33703e+06 0.5;
out[18]~50 0xc0 1.20243e+06 0.499999;
out[19] 0xc0 8.04014e+06 0.5;
out[19]~51 0xc0 1.33703e+06 0.5;
out[19]~52 0xc0 1.20243e+06 0.5;
out[20] 0xc0 8.04014e+06 0.5;
out[20]~53 0xc0 1.33703e+06 0.5;
out[20]~54 0xc0 1.20243e+06 0.5;
out[21] 0xc0 8.04014e+06 0.5;
out[21]~55 0xc0 1.33703e+06 0.5;
out[21]~56 0xc0 1.20243e+06 0.5;
out[22] 0xc0 8.04014e+06 0.5;
out[22]~57 0xc0 1.33703e+06 0.5;
out[22]~58 0xc0 1.20243e+06 0.5;
out[23] 0xc0 8.04014e+06 0.5;
out[23]~59 0xc0 1.80813e+06 0.5;
asj_nco_madx_cen:m1;
lpm_mult:Mult0;
mult_t5t:auto_generated;
mac_mult1 0xc0 2.01003e+06 0.5;
mac_mult1~0 0xc0 0 0;
mac_mult1~1 0xc0 0 0;
mac_mult1~2 0xc0 0 0;
mac_mult1~3 0xc0 0 0;
mac_mult1~4 0xc0 0 0;
mac_mult1~5 0xc0 0 0;
mac_mult1~6 0xc0 0 0;
mac_mult1~7 0xc0 0 0;
mac_mult1~8 0xc0 0 0;
mac_mult1~9 0xc0 0 0;
mac_mult1~10 0xc0 0 0;
mac_mult1~11 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT2 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT3 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT4 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT5 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT6 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT7 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT8 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT9 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT10 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT11 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT12 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT13 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT14 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT15 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT16 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT17 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT18 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT19 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT20 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT21 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT22 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT23 0xc0 2.01003e+06 0.5;
mac_out2 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT1 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT2 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT3 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT4 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT5 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT6 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT7 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT8 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT9 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT10 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT11 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT12 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT13 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT14 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT15 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT16 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT17 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT18 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT19 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT20 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT21 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT22 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT23 0xc0 2.01003e+06 0.5;
lpm_mult:Mult1;
mult_t5t:auto_generated;
mac_mult1 0xc0 2.01003e+06 0.5;
mac_mult1~0 0xc0 0 0;
mac_mult1~1 0xc0 0 0;
mac_mult1~2 0xc0 0 0;
mac_mult1~3 0xc0 0 0;
mac_mult1~4 0xc0 0 0;
mac_mult1~5 0xc0 0 0;
mac_mult1~6 0xc0 0 0;
mac_mult1~7 0xc0 0 0;
mac_mult1~8 0xc0 0 0;
mac_mult1~9 0xc0 0 0;
mac_mult1~10 0xc0 0 0;
mac_mult1~11 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT2 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT3 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT4 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT5 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT6 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT7 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT8 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT9 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT10 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT11 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT12 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT13 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT14 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT15 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT16 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT17 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT18 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT19 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT20 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT21 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT22 0xc0 2.01003e+06 0.5;
mac_mult1~DATAOUT23 0xc0 2.01003e+06 0.5;
mac_out2 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT1 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT2 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT3 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT4 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT5 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT6 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT7 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT8 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT9 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT10 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT11 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT12 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT13 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT14 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT15 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT16 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT17 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT18 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT19 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT20 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT21 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT22 0xc0 2.01003e+06 0.5;
mac_out2~DATAOUT23 0xc0 2.01003e+06 0.5;
out[11] 0xc0 8.04014e+06 0.5;
out[11]~14 0xc0 1.03642e+06 0.75;
out[11]~16 0xc0 794524 0.375;
out[11]~18 0xc0 958095 0.5625;
out[11]~20 0xc0 1.31788e+06 0.46875;
out[11]~22 0xc0 1.1562e+06 0.515625;
out[11]~24 0xc0 1.23007e+06 0.492188;
out[11]~26 0xc0 1.18995e+06 0.503906;
out[11]~28 0xc0 1.20903e+06 0.498047;
out[11]~30 0xc0 1.19922e+06 0.500977;
out[11]~32 0xc0 1.20406e+06 0.499512;
out[11]~34 0xc0 1.20162e+06 0.500244;
out[11]~35 0xc0 1.33683e+06 0.5;
out[11]~36 0xc0 1.20284e+06 0.499878;
out[12] 0xc0 8.04014e+06 0.5;
out[12]~37 0xc0 1.33713e+06 0.5;
out[12]~38 0xc0 1.20223e+06 0.500061;
out[13] 0xc0 8.04014e+06 0.5;
out[13]~39 0xc0 1.33698e+06 0.5;
out[13]~40 0xc0 1.20253e+06 0.499969;
out[14] 0xc0 8.04014e+06 0.5;
out[14]~41 0xc0 1.33706e+06 0.5;
out[14]~42 0xc0 1.20238e+06 0.500015;
out[15] 0xc0 8.04014e+06 0.5;
out[15]~43 0xc0 1.33702e+06 0.5;
out[15]~44 0xc0 1.20246e+06 0.499992;
out[16] 0xc0 8.04014e+06 0.5;
out[16]~45 0xc0 1.33704e+06 0.5;
out[16]~46 0xc0 1.20242e+06 0.500004;
out[17] 0xc0 8.04014e+06 0.5;
out[17]~47 0xc0 1.33703e+06 0.5;
out[17]~48 0xc0 1.20244e+06 0.499998;
out[18] 0xc0 8.04014e+06 0.5;
out[18]~49 0xc0 1.33703e+06 0.5;
out[18]~50 0xc0 1.20243e+06 0.500001;
out[19] 0xc0 8.04014e+06 0.5;
out[19]~51 0xc0 1.33703e+06 0.5;
out[19]~52 0xc0 1.20243e+06 0.5;
out[20] 0xc0 8.04014e+06 0.5;
out[20]~53 0xc0 1.33703e+06 0.5;
out[20]~54 0xc0 1.20243e+06 0.5;
out[21] 0xc0 8.04014e+06 0.5;
out[21]~55 0xc0 1.33703e+06 0.5;
out[21]~56 0xc0 1.20243e+06 0.5;
out[22] 0xc0 8.04014e+06 0.5;
out[22]~57 0xc0 1.33703e+06 0.5;
out[22]~58 0xc0 1.20243e+06 0.5;
out[23] 0xc0 8.04014e+06 0.5;
out[23]~59 0xc0 1.80813e+06 0.5;
asj_altqmcpipe:ux000;
lpm_add_sub:acc;
add_sub_u4i:auto_generated;
pipeline_dffe[0] 0xc0 8.04014e+06 0.5;
pipeline_dffe[0]~22 0xc0 8.04014e+06 0.5;
pipeline_dffe[0]~23 0xc0 4.52258e+06 0.25;
pipeline_dffe[1] 0xc0 8.04014e+06 0.5;
pipeline_dffe[1]~24 0xc0 6.78387e+06 0.5;
pipeline_dffe[1]~25 0xc0 3.74526e+06 0.625;
pipeline_dffe[2] 0xc0 8.04014e+06 0.5;
pipeline_dffe[2]~26 0xc0 5.74155e+06 0.5;
pipeline_dffe[2]~27 0xc0 6.671e+06 0.4375;
pipeline_dffe[3] 0xc0 8.04014e+06 0.5;
pipeline_dffe[3]~28 0xc0 6.26099e+06 0.5;
pipeline_dffe[3]~29 0xc0 5.11697e+06 0.53125;
pipeline_dffe[4] 0xc0 8.04014e+06 0.5;
pipeline_dffe[4]~30 0xc0 5.81949e+06 0.5;
pipeline_dffe[4]~31 0xc0 5.71476e+06 0.484375;
pipeline_dffe[5] 0xc0 8.04014e+06 0.5;
pipeline_dffe[5]~32 0xc0 5.95568e+06 0.5;
pipeline_dffe[5]~33 0xc0 5.35151e+06 0.507813;
pipeline_dffe[6] 0xc0 8.04014e+06 0.5;
pipeline_dffe[6]~34 0xc0 5.86156e+06 0.5;
pipeline_dffe[6]~35 0xc0 5.5146e+06 0.496094;
pipeline_dffe[7] 0xc0 8.04014e+06 0.5;
pipeline_dffe[7]~36 0xc0 5.9015e+06 0.5;
pipeline_dffe[7]~37 0xc0 5.42812e+06 0.501953;
pipeline_dffe[8] 0xc0 8.04014e+06 0.5;
pipeline_dffe[8]~38 0xc0 5.87967e+06 0.5;
pipeline_dffe[8]~39 0xc0 5.47008e+06 0.499023;
pipeline_dffe[9] 0xc0 8.04014e+06 0.5;
pipeline_dffe[9]~40 0xc0 5.89012e+06 0.5;
pipeline_dffe[9]~41 0xc0 5.44878e+06 0.500488;
pipeline_dffe[10] 0xc0 8.04014e+06 0.5;
pipeline_dffe[10]~42 0xc0 5.88478e+06 0.5;
pipeline_dffe[10]~43 0xc0 5.45935e+06 0.499756;
pipeline_dffe[11] 0xc0 8.04014e+06 0.5;
pipeline_dffe[11]~44 0xc0 5.88741e+06 0.5;
pipeline_dffe[11]~45 0xc0 5.45404e+06 0.500122;
pipeline_dffe[12] 0xc0 8.04014e+06 0.5;
pipeline_dffe[12]~46 0xc0 5.88609e+06 0.5;
pipeline_dffe[12]~47 0xc0 5.45669e+06 0.499939;
pipeline_dffe[13] 0xc0 8.04014e+06 0.5;
pipeline_dffe[13]~48 0xc0 5.88675e+06 0.5;
pipeline_dffe[13]~49 0xc0 5.45537e+06 0.500031;
pipeline_dffe[14] 0xc0 8.04014e+06 0.5;
pipeline_dffe[14]~50 0xc0 5.88642e+06 0.5;
pipeline_dffe[14]~51 0xc0 5.45603e+06 0.499985;
pipeline_dffe[15] 0xc0 8.04014e+06 0.5;
pipeline_dffe[15]~52 0xc0 5.88658e+06 0.5;
pipeline_dffe[15]~53 0xc0 5.4557e+06 0.500008;
pipeline_dffe[16] 0xc0 8.04014e+06 0.5;
pipeline_dffe[16]~54 0xc0 5.8865e+06 0.5;
pipeline_dffe[16]~55 0xc0 5.45586e+06 0.499996;
pipeline_dffe[17] 0xc0 8.04014e+06 0.5;
pipeline_dffe[17]~56 0xc0 5.88654e+06 0.5;
pipeline_dffe[17]~57 0xc0 5.45578e+06 0.500002;
pipeline_dffe[18] 0xc0 8.04014e+06 0.5;
pipeline_dffe[18]~58 0xc0 5.88652e+06 0.5;
pipeline_dffe[18]~59 0xc0 5.45582e+06 0.499999;
pipeline_dffe[19] 0xc0 8.04014e+06 0.5;
pipeline_dffe[19]~60 0xc0 5.88653e+06 0.5;
pipeline_dffe[19]~61 0xc0 5.4558e+06 0.5;
pipeline_dffe[20] 0xc0 8.04014e+06 0.5;
pipeline_dffe[20]~62 0xc0 5.88653e+06 0.5;
pipeline_dffe[20]~63 0xc0 5.45581e+06 0.5;
pipeline_dffe[21] 0xc0 8.04014e+06 0.5;
pipeline_dffe[21]~64 0xc0 7.39406e+06 0.5;
phi_int_arr_reg[0] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[1] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[2] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[3] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[4] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[5] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[6] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[7] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[8] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[9] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[10] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[11] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[12] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[13] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[14] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[15] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[16] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[17] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[18] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[19] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[20] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg[21] 0xc0 8.04014e+06 0.5;
phi_int_arr_reg~0 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~1 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~2 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~3 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~4 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~5 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~6 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~7 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~8 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~9 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~10 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~11 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~12 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~13 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~14 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~15 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~16 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~17 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~18 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~19 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~20 0xc0 4.08288e+06 0.5;
phi_int_arr_reg~21 0xc0 4.08288e+06 0.5;
asj_gam_dp:ux008;
rom_add_cc_temp[0] 0xc0 8.04014e+06 0.5;
rom_add_cc_temp[1] 0xc0 8.04014e+06 0.5;
rom_add_cc_temp[2] 0xc0 8.04014e+06 0.5;
rom_add_cc_temp[3] 0xc0 8.04014e+06 0.5;
rom_add_cc_temp[4] 0xc0 8.04014e+06 0.5;
rom_add_cc_temp[5] 0xc0 8.04014e+06 0.5;
rom_add_cc_temp[6] 0xc0 8.04014e+06 0.5;
rom_add_cc_temp[7] 0xc0 8.04014e+06 0.5;
rom_add_cc_temp[8] 0xc0 8.04014e+06 0.5;
rom_add_cc_temp[9] 0xc0 8.04014e+06 0.5;
rom_add_cc_temp[9]~11 0xc0 8.04014e+06 0.5;
rom_add_cc_temp[9]~12 0xc0 8.04014e+06 0.5;
rom_add_cc_temp[10] 0xc0 8.04014e+06 0.5;
rom_add_cc_temp[10]~13 0xc0 8.04014e+06 0.5;
rom_add_cc_temp~2 0xc0 4.08288e+06 0.5;
rom_add_cc_temp~3 0xc0 4.08288e+06 0.5;
rom_add_cc_temp~4 0xc0 4.08288e+06 0.5;
rom_add_cc_temp~5 0xc0 4.08288e+06 0.5;
rom_add_cc_temp~6 0xc0 4.08288e+06 0.5;
rom_add_cc_temp~7 0xc0 4.08288e+06 0.5;
rom_add_cc_temp~8 0xc0 4.08288e+06 0.5;
rom_add_cc_temp~9 0xc0 4.08288e+06 0.5;
rom_add_cc_temp~10 0xc0 4.08288e+06 0.5;
rom_add_cs[9] 0xc0 8.04014e+06 0.5;
rom_add_cs[10] 0xc0 8.04014e+06 0.5;
rom_add_cs~0 0xc0 4.08288e+06 0.5;
rom_add_cs~1 0xc0 4.08288e+06 0.5;
rom_add_f[0] 0xc0 8.04014e+06 0.5;
rom_add_f[1] 0xc0 8.04014e+06 0.5;
rom_add_f[2] 0xc0 8.04014e+06 0.5;
rom_add_f[3] 0xc0 8.04014e+06 0.5;
rom_add_f[4] 0xc0 8.04014e+06 0.5;
rom_add_f[5] 0xc0 8.04014e+06 0.5;
rom_add_f[6] 0xc0 8.04014e+06 0.5;
rom_add_f[7] 0xc0 8.04014e+06 0.5;
rom_add_f[8] 0xc0 8.04014e+06 0.5;
rom_add_f[9] 0xc0 8.04014e+06 0.5;
rom_add_f[10] 0xc0 8.04014e+06 0.5;
rom_add_f~0 0xc0 4.08288e+06 0.5;
rom_add_f~1 0xc0 4.08288e+06 0.5;
rom_add_f~2 0xc0 4.08288e+06 0.5;
rom_add_f~3 0xc0 4.08288e+06 0.5;
rom_add_f~4 0xc0 4.08288e+06 0.5;
rom_add_f~5 0xc0 4.08288e+06 0.5;
rom_add_f~6 0xc0 4.08288e+06 0.5;
rom_add_f~7 0xc0 4.08288e+06 0.5;
rom_add_f~8 0xc0 4.08288e+06 0.5;
rom_add_f~9 0xc0 4.08288e+06 0.5;
rom_add_f~10 0xc0 4.08288e+06 0.5;
asj_nco_as_m_cen:ux0122;
altsyncram:altsyncram_component0;
altsyncram_fu91:auto_generated;
q_a[0] 0xc0 8.04014e+06 0.5;
q_a[1] 0xc0 8.04014e+06 0.5;
q_a[2] 0xc0 8.04014e+06 0.5;
q_a[3] 0xc0 8.04014e+06 0.5;
q_a[4] 0xc0 8.04014e+06 0.5;
q_a[5] 0xc0 8.04014e+06 0.5;
q_a[6] 0xc0 8.04014e+06 0.5;
q_a[7] 0xc0 8.04014e+06 0.5;
q_a[8] 0xc0 8.04014e+06 0.5;
q_a[9] 0xc0 8.04014e+06 0.5;
q_a[10] 0xc0 8.04014e+06 0.5;
q_a[11] 0xc0 8.04014e+06 0.5;
asj_nco_as_m_cen:ux0123;
altsyncram:altsyncram_component0;
altsyncram_au91:auto_generated;
q_a[0] 0xc0 8.04014e+06 0.5;
q_a[1] 0xc0 8.04014e+06 0.5;
q_a[2] 0xc0 8.04014e+06 0.5;
q_a[3] 0xc0 8.04014e+06 0.5;
q_a[4] 0xc0 8.04014e+06 0.5;
q_a[5] 0xc0 8.04014e+06 0.5;
q_a[6] 0xc0 8.04014e+06 0.5;
q_a[7] 0xc0 8.04014e+06 0.5;
q_a[8] 0xc0 8.04014e+06 0.5;
q_a[9] 0xc0 8.04014e+06 0.5;
q_a[10] 0xc0 8.04014e+06 0.5;
q_a[11] 0xc0 8.04014e+06 0.5;
asj_nco_as_m_dp_cen:ux0220;
altsyncram:altsyncram_component;
altsyncram_h982:auto_generated;
q_a[0] 0xc0 8.04014e+06 0.5;
q_a[1] 0xc0 8.04014e+06 0.5;
q_a[2] 0xc0 8.04014e+06 0.5;
q_a[3] 0xc0 8.04014e+06 0.5;
q_a[4] 0xc0 8.04014e+06 0.5;
q_a[5] 0xc0 8.04014e+06 0.5;
q_a[6] 0xc0 8.04014e+06 0.5;
q_a[7] 0xc0 8.04014e+06 0.5;
q_a[8] 0xc0 8.04014e+06 0.5;
q_a[9] 0xc0 8.04014e+06 0.5;
q_a[10] 0xc0 8.04014e+06 0.5;
q_a[11] 0xc0 8.04014e+06 0.5;
q_b[0] 0xc0 8.04014e+06 0.5;
q_b[1] 0xc0 8.04014e+06 0.5;
q_b[2] 0xc0 8.04014e+06 0.5;
q_b[3] 0xc0 8.04014e+06 0.5;
q_b[4] 0xc0 8.04014e+06 0.5;
q_b[5] 0xc0 8.04014e+06 0.5;
q_b[6] 0xc0 8.04014e+06 0.5;
q_b[7] 0xc0 8.04014e+06 0.5;
q_b[8] 0xc0 8.04014e+06 0.5;
q_b[9] 0xc0 8.04014e+06 0.5;
q_b[10] 0xc0 8.04014e+06 0.5;
q_b[11] 0xc0 8.04014e+06 0.5;
asj_nco_isdr:ux710isdr;
always0~0 0xc0 724319 0.0625;
data_ready 0xc0 8.04014e+06 0.5;
data_ready~0 0xc0 7.31643e+06 0.515625;
lpm_counter:lpm_counter_component;
cntr_asi:auto_generated;
counter_comb_bita0 0xc0 8.04014e+06 0.5;
counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5;
counter_comb_bita1 0xc0 8.04014e+06 0.5;
counter_comb_bita1~COUT 0xc0 4.52258e+06 0.75;
counter_comb_bita2 0xc0 7.28637e+06 0.5;
counter_comb_bita2~COUT 0xc0 6.15573e+06 0.125;
counter_comb_bita3 0xc0 9.35922e+06 0.5;
counter_reg_bit[0] 0xc0 8.04014e+06 0.5;
counter_reg_bit[1] 0xc0 8.04014e+06 0.5;
counter_reg_bit[2] 0xc0 8.04014e+06 0.5;
counter_reg_bit[3] 0xc0 8.04014e+06 0.5;
STM32_CLK 0xc 5e+07 0.5;
STM32_CLK~input 0xc0 5e+07 0.5;
STM32_DATA_BUS[0]~result 0xc0 1 0.5;
STM32_DATA_BUS[0]~input 0xc0 1 0.5;
STM32_DATA_BUS[0]~output 0xc0 1.5625e+06 0.5;
STM32_DATA_BUS[1]~result 0x30 2e+07 0.5;
STM32_DATA_BUS[1]~input 0xc0 2e+07 0.5;
STM32_DATA_BUS[1]~output 0xc0 1.5625e+06 0.5;
STM32_DATA_BUS[2]~result 0x30 2e+07 0.5;
STM32_DATA_BUS[2]~input 0xc0 2e+07 0.5;
STM32_DATA_BUS[2]~output 0xc0 1.5625e+06 0.5;
STM32_DATA_BUS[3]~result 0x30 2e+07 0.5;
STM32_DATA_BUS[3]~input 0xc0 2e+07 0.5;
STM32_DATA_BUS[3]~output 0xc0 1.5625e+06 0.5;
STM32_DATA_BUS[4]~result 0x30 2e+07 0.5;
STM32_DATA_BUS[4]~input 0xc0 2e+07 0.5;
STM32_DATA_BUS[4]~output 0xc0 1.5625e+06 0.5;
STM32_DATA_BUS[5]~result 0x30 2e+07 0.5;
STM32_DATA_BUS[5]~input 0xc0 2e+07 0.5;
STM32_DATA_BUS[5]~output 0xc0 1.5625e+06 0.5;
STM32_DATA_BUS[6]~result 0x30 2e+07 0.5;
STM32_DATA_BUS[6]~input 0xc0 2e+07 0.5;
STM32_DATA_BUS[6]~output 0xc0 1.5625e+06 0.5;
STM32_DATA_BUS[7]~result 0x30 2e+07 0.5;
STM32_DATA_BUS[7]~input 0xc0 2e+07 0.5;
STM32_DATA_BUS[7]~output 0xc0 1.5625e+06 0.5;
stm32_interface:STM32_INTERFACE;
ADC_MAX[0] 0xc0 8.04014e+06 0.5;
ADC_MAX[0]~20 0xc0 4.52258e+06 0.25;
ADC_MAX[0]~21 0xc0 2.26129e+06 0.375;
ADC_MAX[1] 0xc0 8.04014e+06 0.5;
ADC_MAX[1]~22 0xc0 4.52258e+06 0.25;
ADC_MAX[1]~23 0xc0 3.39193e+06 0.4375;
ADC_MAX[2] 0xc0 8.04014e+06 0.5;
ADC_MAX[2]~24 0xc0 4.52258e+06 0.25;
ADC_MAX[2]~25 0xc0 3.95726e+06 0.65625;
ADC_MAX[3] 0xc0 8.04014e+06 0.5;
ADC_MAX[3]~26 0xc0 4.52258e+06 0.25;
ADC_MAX[3]~27 0xc0 4.23992e+06 0.296875;
ADC_MAX[4] 0xc0 8.04014e+06 0.5;
ADC_MAX[4]~28 0xc0 4.52258e+06 0.75;
ADC_MAX[4]~29 0xc0 4.38125e+06 0.476563;
ADC_MAX[5] 0xc0 8.04014e+06 0.5;
ADC_MAX[5]~30 0xc0 4.52258e+06 0.75;
ADC_MAX[5]~31 0xc0 4.45191e+06 0.636719;
ADC_MAX[6] 0xc0 8.04014e+06 0.5;
ADC_MAX[6]~32 0xc0 4.52258e+06 0.25;
ADC_MAX[6]~33 0xc0 4.48724e+06 0.556641;
ADC_MAX[7] 0xc0 8.04014e+06 0.5;
ADC_MAX[7]~34 0xc0 4.52258e+06 0.25;
ADC_MAX[7]~35 0xc0 4.50491e+06 0.34668;
ADC_MAX[8] 0xc0 8.04014e+06 0.5;
ADC_MAX[8]~40 0xc0 4.52258e+06 0.25;
ADC_MAX[8]~41 0xc0 4.51374e+06 0.70166;
ADC_MAX[9] 0xc0 8.04014e+06 0.5;
ADC_MAX[9]~42 0xc0 4.52258e+06 0.25;
ADC_MAX[9]~43 0xc0 4.51816e+06 0.27417;
ADC_MAX[10] 0xc0 8.04014e+06 0.5;
ADC_MAX[10]~44 0xc0 4.52258e+06 0.25;
ADC_MAX[10]~45 0xc0 4.52037e+06 0.737915;
ADC_MAX[11] 0xc0 8.04014e+06 0.5;
ADC_MAX[11]~46 0xc0 4.52258e+06 0.75;
ADC_MAX[11]~47 0xc0 4.52147e+06 0.256042;
ADC_MAX~12 0xc0 4.52258e+06 0.25;
ADC_MAX~13 0xc0 4.52258e+06 0.25;
ADC_MAX~14 0xc0 4.52258e+06 0.75;
ADC_MAX~15 0xc0 4.52258e+06 0.75;
ADC_MAX~16 0xc0 4.52258e+06 0.25;
ADC_MAX~17 0xc0 4.52258e+06 0.25;
ADC_MAX~18 0xc0 4.52258e+06 0.25;
ADC_MAX~19 0xc0 4.52258e+06 0.25;
ADC_MAX~36 0xc0 4.52258e+06 0.75;
ADC_MAX~37 0xc0 4.52258e+06 0.25;
ADC_MAX~38 0xc0 4.52258e+06 0.25;
ADC_MAX~39 0xc0 4.52258e+06 0.25;
ADC_MINMAX_RESET 0xc0 3.125e+06 0.5;
ADC_MINMAX_RESET~0 0xc0 1.33842e+06 0.500488;
ADC_MIN[0] 0xc0 8.04014e+06 0.5;
ADC_MIN[0]~20 0xc0 4.52258e+06 0.25;
ADC_MIN[0]~21 0xc0 2.26129e+06 0.125;
ADC_MIN[1] 0xc0 8.04014e+06 0.5;
ADC_MIN[1]~22 0xc0 4.52258e+06 0.25;
ADC_MIN[1]~23 0xc0 3.39193e+06 0.8125;
ADC_MIN[2] 0xc0 8.04014e+06 0.5;
ADC_MIN[2]~24 0xc0 4.52258e+06 0.25;
ADC_MIN[2]~25 0xc0 3.95726e+06 0.21875;
ADC_MIN[3] 0xc0 8.04014e+06 0.5;
ADC_MIN[3]~26 0xc0 4.52258e+06 0.25;
ADC_MIN[3]~27 0xc0 4.23992e+06 0.765625;
ADC_MIN[4] 0xc0 8.04014e+06 0.5;
ADC_MIN[4]~28 0xc0 4.52258e+06 0.75;
ADC_MIN[4]~29 0xc0 4.38125e+06 0.492188;
ADC_MIN[5] 0xc0 8.04014e+06 0.5;
ADC_MIN[5]~30 0xc0 4.52258e+06 0.25;
ADC_MIN[5]~31 0xc0 4.45191e+06 0.628906;
ADC_MIN[6] 0xc0 8.04014e+06 0.5;
ADC_MIN[6]~32 0xc0 4.52258e+06 0.75;
ADC_MIN[6]~33 0xc0 4.48724e+06 0.560547;
ADC_MIN[7] 0xc0 8.04014e+06 0.5;
ADC_MIN[7]~34 0xc0 4.52258e+06 0.75;
ADC_MIN[7]~35 0xc0 4.50491e+06 0.344727;
ADC_MIN[8] 0xc0 8.04014e+06 0.5;
ADC_MIN[8]~40 0xc0 4.52258e+06 0.75;
ADC_MIN[8]~41 0xc0 4.51374e+06 0.702637;
ADC_MIN[9] 0xc0 8.04014e+06 0.5;
ADC_MIN[9]~42 0xc0 4.52258e+06 0.75;
ADC_MIN[9]~43 0xc0 4.51816e+06 0.273682;
ADC_MIN[10] 0xc0 8.04014e+06 0.5;
ADC_MIN[10]~44 0xc0 4.52258e+06 0.75;
ADC_MIN[10]~45 0xc0 4.52037e+06 0.738159;
ADC_MIN[11] 0xc0 8.04014e+06 0.5;
ADC_MIN[11]~46 0xc0 4.52258e+06 0.25;
ADC_MIN[11]~47 0xc0 4.52147e+06 0.25592;
ADC_MIN~12 0xc0 4.52258e+06 0.75;
ADC_MIN~13 0xc0 4.52258e+06 0.75;
ADC_MIN~14 0xc0 4.52258e+06 0.25;
ADC_MIN~15 0xc0 4.52258e+06 0.75;
ADC_MIN~16 0xc0 4.52258e+06 0.25;
ADC_MIN~17 0xc0 4.52258e+06 0.25;
ADC_MIN~18 0xc0 4.52258e+06 0.25;
ADC_MIN~19 0xc0 4.52258e+06 0.25;
ADC_MIN~36 0xc0 4.52258e+06 0.25;
ADC_MIN~37 0xc0 4.52258e+06 0.75;
ADC_MIN~38 0xc0 4.52258e+06 0.75;
ADC_MIN~39 0xc0 4.52258e+06 0.75;
ATT_1 0xc0 3.125e+06 0.5;
ATT_1~0 0xc0 40271.3 0.000488281;
ATT_2 0xc0 3.125e+06 0.5;
ATT_2~feeder 0xc0 2e+07 0.5;
ATT_4 0xc0 3.125e+06 0.5;
ATT_4~feeder 0xc0 2e+07 0.5;
ATT_05 0xc0 3.125e+06 0.5;
ATT_8 0xc0 3.125e+06 0.5;
ATT_8~feeder 0xc0 2e+07 0.5;
ATT_16 0xc0 3.125e+06 0.5;
BPF_A 0xc0 3.125e+06 0.5;
BPF_A~0 0xc0 2.39258e+06 0.125;
BPF_A~1 0xc0 363888 0.000488281;
BPF_A~feeder 0xc0 1 0.5;
BPF_B 0xc0 3.125e+06 0.5;
BPF_OE1 0xc0 3.125e+06 0.5;
BPF_OE1~feeder 0xc0 2e+07 0.5;
BPF_OE2 0xc0 3.125e+06 0.5;
CICFIR_GAIN[0] 0xc0 3.125e+06 0.5;
CICFIR_GAIN[0]~0 0xc0 276.737 0.000488281;
CICFIR_GAIN[1] 0xc0 3.125e+06 0.5;
CICFIR_GAIN[2] 0xc0 3.125e+06 0.5;
CICFIR_GAIN[2]~feeder 0xc0 2e+07 0.5;
CICFIR_GAIN[3] 0xc0 3.125e+06 0.5;
CICFIR_GAIN[3]~feeder 0xc0 2e+07 0.5;
CICFIR_GAIN[4] 0xc0 3.125e+06 0.5;
CICFIR_GAIN[4]~feeder 0xc0 2e+07 0.5;
CIC_GAIN[0] 0xc0 3.125e+06 0.5;
CIC_GAIN[0]~0 0xc0 276.737 0.000488281;
CIC_GAIN[0]~feeder 0xc0 1 0.5;
CIC_GAIN[1] 0xc0 3.125e+06 0.5;
CIC_GAIN[2] 0xc0 3.125e+06 0.5;
CIC_GAIN[2]~feeder 0xc0 2e+07 0.5;
CIC_GAIN[3] 0xc0 3.125e+06 0.5;
CIC_GAIN[4] 0xc0 3.125e+06 0.5;
CIC_GAIN[5] 0xc0 3.125e+06 0.5;
CIC_GAIN[5]~1 0xc0 2e+07 0.5;
CIC_GAIN[6] 0xc0 3.125e+06 0.5;
DAC_GAIN[0] 0xc0 3.125e+06 0.5;
DAC_GAIN[0]~0 0xc0 363888 0.000488281;
DAC_GAIN[1] 0xc0 3.125e+06 0.5;
DAC_GAIN[2] 0xc0 3.125e+06 0.5;
DAC_GAIN[2]~feeder 0xc0 2e+07 0.5;
DAC_GAIN[3] 0xc0 3.125e+06 0.5;
DAC_GAIN[3]~feeder 0xc0 2e+07 0.5;
DAC_GAIN[4] 0xc0 3.125e+06 0.5;
DAC_GAIN[5] 0xc0 3.125e+06 0.5;
DAC_GAIN[5]~1 0xc0 2e+07 0.5;
DAC_GAIN[6] 0xc0 3.125e+06 0.5;
DAC_GAIN[6]~feeder 0xc0 2e+07 0.5;
DAC_GAIN[7] 0xc0 3.125e+06 0.5;
DATA_BUS_OE 0xc0 3.125e+06 0.5;
DATA_BUS_OE~0 0xc0 8.06945e+06 0.500476;
DATA_BUS_OE~1 0xc0 8.54546e+06 0.500488;
DATA_BUS_OE~2 0xc0 768023 0.0078125;
DATA_BUS_OUT[0] 0xc0 3.125e+06 0.5;
DATA_BUS_OUT[1] 0xc0 3.125e+06 0.5;
DATA_BUS_OUT[2] 0xc0 3.125e+06 0.5;
DATA_BUS_OUT[3] 0xc0 3.125e+06 0.5;
DATA_BUS_OUT[3]~43 0xc0 4.98578e+07 0.493658;
DATA_BUS_OUT[4] 0xc0 3.125e+06 0.5;
DATA_BUS_OUT[4]~3 0xc0 981940 0.500439;
DATA_BUS_OUT[4]~5 0xc0 1.5402e+06 0.998047;
DATA_BUS_OUT[4]~12 0xc0 3.88283e+07 0.494141;
DATA_BUS_OUT[4]~14 0xc0 8998.58 0.995125;
DATA_BUS_OUT[4]~15 0xc0 1.5e+08 0.490774;
DATA_BUS_OUT[5] 0xc0 3.125e+06 0.5;
DATA_BUS_OUT[5]~2 0xc0 1.76539e+06 0.500547;
DATA_BUS_OUT[6] 0xc0 3.125e+06 0.5;
DATA_BUS_OUT[6]~1 0xc0 736657 0.500244;
DATA_BUS_OUT[7] 0xc0 3.125e+06 0.5;
DATA_BUS_OUT[7]~0 0xc0 1.21138e+06 0.500279;
DATA_BUS_OUT~4 0xc0 192533 0.992203;
DATA_BUS_OUT~6 0xc0 3.14137e+06 0.500244;
DATA_BUS_OUT~7 0xc0 4.07735e+06 0.500732;
DATA_BUS_OUT~8 0xc0 2.00218e+06 0.25;
DATA_BUS_OUT~9 0xc0 1.50536e+06 0.34375;
DATA_BUS_OUT~10 0xc0 1.07422e+06 0.125;
DATA_BUS_OUT~11 0xc0 1.94159e+06 0.500279;
DATA_BUS_OUT~13 0xc0 8261.2 0.996094;
DATA_BUS_OUT~16 0xc0 3.14137e+06 0.500244;
DATA_BUS_OUT~17 0xc0 4.07737e+06 0.499755;
DATA_BUS_OUT~18 0xc0 2.78735e+06 0.5;
DATA_BUS_OUT~19 0xc0 1.88966e+06 0.5;
DATA_BUS_OUT~20 0xc0 1.18056e+06 0.500244;
DATA_BUS_OUT~21 0xc0 3.14137e+06 0.500244;
DATA_BUS_OUT~22 0xc0 4.07737e+06 0.499755;
DATA_BUS_OUT~23 0xc0 1.61133e+06 0.75;
DATA_BUS_OUT~24 0xc0 1.9043e+06 0.25;
DATA_BUS_OUT~25 0xc0 16108.1 0.00134277;
DATA_BUS_OUT~26 0xc0 2.82973e+06 0.500548;
DATA_BUS_OUT~27 0xc0 3.14137e+06 0.500244;
DATA_BUS_OUT~28 0xc0 4.07735e+06 0.500732;
DATA_BUS_OUT~29 0xc0 2.05078e+06 0.25;
DATA_BUS_OUT~30 0xc0 2.20479e+06 0.34375;
DATA_BUS_OUT~31 0xc0 778198 0.25;
DATA_BUS_OUT~32 0xc0 1.57377e+06 0.50044;
DATA_BUS_OUT~33 0xc0 1164.97 0.000976324;
DATA_BUS_OUT~34 0xc0 1.91581e+06 0.125;
DATA_BUS_OUT~35 0xc0 766.989 0.000915527;
DATA_BUS_OUT~36 0xc0 2.78735e+06 0.5;
DATA_BUS_OUT~37 0xc0 1.88966e+06 0.5;
DATA_BUS_OUT~38 0xc0 46083.3 0.00390244;
DATA_BUS_OUT~39 0xc0 29795 0.00530032;
DATA_BUS_OUT~40 0xc0 3.14137e+06 0.500244;
DATA_BUS_OUT~41 0xc0 194139 0.987362;
DATA_BUS_OUT~42 0xc0 3.16801e+06 0.497096;
DATA_BUS_OUT~44 0xc0 1164.97 0.000976324;
DATA_BUS_OUT~45 0xc0 1.91581e+06 0.125;
DATA_BUS_OUT~46 0xc0 766.989 0.000915527;
DATA_BUS_OUT~47 0xc0 1.08337e+06 0.5;
DATA_BUS_OUT~48 0xc0 1.88966e+06 0.5;
DATA_BUS_OUT~49 0xc0 46083.3 0.00390244;
DATA_BUS_OUT~50 0xc0 29795 0.00530032;
DATA_BUS_OUT~51 0xc0 3.14137e+06 0.500244;
DATA_BUS_OUT~52 0xc0 3.16801e+06 0.497096;
DATA_BUS_OUT~53 0xc0 632.314 0.000488281;
DATA_BUS_OUT~54 0xc0 892639 0.25;
DATA_BUS_OUT~55 0xc0 13655.8 0.00134277;
DATA_BUS_OUT~56 0xc0 15593.5 0.00377995;
DATA_BUS_OUT~57 0xc0 1164.97 0.000976324;
DATA_BUS_OUT~58 0xc0 5.0061e+06 0.25;
DATA_BUS_OUT~59 0xc0 2619.86 0.00134277;
DATA_BUS_OUT~60 0xc0 23179.5 0.00280494;
DATA_BUS_OUT~61 0xc0 3.14137e+06 0.500244;
DATA_BUS_OUT~62 0xc0 197151 0.986399;
DATA_BUS_OUT~63 0xc0 7.90256e+06 0.496771;
DATA_BUS_OUT~64 0xc0 892639 0.25;
DATA_BUS_OUT~65 0xc0 13655.8 0.00134277;
DATA_BUS_OUT~66 0xc0 436.291 0.000976324;
DATA_BUS_OUT~67 0xc0 15457.4 0.00426638;
DATA_BUS_OUT~68 0xc0 3082.13 0.000488281;
DATA_BUS_OUT~69 0xc0 5.52131e+06 0.25;
DATA_BUS_OUT~70 0xc0 1320.93 0.00134277;
DATA_BUS_OUT~71 0xc0 6386.56 0.00231779;
DATA_BUS_OUT~72 0xc0 3.14137e+06 0.500244;
DATA_BUS_OUT~73 0xc0 3.15981e+06 0.496771;
Equal0~0 0xc0 1.8e+07 0.25;
Equal0~1 0xc0 3.6125e+06 0.03125;
Equal0~2 0xc0 5e+06 0.25;
Equal2~0 0xc0 5e+06 0.25;
Equal4~0 0xc0 385965 0.00390625;
Equal8~0 0xc0 900364 0.015625;
Equal8~1 0xc0 1.75781e+06 0.25;
Equal8~2 0xc0 1.75781e+06 0.25;
Equal9~0 0xc0 2.34375e+06 0.25;
Equal10~0 0xc0 1.75781e+06 0.25;
Equal12~0 0xc0 3784.53 0.00390625;
Equal13~0 0xc0 1.75781e+06 0.25;
Equal15~0 0xc0 1.75781e+06 0.25;
Equal17~0 0xc0 5785.84 0.00390625;
Equal20~0 0xc0 281525 0.0625;
Equal20~1 0xc0 7680.9 0.00390625;
Equal22~0 0xc0 776.91 0.000976563;
Equal23~0 0xc0 776.91 0.000976563;
Equal24~0 0xc0 23968.5 0.0078125;
Equal24~1 0xc0 587.344 0.000976563;
Equal25~0 0xc0 93233.6 0.00390625;
Equal28~0 0xc0 9131.66 0.000976563;
Equal29~0 0xc0 100623 0.00390625;
Equal32~0 0xc0 9853.29 0.000976563;
Equal33~0 0xc0 1.75781e+06 0.25;
Equal33~1 0xc0 281525 0.0625;
Equal33~2 0xc0 34359.1 0.015625;
Equal33~3 0xc0 490.51 0.000976563;
Equal34~0 0xc0 549316 0.0625;
Equal34~1 0xc0 549316 0.0625;
Equal34~2 0xc0 4808.6 0.00195313;
Equal35~0 0xc0 21723.3 0.000976563;
FLASH_continue_read 0xc0 3.125e+06 0.5;
FLASH_continue_read~0 0xc0 475.371 0.000487804;
FLASH_continue_read~1 0xc0 3.721e+06 0.499761;
FLASH_data_out[0] 0xc0 3.125e+06 0.5;
FLASH_data_out[1] 0xc0 3.125e+06 0.5;
FLASH_data_out[1]~feeder 0xc0 2e+07 0.5;
FLASH_data_out[2] 0xc0 3.125e+06 0.5;
FLASH_data_out[2]~feeder 0xc0 2e+07 0.5;
FLASH_data_out[3] 0xc0 3.125e+06 0.5;
FLASH_data_out[4] 0xc0 3.125e+06 0.5;
FLASH_data_out[5] 0xc0 3.125e+06 0.5;
FLASH_data_out[6] 0xc0 3.125e+06 0.5;
FLASH_data_out[7] 0xc0 3.125e+06 0.5;
FLASH_data_out[7]~0 0xc0 1021.59 0.000487328;
FLASH_enable 0xc0 3.125e+06 0.5;
FLASH_enable~0 0xc0 188024 0.998047;
FLASH_enable~1 0xc0 7.03125e+06 0.75;
FLASH_enable~2 0xc0 2.86376e+06 0.499268;
I_HOLD[0] 0xc0 3.125e+06 0.5;
I_HOLD[0]~4 0xc0 18890.8 0.00194931;
I_HOLD[0]~5 0xc0 4943.72 0.000958534;
I_HOLD[0]~19 0xc0 2.78607e+06 0.5;
I_HOLD[1] 0xc0 3.125e+06 0.5;
I_HOLD[1]~17 0xc0 7.24382e+06 0.5;
I_HOLD[2] 0xc0 3.125e+06 0.5;
I_HOLD[2]~15 0xc0 7.24382e+06 0.5;
I_HOLD[3] 0xc0 3.125e+06 0.5;
I_HOLD[3]~12 0xc0 7.24382e+06 0.5;
I_HOLD[4] 0xc0 3.125e+06 0.5;
I_HOLD[4]~11 0xc0 7.24382e+06 0.5;
I_HOLD[5] 0xc0 3.125e+06 0.5;
I_HOLD[5]~8 0xc0 7.24382e+06 0.5;
I_HOLD[6] 0xc0 3.125e+06 0.5;
I_HOLD[6]~7 0xc0 7.24382e+06 0.5;
I_HOLD[7] 0xc0 3.125e+06 0.5;
I_HOLD[7]~3 0xc0 7.24383e+06 0.5;
I_HOLD[8] 0xc0 3.125e+06 0.5;
I_HOLD[8]~1 0xc0 18886.4 0.00194931;
I_HOLD[8]~2 0xc0 5318.59 0.000958534;
I_HOLD[8]~18 0xc0 2.78607e+06 0.5;
I_HOLD[8]~feeder 0xc0 2.78607e+06 0.5;
I_HOLD[9] 0xc0 3.125e+06 0.5;
I_HOLD[9]~16 0xc0 7.24382e+06 0.5;
I_HOLD[9]~feeder 0xc0 7.24382e+06 0.5;
I_HOLD[10] 0xc0 3.125e+06 0.5;
I_HOLD[10]~14 0xc0 7.24382e+06 0.5;
I_HOLD[11] 0xc0 3.125e+06 0.5;
I_HOLD[11]~13 0xc0 7.24382e+06 0.5;
I_HOLD[12] 0xc0 3.125e+06 0.5;
I_HOLD[12]~10 0xc0 7.24382e+06 0.5;
I_HOLD[13] 0xc0 3.125e+06 0.5;
I_HOLD[13]~9 0xc0 7.24382e+06 0.5;
I_HOLD[14] 0xc0 3.125e+06 0.5;
I_HOLD[14]~6 0xc0 7.24382e+06 0.5;
I_HOLD[15] 0xc0 3.125e+06 0.5;
I_HOLD[15]~0 0xc0 7.24382e+06 0.5;
LPF_1 0xc0 3.125e+06 0.5;
LPF_1~feeder 0xc0 2e+07 0.5;
LPF_2 0xc0 3.125e+06 0.5;
LPF_2~feeder 0xc0 2e+07 0.5;
LPF_3 0xc0 3.125e+06 0.5;
LPF_3~feeder 0xc0 2e+07 0.5;
LessThan0~0 0xc0 4.52147e+06 0.743958;
LessThan1~0 0xc0 4.52147e+06 0.74408;
NCO_freq[0] 0xc0 3.125e+06 0.5;
NCO_freq[0]~8 0xc0 1 0.5;
NCO_freq[1] 0xc0 3.125e+06 0.5;
NCO_freq[1]~7 0xc0 2e+07 0.5;
NCO_freq[2] 0xc0 3.125e+06 0.5;
NCO_freq[2]~feeder 0xc0 2e+07 0.5;
NCO_freq[3] 0xc0 3.125e+06 0.5;
NCO_freq[3]~6 0xc0 2e+07 0.5;
NCO_freq[4] 0xc0 3.125e+06 0.5;
NCO_freq[4]~feeder 0xc0 2e+07 0.5;
NCO_freq[5] 0xc0 3.125e+06 0.5;
NCO_freq[5]~5 0xc0 2e+07 0.5;
NCO_freq[6] 0xc0 3.125e+06 0.5;
NCO_freq[6]~feeder 0xc0 2e+07 0.5;
NCO_freq[7] 0xc0 3.125e+06 0.5;
NCO_freq[7]~1 0xc0 825149 0.000488281;
NCO_freq[7]~4 0xc0 2e+07 0.5;
NCO_freq[8] 0xc0 3.125e+06 0.5;
NCO_freq[8]~feeder 0xc0 1 0.5;
NCO_freq[9] 0xc0 3.125e+06 0.5;
NCO_freq[9]~0 0xc0 6323.83 0.000488281;
NCO_freq[9]~3 0xc0 2e+07 0.5;
NCO_freq[10] 0xc0 3.125e+06 0.5;
NCO_freq[10]~feeder 0xc0 2e+07 0.5;
NCO_freq[11] 0xc0 3.125e+06 0.5;
NCO_freq[11]~feeder 0xc0 2e+07 0.5;
NCO_freq[12] 0xc0 3.125e+06 0.5;
NCO_freq[12]~9 0xc0 2e+07 0.5;
NCO_freq[13] 0xc0 3.125e+06 0.5;
NCO_freq[13]~10 0xc0 2e+07 0.5;
NCO_freq[14] 0xc0 3.125e+06 0.5;
NCO_freq[14]~feeder 0xc0 2e+07 0.5;
NCO_freq[15] 0xc0 3.125e+06 0.5;
NCO_freq[15]~11 0xc0 2e+07 0.5;
NCO_freq[16] 0xc0 3.125e+06 0.5;
NCO_freq[16]~2 0xc0 536461 0.000488281;
NCO_freq[16]~12 0xc0 1 0.5;
NCO_freq[17] 0xc0 3.125e+06 0.5;
NCO_freq[17]~13 0xc0 2e+07 0.5;
NCO_freq[18] 0xc0 3.125e+06 0.5;
NCO_freq[18]~feeder 0xc0 2e+07 0.5;
NCO_freq[19] 0xc0 3.125e+06 0.5;
NCO_freq[19]~feeder 0xc0 2e+07 0.5;
NCO_freq[20] 0xc0 3.125e+06 0.5;
NCO_freq[20]~feeder 0xc0 2e+07 0.5;
NCO_freq[21] 0xc0 3.125e+06 0.5;
NCO_freq[21]~feeder 0xc0 2e+07 0.5;
Q_HOLD[0] 0xc0 3.125e+06 0.5;
Q_HOLD[0]~1 0xc0 7319.84 0.00194931;
Q_HOLD[0]~2 0xc0 11941.2 0.00292207;
Q_HOLD[0]~3 0xc0 4576.92 0.00143687;
Q_HOLD[0]~10 0xc0 3966.04 0.5;
Q_HOLD[1] 0xc0 3.125e+06 0.5;
Q_HOLD[1]~9 0xc0 2.22752e+07 0.5;
Q_HOLD[2] 0xc0 3.125e+06 0.5;
Q_HOLD[2]~8 0xc0 2.22752e+07 0.5;
Q_HOLD[2]~feeder 0xc0 2.22752e+07 0.5;
Q_HOLD[3] 0xc0 3.125e+06 0.5;
Q_HOLD[3]~7 0xc0 2.22752e+07 0.5;
Q_HOLD[3]~feeder 0xc0 2.22752e+07 0.5;
Q_HOLD[4] 0xc0 3.125e+06 0.5;
Q_HOLD[4]~6 0xc0 2.22752e+07 0.5;
Q_HOLD[4]~feeder 0xc0 2.22752e+07 0.5;
Q_HOLD[5] 0xc0 3.125e+06 0.5;
Q_HOLD[5]~5 0xc0 2.22752e+07 0.5;
Q_HOLD[5]~feeder 0xc0 2.22752e+07 0.5;
Q_HOLD[6] 0xc0 3.125e+06 0.5;
Q_HOLD[6]~4 0xc0 2.22752e+07 0.5;
Q_HOLD[6]~feeder 0xc0 2.22752e+07 0.5;
Q_HOLD[7] 0xc0 3.125e+06 0.5;
Q_HOLD[7]~0 0xc0 2.22752e+07 0.5;
Q_HOLD[8] 0xc0 3.125e+06 0.5;
Q_HOLD[8]~11 0xc0 2.78607e+06 0.5;
Q_HOLD[8]~12 0xc0 5314.58 0.000958534;
Q_HOLD[8]~feeder 0xc0 2.78607e+06 0.5;
Q_HOLD[9] 0xc0 3.125e+06 0.5;
Q_HOLD[9]~13 0xc0 7.24382e+06 0.5;
Q_HOLD[9]~feeder 0xc0 7.24382e+06 0.5;
Q_HOLD[10] 0xc0 3.125e+06 0.5;
Q_HOLD[10]~14 0xc0 7.24383e+06 0.5;
Q_HOLD[10]~feeder 0xc0 7.24383e+06 0.5;
Q_HOLD[11] 0xc0 3.125e+06 0.5;
Q_HOLD[11]~15 0xc0 7.24383e+06 0.5;
Q_HOLD[11]~feeder 0xc0 7.24383e+06 0.5;
Q_HOLD[12] 0xc0 3.125e+06 0.5;
Q_HOLD[12]~16 0xc0 7.24383e+06 0.5;
Q_HOLD[12]~feeder 0xc0 7.24383e+06 0.5;
Q_HOLD[13] 0xc0 3.125e+06 0.5;
Q_HOLD[13]~17 0xc0 7.24383e+06 0.5;
Q_HOLD[13]~feeder 0xc0 7.24383e+06 0.5;
Q_HOLD[14] 0xc0 3.125e+06 0.5;
Q_HOLD[14]~18 0xc0 7.24382e+06 0.5;
Q_HOLD[15] 0xc0 3.125e+06 0.5;
Q_HOLD[15]~19 0xc0 7.24383e+06 0.5;
Q_HOLD[15]~feeder 0xc0 7.24383e+06 0.5;
REG_RX_I[0] 0xc0 8.04014e+06 0.5;
REG_RX_I[1] 0xc0 8.04014e+06 0.5;
REG_RX_I[2] 0xc0 8.04014e+06 0.5;
REG_RX_I[3] 0xc0 8.04014e+06 0.5;
REG_RX_I[4] 0xc0 8.04014e+06 0.5;
REG_RX_I[5] 0xc0 8.04014e+06 0.5;
REG_RX_I[6] 0xc0 8.04014e+06 0.5;
REG_RX_I[7] 0xc0 8.04014e+06 0.5;
REG_RX_I[8] 0xc0 8.04014e+06 0.5;
REG_RX_I[9] 0xc0 8.04014e+06 0.5;
REG_RX_I[10] 0xc0 8.04014e+06 0.5;
REG_RX_I[11] 0xc0 8.04014e+06 0.5;
REG_RX_I[12] 0xc0 8.04014e+06 0.5;
REG_RX_I[13] 0xc0 8.04014e+06 0.5;
REG_RX_I[14] 0xc0 8.04014e+06 0.5;
REG_RX_I[15] 0xc0 8.04014e+06 0.5;
REG_RX_Q[0] 0xc0 8.04014e+06 0.5;
REG_RX_Q[1] 0xc0 8.04014e+06 0.5;
REG_RX_Q[2] 0xc0 8.04014e+06 0.5;
REG_RX_Q[3] 0xc0 8.04014e+06 0.5;
REG_RX_Q[4] 0xc0 8.04014e+06 0.5;
REG_RX_Q[5] 0xc0 8.04014e+06 0.5;
REG_RX_Q[6] 0xc0 8.04014e+06 0.5;
REG_RX_Q[7] 0xc0 8.04014e+06 0.5;
REG_RX_Q[8] 0xc0 8.04014e+06 0.5;
REG_RX_Q[9] 0xc0 8.04014e+06 0.5;
REG_RX_Q[10] 0xc0 8.04014e+06 0.5;
REG_RX_Q[11] 0xc0 8.04014e+06 0.5;
REG_RX_Q[12] 0xc0 8.04014e+06 0.5;
REG_RX_Q[13] 0xc0 8.04014e+06 0.5;
REG_RX_Q[14] 0xc0 8.04014e+06 0.5;
REG_RX_Q[15] 0xc0 8.04014e+06 0.5;
TX_CICFIR_GAIN[0] 0xc0 3.125e+06 0.5;
TX_CICFIR_GAIN[0]~0 0xc0 276.737 0.000488281;
TX_CICFIR_GAIN[1] 0xc0 3.125e+06 0.5;
TX_CICFIR_GAIN[2] 0xc0 3.125e+06 0.5;
TX_CICFIR_GAIN[3] 0xc0 3.125e+06 0.5;
TX_CICFIR_GAIN[4] 0xc0 3.125e+06 0.5;
TX_I[0] 0xc0 3.125e+06 0.5;
TX_I[0]~feeder 0xc0 1 0.5;
TX_I[1] 0xc0 3.125e+06 0.5;
TX_I[1]~feeder 0xc0 2e+07 0.5;
TX_I[2] 0xc0 3.125e+06 0.5;
TX_I[2]~feeder 0xc0 2e+07 0.5;
TX_I[3] 0xc0 3.125e+06 0.5;
TX_I[3]~feeder 0xc0 2e+07 0.5;
TX_I[4] 0xc0 3.125e+06 0.5;
TX_I[5] 0xc0 3.125e+06 0.5;
TX_I[5]~feeder 0xc0 2e+07 0.5;
TX_I[6] 0xc0 3.125e+06 0.5;
TX_I[6]~feeder 0xc0 2e+07 0.5;
TX_I[7] 0xc0 3.125e+06 0.5;
TX_I[7]~feeder 0xc0 2e+07 0.5;
TX_I[8] 0xc0 3.125e+06 0.5;
TX_I[9] 0xc0 3.125e+06 0.5;
TX_I[10] 0xc0 3.125e+06 0.5;
TX_I[10]~feeder 0xc0 3.125e+06 0.5;
TX_I[11] 0xc0 3.125e+06 0.5;
TX_I[12] 0xc0 3.125e+06 0.5;
TX_I[12]~feeder 0xc0 3.125e+06 0.5;
TX_I[13] 0xc0 3.125e+06 0.5;
TX_I[13]~feeder 0xc0 3.125e+06 0.5;
TX_I[14] 0xc0 3.125e+06 0.5;
TX_I[15] 0xc0 3.125e+06 0.5;
TX_I[15]~feeder 0xc0 3.125e+06 0.5;
TX_NCO_freq[0] 0xc0 3.125e+06 0.5;
TX_NCO_freq[0]~8 0xc0 1 0.5;
TX_NCO_freq[1] 0xc0 3.125e+06 0.5;
TX_NCO_freq[1]~7 0xc0 2e+07 0.5;
TX_NCO_freq[2] 0xc0 3.125e+06 0.5;
TX_NCO_freq[2]~feeder 0xc0 2e+07 0.5;
TX_NCO_freq[3] 0xc0 3.125e+06 0.5;
TX_NCO_freq[3]~6 0xc0 2e+07 0.5;
TX_NCO_freq[4] 0xc0 3.125e+06 0.5;
TX_NCO_freq[5] 0xc0 3.125e+06 0.5;
TX_NCO_freq[5]~5 0xc0 2e+07 0.5;
TX_NCO_freq[6] 0xc0 3.125e+06 0.5;
TX_NCO_freq[7] 0xc0 3.125e+06 0.5;
TX_NCO_freq[7]~1 0xc0 403.773 0.000488281;
TX_NCO_freq[7]~4 0xc0 2e+07 0.5;
TX_NCO_freq[8] 0xc0 3.125e+06 0.5;
TX_NCO_freq[9] 0xc0 3.125e+06 0.5;
TX_NCO_freq[9]~3 0xc0 2e+07 0.5;
TX_NCO_freq[10] 0xc0 3.125e+06 0.5;
TX_NCO_freq[10]~feeder 0xc0 2e+07 0.5;
TX_NCO_freq[11] 0xc0 3.125e+06 0.5;
TX_NCO_freq[11]~feeder 0xc0 2e+07 0.5;
TX_NCO_freq[12] 0xc0 3.125e+06 0.5;
TX_NCO_freq[12]~9 0xc0 2e+07 0.5;
TX_NCO_freq[13] 0xc0 3.125e+06 0.5;
TX_NCO_freq[13]~10 0xc0 2e+07 0.5;
TX_NCO_freq[14] 0xc0 3.125e+06 0.5;
TX_NCO_freq[14]~feeder 0xc0 2e+07 0.5;
TX_NCO_freq[15] 0xc0 3.125e+06 0.5;
TX_NCO_freq[15]~0 0xc0 403.773 0.000488281;
TX_NCO_freq[15]~11 0xc0 2e+07 0.5;
TX_NCO_freq[16] 0xc0 3.125e+06 0.5;
TX_NCO_freq[16]~12 0xc0 1 0.5;
TX_NCO_freq[17] 0xc0 3.125e+06 0.5;
TX_NCO_freq[17]~13 0xc0 2e+07 0.5;
TX_NCO_freq[18] 0xc0 3.125e+06 0.5;
TX_NCO_freq[19] 0xc0 3.125e+06 0.5;
TX_NCO_freq[19]~feeder 0xc0 2e+07 0.5;
TX_NCO_freq[20] 0xc0 3.125e+06 0.5;
TX_NCO_freq[21] 0xc0 3.125e+06 0.5;
TX_NCO_freq[21]~2 0xc0 403.773 0.000488281;
TX_NCO_freq[21]~feeder 0xc0 2e+07 0.5;
TX_Q[0] 0xc0 3.125e+06 0.5;
TX_Q[0]~0 0xc0 5954.66 0.000488281;
TX_Q[0]~feeder 0xc0 3.125e+06 0.5;
TX_Q[1] 0xc0 3.125e+06 0.5;
TX_Q[1]~feeder 0xc0 3.125e+06 0.5;
TX_Q[2] 0xc0 3.125e+06 0.5;
TX_Q[3] 0xc0 3.125e+06 0.5;
TX_Q[4] 0xc0 3.125e+06 0.5;
TX_Q[4]~feeder 0xc0 3.125e+06 0.5;
TX_Q[5] 0xc0 3.125e+06 0.5;
TX_Q[5]~feeder 0xc0 3.125e+06 0.5;
TX_Q[6] 0xc0 3.125e+06 0.5;
TX_Q[6]~feeder 0xc0 3.125e+06 0.5;
TX_Q[7] 0xc0 3.125e+06 0.5;
TX_Q[7]~feeder 0xc0 3.125e+06 0.5;
TX_Q[8] 0xc0 3.125e+06 0.5;
TX_Q[8]~feeder 0xc0 3.125e+06 0.5;
TX_Q[9] 0xc0 3.125e+06 0.5;
TX_Q[9]~feeder 0xc0 3.125e+06 0.5;
TX_Q[10] 0xc0 3.125e+06 0.5;
TX_Q[10]~feeder 0xc0 3.125e+06 0.5;
TX_Q[11] 0xc0 3.125e+06 0.5;
TX_Q[11]~feeder 0xc0 3.125e+06 0.5;
TX_Q[12] 0xc0 3.125e+06 0.5;
TX_Q[12]~feeder 0xc0 3.125e+06 0.5;
TX_Q[13] 0xc0 3.125e+06 0.5;
TX_Q[13]~feeder 0xc0 3.125e+06 0.5;
TX_Q[14] 0xc0 3.125e+06 0.5;
TX_Q[15] 0xc0 3.125e+06 0.5;
TX_Q[15]~feeder 0xc0 3.125e+06 0.5;
k[0] 0xc0 3.125e+06 0.5;
k[1] 0xc0 3.125e+06 0.5;
k[2] 0xc0 3.125e+06 0.5;
k[3] 0xc0 3.125e+06 0.5;
k[4] 0xc0 3.125e+06 0.5;
k[5] 0xc0 3.125e+06 0.5;
k[6] 0xc0 3.125e+06 0.5;
k[7] 0xc0 3.125e+06 0.5;
k[8] 0xc0 3.125e+06 0.5;
k[9] 0xc0 3.125e+06 0.5;
k~0 0xc0 1.37713e+07 0.511719;
k~1 0xc0 5e+06 0.25;
k~2 0xc0 1.69523e+07 0.25386;
k~3 0xc0 2.34375e+06 0.75;
k~4 0xc0 4.90657e+07 0.496105;
k~5 0xc0 1.07994e+06 0.998047;
k~6 0xc0 1.25498e+06 0.990265;
k~7 0xc0 563228 0.990234;
k~8 0xc0 1953.51 0.00292969;
k~9 0xc0 1150.85 0.00195313;
k~10 0xc0 5.97318e+06 0.975812;
k~11 0xc0 5.94335e+06 0.972955;
k~12 0xc0 3.3013e+07 0.443948;
k~13 0xc0 5.95255e+06 0.487429;
k~14 0xc0 7.77864e+06 0.498535;
k~15 0xc0 1.1e+07 0.375;
k~16 0xc0 1.16855e+07 0.251953;
k~17 0xc0 8.22011e+06 0.557268;
k~18 0xc0 2.19001e+07 0.498047;
k~19 0xc0 5e+06 0.25;
k~20 0xc0 1.10205e+07 0.252914;
k~21 0xc0 8.89932e+06 0.486477;
k~22 0xc0 1331.86 0.997073;
k~23 0xc0 192724 0.0107012;
k~24 0xc0 2.69985e+07 0.436687;
k~25 0xc0 5.94732e+06 0.496094;
k~26 0xc0 5.96224e+06 0.487906;
k~27 0xc0 24851.2 0.993183;
k~28 0xc0 1.73839e+07 0.493745;
k~29 0xc0 1.02305e+07 0.255802;
k~30 0xc0 1.1489e+07 0.496588;
k~31 0xc0 1.27392e+07 0.445579;
k~32 0xc0 8.89892e+06 0.486477;
k~33 0xc0 5e+06 0.25;
k~34 0xc0 9.67957e+06 0.246094;
k~35 0xc0 1.68237e+07 0.562964;
k~36 0xc0 9.45565e+06 0.255802;
k~37 0xc0 61484.8 0.996094;
k~38 0xc0 9.2521e+06 0.495134;
k~39 0xc0 1.81979e+07 0.444497;
k~40 0xc0 1.1e+07 0.375;
k~41 0xc0 1.17352e+07 0.248047;
k~42 0xc0 5.61058e+06 0.497075;
k~43 0xc0 5.56328e+06 0.494652;
k~44 0xc0 1.78235e+07 0.439054;
k~45 0xc0 771930 0.992188;
k~46 0xc0 7.59565e+06 0.244202;
k~47 0xc0 538635 0.125;
k~48 0xc0 1.60657e+07 0.498536;
k~49 0xc0 1.50108e+06 0.976745;
k~50 0xc0 1.13092e+07 0.495154;
k~51 0xc0 7.20695e+06 0.56362;
k~52 0xc0 2.91061e+07 0.244202;
k~53 0xc0 1.22207e+07 0.49467;
k~54 0xc0 2.43358e+07 0.436193;
k~55 0xc0 3040.58 0.996094;
k~56 0xc0 18868.7 0.998051;
preamp_enable 0xc0 3.125e+06 0.5;
reset_n 0xc0 8.04014e+06 0.5;
reset_n~clkctrl 0xc0 8.04014e+06 0.5;
reset_n~feeder 0xc0 3.125e+06 0.5;
rx 0xc0 3.125e+06 0.5;
rx~0 0xc0 1 0.5;
sync_reset_n 0xc0 3.125e+06 0.5;
sync_reset_n~0 0xc0 920703 0.0078125;
sync_reset_n~1 0xc0 6.15195e+06 0.5;
sync_reset_n~feeder 0xc0 6.15195e+06 0.5;
tx 0xc0 3.125e+06 0.5;
tx_iq_valid 0xc0 3.125e+06 0.5;
tx_iq_valid~0 0xc0 2.05907e+06 0.00195313;
tx_iq_valid~1 0xc0 3.28191e+06 0.499268;
tx_iq_valid~feeder 0xc0 3.28191e+06 0.499268;
tx~0 0xc0 1 0.5;
tx~clkctrl 0xc0 3.125e+06 0.5;
STM32_SYNC 0x30 2e+07 0.5;
STM32_SYNC~input 0xc0 2e+07 0.5;
clock_buffer:SYSCLK_BUFFER;
clock_buffer_altclkctrl_0:altclkctrl_0;
clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component;
wire_clkctrl1_outclk 0xc0 1.28642e+08 0.499968;
tx_cic:TX_CIC_I;
tx_cic_cic_ii_0:cic_ii_0;
alt_cic_core:core;
auk_dspip_avalon_streaming_controller:avalon_controller;
auk_dspip_avalon_streaming_small_fifo:ready_FIFO;
Equal2~0 0xc0 6.91038e+06 0.125;
fifo_array[0][0] 0xc0 2.01029e+07 0.5;
fifo_array[0][0]~4 0xc0 4.79015e+06 0.875;
fifo_array[0][0]~5 0xc0 1.45982e+07 0.5;
fifo_array[1][0] 0xc0 2.01029e+07 0.5;
fifo_array[1][0]~2 0xc0 5.96806e+06 0.125;
fifo_array[1][0]~3 0xc0 1.1804e+07 0.5;
fifo_array[2][0] 0xc0 2.01029e+07 0.5;
fifo_array[2][0]~0 0xc0 5.96806e+06 0.125;
fifo_array[2][0]~1 0xc0 1.1804e+07 0.5;
fifo_array[3][0] 0xc0 2.01029e+07 0.5;
fifo_array[3][0]~6 0xc0 1.14592e+07 0.5;
fifo_array[4][0] 0xc0 2.01029e+07 0.5;
fifo_array[4][0]~8 0xc0 4.79015e+06 0.125;
fifo_array[4][0]~9 0xc0 1.14592e+07 0.5;
fifo_array[5][0] 0xc0 2.01029e+07 0.5;
fifo_array[5][0]~7 0xc0 1.46557e+07 0.5;
fifo_usedw[0] 0xc0 2.01029e+07 0.5;
fifo_usedw[0]~3 0xc0 1.21644e+07 0.5;
fifo_usedw[1] 0xc0 2.01029e+07 0.5;
fifo_usedw[2] 0xc0 2.01029e+07 0.5;
fifo_usedw~0 0xc0 8.79012e+06 0.328125;
fifo_usedw~1 0xc0 1.2368e+07 0.28125;
fifo_usedw~2 0xc0 9.36252e+06 0.452759;
fifo_usedw~4 0xc0 1.44445e+07 0.5;
Mux0~0 0xc0 1.02085e+07 0.5;
Mux0~1 0xc0 7.05808e+06 0.5;
rd_addr_ptr[0] 0xc0 2.01029e+07 0.5;
rd_addr_ptr[0]~2 0xc0 2.01029e+07 0.5;
rd_addr_ptr[1] 0xc0 2.01029e+07 0.5;
rd_addr_ptr[2] 0xc0 2.01029e+07 0.5;
rd_addr_ptr~0 0xc0 1.20146e+07 0.375;
rd_addr_ptr~1 0xc0 1.41349e+07 0.375;
usedw_process~0 0xc0 1.41349e+07 0.4375;
usedw_process~1 0xc0 1.03852e+07 0.21875;
usedw_process~2 0xc0 1.18836e+07 0.423828;
wr_addr_ptr[0] 0xc0 2.01029e+07 0.5;
wr_addr_ptr[0]~4 0xc0 2.01029e+07 0.5;
wr_addr_ptr[1] 0xc0 2.01029e+07 0.5;
wr_addr_ptr[2] 0xc0 2.01029e+07 0.5;
wr_addr_ptr~0 0xc0 4.79015e+06 0.125;
wr_addr_ptr~1 0xc0 5.73248e+06 0.875;
wr_addr_ptr~2 0xc0 1.69618e+07 0.375;
wr_addr_ptr~3 0xc0 1.69618e+07 0.375;
sink_ready_ctrl~0 0xc0 7.14842e+06 0.234375;
sink_ready_ctrl~1 0xc0 5.88953e+06 0.25;
sink_ready_ctrl~2 0xc0 1.65674e+07 0.191406;
sink_ready_ctrl~3 0xc0 1.27103e+07 0.200729;
stall_reg 0xc0 2.01029e+07 0.5;
stall_reg~feeder 0xc0 1.13079e+07 0.75;
stall_w~0 0xc0 1.13079e+07 0.75;
auk_dspip_avalon_streaming_sink:input_sink;
data_valid 0xc0 2.01029e+07 0.5;
scfifo:sink_FIFO;
scfifo_gf71:auto_generated;
dffe_nae 0xc0 2.01029e+07 0.5;
dffe_nae~0 0xc0 4.31899e+06 0.125;
dffe_nae~1 0xc0 1.59054e+07 0.503079;
a_dpfifo_1lv:dpfifo;
_~0 0xc0 1.06662e+07 0.200274;
_~1 0xc0 644474 0.03125;
_~2 0xc0 1.35761e+07 0.463874;
_~3 0xc0 1.59456e+07 0.556227;
_~4 0xc0 1.28737e+07 0.399863;
_~5 0xc0 4.04169e+06 0.109375;
_~6 0xc0 1.81103e+06 0.0625;
_~7 0xc0 9.17562e+06 0.525621;
_~8 0xc0 8.6175e+06 0.0501823;
empty_dff 0xc0 2.01029e+07 0.5;
altsyncram_l7h1:FIFOram;
q_b[0] 0xc0 2.01029e+07 0.5;
q_b[1] 0xc0 2.01029e+07 0.5;
q_b[2] 0xc0 2.01029e+07 0.5;
q_b[3] 0xc0 2.01029e+07 0.5;
q_b[4] 0xc0 2.01029e+07 0.5;
q_b[5] 0xc0 2.01029e+07 0.5;
q_b[6] 0xc0 2.01029e+07 0.5;
q_b[7] 0xc0 2.01029e+07 0.5;
q_b[8] 0xc0 2.01029e+07 0.5;
q_b[9] 0xc0 2.01029e+07 0.5;
q_b[10] 0xc0 2.01029e+07 0.5;
q_b[11] 0xc0 2.01029e+07 0.5;
q_b[12] 0xc0 2.01029e+07 0.5;
q_b[13] 0xc0 2.01029e+07 0.5;
q_b[14] 0xc0 2.01029e+07 0.5;
q_b[15] 0xc0 2.01029e+07 0.5;
full_dff 0xc0 2.01029e+07 0.5;
low_addressa[0] 0xc0 2.01029e+07 0.5;
low_addressa[1] 0xc0 2.01029e+07 0.5;
low_addressa[2] 0xc0 2.01029e+07 0.5;
ram_read_address[0]~0 0xc0 1.22889e+07 0.5;
ram_read_address[1]~1 0xc0 1.17447e+07 0.5;
ram_read_address[2]~2 0xc0 1.17447e+07 0.5;
rd_ptr_lsb 0xc0 2.01029e+07 0.5;
rd_ptr_lsb~0 0xc0 2.01029e+07 0.5;
cntr_r9b:rd_ptr_msb;
counter_comb_bita0 0xc0 2.01029e+07 0.5;
counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5;
counter_comb_bita1 0xc0 2.01029e+07 0.5;
counter_reg_bit[0] 0xc0 2.01029e+07 0.5;
counter_reg_bit[1] 0xc0 2.01029e+07 0.5;
cntr_8a7:usedw_counter;
counter_comb_bita0 0xc0 2.01029e+07 0.5;
counter_comb_bita0~COUT 0xc0 1.81005e+07 0.5;
counter_comb_bita1 0xc0 1.91017e+07 0.5;
counter_comb_bita1~COUT 0xc0 9.11266e+06 0.75;
counter_comb_bita2 0xc0 1.71207e+07 0.5;
counter_reg_bit[0] 0xc0 2.01029e+07 0.5;
counter_reg_bit[1] 0xc0 2.01029e+07 0.5;
counter_reg_bit[2] 0xc0 2.01029e+07 0.5;
usedw_is_0_dff 0xc0 2.01029e+07 0.5;
usedw_is_1_dff 0xc0 2.01029e+07 0.5;
usedw_will_be_1~0 0xc0 2.20745e+07 0.440195;
valid_rreq 0xc0 1.683e+07 0.100365;
valid_wreq 0xc0 4.79015e+06 0.125;
cntr_s9b:wr_ptr;
counter_comb_bita0 0xc0 2.01029e+07 0.5;
counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5;
counter_comb_bita1 0xc0 2.01029e+07 0.5;
counter_comb_bita1~COUT 0xc0 1.13079e+07 0.75;
counter_comb_bita2 0xc0 1.82183e+07 0.5;
counter_reg_bit[0] 0xc0 2.01029e+07 0.5;
counter_reg_bit[1] 0xc0 2.01029e+07 0.5;
counter_reg_bit[2] 0xc0 2.01029e+07 0.5;
alt_cic_int_siso:int_one;
auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
dout[0] 0xc0 2.01029e+07 0.5;
dout[0]~17 0xc0 2.01029e+07 0.5;
dout[0]~18 0xc0 1.13079e+07 0.75;
dout[0]~51 0xc0 1.50772e+07 0.25;
dout[1] 0xc0 2.01029e+07 0.5;
dout[1]~19 0xc0 1.69618e+07 0.5;
dout[1]~20 0xc0 9.36435e+06 0.375;
dout[2] 0xc0 2.01029e+07 0.5;
dout[2]~21 0xc0 1.43557e+07 0.5;
dout[2]~22 0xc0 1.10257e+07 0.5625;
dout[3] 0xc0 2.01029e+07 0.5;
dout[3]~23 0xc0 1.4241e+07 0.5;
dout[3]~24 0xc0 1.49143e+07 0.46875;
dout[4] 0xc0 2.01029e+07 0.5;
dout[4]~25 0xc0 1.50806e+07 0.5;
dout[4]~26 0xc0 1.31403e+07 0.515625;
dout[5] 0xc0 2.01029e+07 0.5;
dout[5]~27 0xc0 1.4604e+07 0.5;
dout[5]~28 0xc0 1.39437e+07 0.492188;
dout[6] 0xc0 2.01029e+07 0.5;
dout[6]~29 0xc0 1.47966e+07 0.5;
dout[6]~30 0xc0 1.35053e+07 0.503906;
dout[7] 0xc0 2.01029e+07 0.5;
dout[7]~31 0xc0 1.46849e+07 0.5;
dout[7]~32 0xc0 1.37133e+07 0.498047;
dout[8] 0xc0 2.01029e+07 0.5;
dout[8]~33 0xc0 1.47364e+07 0.5;
dout[8]~34 0xc0 1.36063e+07 0.500977;
dout[9] 0xc0 2.01029e+07 0.5;
dout[9]~35 0xc0 1.47095e+07 0.5;
dout[9]~36 0xc0 1.3659e+07 0.499512;
dout[10] 0xc0 2.01029e+07 0.5;
dout[10]~37 0xc0 1.47227e+07 0.5;
dout[10]~38 0xc0 1.36325e+07 0.500244;
dout[11] 0xc0 2.01029e+07 0.5;
dout[11]~39 0xc0 1.4716e+07 0.5;
dout[11]~40 0xc0 1.36457e+07 0.499878;
dout[12] 0xc0 2.01029e+07 0.5;
dout[12]~41 0xc0 1.47193e+07 0.5;
dout[12]~42 0xc0 1.36391e+07 0.500061;
dout[13] 0xc0 2.01029e+07 0.5;
dout[13]~43 0xc0 1.47177e+07 0.5;
dout[13]~44 0xc0 1.36424e+07 0.499969;
dout[14] 0xc0 2.01029e+07 0.5;
dout[14]~45 0xc0 1.47185e+07 0.5;
dout[14]~46 0xc0 1.36407e+07 0.500015;
dout[15] 0xc0 2.01029e+07 0.5;
dout[15]~47 0xc0 1.47181e+07 0.5;
dout[15]~48 0xc0 1.36415e+07 0.499992;
dout[16] 0xc0 2.01029e+07 0.5;
dout[16]~49 0xc0 1.47183e+07 0.5;
dout_valid 0xc0 2.01029e+07 0.5;
auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5;
dout[0] 0xc0 2.01029e+07 0.5;
dout[0]~18 0xc0 2.01029e+07 0.5;
dout[0]~19 0xc0 1.13079e+07 0.75;
dout[1] 0xc0 2.01029e+07 0.5;
dout[1]~20 0xc0 1.69618e+07 0.5;
dout[1]~21 0xc0 9.36435e+06 0.375;
dout[2] 0xc0 2.01029e+07 0.5;
dout[2]~22 0xc0 1.43557e+07 0.5;
dout[2]~23 0xc0 1.10257e+07 0.5625;
dout[3] 0xc0 2.01029e+07 0.5;
dout[3]~24 0xc0 1.4241e+07 0.5;
dout[3]~25 0xc0 1.49143e+07 0.46875;
dout[4] 0xc0 2.01029e+07 0.5;
dout[4]~26 0xc0 1.50806e+07 0.5;
dout[4]~27 0xc0 1.31403e+07 0.515625;
dout[5] 0xc0 2.01029e+07 0.5;
dout[5]~28 0xc0 1.4604e+07 0.5;
dout[5]~29 0xc0 1.39437e+07 0.492188;
dout[6] 0xc0 2.01029e+07 0.5;
dout[6]~30 0xc0 1.47966e+07 0.5;
dout[6]~31 0xc0 1.35053e+07 0.503906;
dout[7] 0xc0 2.01029e+07 0.5;
dout[7]~32 0xc0 1.46849e+07 0.5;
dout[7]~33 0xc0 1.37133e+07 0.498047;
dout[8] 0xc0 2.01029e+07 0.5;
dout[8]~34 0xc0 1.47364e+07 0.5;
dout[8]~35 0xc0 1.36063e+07 0.500977;
dout[9] 0xc0 2.01029e+07 0.5;
dout[9]~36 0xc0 1.47095e+07 0.5;
dout[9]~37 0xc0 1.3659e+07 0.499512;
dout[10] 0xc0 2.01029e+07 0.5;
dout[10]~38 0xc0 1.47227e+07 0.5;
dout[10]~39 0xc0 1.36325e+07 0.500244;
dout[11] 0xc0 2.01029e+07 0.5;
dout[11]~40 0xc0 1.4716e+07 0.5;
dout[11]~41 0xc0 1.36457e+07 0.499878;
dout[12] 0xc0 2.01029e+07 0.5;
dout[12]~42 0xc0 1.47193e+07 0.5;
dout[12]~43 0xc0 1.36391e+07 0.500061;
dout[13] 0xc0 2.01029e+07 0.5;
dout[13]~44 0xc0 1.47177e+07 0.5;
dout[13]~45 0xc0 1.36424e+07 0.499969;
dout[14] 0xc0 2.01029e+07 0.5;
dout[14]~46 0xc0 1.47185e+07 0.5;
dout[14]~47 0xc0 1.36407e+07 0.500015;
dout[15] 0xc0 2.01029e+07 0.5;
dout[15]~48 0xc0 1.47181e+07 0.5;
dout[15]~49 0xc0 1.36415e+07 0.499992;
dout[16] 0xc0 2.01029e+07 0.5;
dout[16]~50 0xc0 1.47183e+07 0.5;
dout[16]~51 0xc0 1.36411e+07 0.500004;
dout[74] 0xc0 2.01029e+07 0.5;
dout[74]~52 0xc0 1.84875e+07 0.5;
dout[74]~54 0xc0 1.50772e+07 0.25;
dout_valid 0xc0 2.01029e+07 0.5;
auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
dout[0] 0xc0 2.01029e+07 0.5;
dout[0]~19 0xc0 2.01029e+07 0.5;
dout[0]~20 0xc0 1.13079e+07 0.75;
dout[0]~feeder 0xc0 2.01029e+07 0.5;
dout[1] 0xc0 2.01029e+07 0.5;
dout[1]~21 0xc0 1.69618e+07 0.5;
dout[1]~22 0xc0 9.36435e+06 0.375;
dout[2] 0xc0 2.01029e+07 0.5;
dout[2]~23 0xc0 1.43557e+07 0.5;
dout[2]~24 0xc0 1.10257e+07 0.5625;
dout[3] 0xc0 2.01029e+07 0.5;
dout[3]~25 0xc0 1.4241e+07 0.5;
dout[3]~26 0xc0 1.49143e+07 0.46875;
dout[4] 0xc0 2.01029e+07 0.5;
dout[4]~27 0xc0 1.50806e+07 0.5;
dout[4]~28 0xc0 1.31403e+07 0.515625;
dout[5] 0xc0 2.01029e+07 0.5;
dout[5]~29 0xc0 1.4604e+07 0.5;
dout[5]~30 0xc0 1.39437e+07 0.492188;
dout[6] 0xc0 2.01029e+07 0.5;
dout[6]~31 0xc0 1.47966e+07 0.5;
dout[6]~32 0xc0 1.35053e+07 0.503906;
dout[7] 0xc0 2.01029e+07 0.5;
dout[7]~33 0xc0 1.46849e+07 0.5;
dout[7]~34 0xc0 1.37133e+07 0.498047;
dout[8] 0xc0 2.01029e+07 0.5;
dout[8]~35 0xc0 1.47364e+07 0.5;
dout[8]~36 0xc0 1.36063e+07 0.500977;
dout[9] 0xc0 2.01029e+07 0.5;
dout[9]~37 0xc0 1.47095e+07 0.5;
dout[9]~38 0xc0 1.3659e+07 0.499512;
dout[10] 0xc0 2.01029e+07 0.5;
dout[10]~39 0xc0 1.47227e+07 0.5;
dout[10]~40 0xc0 1.36325e+07 0.500244;
dout[11] 0xc0 2.01029e+07 0.5;
dout[11]~41 0xc0 1.4716e+07 0.5;
dout[11]~42 0xc0 1.36457e+07 0.499878;
dout[12] 0xc0 2.01029e+07 0.5;
dout[12]~43 0xc0 1.47193e+07 0.5;
dout[12]~44 0xc0 1.36391e+07 0.500061;
dout[13] 0xc0 2.01029e+07 0.5;
dout[13]~45 0xc0 1.47177e+07 0.5;
dout[13]~46 0xc0 1.36424e+07 0.499969;
dout[14] 0xc0 2.01029e+07 0.5;
dout[14]~47 0xc0 1.47185e+07 0.5;
dout[14]~48 0xc0 1.36407e+07 0.500015;
dout[15] 0xc0 2.01029e+07 0.5;
dout[15]~49 0xc0 1.47181e+07 0.5;
dout[15]~50 0xc0 1.36415e+07 0.499992;
dout[16] 0xc0 2.01029e+07 0.5;
dout[16]~51 0xc0 1.47183e+07 0.5;
dout[16]~52 0xc0 1.36411e+07 0.500004;
dout[17] 0xc0 2.01029e+07 0.5;
dout[17]~53 0xc0 1.47182e+07 0.5;
dout[17]~54 0xc0 1.36413e+07 0.499998;
dout[74] 0xc0 2.01029e+07 0.5;
dout[74]~55 0xc0 1.47182e+07 0.5;
dout[74]~57 0xc0 1.50772e+07 0.25;
dout_valid 0xc0 2.01029e+07 0.5;
auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5;
dout[0] 0xc0 2.01029e+07 0.5;
dout[0]~20 0xc0 2.01029e+07 0.5;
dout[0]~21 0xc0 1.13079e+07 0.75;
dout[0]~feeder 0xc0 2.01029e+07 0.5;
dout[1] 0xc0 2.01029e+07 0.5;
dout[1]~22 0xc0 1.69618e+07 0.5;
dout[1]~23 0xc0 9.36435e+06 0.375;
dout[2] 0xc0 2.01029e+07 0.5;
dout[2]~24 0xc0 1.43557e+07 0.5;
dout[2]~25 0xc0 1.10257e+07 0.5625;
dout[3] 0xc0 2.01029e+07 0.5;
dout[3]~26 0xc0 1.4241e+07 0.5;
dout[3]~27 0xc0 1.49143e+07 0.46875;
dout[4] 0xc0 2.01029e+07 0.5;
dout[4]~28 0xc0 1.50806e+07 0.5;
dout[4]~29 0xc0 1.31403e+07 0.515625;
dout[5] 0xc0 2.01029e+07 0.5;
dout[5]~30 0xc0 1.4604e+07 0.5;
dout[5]~31 0xc0 1.39437e+07 0.492188;
dout[6] 0xc0 2.01029e+07 0.5;
dout[6]~32 0xc0 1.47966e+07 0.5;
dout[6]~33 0xc0 1.35053e+07 0.503906;
dout[7] 0xc0 2.01029e+07 0.5;
dout[7]~34 0xc0 1.46849e+07 0.5;
dout[7]~35 0xc0 1.37133e+07 0.498047;
dout[8] 0xc0 2.01029e+07 0.5;
dout[8]~36 0xc0 1.47364e+07 0.5;
dout[8]~37 0xc0 1.36063e+07 0.500977;
dout[9] 0xc0 2.01029e+07 0.5;
dout[9]~38 0xc0 1.47095e+07 0.5;
dout[9]~39 0xc0 1.3659e+07 0.499512;
dout[10] 0xc0 2.01029e+07 0.5;
dout[10]~40 0xc0 1.47227e+07 0.5;
dout[10]~41 0xc0 1.36325e+07 0.500244;
dout[11] 0xc0 2.01029e+07 0.5;
dout[11]~42 0xc0 1.4716e+07 0.5;
dout[11]~43 0xc0 1.36457e+07 0.499878;
dout[12] 0xc0 2.01029e+07 0.5;
dout[12]~44 0xc0 1.47193e+07 0.5;
dout[12]~45 0xc0 1.36391e+07 0.500061;
dout[13] 0xc0 2.01029e+07 0.5;
dout[13]~46 0xc0 1.47177e+07 0.5;
dout[13]~47 0xc0 1.36424e+07 0.499969;
dout[14] 0xc0 2.01029e+07 0.5;
dout[14]~48 0xc0 1.47185e+07 0.5;
dout[14]~49 0xc0 1.36407e+07 0.500015;
dout[15] 0xc0 2.01029e+07 0.5;
dout[15]~50 0xc0 1.47181e+07 0.5;
dout[15]~51 0xc0 1.36415e+07 0.499992;
dout[16] 0xc0 2.01029e+07 0.5;
dout[16]~52 0xc0 1.47183e+07 0.5;
dout[16]~53 0xc0 1.36411e+07 0.500004;
dout[17] 0xc0 2.01029e+07 0.5;
dout[17]~54 0xc0 1.47182e+07 0.5;
dout[17]~55 0xc0 1.36413e+07 0.499998;
dout[18] 0xc0 2.01029e+07 0.5;
dout[18]~56 0xc0 1.47182e+07 0.5;
dout[18]~57 0xc0 1.36412e+07 0.500001;
dout[19] 0xc0 2.01029e+07 0.5;
dout[19]~58 0xc0 1.84875e+07 0.5;
dout[74]~60 0xc0 1.50772e+07 0.25;
dout_valid 0xc0 2.01029e+07 0.5;
auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19]~feeder 0xc0 2.01029e+07 0.5;
dout[0] 0xc0 2.01029e+07 0.5;
dout[0]~21 0xc0 2.01029e+07 0.5;
dout[0]~22 0xc0 1.13079e+07 0.75;
dout[1] 0xc0 2.01029e+07 0.5;
dout[1]~23 0xc0 1.69618e+07 0.5;
dout[1]~24 0xc0 9.36435e+06 0.375;
dout[2] 0xc0 2.01029e+07 0.5;
dout[2]~25 0xc0 1.43557e+07 0.5;
dout[2]~26 0xc0 1.10257e+07 0.5625;
dout[3] 0xc0 2.01029e+07 0.5;
dout[3]~27 0xc0 1.4241e+07 0.5;
dout[3]~28 0xc0 1.49143e+07 0.46875;
dout[4] 0xc0 2.01029e+07 0.5;
dout[4]~29 0xc0 1.50806e+07 0.5;
dout[4]~30 0xc0 1.31403e+07 0.515625;
dout[5] 0xc0 2.01029e+07 0.5;
dout[5]~31 0xc0 1.4604e+07 0.5;
dout[5]~32 0xc0 1.39437e+07 0.492188;
dout[6] 0xc0 2.01029e+07 0.5;
dout[6]~33 0xc0 1.47966e+07 0.5;
dout[6]~34 0xc0 1.35053e+07 0.503906;
dout[7] 0xc0 2.01029e+07 0.5;
dout[7]~35 0xc0 1.46849e+07 0.5;
dout[7]~36 0xc0 1.37133e+07 0.498047;
dout[8] 0xc0 2.01029e+07 0.5;
dout[8]~37 0xc0 1.47364e+07 0.5;
dout[8]~38 0xc0 1.36063e+07 0.500977;
dout[9] 0xc0 2.01029e+07 0.5;
dout[9]~39 0xc0 1.47095e+07 0.5;
dout[9]~40 0xc0 1.3659e+07 0.499512;
dout[10] 0xc0 2.01029e+07 0.5;
dout[10]~41 0xc0 1.47227e+07 0.5;
dout[10]~42 0xc0 1.36325e+07 0.500244;
dout[11] 0xc0 2.01029e+07 0.5;
dout[11]~43 0xc0 1.4716e+07 0.5;
dout[11]~44 0xc0 1.36457e+07 0.499878;
dout[12] 0xc0 2.01029e+07 0.5;
dout[12]~45 0xc0 1.47193e+07 0.5;
dout[12]~46 0xc0 1.36391e+07 0.500061;
dout[13] 0xc0 2.01029e+07 0.5;
dout[13]~47 0xc0 1.47177e+07 0.5;
dout[13]~48 0xc0 1.36424e+07 0.499969;
dout[14] 0xc0 2.01029e+07 0.5;
dout[14]~49 0xc0 1.47185e+07 0.5;
dout[14]~50 0xc0 1.36407e+07 0.500015;
dout[15] 0xc0 2.01029e+07 0.5;
dout[15]~51 0xc0 1.47181e+07 0.5;
dout[15]~52 0xc0 1.36415e+07 0.499992;
dout[16] 0xc0 2.01029e+07 0.5;
dout[16]~53 0xc0 1.47183e+07 0.5;
dout[16]~54 0xc0 1.36411e+07 0.500004;
dout[17] 0xc0 2.01029e+07 0.5;
dout[17]~55 0xc0 1.47182e+07 0.5;
dout[17]~56 0xc0 1.36413e+07 0.499998;
dout[18] 0xc0 2.01029e+07 0.5;
dout[18]~57 0xc0 1.47182e+07 0.5;
dout[18]~58 0xc0 1.36412e+07 0.500001;
dout[19] 0xc0 2.01029e+07 0.5;
dout[19]~59 0xc0 1.47182e+07 0.5;
dout[19]~60 0xc0 1.36413e+07 0.5;
dout[74] 0xc0 2.01029e+07 0.5;
dout[74]~61 0xc0 1.47182e+07 0.5;
dout[74]~63 0xc0 1.50772e+07 0.25;
dout_valid 0xc0 2.01029e+07 0.5;
auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5;
dout[0] 0xc0 2.01029e+07 0.5;
dout[0]~22 0xc0 2.01029e+07 0.5;
dout[0]~23 0xc0 1.13079e+07 0.75;
dout[1] 0xc0 2.01029e+07 0.5;
dout[1]~24 0xc0 1.69618e+07 0.5;
dout[1]~25 0xc0 9.36435e+06 0.375;
dout[1]~feeder 0xc0 1.69618e+07 0.5;
dout[2] 0xc0 2.01029e+07 0.5;
dout[2]~26 0xc0 1.43557e+07 0.5;
dout[2]~27 0xc0 1.10257e+07 0.5625;
dout[3] 0xc0 2.01029e+07 0.5;
dout[3]~28 0xc0 1.4241e+07 0.5;
dout[3]~29 0xc0 1.49143e+07 0.46875;
dout[4] 0xc0 2.01029e+07 0.5;
dout[4]~30 0xc0 1.50806e+07 0.5;
dout[4]~31 0xc0 1.31403e+07 0.515625;
dout[5] 0xc0 2.01029e+07 0.5;
dout[5]~32 0xc0 1.4604e+07 0.5;
dout[5]~33 0xc0 1.39437e+07 0.492188;
dout[6] 0xc0 2.01029e+07 0.5;
dout[6]~34 0xc0 1.47966e+07 0.5;
dout[6]~35 0xc0 1.35053e+07 0.503906;
dout[7] 0xc0 2.01029e+07 0.5;
dout[7]~36 0xc0 1.46849e+07 0.5;
dout[7]~37 0xc0 1.37133e+07 0.498047;
dout[8] 0xc0 2.01029e+07 0.5;
dout[8]~38 0xc0 1.47364e+07 0.5;
dout[8]~39 0xc0 1.36063e+07 0.500977;
dout[9] 0xc0 2.01029e+07 0.5;
dout[9]~40 0xc0 1.47095e+07 0.5;
dout[9]~41 0xc0 1.3659e+07 0.499512;
dout[10] 0xc0 2.01029e+07 0.5;
dout[10]~42 0xc0 1.47227e+07 0.5;
dout[10]~43 0xc0 1.36325e+07 0.500244;
dout[11] 0xc0 2.01029e+07 0.5;
dout[11]~44 0xc0 1.4716e+07 0.5;
dout[11]~45 0xc0 1.36457e+07 0.499878;
dout[12] 0xc0 2.01029e+07 0.5;
dout[12]~46 0xc0 1.47193e+07 0.5;
dout[12]~47 0xc0 1.36391e+07 0.500061;
dout[13] 0xc0 2.01029e+07 0.5;
dout[13]~48 0xc0 1.47177e+07 0.5;
dout[13]~49 0xc0 1.36424e+07 0.499969;
dout[14] 0xc0 2.01029e+07 0.5;
dout[14]~50 0xc0 1.47185e+07 0.5;
dout[14]~51 0xc0 1.36407e+07 0.500015;
dout[15] 0xc0 2.01029e+07 0.5;
dout[15]~52 0xc0 1.47181e+07 0.5;
dout[15]~53 0xc0 1.36415e+07 0.499992;
dout[16] 0xc0 2.01029e+07 0.5;
dout[16]~54 0xc0 1.47183e+07 0.5;
dout[16]~55 0xc0 1.36411e+07 0.500004;
dout[17] 0xc0 2.01029e+07 0.5;
dout[17]~56 0xc0 1.47182e+07 0.5;
dout[17]~57 0xc0 1.36413e+07 0.499998;
dout[18] 0xc0 2.01029e+07 0.5;
dout[18]~58 0xc0 1.47182e+07 0.5;
dout[18]~59 0xc0 1.36412e+07 0.500001;
dout[19] 0xc0 2.01029e+07 0.5;
dout[19]~60 0xc0 1.47182e+07 0.5;
dout[19]~61 0xc0 1.36413e+07 0.5;
dout[20] 0xc0 2.01029e+07 0.5;
dout[20]~62 0xc0 1.47182e+07 0.5;
dout[20]~63 0xc0 1.36413e+07 0.5;
dout[74] 0xc0 2.01029e+07 0.5;
dout[74]~64 0xc0 1.47182e+07 0.5;
dout[74]~66 0xc0 1.50772e+07 0.25;
dout_valid 0xc0 2.01029e+07 0.5;
Equal0~0 0xc0 2.4736e+06 0.0625;
Equal0~1 0xc0 445396 0.015625;
Equal0~2 0xc0 2.4736e+06 0.0625;
Equal0~3 0xc0 9678.07 0.000244141;
counter_module:counter_fs_inst;
Add0~0 0xc0 2.01029e+07 0.5;
Add0~1 0xc0 2.01029e+07 0.5;
Add0~2 0xc0 2.01029e+07 0.5;
Add0~3 0xc0 1.13079e+07 0.75;
Add0~4 0xc0 1.82183e+07 0.5;
Add0~5 0xc0 1.53913e+07 0.125;
Add0~6 0xc0 2.34011e+07 0.5;
Add0~7 0xc0 4.47604e+06 0.9375;
Add0~8 0xc0 1.99851e+07 0.5;
Add0~9 0xc0 1.88661e+07 0.03125;
Add0~10 0xc0 2.83188e+07 0.5;
Add0~11 0xc0 4.75579e+06 0.984375;
Add0~12 0xc0 2.18624e+07 0.5;
Add0~13 0xc0 2.06735e+07 0.0078125;
Add0~14 0xc0 3.0128e+07 0.5;
Add0~15 0xc0 5.17082e+06 0.996094;
Add0~16 0xc0 2.25319e+07 0.5;
Add0~17 0xc0 2.12392e+07 0.00195313;
Add0~18 0xc0 3.06441e+07 0.5;
Add0~19 0xc0 5.30995e+06 0.999023;
Add0~20 0xc0 2.27187e+07 0.5;
Add0~21 0xc0 2.13912e+07 0.000488281;
Add0~22 0xc0 3.07789e+07 0.5;
Equal0~0 0xc0 1.81103e+06 0.0625;
Equal0~1 0xc0 9543.16 0.000244141;
count[0] 0xc0 2.01029e+07 0.5;
count[1] 0xc0 2.01029e+07 0.5;
count[2] 0xc0 2.01029e+07 0.5;
count[3] 0xc0 2.01029e+07 0.5;
count[4] 0xc0 2.01029e+07 0.5;
count[5] 0xc0 2.01029e+07 0.5;
count[6] 0xc0 2.01029e+07 0.5;
count[7] 0xc0 2.01029e+07 0.5;
count[8] 0xc0 2.01029e+07 0.5;
count[9] 0xc0 2.01029e+07 0.5;
count[10] 0xc0 2.01029e+07 0.5;
count[11] 0xc0 2.01029e+07 0.5;
count~0 0xc0 4.01886e+07 0.499878;
count~1 0xc0 3.64212e+07 0.499878;
count~2 0xc0 3.99531e+07 0.499878;
count~3 0xc0 4.50442e+07 0.499878;
count~4 0xc0 4.54176e+07 0.499878;
count~5 0xc0 3.07672e+07 0.499878;
auk_dspip_upsample:first_upsample;
dout[0]~21 0xc0 1.50772e+07 0.25;
dout[1]~20 0xc0 1.50772e+07 0.25;
dout[2]~19 0xc0 1.50772e+07 0.25;
dout[3]~18 0xc0 1.50772e+07 0.25;
dout[4]~17 0xc0 1.50772e+07 0.25;
dout[5]~16 0xc0 1.50772e+07 0.25;
dout[6]~15 0xc0 1.50772e+07 0.25;
dout[7]~14 0xc0 1.50772e+07 0.25;
dout[8]~13 0xc0 1.50772e+07 0.25;
dout[9]~12 0xc0 1.50772e+07 0.25;
dout[10]~11 0xc0 1.50772e+07 0.25;
dout[11]~10 0xc0 1.50772e+07 0.25;
dout[12]~9 0xc0 1.50772e+07 0.25;
dout[13]~8 0xc0 1.50772e+07 0.25;
dout[14]~7 0xc0 1.50772e+07 0.25;
dout[15]~6 0xc0 1.50772e+07 0.25;
dout[16]~5 0xc0 1.50772e+07 0.25;
dout[17]~4 0xc0 1.50772e+07 0.25;
dout[18]~3 0xc0 1.50772e+07 0.25;
dout[19]~2 0xc0 1.50772e+07 0.25;
dout[20]~1 0xc0 1.50772e+07 0.25;
dout[59]~0 0xc0 1.50772e+07 0.25;
auk_dspip_integrator:integrator_loop[0].auK_integrator;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 1.63336e+07 0.125;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 3.06256e+07 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 9.10914e+06 0.8125;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 1.81348e+07 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 4.25617e+07 0.21875;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 3.48022e+07 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 1.36563e+07 0.765625;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 1.83641e+07 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 2.0005e+07 0.242188;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 2.37468e+07 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 1.32891e+07 0.753906;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 2.15376e+07 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 2.62981e+07 0.248047;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 2.55483e+07 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 1.43056e+07 0.750977;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 2.49195e+07 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 3.85848e+07 0.249512;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 2.93472e+07 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 1.62343e+07 0.750244;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 2.54982e+07 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 3.88336e+07 0.249878;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 2.94148e+07 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 1.62755e+07 0.750061;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 2.55051e+07 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 3.88269e+07 0.249969;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 2.94102e+07 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 1.6275e+07 0.750015;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 1.88285e+07 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 1.98587e+07 0.249992;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 2.3482e+07 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 1.33114e+07 0.750004;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 1.79021e+07 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 3.83597e+07 0.249998;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 3.24045e+07 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 1.33752e+07 0.750001;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 1.7922e+07 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 1.94051e+07 0.25;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 2.64812e+07 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 1.04136e+07 0.75;
\register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 1.69965e+07 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 1.89423e+07 0.25;
\register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 2.31954e+07 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 1.31683e+07 0.75;
\register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 2.1391e+07 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 2.60868e+07 0.25;
\register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 2.54281e+07 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 1.42846e+07 0.75;
\register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 2.4881e+07 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 3.85115e+07 0.25;
\register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 2.93108e+07 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 1.62259e+07 0.75;
\register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 2.23466e+07 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 2.65646e+07 0.25;
\register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 2.55774e+07 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 1.43592e+07 0.75;
\register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 2.49043e+07 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 3.85231e+07 0.25;
\register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 2.93144e+07 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 1.62278e+07 0.75;
\register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 2.23471e+07 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 2.65649e+07 0.25;
\register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 2.55775e+07 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 1.43593e+07 0.75;
\register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 2.49043e+07 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 3.85231e+07 0.25;
\register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 2.93144e+07 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 1.62278e+07 0.75;
\register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 2.54882e+07 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 3.88151e+07 0.25;
\register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 3.25468e+07 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 1.34464e+07 0.75;
\register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 2.1478e+07 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 2.61303e+07 0.25;
\register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 2.85827e+07 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 1.14644e+07 0.75;
\register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 2.08586e+07 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 2.58206e+07 0.25;
\register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 2.53449e+07 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 1.4243e+07 0.75;
\register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 2.4868e+07 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 3.8505e+07 0.25;
\register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 2.93088e+07 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 1.62249e+07 0.75;
\register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 2.54873e+07 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 3.88146e+07 0.25;
\register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 3.25466e+07 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 1.34463e+07 0.75;
\register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 2.4619e+07 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 3.83805e+07 0.25;
\register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 2.92699e+07 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 1.62055e+07 0.75;
\register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 2.23402e+07 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 2.65614e+07 0.25;
\register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 2.55764e+07 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 1.43587e+07 0.75;
\register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 2.17631e+07 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 2.62728e+07 0.25;
\register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 2.54862e+07 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 1.43136e+07 0.75;
\register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 2.1749e+07 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 2.62658e+07 0.25;
\register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 2.5484e+07 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 1.43125e+07 0.75;
\register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 2.48897e+07 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 3.85158e+07 0.25;
\register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 2.93122e+07 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 1.62266e+07 0.75;
\register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 2.54879e+07 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 3.88149e+07 0.25;
\register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 3.25467e+07 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 1.34464e+07 0.75;
\register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 2.4619e+07 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 3.83805e+07 0.25;
\register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 3.24109e+07 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 1.33785e+07 0.75;
\register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 2.45978e+07 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 3.83699e+07 0.25;
\register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 3.24076e+07 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 1.33768e+07 0.75;
\register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 2.45973e+07 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 3.83696e+07 0.25;
\register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 2.92665e+07 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 1.62038e+07 0.75;
\register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 2.23396e+07 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 2.65611e+07 0.25;
\register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 2.55763e+07 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 1.43587e+07 0.75;
\register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 2.1763e+07 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 2.62728e+07 0.25;
\register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 2.54862e+07 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 1.43136e+07 0.75;
\register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 2.48901e+07 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 3.8516e+07 0.25;
\register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 2.93122e+07 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 1.62266e+07 0.75;
\register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 2.54879e+07 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 3.88149e+07 0.25;
\register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 2.94056e+07 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 1.62733e+07 0.75;
\register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 2.55025e+07 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 3.88222e+07 0.25;
\register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 3.2549e+07 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 1.34475e+07 0.75;
\register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 2.46194e+07 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 3.83807e+07 0.25;
\register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 3.2411e+07 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 1.33785e+07 0.75;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 2.14567e+07 0.5;
auk_dspip_integrator:integrator_loop[1].auK_integrator;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5;
auk_dspip_integrator:integrator_loop[2].auK_integrator;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5;
auk_dspip_integrator:integrator_loop[3].auK_integrator;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5;
auk_dspip_integrator:integrator_loop[4].auK_integrator;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5;
auk_dspip_integrator:integrator_loop[5].auK_integrator;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5;
sample_valid 0xc0 2.01029e+07 0.5;
auk_dspip_avalon_streaming_source:output_source_0;
scfifo:source_FIFO;
scfifo_ci71:auto_generated;
dffe_af 0xc0 2.01029e+07 0.5;
dffe_af~0 0xc0 1.81103e+06 0.0625;
dffe_af~1 0xc0 5.74229e+06 0.25;
dffe_af~2 0xc0 2.81372e+07 0.5;
a_dpfifo_9qv:dpfifo;
_~0 0xc0 3.53372e+06 0.0625;
_~1 0xc0 4.79015e+06 0.125;
_~2 0xc0 7.77128e+06 0.314941;
_~3 0xc0 1.45195e+07 0.578125;
_~4 0xc0 1.46209e+07 0.509035;
_~5 0xc0 4.0196e+06 0.1875;
_~6 0xc0 8.3116e+06 0.4375;
empty_dff 0xc0 2.01029e+07 0.5;
altsyncram_hah1:FIFOram;
q_b[0] 0xc0 2.01029e+07 0.5;
q_b[1] 0xc0 2.01029e+07 0.5;
q_b[2] 0xc0 2.01029e+07 0.5;
q_b[3] 0xc0 2.01029e+07 0.5;
q_b[4] 0xc0 2.01029e+07 0.5;
q_b[5] 0xc0 2.01029e+07 0.5;
q_b[6] 0xc0 2.01029e+07 0.5;
q_b[7] 0xc0 2.01029e+07 0.5;
q_b[8] 0xc0 2.01029e+07 0.5;
q_b[9] 0xc0 2.01029e+07 0.5;
q_b[10] 0xc0 2.01029e+07 0.5;
q_b[11] 0xc0 2.01029e+07 0.5;
q_b[12] 0xc0 2.01029e+07 0.5;
q_b[13] 0xc0 2.01029e+07 0.5;
q_b[14] 0xc0 2.01029e+07 0.5;
q_b[15] 0xc0 2.01029e+07 0.5;
full_dff 0xc0 2.01029e+07 0.5;
low_addressa[0] 0xc0 2.01029e+07 0.5;
low_addressa[1] 0xc0 2.01029e+07 0.5;
low_addressa[2] 0xc0 2.01029e+07 0.5;
low_addressa[3] 0xc0 2.01029e+07 0.5;
low_addressa[4] 0xc0 2.01029e+07 0.5;
ram_read_address[0]~0 0xc0 1.10331e+07 0.5;
ram_read_address[1]~1 0xc0 1.10331e+07 0.5;
ram_read_address[2]~2 0xc0 9.19441e+06 0.5;
ram_read_address[3]~3 0xc0 9.19441e+06 0.5;
ram_read_address[4]~4 0xc0 9.19441e+06 0.5;
rd_ptr_lsb 0xc0 2.01029e+07 0.5;
rd_ptr_lsb~0 0xc0 2.01029e+07 0.5;
cntr_t9b:rd_ptr_msb;
counter_comb_bita0 0xc0 2.01029e+07 0.5;
counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5;
counter_comb_bita1 0xc0 2.01029e+07 0.5;
counter_comb_bita1~COUT 0xc0 1.13079e+07 0.75;
counter_comb_bita2 0xc0 1.82183e+07 0.5;
counter_comb_bita2~COUT 0xc0 1.53913e+07 0.125;
counter_comb_bita3 0xc0 2.34011e+07 0.5;
counter_reg_bit[0] 0xc0 2.01029e+07 0.5;
counter_reg_bit[1] 0xc0 2.01029e+07 0.5;
counter_reg_bit[2] 0xc0 2.01029e+07 0.5;
counter_reg_bit[3] 0xc0 2.01029e+07 0.5;
cntr_aa7:usedw_counter;
counter_comb_bita0 0xc0 2.01029e+07 0.5;
counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5;
counter_comb_bita1 0xc0 2.01029e+07 0.5;
counter_comb_bita1~COUT 0xc0 1.49201e+07 0.75;
counter_comb_bita2 0xc0 2.00244e+07 0.5;
counter_comb_bita2~COUT 0xc0 2.1963e+07 0.125;
counter_comb_bita3 0xc0 2.66869e+07 0.5;
counter_comb_bita3~COUT 0xc0 4.25626e+06 0.9375;
counter_comb_bita4 0xc0 1.98752e+07 0.5;
counter_reg_bit[0] 0xc0 2.01029e+07 0.5;
counter_reg_bit[1] 0xc0 2.01029e+07 0.5;
counter_reg_bit[2] 0xc0 2.01029e+07 0.5;
counter_reg_bit[3] 0xc0 2.01029e+07 0.5;
counter_reg_bit[4] 0xc0 2.01029e+07 0.5;
usedw_is_0_dff 0xc0 2.01029e+07 0.5;
usedw_is_1_dff 0xc0 2.01029e+07 0.5;
usedw_will_be_1~0 0xc0 1.81103e+06 0.0625;
usedw_will_be_1~1 0xc0 6.69742e+06 0.324219;
usedw_will_be_1~2 0xc0 1.84161e+07 0.478027;
valid_rreq~0 0xc0 8.7165e+06 0.375;
valid_wreq 0xc0 1.50772e+07 0.75;
cntr_u9b:wr_ptr;
counter_comb_bita0 0xc0 2.01029e+07 0.5;
counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5;
counter_comb_bita1 0xc0 2.01029e+07 0.5;
counter_comb_bita1~COUT 0xc0 1.13079e+07 0.75;
counter_comb_bita2 0xc0 1.82183e+07 0.5;
counter_comb_bita2~COUT 0xc0 1.53913e+07 0.125;
counter_comb_bita3 0xc0 2.34011e+07 0.5;
counter_comb_bita3~COUT 0xc0 4.47604e+06 0.9375;
counter_comb_bita4 0xc0 1.99851e+07 0.5;
counter_reg_bit[0] 0xc0 2.01029e+07 0.5;
counter_reg_bit[1] 0xc0 2.01029e+07 0.5;
counter_reg_bit[2] 0xc0 2.01029e+07 0.5;
counter_reg_bit[3] 0xc0 2.01029e+07 0.5;
counter_reg_bit[4] 0xc0 2.01029e+07 0.5;
source_valid_s 0xc0 2.01029e+07 0.5;
source_valid_s_process~0 0xc0 8.7165e+06 0.625;
tx_cic:TX_CIC_Q;
tx_cic_cic_ii_0:cic_ii_0;
alt_cic_core:core;
auk_dspip_avalon_streaming_controller:avalon_controller;
auk_dspip_avalon_streaming_small_fifo:ready_FIFO;
Equal2~0 0xc0 5.96806e+06 0.125;
fifo_array[0][0] 0xc0 2.01029e+07 0.5;
fifo_array[0][0]~7 0xc0 5.96806e+06 0.875;
fifo_array[0][0]~8 0xc0 1.36257e+07 0.5;
fifo_array[1][0] 0xc0 2.01029e+07 0.5;
fifo_array[1][0]~5 0xc0 5.96806e+06 0.125;
fifo_array[1][0]~6 0xc0 1.36257e+07 0.5;
fifo_array[2][0] 0xc0 2.01029e+07 0.5;
fifo_array[2][0]~3 0xc0 5.96806e+06 0.125;
fifo_array[2][0]~4 0xc0 1.36257e+07 0.5;
fifo_array[3][0] 0xc0 2.01029e+07 0.5;
fifo_array[3][0]~9 0xc0 1.32611e+07 0.5;
fifo_array[4][0] 0xc0 2.01029e+07 0.5;
fifo_array[4][0]~1 0xc0 4.79015e+06 0.125;
fifo_array[4][0]~2 0xc0 1.32611e+07 0.5;
fifo_array[5][0] 0xc0 2.01029e+07 0.5;
fifo_array[5][0]~0 0xc0 1.32611e+07 0.5;
fifo_usedw[0] 0xc0 2.01029e+07 0.5;
fifo_usedw[0]~3 0xc0 1.99895e+07 0.5;
fifo_usedw[1] 0xc0 2.01029e+07 0.5;
fifo_usedw[2] 0xc0 2.01029e+07 0.5;
fifo_usedw~0 0xc0 1.09987e+07 0.328125;
fifo_usedw~1 0xc0 1.40944e+07 0.28125;
fifo_usedw~2 0xc0 1.19017e+07 0.452759;
fifo_usedw~4 0xc0 1.34485e+07 0.5;
Mux0~0 0xc0 8.14718e+06 0.5;
Mux0~1 0xc0 4.92606e+06 0.5;
rd_addr_ptr[0] 0xc0 2.01029e+07 0.5;
rd_addr_ptr[0]~2 0xc0 2.01029e+07 0.5;
rd_addr_ptr[1] 0xc0 2.01029e+07 0.5;
rd_addr_ptr[2] 0xc0 2.01029e+07 0.5;
rd_addr_ptr~0 0xc0 1.10723e+07 0.375;
rd_addr_ptr~1 0xc0 1.10723e+07 0.375;
usedw_process~0 0xc0 1.17791e+07 0.4375;
usedw_process~1 0xc0 4.2969e+06 0.21875;
usedw_process~2 0xc0 1.72962e+07 0.423828;
wr_addr_ptr[0] 0xc0 2.01029e+07 0.5;
wr_addr_ptr[0]~4 0xc0 2.01029e+07 0.5;
wr_addr_ptr[1] 0xc0 2.01029e+07 0.5;
wr_addr_ptr[2] 0xc0 2.01029e+07 0.5;
wr_addr_ptr~0 0xc0 4.79015e+06 0.875;
wr_addr_ptr~1 0xc0 4.79015e+06 0.125;
wr_addr_ptr~2 0xc0 1.10723e+07 0.375;
wr_addr_ptr~3 0xc0 1.10723e+07 0.375;
sink_ready_ctrl~0 0xc0 7.35087e+06 0.234375;
sink_ready_ctrl~1 0xc0 1.02085e+07 0.5;
sink_ready_ctrl~2 0xc0 8.14972e+06 0.234375;
sink_ready_ctrl~3 0xc0 1.73026e+06 0.0997925;
stall_reg 0xc0 2.01029e+07 0.5;
stall_reg~feeder 0xc0 1.13079e+07 0.75;
stall_w~0 0xc0 1.13079e+07 0.75;
auk_dspip_avalon_streaming_sink:input_sink;
data_valid 0xc0 2.01029e+07 0.5;
scfifo:sink_FIFO;
scfifo_gf71:auto_generated;
dffe_nae 0xc0 2.01029e+07 0.5;
dffe_nae~0 0xc0 3.61224e+06 0.125;
dffe_nae~1 0xc0 1.10913e+07 0.509388;
a_dpfifo_1lv:dpfifo;
_~0 0xc0 2.766e+06 0.162422;
_~1 0xc0 644474 0.03125;
_~2 0xc0 9.9881e+06 0.489897;
_~3 0xc0 1.07539e+07 0.559381;
_~4 0xc0 1.84324e+07 0.418789;
_~5 0xc0 5.52465e+06 0.109375;
_~6 0xc0 1.81103e+06 0.0625;
_~7 0xc0 3.08783e+06 0.527464;
_~8 0xc0 8.51187e+06 0.0249481;
empty_dff 0xc0 2.01029e+07 0.5;
altsyncram_l7h1:FIFOram;
q_b[0] 0xc0 2.01029e+07 0.5;
q_b[1] 0xc0 2.01029e+07 0.5;
q_b[2] 0xc0 2.01029e+07 0.5;
q_b[3] 0xc0 2.01029e+07 0.5;
q_b[4] 0xc0 2.01029e+07 0.5;
q_b[5] 0xc0 2.01029e+07 0.5;
q_b[6] 0xc0 2.01029e+07 0.5;
q_b[7] 0xc0 2.01029e+07 0.5;
q_b[8] 0xc0 2.01029e+07 0.5;
q_b[9] 0xc0 2.01029e+07 0.5;
q_b[10] 0xc0 2.01029e+07 0.5;
q_b[11] 0xc0 2.01029e+07 0.5;
q_b[12] 0xc0 2.01029e+07 0.5;
q_b[13] 0xc0 2.01029e+07 0.5;
q_b[14] 0xc0 2.01029e+07 0.5;
q_b[15] 0xc0 2.01029e+07 0.5;
full_dff 0xc0 2.01029e+07 0.5;
low_addressa[0] 0xc0 2.01029e+07 0.5;
low_addressa[1] 0xc0 2.01029e+07 0.5;
low_addressa[2] 0xc0 2.01029e+07 0.5;
ram_read_address[0]~0 0xc0 8.11752e+06 0.5;
ram_read_address[1]~1 0xc0 9.15249e+06 0.5;
ram_read_address[2]~2 0xc0 1.36698e+07 0.5;
rd_ptr_lsb 0xc0 2.01029e+07 0.5;
rd_ptr_lsb~0 0xc0 2.01029e+07 0.5;
cntr_r9b:rd_ptr_msb;
counter_comb_bita0 0xc0 2.01029e+07 0.5;
counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5;
counter_comb_bita1 0xc0 2.01029e+07 0.5;
counter_reg_bit[0] 0xc0 2.01029e+07 0.5;
counter_reg_bit[1] 0xc0 2.01029e+07 0.5;
cntr_8a7:usedw_counter;
counter_comb_bita0 0xc0 2.01029e+07 0.5;
counter_comb_bita0~COUT 0xc0 1.81005e+07 0.5;
counter_comb_bita1 0xc0 1.91017e+07 0.5;
counter_comb_bita1~COUT 0xc0 9.11266e+06 0.75;
counter_comb_bita2 0xc0 1.71207e+07 0.5;
counter_reg_bit[0] 0xc0 2.01029e+07 0.5;
counter_reg_bit[1] 0xc0 2.01029e+07 0.5;
counter_reg_bit[2] 0xc0 2.01029e+07 0.5;
usedw_is_0_dff 0xc0 2.01029e+07 0.5;
usedw_is_1_dff 0xc0 2.01029e+07 0.5;
usedw_will_be_1~0 0xc0 1.30239e+07 0.456474;
valid_rreq 0xc0 1.06533e+06 0.0498962;
valid_wreq 0xc0 4.79015e+06 0.125;
cntr_s9b:wr_ptr;
counter_comb_bita0 0xc0 2.01029e+07 0.5;
counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5;
counter_comb_bita1 0xc0 2.01029e+07 0.5;
counter_comb_bita1~COUT 0xc0 1.13079e+07 0.75;
counter_comb_bita2 0xc0 1.82183e+07 0.5;
counter_reg_bit[0] 0xc0 2.01029e+07 0.5;
counter_reg_bit[1] 0xc0 2.01029e+07 0.5;
counter_reg_bit[2] 0xc0 2.01029e+07 0.5;
alt_cic_int_siso:int_one;
auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5;
dout[0] 0xc0 2.01029e+07 0.5;
dout[0]~17 0xc0 2.01029e+07 0.5;
dout[0]~18 0xc0 1.13079e+07 0.75;
dout[0]~51 0xc0 1.50772e+07 0.25;
dout[0]~feeder 0xc0 2.01029e+07 0.5;
dout[1] 0xc0 2.01029e+07 0.5;
dout[1]~19 0xc0 1.69618e+07 0.5;
dout[1]~20 0xc0 9.36435e+06 0.375;
dout[2] 0xc0 2.01029e+07 0.5;
dout[2]~21 0xc0 1.43557e+07 0.5;
dout[2]~22 0xc0 1.10257e+07 0.5625;
dout[3] 0xc0 2.01029e+07 0.5;
dout[3]~23 0xc0 1.4241e+07 0.5;
dout[3]~24 0xc0 1.49143e+07 0.46875;
dout[4] 0xc0 2.01029e+07 0.5;
dout[4]~25 0xc0 1.50806e+07 0.5;
dout[4]~26 0xc0 1.31403e+07 0.515625;
dout[5] 0xc0 2.01029e+07 0.5;
dout[5]~27 0xc0 1.4604e+07 0.5;
dout[5]~28 0xc0 1.39437e+07 0.492188;
dout[6] 0xc0 2.01029e+07 0.5;
dout[6]~29 0xc0 1.47966e+07 0.5;
dout[6]~30 0xc0 1.35053e+07 0.503906;
dout[7] 0xc0 2.01029e+07 0.5;
dout[7]~31 0xc0 1.46849e+07 0.5;
dout[7]~32 0xc0 1.37133e+07 0.498047;
dout[8] 0xc0 2.01029e+07 0.5;
dout[8]~33 0xc0 1.47364e+07 0.5;
dout[8]~34 0xc0 1.36063e+07 0.500977;
dout[9] 0xc0 2.01029e+07 0.5;
dout[9]~35 0xc0 1.47095e+07 0.5;
dout[9]~36 0xc0 1.3659e+07 0.499512;
dout[10] 0xc0 2.01029e+07 0.5;
dout[10]~37 0xc0 1.47227e+07 0.5;
dout[10]~38 0xc0 1.36325e+07 0.500244;
dout[11] 0xc0 2.01029e+07 0.5;
dout[11]~39 0xc0 1.4716e+07 0.5;
dout[11]~40 0xc0 1.36457e+07 0.499878;
dout[12] 0xc0 2.01029e+07 0.5;
dout[12]~41 0xc0 1.47193e+07 0.5;
dout[12]~42 0xc0 1.36391e+07 0.500061;
dout[13] 0xc0 2.01029e+07 0.5;
dout[13]~43 0xc0 1.47177e+07 0.5;
dout[13]~44 0xc0 1.36424e+07 0.499969;
dout[14] 0xc0 2.01029e+07 0.5;
dout[14]~45 0xc0 1.47185e+07 0.5;
dout[14]~46 0xc0 1.36407e+07 0.500015;
dout[15] 0xc0 2.01029e+07 0.5;
dout[15]~47 0xc0 1.47181e+07 0.5;
dout[15]~48 0xc0 1.36415e+07 0.499992;
dout[16] 0xc0 2.01029e+07 0.5;
dout[16]~49 0xc0 1.47183e+07 0.5;
dout_valid 0xc0 2.01029e+07 0.5;
auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5;
dout[0] 0xc0 2.01029e+07 0.5;
dout[0]~18 0xc0 2.01029e+07 0.5;
dout[0]~19 0xc0 1.13079e+07 0.75;
dout[0]~feeder 0xc0 2.01029e+07 0.5;
dout[1] 0xc0 2.01029e+07 0.5;
dout[1]~20 0xc0 1.69618e+07 0.5;
dout[1]~21 0xc0 9.36435e+06 0.375;
dout[2] 0xc0 2.01029e+07 0.5;
dout[2]~22 0xc0 1.43557e+07 0.5;
dout[2]~23 0xc0 1.10257e+07 0.5625;
dout[3] 0xc0 2.01029e+07 0.5;
dout[3]~24 0xc0 1.4241e+07 0.5;
dout[3]~25 0xc0 1.49143e+07 0.46875;
dout[4] 0xc0 2.01029e+07 0.5;
dout[4]~26 0xc0 1.50806e+07 0.5;
dout[4]~27 0xc0 1.31403e+07 0.515625;
dout[5] 0xc0 2.01029e+07 0.5;
dout[5]~28 0xc0 1.4604e+07 0.5;
dout[5]~29 0xc0 1.39437e+07 0.492188;
dout[6] 0xc0 2.01029e+07 0.5;
dout[6]~30 0xc0 1.47966e+07 0.5;
dout[6]~31 0xc0 1.35053e+07 0.503906;
dout[7] 0xc0 2.01029e+07 0.5;
dout[7]~32 0xc0 1.46849e+07 0.5;
dout[7]~33 0xc0 1.37133e+07 0.498047;
dout[8] 0xc0 2.01029e+07 0.5;
dout[8]~34 0xc0 1.47364e+07 0.5;
dout[8]~35 0xc0 1.36063e+07 0.500977;
dout[9] 0xc0 2.01029e+07 0.5;
dout[9]~36 0xc0 1.47095e+07 0.5;
dout[9]~37 0xc0 1.3659e+07 0.499512;
dout[10] 0xc0 2.01029e+07 0.5;
dout[10]~38 0xc0 1.47227e+07 0.5;
dout[10]~39 0xc0 1.36325e+07 0.500244;
dout[11] 0xc0 2.01029e+07 0.5;
dout[11]~40 0xc0 1.4716e+07 0.5;
dout[11]~41 0xc0 1.36457e+07 0.499878;
dout[12] 0xc0 2.01029e+07 0.5;
dout[12]~42 0xc0 1.47193e+07 0.5;
dout[12]~43 0xc0 1.36391e+07 0.500061;
dout[13] 0xc0 2.01029e+07 0.5;
dout[13]~44 0xc0 1.47177e+07 0.5;
dout[13]~45 0xc0 1.36424e+07 0.499969;
dout[14] 0xc0 2.01029e+07 0.5;
dout[14]~46 0xc0 1.47185e+07 0.5;
dout[14]~47 0xc0 1.36407e+07 0.500015;
dout[15] 0xc0 2.01029e+07 0.5;
dout[15]~48 0xc0 1.47181e+07 0.5;
dout[15]~49 0xc0 1.36415e+07 0.499992;
dout[16] 0xc0 2.01029e+07 0.5;
dout[16]~50 0xc0 1.47183e+07 0.5;
dout[16]~51 0xc0 1.36411e+07 0.500004;
dout[74] 0xc0 2.01029e+07 0.5;
dout[74]~52 0xc0 1.84875e+07 0.5;
dout[74]~54 0xc0 1.50772e+07 0.25;
dout_valid 0xc0 2.01029e+07 0.5;
auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5;
dout[0] 0xc0 2.01029e+07 0.5;
dout[0]~19 0xc0 2.01029e+07 0.5;
dout[0]~20 0xc0 1.13079e+07 0.75;
dout[1] 0xc0 2.01029e+07 0.5;
dout[1]~21 0xc0 1.69618e+07 0.5;
dout[1]~22 0xc0 9.36435e+06 0.375;
dout[2] 0xc0 2.01029e+07 0.5;
dout[2]~23 0xc0 1.43557e+07 0.5;
dout[2]~24 0xc0 1.10257e+07 0.5625;
dout[3] 0xc0 2.01029e+07 0.5;
dout[3]~25 0xc0 1.4241e+07 0.5;
dout[3]~26 0xc0 1.49143e+07 0.46875;
dout[4] 0xc0 2.01029e+07 0.5;
dout[4]~27 0xc0 1.50806e+07 0.5;
dout[4]~28 0xc0 1.31403e+07 0.515625;
dout[5] 0xc0 2.01029e+07 0.5;
dout[5]~29 0xc0 1.4604e+07 0.5;
dout[5]~30 0xc0 1.39437e+07 0.492188;
dout[6] 0xc0 2.01029e+07 0.5;
dout[6]~31 0xc0 1.47966e+07 0.5;
dout[6]~32 0xc0 1.35053e+07 0.503906;
dout[7] 0xc0 2.01029e+07 0.5;
dout[7]~33 0xc0 1.46849e+07 0.5;
dout[7]~34 0xc0 1.37133e+07 0.498047;
dout[8] 0xc0 2.01029e+07 0.5;
dout[8]~35 0xc0 1.47364e+07 0.5;
dout[8]~36 0xc0 1.36063e+07 0.500977;
dout[9] 0xc0 2.01029e+07 0.5;
dout[9]~37 0xc0 1.47095e+07 0.5;
dout[9]~38 0xc0 1.3659e+07 0.499512;
dout[10] 0xc0 2.01029e+07 0.5;
dout[10]~39 0xc0 1.47227e+07 0.5;
dout[10]~40 0xc0 1.36325e+07 0.500244;
dout[11] 0xc0 2.01029e+07 0.5;
dout[11]~41 0xc0 1.4716e+07 0.5;
dout[11]~42 0xc0 1.36457e+07 0.499878;
dout[12] 0xc0 2.01029e+07 0.5;
dout[12]~43 0xc0 1.47193e+07 0.5;
dout[12]~44 0xc0 1.36391e+07 0.500061;
dout[13] 0xc0 2.01029e+07 0.5;
dout[13]~45 0xc0 1.47177e+07 0.5;
dout[13]~46 0xc0 1.36424e+07 0.499969;
dout[14] 0xc0 2.01029e+07 0.5;
dout[14]~47 0xc0 1.47185e+07 0.5;
dout[14]~48 0xc0 1.36407e+07 0.500015;
dout[15] 0xc0 2.01029e+07 0.5;
dout[15]~49 0xc0 1.47181e+07 0.5;
dout[15]~50 0xc0 1.36415e+07 0.499992;
dout[16] 0xc0 2.01029e+07 0.5;
dout[16]~51 0xc0 1.47183e+07 0.5;
dout[16]~52 0xc0 1.36411e+07 0.500004;
dout[17] 0xc0 2.01029e+07 0.5;
dout[17]~53 0xc0 1.47182e+07 0.5;
dout[17]~54 0xc0 1.36413e+07 0.499998;
dout[74] 0xc0 2.01029e+07 0.5;
dout[74]~55 0xc0 1.84875e+07 0.5;
dout[74]~57 0xc0 1.50772e+07 0.25;
dout_valid 0xc0 2.01029e+07 0.5;
auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5;
dout[0] 0xc0 2.01029e+07 0.5;
dout[0]~20 0xc0 2.01029e+07 0.5;
dout[0]~21 0xc0 1.13079e+07 0.75;
dout[0]~feeder 0xc0 2.01029e+07 0.5;
dout[1] 0xc0 2.01029e+07 0.5;
dout[1]~22 0xc0 1.69618e+07 0.5;
dout[1]~23 0xc0 9.36435e+06 0.375;
dout[2] 0xc0 2.01029e+07 0.5;
dout[2]~24 0xc0 1.43557e+07 0.5;
dout[2]~25 0xc0 1.10257e+07 0.5625;
dout[3] 0xc0 2.01029e+07 0.5;
dout[3]~26 0xc0 1.4241e+07 0.5;
dout[3]~27 0xc0 1.49143e+07 0.46875;
dout[4] 0xc0 2.01029e+07 0.5;
dout[4]~28 0xc0 1.50806e+07 0.5;
dout[4]~29 0xc0 1.31403e+07 0.515625;
dout[5] 0xc0 2.01029e+07 0.5;
dout[5]~30 0xc0 1.4604e+07 0.5;
dout[5]~31 0xc0 1.39437e+07 0.492188;
dout[6] 0xc0 2.01029e+07 0.5;
dout[6]~32 0xc0 1.47966e+07 0.5;
dout[6]~33 0xc0 1.35053e+07 0.503906;
dout[7] 0xc0 2.01029e+07 0.5;
dout[7]~34 0xc0 1.46849e+07 0.5;
dout[7]~35 0xc0 1.37133e+07 0.498047;
dout[8] 0xc0 2.01029e+07 0.5;
dout[8]~36 0xc0 1.47364e+07 0.5;
dout[8]~37 0xc0 1.36063e+07 0.500977;
dout[9] 0xc0 2.01029e+07 0.5;
dout[9]~38 0xc0 1.47095e+07 0.5;
dout[9]~39 0xc0 1.3659e+07 0.499512;
dout[10] 0xc0 2.01029e+07 0.5;
dout[10]~40 0xc0 1.47227e+07 0.5;
dout[10]~41 0xc0 1.36325e+07 0.500244;
dout[11] 0xc0 2.01029e+07 0.5;
dout[11]~42 0xc0 1.4716e+07 0.5;
dout[11]~43 0xc0 1.36457e+07 0.499878;
dout[12] 0xc0 2.01029e+07 0.5;
dout[12]~44 0xc0 1.47193e+07 0.5;
dout[12]~45 0xc0 1.36391e+07 0.500061;
dout[13] 0xc0 2.01029e+07 0.5;
dout[13]~46 0xc0 1.47177e+07 0.5;
dout[13]~47 0xc0 1.36424e+07 0.499969;
dout[14] 0xc0 2.01029e+07 0.5;
dout[14]~48 0xc0 1.47185e+07 0.5;
dout[14]~49 0xc0 1.36407e+07 0.500015;
dout[15] 0xc0 2.01029e+07 0.5;
dout[15]~50 0xc0 1.47181e+07 0.5;
dout[15]~51 0xc0 1.36415e+07 0.499992;
dout[16] 0xc0 2.01029e+07 0.5;
dout[16]~52 0xc0 1.47183e+07 0.5;
dout[16]~53 0xc0 1.36411e+07 0.500004;
dout[17] 0xc0 2.01029e+07 0.5;
dout[17]~54 0xc0 1.47182e+07 0.5;
dout[17]~55 0xc0 1.36413e+07 0.499998;
dout[18] 0xc0 2.01029e+07 0.5;
dout[18]~56 0xc0 1.47182e+07 0.5;
dout[18]~57 0xc0 1.36412e+07 0.500001;
dout[19] 0xc0 2.01029e+07 0.5;
dout[19]~58 0xc0 1.47182e+07 0.5;
dout[74]~60 0xc0 1.50772e+07 0.25;
dout_valid 0xc0 2.01029e+07 0.5;
auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
dout[0] 0xc0 2.01029e+07 0.5;
dout[0]~21 0xc0 2.01029e+07 0.5;
dout[0]~22 0xc0 1.13079e+07 0.75;
dout[0]~feeder 0xc0 2.01029e+07 0.5;
dout[1] 0xc0 2.01029e+07 0.5;
dout[1]~23 0xc0 1.69618e+07 0.5;
dout[1]~24 0xc0 9.36435e+06 0.375;
dout[2] 0xc0 2.01029e+07 0.5;
dout[2]~25 0xc0 1.43557e+07 0.5;
dout[2]~26 0xc0 1.10257e+07 0.5625;
dout[3] 0xc0 2.01029e+07 0.5;
dout[3]~27 0xc0 1.4241e+07 0.5;
dout[3]~28 0xc0 1.49143e+07 0.46875;
dout[4] 0xc0 2.01029e+07 0.5;
dout[4]~29 0xc0 1.50806e+07 0.5;
dout[4]~30 0xc0 1.31403e+07 0.515625;
dout[5] 0xc0 2.01029e+07 0.5;
dout[5]~31 0xc0 1.4604e+07 0.5;
dout[5]~32 0xc0 1.39437e+07 0.492188;
dout[6] 0xc0 2.01029e+07 0.5;
dout[6]~33 0xc0 1.47966e+07 0.5;
dout[6]~34 0xc0 1.35053e+07 0.503906;
dout[7] 0xc0 2.01029e+07 0.5;
dout[7]~35 0xc0 1.46849e+07 0.5;
dout[7]~36 0xc0 1.37133e+07 0.498047;
dout[8] 0xc0 2.01029e+07 0.5;
dout[8]~37 0xc0 1.47364e+07 0.5;
dout[8]~38 0xc0 1.36063e+07 0.500977;
dout[9] 0xc0 2.01029e+07 0.5;
dout[9]~39 0xc0 1.47095e+07 0.5;
dout[9]~40 0xc0 1.3659e+07 0.499512;
dout[10] 0xc0 2.01029e+07 0.5;
dout[10]~41 0xc0 1.47227e+07 0.5;
dout[10]~42 0xc0 1.36325e+07 0.500244;
dout[11] 0xc0 2.01029e+07 0.5;
dout[11]~43 0xc0 1.4716e+07 0.5;
dout[11]~44 0xc0 1.36457e+07 0.499878;
dout[12] 0xc0 2.01029e+07 0.5;
dout[12]~45 0xc0 1.47193e+07 0.5;
dout[12]~46 0xc0 1.36391e+07 0.500061;
dout[13] 0xc0 2.01029e+07 0.5;
dout[13]~47 0xc0 1.47177e+07 0.5;
dout[13]~48 0xc0 1.36424e+07 0.499969;
dout[14] 0xc0 2.01029e+07 0.5;
dout[14]~49 0xc0 1.47185e+07 0.5;
dout[14]~50 0xc0 1.36407e+07 0.500015;
dout[15] 0xc0 2.01029e+07 0.5;
dout[15]~51 0xc0 1.47181e+07 0.5;
dout[15]~52 0xc0 1.36415e+07 0.499992;
dout[16] 0xc0 2.01029e+07 0.5;
dout[16]~53 0xc0 1.47183e+07 0.5;
dout[16]~54 0xc0 1.36411e+07 0.500004;
dout[17] 0xc0 2.01029e+07 0.5;
dout[17]~55 0xc0 1.47182e+07 0.5;
dout[17]~56 0xc0 1.36413e+07 0.499998;
dout[18] 0xc0 2.01029e+07 0.5;
dout[18]~57 0xc0 1.47182e+07 0.5;
dout[18]~58 0xc0 1.36412e+07 0.500001;
dout[19] 0xc0 2.01029e+07 0.5;
dout[19]~59 0xc0 1.47182e+07 0.5;
dout[19]~60 0xc0 1.36413e+07 0.5;
dout[74] 0xc0 2.01029e+07 0.5;
dout[74]~61 0xc0 1.47182e+07 0.5;
dout[74]~63 0xc0 1.50772e+07 0.25;
dout_valid 0xc0 2.01029e+07 0.5;
auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff;
auk_dspip_delay:\glogic:u0;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17]~feeder 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5;
dout[0] 0xc0 2.01029e+07 0.5;
dout[0]~22 0xc0 2.01029e+07 0.5;
dout[0]~23 0xc0 1.13079e+07 0.75;
dout[1] 0xc0 2.01029e+07 0.5;
dout[1]~24 0xc0 1.69618e+07 0.5;
dout[1]~25 0xc0 9.36435e+06 0.375;
dout[2] 0xc0 2.01029e+07 0.5;
dout[2]~26 0xc0 1.43557e+07 0.5;
dout[2]~27 0xc0 1.10257e+07 0.5625;
dout[3] 0xc0 2.01029e+07 0.5;
dout[3]~28 0xc0 1.4241e+07 0.5;
dout[3]~29 0xc0 1.49143e+07 0.46875;
dout[4] 0xc0 2.01029e+07 0.5;
dout[4]~30 0xc0 1.50806e+07 0.5;
dout[4]~31 0xc0 1.31403e+07 0.515625;
dout[5] 0xc0 2.01029e+07 0.5;
dout[5]~32 0xc0 1.4604e+07 0.5;
dout[5]~33 0xc0 1.39437e+07 0.492188;
dout[6] 0xc0 2.01029e+07 0.5;
dout[6]~34 0xc0 1.47966e+07 0.5;
dout[6]~35 0xc0 1.35053e+07 0.503906;
dout[7] 0xc0 2.01029e+07 0.5;
dout[7]~36 0xc0 1.46849e+07 0.5;
dout[7]~37 0xc0 1.37133e+07 0.498047;
dout[8] 0xc0 2.01029e+07 0.5;
dout[8]~38 0xc0 1.47364e+07 0.5;
dout[8]~39 0xc0 1.36063e+07 0.500977;
dout[9] 0xc0 2.01029e+07 0.5;
dout[9]~40 0xc0 1.47095e+07 0.5;
dout[9]~41 0xc0 1.3659e+07 0.499512;
dout[10] 0xc0 2.01029e+07 0.5;
dout[10]~42 0xc0 1.47227e+07 0.5;
dout[10]~43 0xc0 1.36325e+07 0.500244;
dout[11] 0xc0 2.01029e+07 0.5;
dout[11]~44 0xc0 1.4716e+07 0.5;
dout[11]~45 0xc0 1.36457e+07 0.499878;
dout[12] 0xc0 2.01029e+07 0.5;
dout[12]~46 0xc0 1.47193e+07 0.5;
dout[12]~47 0xc0 1.36391e+07 0.500061;
dout[13] 0xc0 2.01029e+07 0.5;
dout[13]~48 0xc0 1.47177e+07 0.5;
dout[13]~49 0xc0 1.36424e+07 0.499969;
dout[14] 0xc0 2.01029e+07 0.5;
dout[14]~50 0xc0 1.47185e+07 0.5;
dout[14]~51 0xc0 1.36407e+07 0.500015;
dout[15] 0xc0 2.01029e+07 0.5;
dout[15]~52 0xc0 1.47181e+07 0.5;
dout[15]~53 0xc0 1.36415e+07 0.499992;
dout[16] 0xc0 2.01029e+07 0.5;
dout[16]~54 0xc0 1.47183e+07 0.5;
dout[16]~55 0xc0 1.36411e+07 0.500004;
dout[17] 0xc0 2.01029e+07 0.5;
dout[17]~56 0xc0 1.47182e+07 0.5;
dout[17]~57 0xc0 1.36413e+07 0.499998;
dout[18] 0xc0 2.01029e+07 0.5;
dout[18]~58 0xc0 1.47182e+07 0.5;
dout[18]~59 0xc0 1.36412e+07 0.500001;
dout[19] 0xc0 2.01029e+07 0.5;
dout[19]~60 0xc0 1.47182e+07 0.5;
dout[19]~61 0xc0 1.36413e+07 0.5;
dout[20] 0xc0 2.01029e+07 0.5;
dout[20]~62 0xc0 1.47182e+07 0.5;
dout[20]~63 0xc0 1.36413e+07 0.5;
dout[74] 0xc0 2.01029e+07 0.5;
dout[74]~64 0xc0 1.84875e+07 0.5;
dout[74]~66 0xc0 1.50772e+07 0.25;
dout_valid 0xc0 2.01029e+07 0.5;
Equal0~0 0xc0 2.4736e+06 0.0625;
Equal0~1 0xc0 445396 0.015625;
Equal0~2 0xc0 2.4736e+06 0.0625;
Equal0~3 0xc0 810.25 0.000244141;
counter_module:counter_fs_inst;
Add0~0 0xc0 2.01029e+07 0.5;
Add0~1 0xc0 2.01029e+07 0.5;
Add0~2 0xc0 2.01029e+07 0.5;
Add0~3 0xc0 1.13079e+07 0.75;
Add0~4 0xc0 1.82183e+07 0.5;
Add0~5 0xc0 1.53913e+07 0.125;
Add0~6 0xc0 2.34011e+07 0.5;
Add0~7 0xc0 4.47604e+06 0.9375;
Add0~8 0xc0 1.99851e+07 0.5;
Add0~9 0xc0 1.88661e+07 0.03125;
Add0~10 0xc0 2.83188e+07 0.5;
Add0~11 0xc0 4.75579e+06 0.984375;
Add0~12 0xc0 2.18624e+07 0.5;
Add0~13 0xc0 2.06735e+07 0.0078125;
Add0~14 0xc0 3.0128e+07 0.5;
Add0~15 0xc0 5.17082e+06 0.996094;
Add0~16 0xc0 2.25319e+07 0.5;
Add0~17 0xc0 2.12392e+07 0.00195313;
Add0~18 0xc0 3.06441e+07 0.5;
Add0~19 0xc0 5.30995e+06 0.999023;
Add0~20 0xc0 2.27187e+07 0.5;
Add0~21 0xc0 2.13912e+07 0.000488281;
Add0~22 0xc0 3.07789e+07 0.5;
Equal0~0 0xc0 1.81103e+06 0.0625;
Equal0~1 0xc0 918.175 0.000244141;
count[0] 0xc0 2.01029e+07 0.5;
count[1] 0xc0 2.01029e+07 0.5;
count[2] 0xc0 2.01029e+07 0.5;
count[3] 0xc0 2.01029e+07 0.5;
count[4] 0xc0 2.01029e+07 0.5;
count[5] 0xc0 2.01029e+07 0.5;
count[6] 0xc0 2.01029e+07 0.5;
count[7] 0xc0 2.01029e+07 0.5;
count[8] 0xc0 2.01029e+07 0.5;
count[9] 0xc0 2.01029e+07 0.5;
count[10] 0xc0 2.01029e+07 0.5;
count[11] 0xc0 2.01029e+07 0.5;
count~0 0xc0 4.01865e+07 0.499878;
count~1 0xc0 1.82098e+07 0.499878;
count~2 0xc0 1.99758e+07 0.499878;
count~3 0xc0 2.25214e+07 0.499878;
count~4 0xc0 2.2708e+07 0.499878;
count~5 0xc0 3.07643e+07 0.499878;
auk_dspip_upsample:first_upsample;
dout[0]~21 0xc0 1.50772e+07 0.25;
dout[1]~20 0xc0 1.50772e+07 0.25;
dout[2]~19 0xc0 1.50772e+07 0.25;
dout[3]~18 0xc0 1.50772e+07 0.25;
dout[4]~17 0xc0 1.50772e+07 0.25;
dout[5]~16 0xc0 1.50772e+07 0.25;
dout[6]~15 0xc0 1.50772e+07 0.25;
dout[7]~14 0xc0 1.50772e+07 0.25;
dout[8]~13 0xc0 1.50772e+07 0.25;
dout[9]~12 0xc0 1.50772e+07 0.25;
dout[10]~11 0xc0 1.50772e+07 0.25;
dout[11]~10 0xc0 1.50772e+07 0.25;
dout[12]~9 0xc0 1.50772e+07 0.25;
dout[13]~8 0xc0 1.50772e+07 0.25;
dout[14]~7 0xc0 1.50772e+07 0.25;
dout[15]~6 0xc0 1.50772e+07 0.25;
dout[16]~5 0xc0 1.50772e+07 0.25;
dout[17]~4 0xc0 1.50772e+07 0.25;
dout[18]~3 0xc0 1.50772e+07 0.25;
dout[19]~2 0xc0 1.50772e+07 0.25;
dout[20]~1 0xc0 1.50772e+07 0.25;
dout[59]~0 0xc0 1.50772e+07 0.25;
auk_dspip_integrator:integrator_loop[0].auK_integrator;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 1.63336e+07 0.125;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 2.66992e+07 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 1.2957e+07 0.8125;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 2.32685e+07 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 1.76986e+07 0.21875;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 2.70325e+07 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 9.7715e+06 0.765625;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 1.71501e+07 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 1.9398e+07 0.242188;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 2.35571e+07 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 1.31942e+07 0.753906;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 1.7952e+07 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 3.86223e+07 0.248047;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 2.93996e+07 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 1.62312e+07 0.750977;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 2.55213e+07 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 3.88857e+07 0.249512;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 2.94412e+07 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 1.62813e+07 0.750244;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 2.23706e+07 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 2.65852e+07 0.249878;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 2.20528e+07 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 1.04743e+07 0.750061;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 2.36922e+07 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 3.79205e+07 0.249969;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 2.91269e+07 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 1.61334e+07 0.750015;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 2.23181e+07 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 2.65509e+07 0.249992;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 2.55733e+07 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 1.4357e+07 0.750004;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 2.49037e+07 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 3.85231e+07 0.249998;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 2.93145e+07 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 1.62277e+07 0.750001;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 2.54882e+07 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 3.88152e+07 0.25;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 3.25468e+07 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 1.34464e+07 0.75;
\register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 2.1478e+07 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 2.61303e+07 0.25;
\register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 2.54417e+07 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 1.42914e+07 0.75;
\register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 2.1742e+07 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 2.62623e+07 0.25;
\register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 2.54829e+07 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 1.4312e+07 0.75;
\register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 2.17485e+07 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 2.62655e+07 0.25;
\register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 2.54839e+07 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 1.43125e+07 0.75;
\register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 2.48897e+07 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 3.85158e+07 0.25;
\register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 2.93122e+07 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 1.62266e+07 0.75;
\register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 2.54879e+07 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 3.88149e+07 0.25;
\register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 2.94056e+07 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 1.62733e+07 0.75;
\register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 2.23614e+07 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 2.6572e+07 0.25;
\register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 2.55797e+07 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 1.43604e+07 0.75;
\register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 2.17636e+07 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 2.62731e+07 0.25;
\register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 2.54863e+07 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 1.43137e+07 0.75;
\register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 2.1749e+07 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 2.62658e+07 0.25;
\register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 2.5484e+07 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 1.43125e+07 0.75;
\register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 2.17486e+07 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 2.62656e+07 0.25;
\register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 2.8625e+07 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 1.14855e+07 0.75;
\register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 2.40063e+07 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 3.80741e+07 0.25;
\register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 3.23152e+07 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 1.33306e+07 0.75;
\register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 2.45829e+07 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 3.83624e+07 0.25;
\register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 3.24053e+07 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 1.33757e+07 0.75;
\register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 2.45969e+07 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 3.83694e+07 0.25;
\register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 3.24075e+07 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 1.33768e+07 0.75;
\register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 2.45973e+07 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 3.83696e+07 0.25;
\register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 2.92665e+07 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 1.62038e+07 0.75;
\register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 2.23396e+07 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 2.65611e+07 0.25;
\register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 2.55763e+07 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 1.43587e+07 0.75;
\register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 2.1763e+07 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 2.62728e+07 0.25;
\register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 2.54862e+07 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 1.43136e+07 0.75;
\register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 2.1749e+07 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 2.62658e+07 0.25;
\register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 2.5484e+07 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 1.43125e+07 0.75;
\register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 2.17486e+07 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 2.62656e+07 0.25;
\register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 2.8625e+07 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 1.14855e+07 0.75;
\register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 2.40063e+07 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 3.80741e+07 0.25;
\register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 3.23152e+07 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 1.33306e+07 0.75;
\register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 2.45829e+07 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 3.83624e+07 0.25;
\register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 3.24053e+07 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 1.33757e+07 0.75;
\register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 2.45969e+07 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 3.83694e+07 0.25;
\register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 3.24075e+07 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 1.33768e+07 0.75;
\register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 2.45973e+07 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 3.83696e+07 0.25;
\register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 3.24075e+07 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 1.33768e+07 0.75;
\register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 2.14562e+07 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 2.61194e+07 0.25;
\register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 2.85794e+07 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 1.14627e+07 0.75;
\register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 2.39991e+07 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 3.80705e+07 0.25;
\register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 3.23141e+07 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 1.33301e+07 0.75;
\register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 2.45827e+07 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 3.83623e+07 0.25;
\register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 3.24053e+07 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 1.33757e+07 0.75;
\register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 2.14558e+07 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 2.61192e+07 0.25;
\register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 2.54382e+07 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 1.42896e+07 0.75;
\register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 2.17415e+07 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 2.6262e+07 0.25;
\register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 2.54828e+07 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 1.4312e+07 0.75;
\register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 2.48895e+07 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 3.85157e+07 0.25;
\register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 2.93121e+07 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 1.62266e+07 0.75;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 2.23468e+07 0.5;
auk_dspip_integrator:integrator_loop[1].auK_integrator;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5;
auk_dspip_integrator:integrator_loop[2].auK_integrator;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5;
auk_dspip_integrator:integrator_loop[3].auK_integrator;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5;
auk_dspip_integrator:integrator_loop[4].auK_integrator;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5;
auk_dspip_integrator:integrator_loop[5].auK_integrator;
auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1;
\register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25;
\register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5;
\register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625;
\register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5;
\register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375;
\register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5;
\register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125;
\register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5;
\register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375;
\register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5;
\register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813;
\register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5;
\register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094;
\register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5;
\register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953;
\register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5;
\register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023;
\register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5;
\register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488;
\register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5;
\register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756;
\register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5;
\register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122;
\register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5;
\register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939;
\register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5;
\register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031;
\register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5;
\register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985;
\register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5;
\register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008;
\register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5;
\register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996;
\register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002;
\register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999;
\register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5;
\register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5;
\register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5;
\register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5;
sample_valid 0xc0 2.01029e+07 0.5;
auk_dspip_avalon_streaming_source:output_source_0;
scfifo:source_FIFO;
scfifo_ci71:auto_generated;
dffe_af 0xc0 2.01029e+07 0.5;
dffe_af~0 0xc0 2.10551e+06 0.0625;
dffe_af~1 0xc0 5.74229e+06 0.25;
dffe_af~2 0xc0 3.35049e+07 0.5;
a_dpfifo_9qv:dpfifo;
_~0 0xc0 3.53372e+06 0.0625;
_~1 0xc0 4.79015e+06 0.125;
_~2 0xc0 7.77128e+06 0.314941;
_~3 0xc0 1.76539e+07 0.578125;
_~4 0xc0 1.42042e+07 0.509035;
_~5 0xc0 4.0196e+06 0.1875;
_~6 0xc0 8.3116e+06 0.4375;
empty_dff 0xc0 2.01029e+07 0.5;
altsyncram_hah1:FIFOram;
q_b[0] 0xc0 2.01029e+07 0.5;
q_b[1] 0xc0 2.01029e+07 0.5;
q_b[2] 0xc0 2.01029e+07 0.5;
q_b[3] 0xc0 2.01029e+07 0.5;
q_b[4] 0xc0 2.01029e+07 0.5;
q_b[5] 0xc0 2.01029e+07 0.5;
q_b[6] 0xc0 2.01029e+07 0.5;
q_b[7] 0xc0 2.01029e+07 0.5;
q_b[8] 0xc0 2.01029e+07 0.5;
q_b[9] 0xc0 2.01029e+07 0.5;
q_b[10] 0xc0 2.01029e+07 0.5;
q_b[11] 0xc0 2.01029e+07 0.5;
q_b[12] 0xc0 2.01029e+07 0.5;
q_b[13] 0xc0 2.01029e+07 0.5;
q_b[14] 0xc0 2.01029e+07 0.5;
q_b[15] 0xc0 2.01029e+07 0.5;
full_dff 0xc0 2.01029e+07 0.5;
low_addressa[0] 0xc0 2.01029e+07 0.5;
low_addressa[1] 0xc0 2.01029e+07 0.5;
low_addressa[2] 0xc0 2.01029e+07 0.5;
low_addressa[3] 0xc0 2.01029e+07 0.5;
low_addressa[4] 0xc0 2.01029e+07 0.5;
ram_read_address[0]~0 0xc0 8.37724e+06 0.5;
ram_read_address[1]~1 0xc0 9.39871e+06 0.5;
ram_read_address[2]~2 0xc0 1.10331e+07 0.5;
ram_read_address[3]~3 0xc0 1.10331e+07 0.5;
ram_read_address[4]~4 0xc0 9.39871e+06 0.5;
rd_ptr_lsb 0xc0 2.01029e+07 0.5;
rd_ptr_lsb~0 0xc0 2.01029e+07 0.5;
cntr_t9b:rd_ptr_msb;
counter_comb_bita0 0xc0 2.01029e+07 0.5;
counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5;
counter_comb_bita1 0xc0 2.01029e+07 0.5;
counter_comb_bita1~COUT 0xc0 1.13079e+07 0.75;
counter_comb_bita2 0xc0 1.82183e+07 0.5;
counter_comb_bita2~COUT 0xc0 1.53913e+07 0.125;
counter_comb_bita3 0xc0 2.34011e+07 0.5;
counter_reg_bit[0] 0xc0 2.01029e+07 0.5;
counter_reg_bit[1] 0xc0 2.01029e+07 0.5;
counter_reg_bit[2] 0xc0 2.01029e+07 0.5;
counter_reg_bit[3] 0xc0 2.01029e+07 0.5;
cntr_aa7:usedw_counter;
counter_comb_bita0 0xc0 2.01029e+07 0.5;
counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5;
counter_comb_bita1 0xc0 2.01029e+07 0.5;
counter_comb_bita1~COUT 0xc0 1.22502e+07 0.75;
counter_comb_bita2 0xc0 1.86894e+07 0.5;
counter_comb_bita2~COUT 0xc0 2.15459e+07 0.125;
counter_comb_bita3 0xc0 2.64783e+07 0.5;
counter_comb_bita3~COUT 0xc0 4.19107e+06 0.9375;
counter_comb_bita4 0xc0 1.98427e+07 0.5;
counter_reg_bit[0] 0xc0 2.01029e+07 0.5;
counter_reg_bit[1] 0xc0 2.01029e+07 0.5;
counter_reg_bit[2] 0xc0 2.01029e+07 0.5;
counter_reg_bit[3] 0xc0 2.01029e+07 0.5;
counter_reg_bit[4] 0xc0 2.01029e+07 0.5;
usedw_is_0_dff 0xc0 2.01029e+07 0.5;
usedw_is_1_dff 0xc0 2.01029e+07 0.5;
usedw_will_be_1~0 0xc0 1.81103e+06 0.0625;
usedw_will_be_1~1 0xc0 6.7213e+06 0.324219;
usedw_will_be_1~2 0xc0 1.18526e+07 0.478027;
valid_wreq 0xc0 1.50772e+07 0.75;
cntr_u9b:wr_ptr;
counter_comb_bita0 0xc0 2.01029e+07 0.5;
counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5;
counter_comb_bita1 0xc0 2.01029e+07 0.5;
counter_comb_bita1~COUT 0xc0 1.13079e+07 0.75;
counter_comb_bita2 0xc0 1.82183e+07 0.5;
counter_comb_bita2~COUT 0xc0 1.53913e+07 0.125;
counter_comb_bita3 0xc0 2.34011e+07 0.5;
counter_comb_bita3~COUT 0xc0 4.47604e+06 0.9375;
counter_comb_bita4 0xc0 1.99851e+07 0.5;
counter_reg_bit[0] 0xc0 2.01029e+07 0.5;
counter_reg_bit[1] 0xc0 2.01029e+07 0.5;
counter_reg_bit[2] 0xc0 2.01029e+07 0.5;
counter_reg_bit[3] 0xc0 2.01029e+07 0.5;
counter_reg_bit[4] 0xc0 2.01029e+07 0.5;
source_valid_s 0xc0 2.01029e+07 0.5;
source_valid_s_process~0 0xc0 8.7165e+06 0.375;
source_valid_s_process~1 0xc0 8.7165e+06 0.625;
data_shifter:TX_CICCOMP_GAINER;
Mux0~0 0xc0 4.1858e+06 0.5;
Mux0~1 0xc0 1.02085e+07 0.5;
Mux0~2 0xc0 4.80227e+06 0.375;
Mux0~3 0xc0 2.67664e+06 0.46875;
Mux0~4 0xc0 4.18048e+06 0.46875;
Mux0~5 0xc0 2.24034e+06 0.484375;
Mux1~0 0xc0 4.1858e+06 0.5;
Mux1~1 0xc0 6.96928e+06 0.5;
Mux1~2 0xc0 4.72476e+06 0.5;
Mux1~3 0xc0 2.23427e+06 0.5;
Mux1~4 0xc0 4.66104e+06 0.4375;
Mux1~5 0xc0 2.31943e+06 0.46875;
Mux2~0 0xc0 4.1858e+06 0.5;
Mux2~1 0xc0 6.96928e+06 0.5;
Mux2~2 0xc0 4.72476e+06 0.5;
Mux2~3 0xc0 2.23427e+06 0.5;
Mux2~4 0xc0 5.74229e+06 0.25;
Mux2~5 0xc0 4.72025e+06 0.4375;
Mux2~6 0xc0 2.32669e+06 0.46875;
Mux3~0 0xc0 4.1858e+06 0.5;
Mux3~1 0xc0 6.96928e+06 0.5;
Mux3~2 0xc0 4.72476e+06 0.5;
Mux3~3 0xc0 2.23427e+06 0.5;
Mux3~4 0xc0 4.79015e+06 0.125;
Mux3~5 0xc0 5.58358e+06 0.40625;
Mux3~6 0xc0 2.46615e+06 0.453125;
Mux4~0 0xc0 6.96928e+06 0.5;
Mux4~1 0xc0 4.72476e+06 0.5;
Mux4~2 0xc0 4.1858e+06 0.5;
Mux4~3 0xc0 2.23427e+06 0.5;
Mux4~4 0xc0 4.79015e+06 0.125;
Mux4~5 0xc0 5.81881e+06 0.375;
Mux4~6 0xc0 2.54205e+06 0.4375;
Mux5~0 0xc0 6.96928e+06 0.5;
Mux5~1 0xc0 4.72476e+06 0.5;
Mux5~2 0xc0 4.1858e+06 0.5;
Mux5~3 0xc0 2.23427e+06 0.5;
Mux5~4 0xc0 4.79015e+06 0.125;
Mux5~5 0xc0 6.69932e+06 0.34375;
Mux5~6 0xc0 2.71051e+06 0.421875;
Mux6~0 0xc0 6.96928e+06 0.5;
Mux6~1 0xc0 4.72476e+06 0.5;
Mux6~2 0xc0 4.1858e+06 0.5;
Mux6~3 0xc0 2.23427e+06 0.5;
Mux6~4 0xc0 4.79015e+06 0.125;
Mux6~5 0xc0 8.16313e+06 0.3125;
Mux6~6 0xc0 2.96394e+06 0.40625;
Mux7~0 0xc0 6.96928e+06 0.5;
Mux7~1 0xc0 4.72476e+06 0.5;
Mux7~2 0xc0 4.1858e+06 0.5;
Mux7~3 0xc0 2.23427e+06 0.5;
Mux8~0 0xc0 6.96928e+06 0.5;
Mux8~1 0xc0 4.72476e+06 0.5;
Mux8~2 0xc0 4.1858e+06 0.5;
Mux8~3 0xc0 2.23427e+06 0.5;
Mux9~0 0xc0 6.96928e+06 0.5;
Mux9~1 0xc0 4.72476e+06 0.5;
Mux9~2 0xc0 4.1858e+06 0.5;
Mux9~3 0xc0 2.23427e+06 0.5;
Mux10~0 0xc0 6.96928e+06 0.5;
Mux10~1 0xc0 4.72476e+06 0.5;
Mux10~2 0xc0 4.1858e+06 0.5;
Mux10~3 0xc0 2.23427e+06 0.5;
Mux11~0 0xc0 6.96928e+06 0.5;
Mux11~1 0xc0 4.72476e+06 0.5;
Mux11~2 0xc0 4.1858e+06 0.5;
Mux11~3 0xc0 2.23427e+06 0.5;
Mux12~0 0xc0 6.96928e+06 0.5;
Mux12~1 0xc0 4.72476e+06 0.5;
Mux12~2 0xc0 6.96928e+06 0.5;
Mux12~3 0xc0 4.72476e+06 0.5;
Mux12~4 0xc0 6.96928e+06 0.5;
Mux12~5 0xc0 4.72476e+06 0.5;
Mux12~6 0xc0 1.02085e+07 0.5;
Mux12~7 0xc0 4.80227e+06 0.375;
Mux12~8 0xc0 6.96928e+06 0.5;
Mux12~9 0xc0 4.72476e+06 0.5;
Mux12~10 0xc0 4.1858e+06 0.5;
Mux12~11 0xc0 2.23427e+06 0.5;
Mux13~0 0xc0 6.96928e+06 0.5;
Mux13~1 0xc0 4.72476e+06 0.5;
Mux13~2 0xc0 6.96928e+06 0.5;
Mux13~3 0xc0 4.72476e+06 0.5;
Mux13~4 0xc0 6.96928e+06 0.5;
Mux13~5 0xc0 4.72476e+06 0.5;
Mux13~6 0xc0 5.0061e+06 0.25;
Mux13~7 0xc0 6.96928e+06 0.5;
Mux13~8 0xc0 4.72476e+06 0.5;
Mux13~9 0xc0 4.1858e+06 0.5;
Mux13~10 0xc0 2.23427e+06 0.5;
Mux14~0 0xc0 6.96928e+06 0.5;
Mux14~1 0xc0 4.72476e+06 0.5;
Mux14~2 0xc0 6.96928e+06 0.5;
Mux14~3 0xc0 4.72476e+06 0.5;
Mux14~4 0xc0 6.96928e+06 0.5;
Mux14~5 0xc0 4.72476e+06 0.5;
Mux14~6 0xc0 6.96928e+06 0.5;
Mux14~7 0xc0 4.72476e+06 0.5;
Mux14~8 0xc0 4.79015e+06 0.125;
Mux14~9 0xc0 4.1858e+06 0.5;
Mux14~10 0xc0 2.23427e+06 0.5;
Mux15~0 0xc0 6.96928e+06 0.5;
Mux15~1 0xc0 4.72476e+06 0.5;
Mux15~2 0xc0 6.96928e+06 0.5;
Mux15~3 0xc0 4.72476e+06 0.5;
Mux15~4 0xc0 6.96928e+06 0.5;
Mux15~5 0xc0 4.72476e+06 0.5;
Mux15~6 0xc0 6.96928e+06 0.5;
Mux15~7 0xc0 4.72476e+06 0.5;
Mux15~8 0xc0 4.1858e+06 0.5;
Mux15~9 0xc0 2.23427e+06 0.5;
Mux16~0 0xc0 4.1858e+06 0.5;
Mux16~1 0xc0 1.02085e+07 0.5;
Mux16~2 0xc0 4.80227e+06 0.375;
Mux16~3 0xc0 2.67664e+06 0.46875;
Mux16~4 0xc0 4.18048e+06 0.46875;
Mux16~5 0xc0 2.24034e+06 0.484375;
Mux17~0 0xc0 4.1858e+06 0.5;
Mux17~1 0xc0 6.96928e+06 0.5;
Mux17~2 0xc0 4.72476e+06 0.5;
Mux17~3 0xc0 2.23427e+06 0.5;
Mux17~4 0xc0 4.66104e+06 0.4375;
Mux17~5 0xc0 2.31943e+06 0.46875;
Mux18~0 0xc0 4.1858e+06 0.5;
Mux18~1 0xc0 6.96928e+06 0.5;
Mux18~2 0xc0 4.72476e+06 0.5;
Mux18~3 0xc0 2.23427e+06 0.5;
Mux18~4 0xc0 5.74229e+06 0.25;
Mux18~5 0xc0 4.72024e+06 0.4375;
Mux18~6 0xc0 2.32669e+06 0.46875;
Mux19~0 0xc0 4.1858e+06 0.5;
Mux19~1 0xc0 6.96928e+06 0.5;
Mux19~2 0xc0 4.72476e+06 0.5;
Mux19~3 0xc0 2.23427e+06 0.5;
Mux19~4 0xc0 4.79015e+06 0.125;
Mux19~5 0xc0 5.58358e+06 0.40625;
Mux19~6 0xc0 2.46615e+06 0.453125;
Mux20~0 0xc0 6.96928e+06 0.5;
Mux20~1 0xc0 4.72476e+06 0.5;
Mux20~2 0xc0 4.1858e+06 0.5;
Mux20~3 0xc0 2.23427e+06 0.5;
Mux20~4 0xc0 4.79015e+06 0.125;
Mux20~5 0xc0 5.58358e+06 0.40625;
Mux20~6 0xc0 2.15851e+06 0.416016;
Mux21~0 0xc0 6.96928e+06 0.5;
Mux21~1 0xc0 4.72476e+06 0.5;
Mux21~2 0xc0 4.1858e+06 0.5;
Mux21~3 0xc0 2.23427e+06 0.5;
Mux21~4 0xc0 4.79015e+06 0.125;
Mux21~5 0xc0 5.58358e+06 0.40625;
Mux21~6 0xc0 2.12522e+06 0.378906;
Mux22~0 0xc0 6.96928e+06 0.5;
Mux22~1 0xc0 4.72476e+06 0.5;
Mux22~2 0xc0 4.1858e+06 0.5;
Mux22~3 0xc0 2.23427e+06 0.5;
Mux22~4 0xc0 4.79015e+06 0.125;
Mux22~5 0xc0 5.58358e+06 0.40625;
Mux22~6 0xc0 2.32641e+06 0.341797;
Mux23~0 0xc0 6.96928e+06 0.5;
Mux23~1 0xc0 4.72476e+06 0.5;
Mux23~2 0xc0 4.1858e+06 0.5;
Mux23~3 0xc0 2.23427e+06 0.5;
Mux24~0 0xc0 6.96928e+06 0.5;
Mux24~1 0xc0 4.72476e+06 0.5;
Mux24~2 0xc0 4.1858e+06 0.5;
Mux24~3 0xc0 2.23427e+06 0.5;
Mux25~0 0xc0 6.96928e+06 0.5;
Mux25~1 0xc0 4.72476e+06 0.5;
Mux25~2 0xc0 4.1858e+06 0.5;
Mux25~3 0xc0 2.23427e+06 0.5;
Mux26~0 0xc0 6.96928e+06 0.5;
Mux26~1 0xc0 4.72476e+06 0.5;
Mux26~2 0xc0 4.1858e+06 0.5;
Mux26~3 0xc0 2.23427e+06 0.5;
Mux27~0 0xc0 6.96928e+06 0.5;
Mux27~1 0xc0 4.72476e+06 0.5;
Mux27~2 0xc0 4.1858e+06 0.5;
Mux27~3 0xc0 2.23427e+06 0.5;
Mux28~0 0xc0 6.96928e+06 0.5;
Mux28~1 0xc0 4.72476e+06 0.5;
Mux28~2 0xc0 6.96928e+06 0.5;
Mux28~3 0xc0 4.72476e+06 0.5;
Mux28~4 0xc0 6.96928e+06 0.5;
Mux28~5 0xc0 4.72476e+06 0.5;
Mux28~6 0xc0 1.02085e+07 0.5;
Mux28~7 0xc0 4.80227e+06 0.375;
Mux28~8 0xc0 6.96928e+06 0.5;
Mux28~9 0xc0 4.72476e+06 0.5;
Mux28~10 0xc0 4.1858e+06 0.5;
Mux28~11 0xc0 2.23427e+06 0.5;
Mux29~0 0xc0 6.96928e+06 0.5;
Mux29~1 0xc0 4.72476e+06 0.5;
Mux29~2 0xc0 6.96928e+06 0.5;
Mux29~3 0xc0 4.72476e+06 0.5;
Mux29~4 0xc0 6.96928e+06 0.5;
Mux29~5 0xc0 4.72476e+06 0.5;
Mux29~6 0xc0 5.0061e+06 0.25;
Mux29~7 0xc0 6.96928e+06 0.5;
Mux29~8 0xc0 4.72476e+06 0.5;
Mux29~9 0xc0 4.1858e+06 0.5;
Mux29~10 0xc0 2.23427e+06 0.5;
Mux30~0 0xc0 6.96928e+06 0.5;
Mux30~1 0xc0 4.72476e+06 0.5;
Mux30~2 0xc0 6.96928e+06 0.5;
Mux30~3 0xc0 4.72476e+06 0.5;
Mux30~4 0xc0 6.96928e+06 0.5;
Mux30~5 0xc0 4.72476e+06 0.5;
Mux30~6 0xc0 6.96928e+06 0.5;
Mux30~7 0xc0 4.72476e+06 0.5;
Mux30~8 0xc0 4.79015e+06 0.125;
Mux30~9 0xc0 4.1858e+06 0.5;
Mux30~10 0xc0 2.23427e+06 0.5;
Mux31~0 0xc0 6.96928e+06 0.5;
Mux31~1 0xc0 4.72476e+06 0.5;
Mux31~2 0xc0 6.96928e+06 0.5;
Mux31~3 0xc0 4.72476e+06 0.5;
Mux31~4 0xc0 6.96928e+06 0.5;
Mux31~5 0xc0 4.72476e+06 0.5;
Mux31~6 0xc0 6.96928e+06 0.5;
Mux31~7 0xc0 4.72476e+06 0.5;
Mux31~8 0xc0 4.1858e+06 0.5;
Mux31~9 0xc0 2.23427e+06 0.5;
data_out_I[0]~31 0xc0 221030 0.015625;
data_out_I[0]~32 0xc0 2.97118e+06 0.130859;
data_out_I[1]~28 0xc0 1.81103e+06 0.0625;
data_out_I[1]~29 0xc0 274571 0.0302734;
data_out_I[1]~30 0xc0 2.89292e+06 0.136353;
data_out_I[2]~25 0xc0 1.81103e+06 0.0625;
data_out_I[2]~26 0xc0 426789 0.0449219;
data_out_I[2]~27 0xc0 2.82716e+06 0.141846;
data_out_I[3]~22 0xc0 1.81103e+06 0.0625;
data_out_I[3]~23 0xc0 686783 0.0595703;
data_out_I[3]~24 0xc0 2.77467e+06 0.147339;
data_out_I[4]~19 0xc0 1.81103e+06 0.0625;
data_out_I[4]~20 0xc0 1.06849e+06 0.0742188;
data_out_I[4]~21 0xc0 2.73664e+06 0.152832;
data_out_I[5]~16 0xc0 1.79127e+06 0.121094;
data_out_I[5]~17 0xc0 2.84049e+06 0.185547;
data_out_I[5]~18 0xc0 2.40947e+06 0.171387;
data_out_I[6]~13 0xc0 2.08558e+06 0.179688;
data_out_I[6]~14 0xc0 2.66306e+06 0.214844;
data_out_I[6]~15 0xc0 2.32951e+06 0.178711;
data_out_I[7]~10 0xc0 2.67513e+06 0.238281;
data_out_I[7]~11 0xc0 2.58713e+06 0.244141;
data_out_I[7]~12 0xc0 2.27175e+06 0.186035;
data_out_I[8]~7 0xc0 3.64673e+06 0.296875;
data_out_I[8]~8 0xc0 2.62256e+06 0.273438;
data_out_I[8]~9 0xc0 2.23679e+06 0.193359;
data_out_I[9]~6 0xc0 2.28998e+06 0.226563;
data_out_I[10]~5 0xc0 2.29992e+06 0.230469;
data_out_I[11]~4 0xc0 2.31959e+06 0.234375;
data_out_I[12]~3 0xc0 2.34948e+06 0.238281;
data_out_I[13]~2 0xc0 2.38002e+06 0.242188;
data_out_I[14]~1 0xc0 2.37958e+06 0.242188;
data_out_I[15]~0 0xc0 2.34817e+06 0.238281;
data_out_Q[0]~7 0xc0 744629 0.125;
data_out_Q[0]~31 0xc0 221030 0.015625;
data_out_Q[0]~32 0xc0 2.97118e+06 0.130859;
data_out_Q[1]~28 0xc0 1.81103e+06 0.0625;
data_out_Q[1]~29 0xc0 274571 0.0302734;
data_out_Q[1]~30 0xc0 2.89292e+06 0.136353;
data_out_Q[2]~25 0xc0 1.81103e+06 0.0625;
data_out_Q[2]~26 0xc0 426789 0.0449219;
data_out_Q[2]~27 0xc0 2.82716e+06 0.141846;
data_out_Q[3]~22 0xc0 1.81103e+06 0.0625;
data_out_Q[3]~23 0xc0 686783 0.0595703;
data_out_Q[3]~24 0xc0 2.77467e+06 0.147339;
data_out_Q[4]~18 0xc0 1.3916e+06 0.25;
data_out_Q[4]~19 0xc0 1.81103e+06 0.0625;
data_out_Q[4]~20 0xc0 1.06849e+06 0.0742188;
data_out_Q[4]~21 0xc0 2.73665e+06 0.152832;
data_out_Q[5]~15 0xc0 1.79127e+06 0.121094;
data_out_Q[5]~16 0xc0 2.84049e+06 0.185547;
data_out_Q[5]~17 0xc0 2.40947e+06 0.171387;
data_out_Q[6]~12 0xc0 2.08558e+06 0.179688;
data_out_Q[6]~13 0xc0 2.66306e+06 0.214844;
data_out_Q[6]~14 0xc0 2.32951e+06 0.178711;
data_out_Q[7]~9 0xc0 2.67513e+06 0.238281;
data_out_Q[7]~10 0xc0 2.58713e+06 0.244141;
data_out_Q[7]~11 0xc0 2.27175e+06 0.186035;
data_out_Q[8]~8 0xc0 3.64673e+06 0.296875;
data_out_Q[8]~33 0xc0 2.62256e+06 0.273438;
data_out_Q[8]~34 0xc0 2.23679e+06 0.193359;
data_out_Q[9]~6 0xc0 2.19429e+06 0.210449;
data_out_Q[10]~5 0xc0 2.20521e+06 0.219727;
data_out_Q[11]~4 0xc0 2.25613e+06 0.229004;
data_out_Q[12]~3 0xc0 2.34948e+06 0.238281;
data_out_Q[13]~2 0xc0 2.38002e+06 0.242188;
data_out_Q[14]~1 0xc0 2.37958e+06 0.242188;
data_out_Q[15]~0 0xc0 2.34817e+06 0.238281;
data_valid_out_Q~0 0xc0 1.13079e+07 0.25;
tx_ciccomp:TX_CICCOMP_I;
tx_ciccomp_0002:tx_ciccomp_inst;
tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst;
tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~1 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~2 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~1 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~2 0xc0 1.13079e+07 0.25;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~1 0xc0 1.82183e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~2 0xc0 5.33984e+06 0.875;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~1 0xc0 1.83753e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~2 0xc0 1.70404e+07 0.0625;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~1 0xc0 2.62673e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~2 0xc0 4.41715e+06 0.96875;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~1 0xc0 2.10943e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~2 0xc0 1.999e+07 0.015625;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~1 0xc0 2.94795e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~2 0xc0 5.00733e+06 0.992188;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~1 0xc0 2.22949e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~2 0xc0 2.10431e+07 0.00390625;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8]~1 0xc0 3.0468e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~1 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~2 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~_wirecell 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~1 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~2 0xc0 1.13079e+07 0.25;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~1 0xc0 1.82183e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~2 0xc0 5.33984e+06 0.875;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~1 0xc0 1.83753e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~2 0xc0 1.70404e+07 0.0625;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~1 0xc0 2.62673e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~2 0xc0 4.41715e+06 0.96875;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~1 0xc0 2.10943e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~2 0xc0 1.999e+07 0.015625;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~1 0xc0 2.94795e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~2 0xc0 5.00733e+06 0.992188;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~1 0xc0 2.22949e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~2 0xc0 2.10431e+07 0.00390625;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8]~1 0xc0 3.0468e+07 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5] 0xc0 2.01029e+07 0.5;
\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6] 0xc0 2.01029e+07 0.5;
Add0~0 0xc0 2.01029e+07 0.5;
Add0~1 0xc0 2.01029e+07 0.5;
Add0~2 0xc0 2.01029e+07 0.5;
Add0~3 0xc0 1.13079e+07 0.25;
Add0~4 0xc0 1.82183e+07 0.5;
Add0~5 0xc0 5.33984e+06 0.875;
Add0~6 0xc0 1.83753e+07 0.5;
Add0~7 0xc0 1.70404e+07 0.0625;
Add0~8 0xc0 2.62673e+07 0.5;
Add0~9 0xc0 4.41715e+06 0.96875;
Add0~10 0xc0 2.10943e+07 0.5;
Add0~11 0xc0 1.999e+07 0.015625;
Add0~12 0xc0 2.94795e+07 0.5;
Add1~0 0xc0 2.01029e+07 0.5;
Add1~1 0xc0 2.01029e+07 0.5;
Add1~2 0xc0 2.01029e+07 0.5;
Add1~3 0xc0 1.13079e+07 0.25;
Add1~4 0xc0 1.82183e+07 0.5;
Add1~5 0xc0 5.33984e+06 0.875;
Add1~6 0xc0 1.83753e+07 0.5;
Add1~7 0xc0 1.70404e+07 0.0625;
Add1~8 0xc0 2.62673e+07 0.5;
Add1~9 0xc0 4.41715e+06 0.96875;
Add1~10 0xc0 2.10943e+07 0.5;
Add1~11 0xc0 1.999e+07 0.015625;
Add1~12 0xc0 2.94795e+07 0.5;
Add1~14 0xc0 2.55438e+07 0.5;
Add1~15 0xc0 1.51352e+07 0.5;
Add1~16 0xc0 1.88642e+07 0.5;
Add1~17 0xc0 1.56951e+07 0.5;
Add1~18 0xc0 1.56379e+07 0.5;
Add1~19 0xc0 1.4449e+07 0.5;
Add1~20 0xc0 1.4449e+07 0.5;
Add2~0 0xc0 7.41099e+06 0.5;
Add3~0 0xc0 2.01029e+07 0.5;
Add3~1 0xc0 2.01029e+07 0.5;
Add3~2 0xc0 2.01029e+07 0.5;
Add3~3 0xc0 1.13079e+07 0.25;
Add3~4 0xc0 1.82183e+07 0.5;
Add3~5 0xc0 5.33984e+06 0.875;
Add3~6 0xc0 1.83753e+07 0.5;
Add3~7 0xc0 1.70404e+07 0.0625;
Add3~8 0xc0 2.62673e+07 0.5;
Add3~9 0xc0 4.41715e+06 0.96875;
Add3~10 0xc0 2.10943e+07 0.5;
Add3~11 0xc0 1.999e+07 0.015625;
Add3~12 0xc0 2.94795e+07 0.5;
Add3~13 0xc0 2.4482e+07 0.492188;
Add3~14 0xc0 2.22949e+07 0.5;
Add3~15 0xc0 1.58603e+07 0.753906;
Add3~16 0xc0 2.05736e+07 0.5;
Add6~0 0xc0 2.01029e+07 0.5;
Add6~1 0xc0 2.01029e+07 0.5;
Add6~2 0xc0 2.01029e+07 0.5;
Add6~2_wirecell 0xc0 2.01029e+07 0.5;
Add6~3 0xc0 1.13079e+07 0.25;
Add6~4 0xc0 1.82183e+07 0.5;
Add6~4_wirecell 0xc0 1.82183e+07 0.5;
Add6~5 0xc0 5.33984e+06 0.875;
Add6~6 0xc0 1.83753e+07 0.5;
Add6~6_wirecell 0xc0 1.83753e+07 0.5;
Add6~7 0xc0 1.70404e+07 0.0625;
Add6~8 0xc0 2.62673e+07 0.5;
Add6~8_wirecell 0xc0 2.62673e+07 0.5;
Add6~9 0xc0 4.41715e+06 0.96875;
Add6~10 0xc0 2.10943e+07 0.5;
Add6~10_wirecell 0xc0 2.10943e+07 0.5;
Add6~11 0xc0 1.999e+07 0.015625;
Add6~12 0xc0 2.94795e+07 0.5;
Add14~1 0xc0 2.01029e+07 0.5;
Add14~2 0xc0 2.01029e+07 0.5;
Add14~2_wirecell 0xc0 2.01029e+07 0.5;
Add14~3 0xc0 1.13079e+07 0.25;
Add14~4 0xc0 1.82183e+07 0.5;
Add14~4_wirecell 0xc0 1.82183e+07 0.5;
Add14~5 0xc0 5.33984e+06 0.875;
Add14~6 0xc0 1.83753e+07 0.5;
Add14~6_wirecell 0xc0 1.83753e+07 0.5;
Add14~7 0xc0 1.70404e+07 0.0625;
Add14~8 0xc0 2.62673e+07 0.5;
Add14~8_wirecell 0xc0 2.62673e+07 0.5;
Add14~9 0xc0 4.41715e+06 0.96875;
Add14~10 0xc0 2.10943e+07 0.5;
Add14~10_wirecell 0xc0 2.10943e+07 0.5;
Add14~11 0xc0 1.999e+07 0.015625;
Add14~12 0xc0 2.94795e+07 0.5;
Add14~13 0xc0 2.4482e+07 0.492188;
Add14~14 0xc0 2.22949e+07 0.5;
Add14~15 0xc0 1.58603e+07 0.753906;
Add14~16 0xc0 2.05736e+07 0.5;
dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13;
delay_signals[0][0] 0xc0 2.01029e+07 0.5;
delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][0] 0xc0 2.01029e+07 0.5;
delay_signals[1][0]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[2][0] 0xc0 2.01029e+07 0.5;
delay_signals[2][0]~feeder 0xc0 3.125e+06 0.5;
dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17;
delay_signals[0][0] 0xc0 2.01029e+07 0.5;
delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][1] 0xc0 2.01029e+07 0.5;
delay_signals[0][2] 0xc0 2.01029e+07 0.5;
delay_signals[0][3] 0xc0 2.01029e+07 0.5;
delay_signals[0][3]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][4] 0xc0 2.01029e+07 0.5;
delay_signals[0][5] 0xc0 2.01029e+07 0.5;
delay_signals[0][5]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][6] 0xc0 2.01029e+07 0.5;
delay_signals[0][7] 0xc0 2.01029e+07 0.5;
delay_signals[0][7]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][8] 0xc0 2.01029e+07 0.5;
delay_signals[0][8]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][9] 0xc0 2.01029e+07 0.5;
delay_signals[0][10] 0xc0 2.01029e+07 0.5;
delay_signals[0][10]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][11] 0xc0 2.01029e+07 0.5;
delay_signals[0][11]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][12] 0xc0 2.01029e+07 0.5;
delay_signals[0][12]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][13] 0xc0 2.01029e+07 0.5;
delay_signals[0][13]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][14] 0xc0 2.01029e+07 0.5;
delay_signals[0][14]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][15] 0xc0 2.01029e+07 0.5;
delay_signals[0][15]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][16] 0xc0 2.01029e+07 0.5;
delay_signals[0][16]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][17] 0xc0 2.01029e+07 0.5;
delay_signals[0][17]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][18] 0xc0 2.01029e+07 0.5;
delay_signals[0][18]~feeder 0xc0 2.01029e+07 0.5;
dspba_delay:d_u0_m0_wo0_aseq_q_16;
delay_signals[0][0] 0xc0 2.01029e+07 0.5;
delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5;
dspba_delay:d_u0_m0_wo0_compute_q_14;
delay_signals[0][0] 0xc0 2.01029e+07 0.5;
delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][0] 0xc0 2.01029e+07 0.5;
delay_signals[1][0]~feeder 0xc0 2.01029e+07 0.5;
dspba_delay:d_u0_m0_wo0_compute_q_15;
delay_signals[0][0] 0xc0 2.01029e+07 0.5;
delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5;
dspba_delay:d_u0_m0_wo0_compute_q_16;
delay_signals[0][0] 0xc0 2.01029e+07 0.5;
delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5;
dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16;
delay_signals[0][19] 0xc0 2.01029e+07 0.5;
delay_signals[0][20] 0xc0 2.01029e+07 0.5;
delay_signals[0][20]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][21] 0xc0 2.01029e+07 0.5;
delay_signals[0][21]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][22] 0xc0 2.01029e+07 0.5;
delay_signals[0][22]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][23] 0xc0 2.01029e+07 0.5;
dspba_delay:d_xIn_0_13;
delay_signals[0][0] 0xc0 2.01029e+07 0.5;
delay_signals[0][1] 0xc0 2.01029e+07 0.5;
delay_signals[0][2] 0xc0 2.01029e+07 0.5;
delay_signals[0][2]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][3] 0xc0 2.01029e+07 0.5;
delay_signals[0][4] 0xc0 2.01029e+07 0.5;
delay_signals[0][5] 0xc0 2.01029e+07 0.5;
delay_signals[0][5]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][6] 0xc0 2.01029e+07 0.5;
delay_signals[0][6]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][7] 0xc0 2.01029e+07 0.5;
delay_signals[0][7]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][8] 0xc0 2.01029e+07 0.5;
delay_signals[0][8]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][9] 0xc0 2.01029e+07 0.5;
delay_signals[0][9]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][10] 0xc0 2.01029e+07 0.5;
delay_signals[0][11] 0xc0 2.01029e+07 0.5;
delay_signals[0][12] 0xc0 2.01029e+07 0.5;
delay_signals[0][12]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][13] 0xc0 2.01029e+07 0.5;
delay_signals[0][13]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][14] 0xc0 2.01029e+07 0.5;
delay_signals[0][15] 0xc0 2.01029e+07 0.5;
delay_signals[0][15]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][0] 0xc0 2.01029e+07 0.5;
delay_signals[1][0]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][1] 0xc0 2.01029e+07 0.5;
delay_signals[1][1]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][2] 0xc0 2.01029e+07 0.5;
delay_signals[1][3] 0xc0 2.01029e+07 0.5;
delay_signals[1][3]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][4] 0xc0 2.01029e+07 0.5;
delay_signals[1][4]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][5] 0xc0 2.01029e+07 0.5;
delay_signals[1][5]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][6] 0xc0 2.01029e+07 0.5;
delay_signals[1][7] 0xc0 2.01029e+07 0.5;
delay_signals[1][8] 0xc0 2.01029e+07 0.5;
delay_signals[1][8]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][9] 0xc0 2.01029e+07 0.5;
delay_signals[1][10] 0xc0 2.01029e+07 0.5;
delay_signals[1][10]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][11] 0xc0 2.01029e+07 0.5;
delay_signals[1][12] 0xc0 2.01029e+07 0.5;
delay_signals[1][12]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][13] 0xc0 2.01029e+07 0.5;
delay_signals[1][13]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][14] 0xc0 2.01029e+07 0.5;
delay_signals[1][14]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][15] 0xc0 2.01029e+07 0.5;
delay_signals[1][15]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[2][0] 0xc0 2.01029e+07 0.5;
delay_signals[2][0]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][1] 0xc0 2.01029e+07 0.5;
delay_signals[2][2] 0xc0 2.01029e+07 0.5;
delay_signals[2][2]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][3] 0xc0 2.01029e+07 0.5;
delay_signals[2][4] 0xc0 2.01029e+07 0.5;
delay_signals[2][4]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][5] 0xc0 2.01029e+07 0.5;
delay_signals[2][5]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][6] 0xc0 2.01029e+07 0.5;
delay_signals[2][6]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][7] 0xc0 2.01029e+07 0.5;
delay_signals[2][7]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][8] 0xc0 2.01029e+07 0.5;
delay_signals[2][9] 0xc0 2.01029e+07 0.5;
delay_signals[2][9]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][10] 0xc0 2.01029e+07 0.5;
delay_signals[2][10]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][11] 0xc0 2.01029e+07 0.5;
delay_signals[2][11]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][12] 0xc0 2.01029e+07 0.5;
delay_signals[2][13] 0xc0 2.01029e+07 0.5;
delay_signals[2][13]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][14] 0xc0 2.01029e+07 0.5;
delay_signals[2][15] 0xc0 2.01029e+07 0.5;
delay_signals[2][15]~feeder 0xc0 3.125e+06 0.5;
Equal1~0 0xc0 1.81103e+06 0.0625;
Equal1~1 0xc0 1.81103e+06 0.0625;
Equal1~2 0xc0 11153.1 0.00195313;
Mux2~0 0xc0 1.63226e+07 0.125;
Mux2~1 0xc0 1.8517e+07 0.28125;
Mux3~0 0xc0 1.11901e+07 0.4375;
Mux3~1 0xc0 1.07189e+07 0.4375;
Mux3~2 0xc0 1.87823e+07 0.40625;
Mux3~3 0xc0 1.53838e+07 0.382813;
Mux4~0 0xc0 1.13864e+07 0.5;
Mux4~1 0xc0 1.04441e+07 0.5;
Mux4~2 0xc0 1.8583e+07 0.390625;
Mux4~3 0xc0 1.13026e+07 0.359863;
Mux5~0 0xc0 1.02478e+07 0.5625;
Mux5~1 0xc0 9.14349e+06 0.5625;
Mux5~2 0xc0 3.03719e+07 0.375;
Mux5~3 0xc0 1.85205e+07 0.335938;
Mux6~0 0xc0 7.50915e+06 0.375;
Mux6~1 0xc0 7.39136e+06 0.625;
Mux6~2 0xc0 1.78032e+07 0.421875;
Mux6~3 0xc0 1.81848e+07 0.404785;
Mux7~0 0xc0 1.10723e+07 0.4375;
Mux7~1 0xc0 1.15435e+07 0.5625;
Mux7~2 0xc0 1.94337e+07 0.375;
Mux7~3 0xc0 1.30422e+07 0.335938;
Mux8~0 0xc0 7.99504e+06 0.4375;
Mux8~1 0xc0 1.18968e+07 0.5625;
Mux8~2 0xc0 9.55485e+06 0.390625;
Mux8~3 0xc0 1.05847e+07 0.353027;
Mux9~0 0xc0 6.36069e+06 0.25;
Mux9~1 0xc0 5.47726e+06 0.75;
Mux9~2 0xc0 1.81103e+06 0.0625;
Mux9~3 0xc0 2.04003e+07 0.328125;
Mux9~4 0xc0 2.4736e+06 0.0625;
Mux9~5 0xc0 1.39428e+07 0.258301;
Mux9~6 0xc0 4.79015e+06 0.125;
Mux9~7 0xc0 4.79015e+06 0.125;
u0_m0_wo0_accum_p1_of_2_o[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[0]~20 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[0]~21 0xc0 1.13079e+07 0.25;
u0_m0_wo0_accum_p1_of_2_o[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[1]~22 0xc0 1.69618e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[1]~23 0xc0 9.36435e+06 0.625;
u0_m0_wo0_accum_p1_of_2_o[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[2]~24 0xc0 1.43557e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[2]~25 0xc0 1.66796e+07 0.4375;
u0_m0_wo0_accum_p1_of_2_o[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[3]~26 0xc0 1.56545e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[3]~27 0xc0 1.27941e+07 0.53125;
u0_m0_wo0_accum_p1_of_2_o[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[4]~28 0xc0 1.45506e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[4]~29 0xc0 1.42887e+07 0.484375;
u0_m0_wo0_accum_p1_of_2_o[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[5]~30 0xc0 1.48911e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[5]~31 0xc0 1.33805e+07 0.507813;
u0_m0_wo0_accum_p1_of_2_o[6] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[6]~32 0xc0 1.46558e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[6]~33 0xc0 1.37883e+07 0.496094;
u0_m0_wo0_accum_p1_of_2_o[7] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[7]~34 0xc0 1.47557e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[7]~35 0xc0 1.3572e+07 0.501953;
u0_m0_wo0_accum_p1_of_2_o[8] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[8]~36 0xc0 1.47011e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[8]~37 0xc0 1.3677e+07 0.499023;
u0_m0_wo0_accum_p1_of_2_o[9] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[9]~38 0xc0 1.47272e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[9]~39 0xc0 1.36237e+07 0.500488;
u0_m0_wo0_accum_p1_of_2_o[10] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[10]~40 0xc0 1.47138e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[10]~41 0xc0 1.36501e+07 0.499756;
u0_m0_wo0_accum_p1_of_2_o[11] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[11]~42 0xc0 1.47204e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[11]~43 0xc0 1.36369e+07 0.500122;
u0_m0_wo0_accum_p1_of_2_o[12] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[12]~44 0xc0 1.47171e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[12]~45 0xc0 1.36435e+07 0.499939;
u0_m0_wo0_accum_p1_of_2_o[13] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[13]~46 0xc0 1.47188e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[13]~47 0xc0 1.36402e+07 0.500031;
u0_m0_wo0_accum_p1_of_2_o[14] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[14]~48 0xc0 1.47179e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[14]~49 0xc0 1.36418e+07 0.499985;
u0_m0_wo0_accum_p1_of_2_o[15] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[15]~50 0xc0 1.47184e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[15]~51 0xc0 1.3641e+07 0.500008;
u0_m0_wo0_accum_p1_of_2_o[16] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[16]~52 0xc0 1.47181e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[16]~53 0xc0 1.36414e+07 0.499996;
u0_m0_wo0_accum_p1_of_2_o[17] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[17]~54 0xc0 1.47182e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[17]~55 0xc0 1.36412e+07 0.500002;
u0_m0_wo0_accum_p1_of_2_o[18] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[18]~56 0xc0 1.47182e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[18]~57 0xc0 1.36413e+07 0.499999;
u0_m0_wo0_accum_p1_of_2_o[19] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[19]~58 0xc0 1.36413e+07 0.499999;
u0_m0_wo0_accum_p2_of_2_o[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[1]~12 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[1]~13 0xc0 1.63336e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[1]~14 0xc0 9.73736e+06 0.5;
u0_m0_wo0_accum_p2_of_2_o[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[2]~15 0xc0 1.37422e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[2]~16 0xc0 1.31533e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[3]~17 0xc0 1.45962e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[3]~18 0xc0 1.35803e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[4]~19 0xc0 1.4703e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[4]~20 0xc0 1.36336e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[5]~21 0xc0 1.84856e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[5]~22 0xc0 1.92943e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[6] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[6]~23 0xc0 1.99008e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[6]~24 0xc0 2.00018e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[7] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[7]~25 0xc0 2.00777e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[7]~26 0xc0 2.00903e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[8] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[8]~27 0xc0 2.00998e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[8]~28 0xc0 2.01013e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[9] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[9]~29 0xc0 2.01025e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[9]~30 0xc0 2.01027e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[10] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[10]~31 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[10]~32 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[11] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[11]~33 0xc0 2.01029e+07 0.5;
u0_m0_wo0_aseq_eq 0xc0 2.01029e+07 0.5;
u0_m0_wo0_aseq_eq~0 0xc0 2.94476e+06 0.0625;
u0_m0_wo0_aseq_eq~1 0xc0 2.4736e+06 0.0625;
u0_m0_wo0_aseq_eq~2 0xc0 445396 0.015625;
u0_m0_wo0_aseq_eq~3 0xc0 9.90601e+06 0.250732;
u0_m0_wo0_ca0_i[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[0]~15 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[1]~5 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[1]~6 0xc0 1.13079e+07 0.25;
u0_m0_wo0_ca0_i[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[2]~7 0xc0 1.82183e+07 0.5;
u0_m0_wo0_ca0_i[2]~8 0xc0 4.79015e+06 0.875;
u0_m0_wo0_ca0_i[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[3]~9 0xc0 1.81005e+07 0.5;
u0_m0_wo0_ca0_i[3]~10 0xc0 1.69029e+07 0.0625;
u0_m0_wo0_ca0_i[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[4]~11 0xc0 2.61986e+07 0.5;
u0_m0_wo0_ca0_i[4]~12 0xc0 4.38279e+06 0.96875;
u0_m0_wo0_ca0_i[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[5]~13 0xc0 2.10772e+07 0.5;
u0_m0_wo0_cm0_q[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_cm0_q[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_cm0_q[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_cm0_q[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_cm0_q[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_cm0_q[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_cm0_q[6] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_cm0_q[7] 0xc0 2.01029e+07 0.5;
dspba_delay:u0_m0_wo0_compute;
delay_signals[0][0] 0xc0 2.01029e+07 0.5;
delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][0] 0xc0 2.01029e+07 0.5;
delay_signals[1][0]~feeder 0xc0 2.01029e+07 0.5;
dspba_delay:u0_m0_wo0_memread;
delay_signals[0][0] 0xc0 2.01029e+07 0.5;
lpm_mult:u0_m0_wo0_mtree_mult1_0_component;
mult_ncu:auto_generated;
mac_mult1 0xc0 8.04117e+07 0.5;
mac_mult1~12 0xc0 0 0;
mac_mult1~13 0xc0 0 0;
mac_mult1~14 0xc0 0 0;
mac_mult1~15 0xc0 0 0;
mac_mult1~16 0xc0 0 0;
mac_mult1~17 0xc0 0 0;
mac_mult1~18 0xc0 0 0;
mac_mult1~19 0xc0 0 0;
mac_mult1~20 0xc0 0 0;
mac_mult1~21 0xc0 0 0;
mac_mult1~22 0xc0 0 0;
mac_mult1~23 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT2 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT3 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT4 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT5 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT6 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT7 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT8 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT9 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT10 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT11 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT12 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT13 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT14 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT15 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT16 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT17 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT18 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT19 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT20 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT21 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT22 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT23 0xc0 8.04117e+07 0.5;
result[0] 0xc0 2.01029e+07 0.5;
result[1] 0xc0 2.01029e+07 0.5;
result[2] 0xc0 2.01029e+07 0.5;
result[3] 0xc0 2.01029e+07 0.5;
result[4] 0xc0 2.01029e+07 0.5;
result[5] 0xc0 2.01029e+07 0.5;
result[6] 0xc0 2.01029e+07 0.5;
result[7] 0xc0 2.01029e+07 0.5;
result[8] 0xc0 2.01029e+07 0.5;
result[9] 0xc0 2.01029e+07 0.5;
result[10] 0xc0 2.01029e+07 0.5;
result[11] 0xc0 2.01029e+07 0.5;
result[12] 0xc0 2.01029e+07 0.5;
result[13] 0xc0 2.01029e+07 0.5;
result[14] 0xc0 2.01029e+07 0.5;
result[15] 0xc0 2.01029e+07 0.5;
result[16] 0xc0 2.01029e+07 0.5;
result[17] 0xc0 2.01029e+07 0.5;
result[18] 0xc0 2.01029e+07 0.5;
result[19] 0xc0 2.01029e+07 0.5;
result[20] 0xc0 2.01029e+07 0.5;
result[21] 0xc0 2.01029e+07 0.5;
result[22] 0xc0 2.01029e+07 0.5;
result[23] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_oseq_eq 0xc0 2.01029e+07 0.5;
u0_m0_wo0_oseq_gated_q[0] 0xc0 1.50772e+07 0.25;
u0_m0_wo0_oseq_gated_reg_q[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_run_count[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_run_count[0]~0 0xc0 9.44288e+06 0.5;
u0_m0_wo0_run_count[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_run_enableQ[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_run_enableQ~0 0xc0 1.59021e+07 0.25;
u0_m0_wo0_run_q[0] 0xc0 2.01029e+07 0.5;
altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem;
altsyncram_0mn3:auto_generated;
q_b[0] 0xc0 2.01029e+07 0.5;
q_b[1] 0xc0 2.01029e+07 0.5;
q_b[2] 0xc0 2.01029e+07 0.5;
q_b[3] 0xc0 2.01029e+07 0.5;
q_b[4] 0xc0 2.01029e+07 0.5;
q_b[5] 0xc0 2.01029e+07 0.5;
q_b[6] 0xc0 2.01029e+07 0.5;
q_b[7] 0xc0 2.01029e+07 0.5;
q_b[8] 0xc0 2.01029e+07 0.5;
q_b[9] 0xc0 2.01029e+07 0.5;
q_b[10] 0xc0 2.01029e+07 0.5;
q_b[11] 0xc0 2.01029e+07 0.5;
q_b[12] 0xc0 2.01029e+07 0.5;
q_b[13] 0xc0 2.01029e+07 0.5;
q_b[14] 0xc0 2.01029e+07 0.5;
q_b[15] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 0xc0 1.13079e+07 0.25;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 0xc0 1.69618e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 0xc0 9.36435e+06 0.625;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 0xc0 1.43557e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 0xc0 1.66796e+07 0.4375;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 0xc0 1.56545e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 0xc0 1.27941e+07 0.53125;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 0xc0 1.45506e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 0xc0 1.42887e+07 0.484375;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 0xc0 1.86641e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 0xc0 1.50772e+07 0.25;
u0_m0_wo0_wi0_r0_ra0_count0_i[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 0xc0 1.63336e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 0xc0 1.13079e+07 0.25;
u0_m0_wo0_wi0_r0_ra0_count0_i[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 0xc0 1.82183e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 0xc0 4.79015e+06 0.875;
u0_m0_wo0_wi0_r0_ra0_count0_i[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 0xc0 1.81005e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 0xc0 1.69029e+07 0.0625;
u0_m0_wo0_wi0_r0_ra0_count0_i[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 0xc0 2.61986e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 0xc0 4.38279e+06 0.96875;
u0_m0_wo0_wi0_r0_ra0_count0_i[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 0xc0 2.10772e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 0xc0 1.13079e+07 0.25;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 0xc0 1.82183e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 0xc0 5.33984e+06 0.875;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 0xc0 1.83753e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 0xc0 1.70404e+07 0.0625;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 0xc0 2.62673e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 0xc0 4.41715e+06 0.96875;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 0xc0 2.10943e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 0xc0 1.999e+07 0.015625;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 0xc0 2.94795e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[0]~15 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[1]~5 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 0xc0 1.13079e+07 0.25;
u0_m0_wo0_wi0_r0_ra0_count1_i[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[2]~7 0xc0 1.82183e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 0xc0 4.79015e+06 0.875;
u0_m0_wo0_wi0_r0_ra0_count1_i[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[3]~9 0xc0 1.81005e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 0xc0 1.69029e+07 0.0625;
u0_m0_wo0_wi0_r0_ra0_count1_i[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[4]~11 0xc0 2.61986e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 0xc0 4.38279e+06 0.96875;
u0_m0_wo0_wi0_r0_ra0_count1_i[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[5]~13 0xc0 2.10772e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[0]~15 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[1]~5 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[1]~6 0xc0 1.13079e+07 0.25;
u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[2]~7 0xc0 1.82183e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[2]~8 0xc0 4.79015e+06 0.875;
u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[3]~9 0xc0 1.81005e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[3]~10 0xc0 1.69029e+07 0.0625;
u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[4]~11 0xc0 2.61986e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[4]~12 0xc0 4.38279e+06 0.96875;
u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[5]~13 0xc0 2.10772e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell 0xc0 2.01029e+07 0.5;
auk_dspip_avalon_streaming_sink_hpfir:sink;
auk_dspip_avalon_streaming_source_hpfir:source;
data_out[0] 0xc0 2.01029e+07 0.5;
data_out[1] 0xc0 2.01029e+07 0.5;
data_out[2] 0xc0 2.01029e+07 0.5;
data_out[3] 0xc0 2.01029e+07 0.5;
data_out[4] 0xc0 2.01029e+07 0.5;
data_out[5] 0xc0 2.01029e+07 0.5;
data_out[6] 0xc0 2.01029e+07 0.5;
data_out[7] 0xc0 2.01029e+07 0.5;
data_out[8] 0xc0 2.01029e+07 0.5;
data_out[9] 0xc0 2.01029e+07 0.5;
data_out[10] 0xc0 2.01029e+07 0.5;
data_out[11] 0xc0 2.01029e+07 0.5;
data_out[12] 0xc0 2.01029e+07 0.5;
data_out[13] 0xc0 2.01029e+07 0.5;
data_out[14] 0xc0 2.01029e+07 0.5;
data_out[15] 0xc0 2.01029e+07 0.5;
data_out[16] 0xc0 2.01029e+07 0.5;
data_out[17] 0xc0 2.01029e+07 0.5;
data_out[18] 0xc0 2.01029e+07 0.5;
data_out[19] 0xc0 2.01029e+07 0.5;
data_out[20] 0xc0 2.01029e+07 0.5;
data_out[21] 0xc0 2.01029e+07 0.5;
data_out[22] 0xc0 2.01029e+07 0.5;
data_out[23] 0xc0 2.01029e+07 0.5;
data_out[24] 0xc0 2.01029e+07 0.5;
data_out[25] 0xc0 2.01029e+07 0.5;
data_out[26] 0xc0 2.01029e+07 0.5;
data_out[26]~feeder 0xc0 2.01029e+07 0.5;
data_out[27] 0xc0 2.01029e+07 0.5;
data_out[27]~feeder 0xc0 2.01029e+07 0.5;
data_out[28] 0xc0 2.01029e+07 0.5;
data_out[29] 0xc0 2.01029e+07 0.5;
data_out[29]~feeder 0xc0 2.01029e+07 0.5;
data_valid 0xc0 2.01029e+07 0.5;
data_valid~feeder 0xc0 2.01029e+07 0.5;
tx_ciccomp:TX_CICCOMP_Q;
tx_ciccomp_0002:tx_ciccomp_inst;
tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst;
tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core;
Add6~0 0xc0 2.01029e+07 0.5;
Add6~1 0xc0 2.01029e+07 0.5;
Add6~2 0xc0 2.01029e+07 0.5;
Add6~2_wirecell 0xc0 2.01029e+07 0.5;
Add6~3 0xc0 1.13079e+07 0.25;
Add6~4 0xc0 1.82183e+07 0.5;
Add6~4_wirecell 0xc0 1.82183e+07 0.5;
Add6~5 0xc0 5.33984e+06 0.875;
Add6~6 0xc0 1.83753e+07 0.5;
Add6~6_wirecell 0xc0 1.83753e+07 0.5;
Add6~7 0xc0 1.70404e+07 0.0625;
Add6~8 0xc0 2.62673e+07 0.5;
Add6~8_wirecell 0xc0 2.62673e+07 0.5;
Add6~9 0xc0 4.41715e+06 0.96875;
Add6~10 0xc0 2.10943e+07 0.5;
Add6~10_wirecell 0xc0 2.10943e+07 0.5;
Add6~11 0xc0 1.999e+07 0.015625;
Add6~12 0xc0 2.94795e+07 0.5;
dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17;
delay_signals[0][0] 0xc0 2.01029e+07 0.5;
delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][1] 0xc0 2.01029e+07 0.5;
delay_signals[0][2] 0xc0 2.01029e+07 0.5;
delay_signals[0][2]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][3] 0xc0 2.01029e+07 0.5;
delay_signals[0][3]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][4] 0xc0 2.01029e+07 0.5;
delay_signals[0][4]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][5] 0xc0 2.01029e+07 0.5;
delay_signals[0][5]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][6] 0xc0 2.01029e+07 0.5;
delay_signals[0][6]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][7] 0xc0 2.01029e+07 0.5;
delay_signals[0][7]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][8] 0xc0 2.01029e+07 0.5;
delay_signals[0][8]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][9] 0xc0 2.01029e+07 0.5;
delay_signals[0][9]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][10] 0xc0 2.01029e+07 0.5;
delay_signals[0][11] 0xc0 2.01029e+07 0.5;
delay_signals[0][11]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][12] 0xc0 2.01029e+07 0.5;
delay_signals[0][12]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][13] 0xc0 2.01029e+07 0.5;
delay_signals[0][14] 0xc0 2.01029e+07 0.5;
delay_signals[0][15] 0xc0 2.01029e+07 0.5;
delay_signals[0][15]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][16] 0xc0 2.01029e+07 0.5;
delay_signals[0][17] 0xc0 2.01029e+07 0.5;
delay_signals[0][17]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][18] 0xc0 2.01029e+07 0.5;
delay_signals[0][18]~feeder 0xc0 2.01029e+07 0.5;
dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16;
delay_signals[0][19] 0xc0 2.01029e+07 0.5;
delay_signals[0][20] 0xc0 2.01029e+07 0.5;
delay_signals[0][20]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][21] 0xc0 2.01029e+07 0.5;
delay_signals[0][21]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][22] 0xc0 2.01029e+07 0.5;
delay_signals[0][23] 0xc0 2.01029e+07 0.5;
delay_signals[0][23]~feeder 0xc0 2.01029e+07 0.5;
dspba_delay:d_xIn_0_13;
delay_signals[0][0] 0xc0 2.01029e+07 0.5;
delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][1] 0xc0 2.01029e+07 0.5;
delay_signals[0][2] 0xc0 2.01029e+07 0.5;
delay_signals[0][2]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][3] 0xc0 2.01029e+07 0.5;
delay_signals[0][3]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][4] 0xc0 2.01029e+07 0.5;
delay_signals[0][5] 0xc0 2.01029e+07 0.5;
delay_signals[0][5]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][6] 0xc0 2.01029e+07 0.5;
delay_signals[0][6]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][7] 0xc0 2.01029e+07 0.5;
delay_signals[0][8] 0xc0 2.01029e+07 0.5;
delay_signals[0][8]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][9] 0xc0 2.01029e+07 0.5;
delay_signals[0][9]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][10] 0xc0 2.01029e+07 0.5;
delay_signals[0][11] 0xc0 2.01029e+07 0.5;
delay_signals[0][11]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][12] 0xc0 2.01029e+07 0.5;
delay_signals[0][12]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][13] 0xc0 2.01029e+07 0.5;
delay_signals[0][13]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][14] 0xc0 2.01029e+07 0.5;
delay_signals[0][14]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[0][15] 0xc0 2.01029e+07 0.5;
delay_signals[1][0] 0xc0 2.01029e+07 0.5;
delay_signals[1][0]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][1] 0xc0 2.01029e+07 0.5;
delay_signals[1][1]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][2] 0xc0 2.01029e+07 0.5;
delay_signals[1][2]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][3] 0xc0 2.01029e+07 0.5;
delay_signals[1][3]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][4] 0xc0 2.01029e+07 0.5;
delay_signals[1][4]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][5] 0xc0 2.01029e+07 0.5;
delay_signals[1][6] 0xc0 2.01029e+07 0.5;
delay_signals[1][6]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][7] 0xc0 2.01029e+07 0.5;
delay_signals[1][8] 0xc0 2.01029e+07 0.5;
delay_signals[1][9] 0xc0 2.01029e+07 0.5;
delay_signals[1][10] 0xc0 2.01029e+07 0.5;
delay_signals[1][11] 0xc0 2.01029e+07 0.5;
delay_signals[1][11]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][12] 0xc0 2.01029e+07 0.5;
delay_signals[1][12]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][13] 0xc0 2.01029e+07 0.5;
delay_signals[1][13]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][14] 0xc0 2.01029e+07 0.5;
delay_signals[1][14]~feeder 0xc0 2.01029e+07 0.5;
delay_signals[1][15] 0xc0 2.01029e+07 0.5;
delay_signals[2][0] 0xc0 2.01029e+07 0.5;
delay_signals[2][1] 0xc0 2.01029e+07 0.5;
delay_signals[2][1]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][2] 0xc0 2.01029e+07 0.5;
delay_signals[2][3] 0xc0 2.01029e+07 0.5;
delay_signals[2][3]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][4] 0xc0 2.01029e+07 0.5;
delay_signals[2][4]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][5] 0xc0 2.01029e+07 0.5;
delay_signals[2][5]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][6] 0xc0 2.01029e+07 0.5;
delay_signals[2][6]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][7] 0xc0 2.01029e+07 0.5;
delay_signals[2][7]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][8] 0xc0 2.01029e+07 0.5;
delay_signals[2][9] 0xc0 2.01029e+07 0.5;
delay_signals[2][9]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][10] 0xc0 2.01029e+07 0.5;
delay_signals[2][10]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][11] 0xc0 2.01029e+07 0.5;
delay_signals[2][12] 0xc0 2.01029e+07 0.5;
delay_signals[2][12]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][13] 0xc0 2.01029e+07 0.5;
delay_signals[2][13]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][14] 0xc0 2.01029e+07 0.5;
delay_signals[2][14]~feeder 0xc0 3.125e+06 0.5;
delay_signals[2][15] 0xc0 2.01029e+07 0.5;
delay_signals[2][15]~feeder 0xc0 3.125e+06 0.5;
Mux2~0 0xc0 4.81672e+06 0.125;
Mux2~1 0xc0 1.31385e+07 0.28125;
Mux3~0 0xc0 9.24656e+06 0.4375;
Mux3~1 0xc0 1.02478e+07 0.4375;
Mux3~2 0xc0 1.85713e+07 0.40625;
Mux3~3 0xc0 2.42326e+07 0.382813;
Mux4~0 0xc0 9.50177e+06 0.5;
Mux4~1 0xc0 7.58768e+06 0.5;
Mux4~2 0xc0 1.01682e+07 0.390625;
Mux4~3 0xc0 1.61326e+07 0.359863;
Mux5~0 0xc0 1.06012e+07 0.5625;
Mux5~1 0xc0 7.55332e+06 0.5625;
Mux5~2 0xc0 1.76471e+07 0.375;
Mux5~3 0xc0 2.11347e+07 0.335938;
Mux6~0 0xc0 8.92264e+06 0.375;
Mux6~1 0xc0 8.92264e+06 0.625;
Mux6~2 0xc0 2.76367e+07 0.421875;
Mux6~3 0xc0 2.32278e+07 0.404785;
Mux7~0 0xc0 9.48214e+06 0.4375;
Mux7~1 0xc0 9.59993e+06 0.5625;
Mux7~2 0xc0 3.26505e+07 0.375;
Mux7~3 0xc0 2.35924e+07 0.335938;
Mux8~0 0xc0 9.65883e+06 0.4375;
Mux8~1 0xc0 8.99626e+06 0.5625;
Mux8~2 0xc0 1.41571e+07 0.390625;
Mux8~3 0xc0 2.71622e+07 0.353027;
Mux9~0 0xc0 5.47726e+06 0.25;
Mux9~1 0xc0 5.47726e+06 0.75;
Mux9~2 0xc0 1.81103e+06 0.0625;
Mux9~3 0xc0 4.15929e+07 0.328125;
Mux9~4 0xc0 1.81103e+06 0.0625;
Mux9~5 0xc0 1.71467e+07 0.258301;
Mux9~6 0xc0 4.79015e+06 0.125;
Mux9~7 0xc0 5.96806e+06 0.125;
u0_m0_wo0_accum_p1_of_2_o[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[0]~20 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[0]~21 0xc0 1.13079e+07 0.25;
u0_m0_wo0_accum_p1_of_2_o[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[1]~22 0xc0 1.69618e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[1]~23 0xc0 9.36435e+06 0.625;
u0_m0_wo0_accum_p1_of_2_o[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[2]~24 0xc0 1.43557e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[2]~25 0xc0 1.66796e+07 0.4375;
u0_m0_wo0_accum_p1_of_2_o[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[3]~26 0xc0 1.56545e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[3]~27 0xc0 1.27941e+07 0.53125;
u0_m0_wo0_accum_p1_of_2_o[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[4]~28 0xc0 1.45506e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[4]~29 0xc0 1.42887e+07 0.484375;
u0_m0_wo0_accum_p1_of_2_o[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[5]~30 0xc0 1.48911e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[5]~31 0xc0 1.33805e+07 0.507813;
u0_m0_wo0_accum_p1_of_2_o[6] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[6]~32 0xc0 1.46558e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[6]~33 0xc0 1.37883e+07 0.496094;
u0_m0_wo0_accum_p1_of_2_o[7] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[7]~34 0xc0 1.47557e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[7]~35 0xc0 1.3572e+07 0.501953;
u0_m0_wo0_accum_p1_of_2_o[8] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[8]~36 0xc0 1.47011e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[8]~37 0xc0 1.3677e+07 0.499023;
u0_m0_wo0_accum_p1_of_2_o[9] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[9]~38 0xc0 1.47272e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[9]~39 0xc0 1.36237e+07 0.500488;
u0_m0_wo0_accum_p1_of_2_o[10] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[10]~40 0xc0 1.47138e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[10]~41 0xc0 1.36501e+07 0.499756;
u0_m0_wo0_accum_p1_of_2_o[11] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[11]~42 0xc0 1.47204e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[11]~43 0xc0 1.36369e+07 0.500122;
u0_m0_wo0_accum_p1_of_2_o[12] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[12]~44 0xc0 1.47171e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[12]~45 0xc0 1.36435e+07 0.499939;
u0_m0_wo0_accum_p1_of_2_o[13] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[13]~46 0xc0 1.47188e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[13]~47 0xc0 1.36402e+07 0.500031;
u0_m0_wo0_accum_p1_of_2_o[14] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[14]~48 0xc0 1.47179e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[14]~49 0xc0 1.36418e+07 0.499985;
u0_m0_wo0_accum_p1_of_2_o[15] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[15]~50 0xc0 1.47184e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[15]~51 0xc0 1.3641e+07 0.500008;
u0_m0_wo0_accum_p1_of_2_o[16] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[16]~52 0xc0 1.47181e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[16]~53 0xc0 1.36414e+07 0.499996;
u0_m0_wo0_accum_p1_of_2_o[17] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[17]~54 0xc0 1.47182e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[17]~55 0xc0 1.36412e+07 0.500002;
u0_m0_wo0_accum_p1_of_2_o[18] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[18]~56 0xc0 1.47182e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[18]~57 0xc0 1.36413e+07 0.499999;
u0_m0_wo0_accum_p1_of_2_o[19] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p1_of_2_o[19]~58 0xc0 1.36413e+07 0.499999;
u0_m0_wo0_accum_p2_of_2_o[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[1]~12 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[1]~13 0xc0 1.63336e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[1]~14 0xc0 9.73736e+06 0.5;
u0_m0_wo0_accum_p2_of_2_o[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[2]~15 0xc0 1.37422e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[2]~16 0xc0 1.31533e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[3]~17 0xc0 1.45962e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[3]~18 0xc0 1.35803e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[4]~19 0xc0 1.4703e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[4]~20 0xc0 1.36336e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[5]~21 0xc0 1.84856e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[5]~22 0xc0 1.92943e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[6] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[6]~23 0xc0 1.99008e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[6]~24 0xc0 2.00018e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[7] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[7]~25 0xc0 2.00777e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[7]~26 0xc0 2.00903e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[8] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[8]~27 0xc0 2.00998e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[8]~28 0xc0 2.01013e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[9] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[9]~29 0xc0 2.01025e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[9]~30 0xc0 2.01027e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[10] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[10]~31 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[10]~32 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[11] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_accum_p2_of_2_o[11]~33 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[0]~15 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[1]~5 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[1]~6 0xc0 1.13079e+07 0.25;
u0_m0_wo0_ca0_i[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[2]~7 0xc0 1.82183e+07 0.5;
u0_m0_wo0_ca0_i[2]~8 0xc0 4.79015e+06 0.875;
u0_m0_wo0_ca0_i[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[3]~9 0xc0 1.81005e+07 0.5;
u0_m0_wo0_ca0_i[3]~10 0xc0 1.69029e+07 0.0625;
u0_m0_wo0_ca0_i[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[4]~11 0xc0 2.61986e+07 0.5;
u0_m0_wo0_ca0_i[4]~12 0xc0 4.38279e+06 0.96875;
u0_m0_wo0_ca0_i[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_ca0_i[5]~13 0xc0 2.10772e+07 0.5;
u0_m0_wo0_cm0_q[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_cm0_q[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_cm0_q[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_cm0_q[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_cm0_q[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_cm0_q[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_cm0_q[6] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_cm0_q[7] 0xc0 2.01029e+07 0.5;
lpm_mult:u0_m0_wo0_mtree_mult1_0_component;
mult_ncu:auto_generated;
mac_mult1 0xc0 8.04117e+07 0.5;
mac_mult1~12 0xc0 0 0;
mac_mult1~13 0xc0 0 0;
mac_mult1~14 0xc0 0 0;
mac_mult1~15 0xc0 0 0;
mac_mult1~16 0xc0 0 0;
mac_mult1~17 0xc0 0 0;
mac_mult1~18 0xc0 0 0;
mac_mult1~19 0xc0 0 0;
mac_mult1~20 0xc0 0 0;
mac_mult1~21 0xc0 0 0;
mac_mult1~22 0xc0 0 0;
mac_mult1~23 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT2 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT3 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT4 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT5 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT6 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT7 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT8 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT9 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT10 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT11 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT12 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT13 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT14 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT15 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT16 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT17 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT18 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT19 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT20 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT21 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT22 0xc0 8.04117e+07 0.5;
mac_mult1~DATAOUT23 0xc0 8.04117e+07 0.5;
result[0] 0xc0 2.01029e+07 0.5;
result[1] 0xc0 2.01029e+07 0.5;
result[2] 0xc0 2.01029e+07 0.5;
result[3] 0xc0 2.01029e+07 0.5;
result[4] 0xc0 2.01029e+07 0.5;
result[5] 0xc0 2.01029e+07 0.5;
result[6] 0xc0 2.01029e+07 0.5;
result[7] 0xc0 2.01029e+07 0.5;
result[8] 0xc0 2.01029e+07 0.5;
result[9] 0xc0 2.01029e+07 0.5;
result[10] 0xc0 2.01029e+07 0.5;
result[11] 0xc0 2.01029e+07 0.5;
result[12] 0xc0 2.01029e+07 0.5;
result[13] 0xc0 2.01029e+07 0.5;
result[14] 0xc0 2.01029e+07 0.5;
result[15] 0xc0 2.01029e+07 0.5;
result[16] 0xc0 2.01029e+07 0.5;
result[17] 0xc0 2.01029e+07 0.5;
result[18] 0xc0 2.01029e+07 0.5;
result[19] 0xc0 2.01029e+07 0.5;
result[20] 0xc0 2.01029e+07 0.5;
result[21] 0xc0 2.01029e+07 0.5;
result[22] 0xc0 2.01029e+07 0.5;
result[23] 0xc0 2.01029e+07 0.5;
altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem;
altsyncram_0mn3:auto_generated;
q_b[0] 0xc0 2.01029e+07 0.5;
q_b[1] 0xc0 2.01029e+07 0.5;
q_b[2] 0xc0 2.01029e+07 0.5;
q_b[3] 0xc0 2.01029e+07 0.5;
q_b[4] 0xc0 2.01029e+07 0.5;
q_b[5] 0xc0 2.01029e+07 0.5;
q_b[6] 0xc0 2.01029e+07 0.5;
q_b[7] 0xc0 2.01029e+07 0.5;
q_b[8] 0xc0 2.01029e+07 0.5;
q_b[9] 0xc0 2.01029e+07 0.5;
q_b[10] 0xc0 2.01029e+07 0.5;
q_b[11] 0xc0 2.01029e+07 0.5;
q_b[12] 0xc0 2.01029e+07 0.5;
q_b[13] 0xc0 2.01029e+07 0.5;
q_b[14] 0xc0 2.01029e+07 0.5;
q_b[15] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 0xc0 1.13079e+07 0.25;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 0xc0 1.69618e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 0xc0 9.36435e+06 0.625;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 0xc0 1.43557e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 0xc0 1.66796e+07 0.4375;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 0xc0 1.56545e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 0xc0 1.27941e+07 0.53125;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 0xc0 1.45506e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 0xc0 1.42887e+07 0.484375;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 0xc0 1.86641e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 0xc0 1.50772e+07 0.25;
u0_m0_wo0_wi0_r0_ra0_count0_i[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 0xc0 1.82183e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 0xc0 1.13079e+07 0.25;
u0_m0_wo0_wi0_r0_ra0_count0_i[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 0xc0 1.82183e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 0xc0 4.79015e+06 0.875;
u0_m0_wo0_wi0_r0_ra0_count0_i[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 0xc0 1.81005e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 0xc0 1.69029e+07 0.0625;
u0_m0_wo0_wi0_r0_ra0_count0_i[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 0xc0 2.61986e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 0xc0 4.38279e+06 0.96875;
u0_m0_wo0_wi0_r0_ra0_count0_i[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 0xc0 2.10772e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 0xc0 1.13079e+07 0.25;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 0xc0 1.82183e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 0xc0 5.33984e+06 0.875;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 0xc0 1.83753e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 0xc0 1.70404e+07 0.0625;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 0xc0 2.62673e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 0xc0 4.41715e+06 0.96875;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 0xc0 2.10943e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 0xc0 1.999e+07 0.015625;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 0xc0 2.94795e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[0]~15 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[1]~5 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 0xc0 1.13079e+07 0.25;
u0_m0_wo0_wi0_r0_ra0_count1_i[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[2]~7 0xc0 1.82183e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 0xc0 4.79015e+06 0.875;
u0_m0_wo0_wi0_r0_ra0_count1_i[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[3]~9 0xc0 1.81005e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 0xc0 1.69029e+07 0.0625;
u0_m0_wo0_wi0_r0_ra0_count1_i[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[4]~11 0xc0 2.61986e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 0xc0 4.38279e+06 0.96875;
u0_m0_wo0_wi0_r0_ra0_count1_i[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_ra0_count1_i[5]~13 0xc0 2.10772e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[0] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[0]~15 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[1] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[1]~5 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[1]~6 0xc0 1.13079e+07 0.25;
u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[2] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[2]~7 0xc0 1.82183e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[2]~8 0xc0 4.79015e+06 0.875;
u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[3] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[3]~9 0xc0 1.81005e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[3]~10 0xc0 1.69029e+07 0.0625;
u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[4] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[4]~11 0xc0 2.61986e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[4]~12 0xc0 4.38279e+06 0.96875;
u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[5] 0xc0 2.01029e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[5]~13 0xc0 2.10772e+07 0.5;
u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell 0xc0 2.01029e+07 0.5;
auk_dspip_avalon_streaming_sink_hpfir:sink;
auk_dspip_avalon_streaming_source_hpfir:source;
data_out[0] 0xc0 2.01029e+07 0.5;
data_out[1] 0xc0 2.01029e+07 0.5;
data_out[2] 0xc0 2.01029e+07 0.5;
data_out[3] 0xc0 2.01029e+07 0.5;
data_out[4] 0xc0 2.01029e+07 0.5;
data_out[5] 0xc0 2.01029e+07 0.5;
data_out[6] 0xc0 2.01029e+07 0.5;
data_out[7] 0xc0 2.01029e+07 0.5;
data_out[8] 0xc0 2.01029e+07 0.5;
data_out[8]~feeder 0xc0 2.01029e+07 0.5;
data_out[9] 0xc0 2.01029e+07 0.5;
data_out[10] 0xc0 2.01029e+07 0.5;
data_out[10]~feeder 0xc0 2.01029e+07 0.5;
data_out[11] 0xc0 2.01029e+07 0.5;
data_out[12] 0xc0 2.01029e+07 0.5;
data_out[13] 0xc0 2.01029e+07 0.5;
data_out[14] 0xc0 2.01029e+07 0.5;
data_out[15] 0xc0 2.01029e+07 0.5;
data_out[16] 0xc0 2.01029e+07 0.5;
data_out[17] 0xc0 2.01029e+07 0.5;
data_out[18] 0xc0 2.01029e+07 0.5;
data_out[18]~feeder 0xc0 2.01029e+07 0.5;
data_out[19] 0xc0 2.01029e+07 0.5;
data_out[20] 0xc0 2.01029e+07 0.5;
data_out[21] 0xc0 2.01029e+07 0.5;
data_out[22] 0xc0 2.01029e+07 0.5;
data_out[23] 0xc0 2.01029e+07 0.5;
data_out[24] 0xc0 2.01029e+07 0.5;
data_out[25] 0xc0 2.01029e+07 0.5;
data_out[26] 0xc0 2.01029e+07 0.5;
data_out[27] 0xc0 2.01029e+07 0.5;
data_out[28] 0xc0 2.01029e+07 0.5;
data_out[29] 0xc0 2.01029e+07 0.5;
tx_mixer:TX_MIXER_I;
lpm_mult:lpm_mult_component;
mult_abt:auto_generated;
mac_mult1 0xc0 4.02059e+07 0.5;
mac_mult1~0 0xc0 0 0;
mac_mult1~1 0xc0 0 0;
mac_mult1~2 0xc0 0 0;
mac_mult1~3 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT2 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT3 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT4 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT5 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT6 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT7 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT8 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT9 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT10 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT11 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT12 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT13 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT14 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT15 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT16 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT17 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT18 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT19 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT20 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT21 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT22 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT23 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT24 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT25 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT26 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT27 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT28 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT29 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT30 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT31 0xc0 4.02059e+07 0.5;
result[0] 0xc0 2.01029e+07 0.5;
result[1] 0xc0 2.01029e+07 0.5;
result[2] 0xc0 2.01029e+07 0.5;
result[3] 0xc0 2.01029e+07 0.5;
result[4] 0xc0 2.01029e+07 0.5;
result[5] 0xc0 2.01029e+07 0.5;
result[6] 0xc0 2.01029e+07 0.5;
result[7] 0xc0 2.01029e+07 0.5;
result[8] 0xc0 2.01029e+07 0.5;
result[9] 0xc0 2.01029e+07 0.5;
result[10] 0xc0 2.01029e+07 0.5;
result[11] 0xc0 2.01029e+07 0.5;
result[12] 0xc0 2.01029e+07 0.5;
result[13] 0xc0 2.01029e+07 0.5;
result[14] 0xc0 2.01029e+07 0.5;
result[15] 0xc0 2.01029e+07 0.5;
result[16] 0xc0 2.01029e+07 0.5;
result[17] 0xc0 2.01029e+07 0.5;
result[18] 0xc0 2.01029e+07 0.5;
result[19] 0xc0 2.01029e+07 0.5;
result[20] 0xc0 2.01029e+07 0.5;
result[21] 0xc0 2.01029e+07 0.5;
result[22] 0xc0 2.01029e+07 0.5;
result[23] 0xc0 2.01029e+07 0.5;
result[24] 0xc0 2.01029e+07 0.5;
result[25] 0xc0 2.01029e+07 0.5;
result[26] 0xc0 2.01029e+07 0.5;
result[27] 0xc0 2.01029e+07 0.5;
result[28] 0xc0 2.01029e+07 0.5;
result[29] 0xc0 2.01029e+07 0.5;
result[30] 0xc0 2.01029e+07 0.5;
result[31] 0xc0 2.01029e+07 0.5;
tx_mixer:TX_MIXER_Q;
lpm_mult:lpm_mult_component;
mult_abt:auto_generated;
mac_mult1 0xc0 4.02059e+07 0.5;
mac_mult1~0 0xc0 0 0;
mac_mult1~1 0xc0 0 0;
mac_mult1~2 0xc0 0 0;
mac_mult1~3 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT2 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT3 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT4 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT5 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT6 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT7 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT8 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT9 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT10 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT11 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT12 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT13 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT14 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT15 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT16 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT17 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT18 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT19 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT20 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT21 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT22 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT23 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT24 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT25 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT26 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT27 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT28 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT29 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT30 0xc0 4.02059e+07 0.5;
mac_mult1~DATAOUT31 0xc0 4.02059e+07 0.5;
result[0] 0xc0 2.01029e+07 0.5;
result[1] 0xc0 2.01029e+07 0.5;
result[2] 0xc0 2.01029e+07 0.5;
result[3] 0xc0 2.01029e+07 0.5;
result[4] 0xc0 2.01029e+07 0.5;
result[5] 0xc0 2.01029e+07 0.5;
result[6] 0xc0 2.01029e+07 0.5;
result[7] 0xc0 2.01029e+07 0.5;
result[8] 0xc0 2.01029e+07 0.5;
result[9] 0xc0 2.01029e+07 0.5;
result[10] 0xc0 2.01029e+07 0.5;
result[11] 0xc0 2.01029e+07 0.5;
result[12] 0xc0 2.01029e+07 0.5;
result[13] 0xc0 2.01029e+07 0.5;
result[14] 0xc0 2.01029e+07 0.5;
result[15] 0xc0 2.01029e+07 0.5;
result[16] 0xc0 2.01029e+07 0.5;
result[17] 0xc0 2.01029e+07 0.5;
result[18] 0xc0 2.01029e+07 0.5;
result[19] 0xc0 2.01029e+07 0.5;
result[20] 0xc0 2.01029e+07 0.5;
result[21] 0xc0 2.01029e+07 0.5;
result[22] 0xc0 2.01029e+07 0.5;
result[23] 0xc0 2.01029e+07 0.5;
result[24] 0xc0 2.01029e+07 0.5;
result[25] 0xc0 2.01029e+07 0.5;
result[26] 0xc0 2.01029e+07 0.5;
result[27] 0xc0 2.01029e+07 0.5;
result[28] 0xc0 2.01029e+07 0.5;
result[29] 0xc0 2.01029e+07 0.5;
result[30] 0xc0 2.01029e+07 0.5;
result[31] 0xc0 2.01029e+07 0.5;
tx_nco:TX_NCO;
tx_nco_nco_ii_0:nco_ii_0;
asj_nco_mob_w:blk0;
Equal0~0 0xc0 2.4736e+06 0.0625;
Equal0~1 0xc0 1.50772e+07 0.25;
Equal0~2 0xc0 50785.7 0.00390625;
Equal0~3 0xc0 2.4736e+06 0.0625;
Equal0~4 0xc0 2.4736e+06 0.0625;
Equal0~5 0xc0 3.52311 0.999992;
add_one 0xc0 1.13079e+07 0.25;
data_tmp[0] 0xc0 2.01029e+07 0.5;
data_tmp[1] 0xc0 2.01029e+07 0.5;
data_tmp[2] 0xc0 2.01029e+07 0.5;
data_tmp[3] 0xc0 2.01029e+07 0.5;
data_tmp[4] 0xc0 2.01029e+07 0.5;
data_tmp[5] 0xc0 2.01029e+07 0.5;
data_tmp[6] 0xc0 2.01029e+07 0.5;
data_tmp[7] 0xc0 2.01029e+07 0.5;
data_tmp[8] 0xc0 2.01029e+07 0.5;
data_tmp[9] 0xc0 2.01029e+07 0.5;
data_tmp[10] 0xc0 2.01029e+07 0.5;
data_tmp[11] 0xc0 2.01029e+07 0.5;
data_tmp[12] 0xc0 2.01029e+07 0.5;
data_tmp[13] 0xc0 2.01029e+07 0.5;
data_tmp[14] 0xc0 2.01029e+07 0.5;
data_tmp[15] 0xc0 2.01029e+07 0.5;
is_zero 0xc0 2.01029e+07 0.5;
lpm_add_sub:lpm_add_sub_component;
add_sub_jpk:auto_generated;
pipeline_dffe[0]~16 0xc0 1.82183e+07 0.5;
pipeline_dffe[0]~17 0xc0 6.91038e+06 0.125;
pipeline_dffe[1]~18 0xc0 1.91606e+07 0.5;
pipeline_dffe[1]~19 0xc0 2.4736e+06 0.9375;
pipeline_dffe[2]~20 0xc0 1.89839e+07 0.5;
pipeline_dffe[2]~21 0xc0 1.83655e+07 0.03125;
pipeline_dffe[3]~22 0xc0 2.80685e+07 0.5;
pipeline_dffe[3]~23 0xc0 4.63064e+06 0.984375;
pipeline_dffe[4]~24 0xc0 2.17998e+07 0.5;
pipeline_dffe[4]~25 0xc0 2.06422e+07 0.0078125;
pipeline_dffe[5]~26 0xc0 3.01124e+07 0.5;
pipeline_dffe[5]~27 0xc0 5.163e+06 0.996094;
pipeline_dffe[6]~28 0xc0 2.2528e+07 0.5;
pipeline_dffe[6]~29 0xc0 2.12372e+07 0.00195313;
pipeline_dffe[7]~30 0xc0 3.06432e+07 0.5;
pipeline_dffe[7]~31 0xc0 5.30946e+06 0.999023;
pipeline_dffe[8]~32 0xc0 2.27184e+07 0.5;
pipeline_dffe[8]~33 0xc0 2.13911e+07 0.000488281;
pipeline_dffe[9]~34 0xc0 3.07788e+07 0.5;
pipeline_dffe[9]~35 0xc0 5.34778e+06 0.999756;
pipeline_dffe[10]~36 0xc0 2.2767e+07 0.5;
pipeline_dffe[10]~37 0xc0 2.14301e+07 0.00012207;
pipeline_dffe[11]~38 0xc0 3.0813e+07 0.5;
pipeline_dffe[11]~39 0xc0 5.35752e+06 0.999939;
pipeline_dffe[12]~40 0xc0 2.27792e+07 0.5;
pipeline_dffe[12]~41 0xc0 2.14399e+07 3.05176e-05;
pipeline_dffe[13]~42 0xc0 3.08216e+07 0.5;
pipeline_dffe[13]~43 0xc0 5.35996e+06 0.999985;
pipeline_dffe[14]~44 0xc0 2.27823e+07 0.5;
pipeline_dffe[14]~45 0xc0 2.14423e+07 7.62939e-06;
pipeline_dffe[15]~46 0xc0 3.08238e+07 0.5;
asj_nco_mob_w:blk1;
Equal0~0 0xc0 2.4736e+06 0.0625;
Equal0~1 0xc0 1.50772e+07 0.25;
Equal0~2 0xc0 85122.1 0.00390625;
Equal0~3 0xc0 2.4736e+06 0.0625;
Equal0~4 0xc0 2.4736e+06 0.0625;
Equal0~5 0xc0 6.02099 0.999992;
add_one 0xc0 1.50772e+07 0.25;
data_tmp[0] 0xc0 2.01029e+07 0.5;
data_tmp[1] 0xc0 2.01029e+07 0.5;
data_tmp[2] 0xc0 2.01029e+07 0.5;
data_tmp[3] 0xc0 2.01029e+07 0.5;
data_tmp[4] 0xc0 2.01029e+07 0.5;
data_tmp[5] 0xc0 2.01029e+07 0.5;
data_tmp[6] 0xc0 2.01029e+07 0.5;
data_tmp[7] 0xc0 2.01029e+07 0.5;
data_tmp[8] 0xc0 2.01029e+07 0.5;
data_tmp[9] 0xc0 2.01029e+07 0.5;
data_tmp[10] 0xc0 2.01029e+07 0.5;
data_tmp[11] 0xc0 2.01029e+07 0.5;
data_tmp[12] 0xc0 2.01029e+07 0.5;
data_tmp[13] 0xc0 2.01029e+07 0.5;
data_tmp[14] 0xc0 2.01029e+07 0.5;
data_tmp[15] 0xc0 2.01029e+07 0.5;
is_zero 0xc0 2.01029e+07 0.5;
lpm_add_sub:lpm_add_sub_component;
add_sub_jpk:auto_generated;
pipeline_dffe[0]~16 0xc0 2.01029e+07 0.5;
pipeline_dffe[0]~17 0xc0 8.79503e+06 0.125;
pipeline_dffe[1]~18 0xc0 2.01029e+07 0.5;
pipeline_dffe[1]~19 0xc0 3.06256e+06 0.9375;
pipeline_dffe[2]~20 0xc0 1.92784e+07 0.5;
pipeline_dffe[2]~21 0xc0 1.85128e+07 0.03125;
pipeline_dffe[3]~22 0xc0 2.81421e+07 0.5;
pipeline_dffe[3]~23 0xc0 4.66745e+06 0.984375;
pipeline_dffe[4]~24 0xc0 2.18183e+07 0.5;
pipeline_dffe[4]~25 0xc0 2.06514e+07 0.0078125;
pipeline_dffe[5]~26 0xc0 3.0117e+07 0.5;
pipeline_dffe[5]~27 0xc0 5.1653e+06 0.996094;
pipeline_dffe[6]~28 0xc0 2.25291e+07 0.5;
pipeline_dffe[6]~29 0xc0 2.12378e+07 0.00195313;
pipeline_dffe[7]~30 0xc0 3.06435e+07 0.5;
pipeline_dffe[7]~31 0xc0 5.30961e+06 0.999023;
pipeline_dffe[8]~32 0xc0 2.27185e+07 0.5;
pipeline_dffe[8]~33 0xc0 2.13911e+07 0.000488281;
pipeline_dffe[9]~34 0xc0 3.07789e+07 0.5;
pipeline_dffe[9]~35 0xc0 5.34779e+06 0.999756;
pipeline_dffe[10]~36 0xc0 2.2767e+07 0.5;
pipeline_dffe[10]~37 0xc0 2.14301e+07 0.00012207;
pipeline_dffe[11]~38 0xc0 3.08131e+07 0.5;
pipeline_dffe[11]~39 0xc0 5.35752e+06 0.999939;
pipeline_dffe[12]~40 0xc0 2.27792e+07 0.5;
pipeline_dffe[12]~41 0xc0 2.14399e+07 3.05176e-05;
pipeline_dffe[13]~42 0xc0 3.08216e+07 0.5;
pipeline_dffe[13]~43 0xc0 5.35996e+06 0.999985;
pipeline_dffe[14]~44 0xc0 2.27823e+07 0.5;
pipeline_dffe[14]~45 0xc0 2.14423e+07 7.62939e-06;
pipeline_dffe[15]~46 0xc0 3.08238e+07 0.5;
asj_nco_mady_cen:m0;
lpm_mult:Mult0;
mult_36t:auto_generated;
mac_mult1 0xc0 5.02573e+06 0.5;
mac_mult1~0 0xc0 0 0;
mac_mult1~1 0xc0 0 0;
mac_mult1~2 0xc0 0 0;
mac_mult1~3 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT2 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT3 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT4 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT5 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT6 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT7 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT8 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT9 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT10 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT11 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT12 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT13 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT14 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT15 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT16 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT17 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT18 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT19 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT20 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT21 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT22 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT23 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT24 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT25 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT26 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT27 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT28 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT29 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT30 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT31 0xc0 5.02573e+06 0.5;
mac_out2 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT1 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT2 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT3 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT4 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT5 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT6 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT7 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT8 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT9 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT10 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT11 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT12 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT13 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT14 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT15 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT16 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT17 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT18 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT19 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT20 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT21 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT22 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT23 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT24 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT25 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT26 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT27 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT28 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT29 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT30 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT31 0xc0 5.02573e+06 0.5;
lpm_mult:Mult1;
mult_36t:auto_generated;
mac_mult1 0xc0 5.02573e+06 0.5;
mac_mult1~0 0xc0 0 0;
mac_mult1~1 0xc0 0 0;
mac_mult1~2 0xc0 0 0;
mac_mult1~3 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT2 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT3 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT4 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT5 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT6 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT7 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT8 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT9 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT10 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT11 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT12 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT13 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT14 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT15 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT16 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT17 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT18 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT19 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT20 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT21 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT22 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT23 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT24 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT25 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT26 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT27 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT28 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT29 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT30 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT31 0xc0 5.02573e+06 0.5;
mac_out2 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT1 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT2 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT3 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT4 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT5 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT6 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT7 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT8 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT9 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT10 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT11 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT12 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT13 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT14 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT15 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT16 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT17 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT18 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT19 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT20 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT21 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT22 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT23 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT24 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT25 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT26 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT27 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT28 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT29 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT30 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT31 0xc0 5.02573e+06 0.5;
out[15] 0xc0 2.01029e+07 0.5;
out[15]~18 0xc0 2.59139e+06 0.25;
out[15]~20 0xc0 1.98657e+06 0.625;
out[15]~22 0xc0 3.69124e+06 0.4375;
out[15]~24 0xc0 2.80924e+06 0.53125;
out[15]~26 0xc0 3.15405e+06 0.484375;
out[15]~28 0xc0 2.9465e+06 0.507813;
out[15]~30 0xc0 3.0401e+06 0.496094;
out[15]~32 0xc0 2.99058e+06 0.501953;
out[15]~34 0xc0 3.01464e+06 0.499023;
out[15]~36 0xc0 3.00244e+06 0.500488;
out[15]~38 0xc0 3.00849e+06 0.499756;
out[15]~40 0xc0 3.00545e+06 0.500122;
out[15]~42 0xc0 3.00697e+06 0.499939;
out[15]~44 0xc0 3.00621e+06 0.500031;
out[15]~46 0xc0 3.00659e+06 0.499985;
out[15]~47 0xc0 3.34304e+06 0.5;
out[15]~48 0xc0 3.0064e+06 0.500008;
out[16] 0xc0 2.01029e+07 0.5;
out[16]~49 0xc0 3.34299e+06 0.5;
out[16]~50 0xc0 3.0065e+06 0.499996;
out[17] 0xc0 2.01029e+07 0.5;
out[17]~51 0xc0 3.34302e+06 0.5;
out[17]~52 0xc0 3.00645e+06 0.500002;
out[18] 0xc0 2.01029e+07 0.5;
out[18]~53 0xc0 3.34301e+06 0.5;
out[18]~54 0xc0 3.00647e+06 0.499999;
out[19] 0xc0 2.01029e+07 0.5;
out[19]~55 0xc0 3.34301e+06 0.5;
out[19]~56 0xc0 3.00646e+06 0.5;
out[20] 0xc0 2.01029e+07 0.5;
out[20]~57 0xc0 3.34301e+06 0.5;
out[20]~58 0xc0 3.00647e+06 0.5;
out[21] 0xc0 2.01029e+07 0.5;
out[21]~59 0xc0 3.34301e+06 0.5;
out[21]~60 0xc0 3.00646e+06 0.5;
out[22] 0xc0 2.01029e+07 0.5;
out[22]~61 0xc0 3.34301e+06 0.5;
out[22]~62 0xc0 3.00647e+06 0.5;
out[23] 0xc0 2.01029e+07 0.5;
out[23]~63 0xc0 3.34301e+06 0.5;
out[23]~64 0xc0 3.00646e+06 0.5;
out[24] 0xc0 2.01029e+07 0.5;
out[24]~65 0xc0 3.34301e+06 0.5;
out[24]~66 0xc0 3.00646e+06 0.5;
out[25] 0xc0 2.01029e+07 0.5;
out[25]~67 0xc0 3.34301e+06 0.5;
out[25]~68 0xc0 3.00646e+06 0.5;
out[26] 0xc0 2.01029e+07 0.5;
out[26]~69 0xc0 3.34301e+06 0.5;
out[26]~70 0xc0 3.00646e+06 0.5;
out[27] 0xc0 2.01029e+07 0.5;
out[27]~71 0xc0 3.34301e+06 0.5;
out[27]~72 0xc0 3.00646e+06 0.5;
out[28] 0xc0 2.01029e+07 0.5;
out[28]~73 0xc0 3.34301e+06 0.5;
out[28]~74 0xc0 3.00646e+06 0.5;
out[29] 0xc0 2.01029e+07 0.5;
out[29]~75 0xc0 3.34301e+06 0.5;
out[29]~76 0xc0 3.00646e+06 0.5;
out[30] 0xc0 2.01029e+07 0.5;
out[30]~77 0xc0 3.34301e+06 0.5;
out[30]~78 0xc0 3.00646e+06 0.5;
out[31] 0xc0 2.01029e+07 0.5;
out[31]~79 0xc0 4.52092e+06 0.5;
asj_nco_madx_cen:m1;
lpm_mult:Mult0;
mult_36t:auto_generated;
mac_mult1 0xc0 5.02573e+06 0.5;
mac_mult1~0 0xc0 0 0;
mac_mult1~1 0xc0 0 0;
mac_mult1~2 0xc0 0 0;
mac_mult1~3 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT2 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT3 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT4 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT5 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT6 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT7 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT8 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT9 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT10 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT11 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT12 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT13 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT14 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT15 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT16 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT17 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT18 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT19 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT20 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT21 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT22 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT23 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT24 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT25 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT26 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT27 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT28 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT29 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT30 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT31 0xc0 5.02573e+06 0.5;
mac_out2 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT1 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT2 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT3 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT4 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT5 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT6 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT7 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT8 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT9 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT10 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT11 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT12 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT13 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT14 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT15 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT16 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT17 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT18 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT19 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT20 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT21 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT22 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT23 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT24 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT25 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT26 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT27 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT28 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT29 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT30 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT31 0xc0 5.02573e+06 0.5;
lpm_mult:Mult1;
mult_36t:auto_generated;
mac_mult1 0xc0 5.02573e+06 0.5;
mac_mult1~0 0xc0 0 0;
mac_mult1~1 0xc0 0 0;
mac_mult1~2 0xc0 0 0;
mac_mult1~3 0xc0 0 0;
mac_mult1~DATAOUT1 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT2 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT3 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT4 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT5 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT6 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT7 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT8 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT9 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT10 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT11 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT12 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT13 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT14 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT15 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT16 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT17 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT18 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT19 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT20 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT21 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT22 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT23 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT24 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT25 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT26 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT27 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT28 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT29 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT30 0xc0 5.02573e+06 0.5;
mac_mult1~DATAOUT31 0xc0 5.02573e+06 0.5;
mac_out2 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT1 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT2 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT3 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT4 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT5 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT6 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT7 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT8 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT9 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT10 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT11 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT12 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT13 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT14 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT15 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT16 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT17 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT18 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT19 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT20 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT21 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT22 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT23 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT24 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT25 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT26 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT27 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT28 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT29 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT30 0xc0 5.02573e+06 0.5;
mac_out2~DATAOUT31 0xc0 5.02573e+06 0.5;
out[15] 0xc0 2.01029e+07 0.5;
out[15]~18 0xc0 2.59139e+06 0.75;
out[15]~20 0xc0 1.98657e+06 0.375;
out[15]~22 0xc0 2.39554e+06 0.5625;
out[15]~24 0xc0 3.29513e+06 0.46875;
out[15]~26 0xc0 2.89086e+06 0.515625;
out[15]~28 0xc0 3.07556e+06 0.492188;
out[15]~30 0xc0 2.97525e+06 0.503906;
out[15]~32 0xc0 3.02297e+06 0.498047;
out[15]~34 0xc0 2.99844e+06 0.500977;
out[15]~36 0xc0 3.01053e+06 0.499512;
out[15]~38 0xc0 3.00444e+06 0.500244;
out[15]~40 0xc0 3.00748e+06 0.499878;
out[15]~42 0xc0 3.00596e+06 0.500061;
out[15]~44 0xc0 3.00672e+06 0.499969;
out[15]~46 0xc0 3.00634e+06 0.500015;
out[15]~47 0xc0 3.34298e+06 0.5;
out[15]~48 0xc0 3.00653e+06 0.499992;
out[16] 0xc0 2.01029e+07 0.5;
out[16]~49 0xc0 3.34303e+06 0.5;
out[16]~50 0xc0 3.00643e+06 0.500004;
out[17] 0xc0 2.01029e+07 0.5;
out[17]~51 0xc0 3.343e+06 0.5;
out[17]~52 0xc0 3.00648e+06 0.499998;
out[18] 0xc0 2.01029e+07 0.5;
out[18]~53 0xc0 3.34301e+06 0.5;
out[18]~54 0xc0 3.00646e+06 0.500001;
out[19] 0xc0 2.01029e+07 0.5;
out[19]~55 0xc0 3.34301e+06 0.5;
out[19]~56 0xc0 3.00647e+06 0.5;
out[20] 0xc0 2.01029e+07 0.5;
out[20]~57 0xc0 3.34301e+06 0.5;
out[20]~58 0xc0 3.00646e+06 0.5;
out[21] 0xc0 2.01029e+07 0.5;
out[21]~59 0xc0 3.34301e+06 0.5;
out[21]~60 0xc0 3.00647e+06 0.5;
out[22] 0xc0 2.01029e+07 0.5;
out[22]~61 0xc0 3.34301e+06 0.5;
out[22]~62 0xc0 3.00646e+06 0.5;
out[23] 0xc0 2.01029e+07 0.5;
out[23]~63 0xc0 3.34301e+06 0.5;
out[23]~64 0xc0 3.00646e+06 0.5;
out[24] 0xc0 2.01029e+07 0.5;
out[24]~65 0xc0 3.34301e+06 0.5;
out[24]~66 0xc0 3.00646e+06 0.5;
out[25] 0xc0 2.01029e+07 0.5;
out[25]~67 0xc0 3.34301e+06 0.5;
out[25]~68 0xc0 3.00646e+06 0.5;
out[26] 0xc0 2.01029e+07 0.5;
out[26]~69 0xc0 3.34301e+06 0.5;
out[26]~70 0xc0 3.00646e+06 0.5;
out[27] 0xc0 2.01029e+07 0.5;
out[27]~71 0xc0 3.34301e+06 0.5;
out[27]~72 0xc0 3.00646e+06 0.5;
out[28] 0xc0 2.01029e+07 0.5;
out[28]~73 0xc0 3.34301e+06 0.5;
out[28]~74 0xc0 3.00646e+06 0.5;
out[29] 0xc0 2.01029e+07 0.5;
out[29]~75 0xc0 3.34301e+06 0.5;
out[29]~76 0xc0 3.00646e+06 0.5;
out[30] 0xc0 2.01029e+07 0.5;
out[30]~77 0xc0 3.34301e+06 0.5;
out[30]~78 0xc0 3.00646e+06 0.5;
out[31] 0xc0 2.01029e+07 0.5;
out[31]~79 0xc0 4.52091e+06 0.5;
asj_altqmcpipe:ux000;
lpm_add_sub:acc;
add_sub_u4i:auto_generated;
pipeline_dffe[0] 0xc0 2.01029e+07 0.5;
pipeline_dffe[0]~22 0xc0 2.01029e+07 0.5;
pipeline_dffe[0]~23 0xc0 1.13079e+07 0.25;
pipeline_dffe[0]~feeder 0xc0 2.01029e+07 0.5;
pipeline_dffe[1] 0xc0 2.01029e+07 0.5;
pipeline_dffe[1]~24 0xc0 1.69618e+07 0.5;
pipeline_dffe[1]~25 0xc0 9.36435e+06 0.625;
pipeline_dffe[2] 0xc0 2.01029e+07 0.5;
pipeline_dffe[2]~26 0xc0 1.43557e+07 0.5;
pipeline_dffe[2]~27 0xc0 1.66796e+07 0.4375;
pipeline_dffe[3] 0xc0 2.01029e+07 0.5;
pipeline_dffe[3]~28 0xc0 1.56545e+07 0.5;
pipeline_dffe[3]~29 0xc0 1.27941e+07 0.53125;
pipeline_dffe[4] 0xc0 2.01029e+07 0.5;
pipeline_dffe[4]~30 0xc0 1.45506e+07 0.5;
pipeline_dffe[4]~31 0xc0 1.42887e+07 0.484375;
pipeline_dffe[5] 0xc0 2.01029e+07 0.5;
pipeline_dffe[5]~32 0xc0 1.48911e+07 0.5;
pipeline_dffe[5]~33 0xc0 1.33805e+07 0.507813;
pipeline_dffe[6] 0xc0 2.01029e+07 0.5;
pipeline_dffe[6]~34 0xc0 1.46558e+07 0.5;
pipeline_dffe[6]~35 0xc0 1.37883e+07 0.496094;
pipeline_dffe[7] 0xc0 2.01029e+07 0.5;
pipeline_dffe[7]~36 0xc0 1.47557e+07 0.5;
pipeline_dffe[7]~37 0xc0 1.3572e+07 0.501953;
pipeline_dffe[8] 0xc0 2.01029e+07 0.5;
pipeline_dffe[8]~38 0xc0 1.47011e+07 0.5;
pipeline_dffe[8]~39 0xc0 1.3677e+07 0.499023;
pipeline_dffe[9] 0xc0 2.01029e+07 0.5;
pipeline_dffe[9]~40 0xc0 1.47272e+07 0.5;
pipeline_dffe[9]~41 0xc0 1.36237e+07 0.500488;
pipeline_dffe[10] 0xc0 2.01029e+07 0.5;
pipeline_dffe[10]~42 0xc0 1.47138e+07 0.5;
pipeline_dffe[10]~43 0xc0 1.36501e+07 0.499756;
pipeline_dffe[11] 0xc0 2.01029e+07 0.5;
pipeline_dffe[11]~44 0xc0 1.47204e+07 0.5;
pipeline_dffe[11]~45 0xc0 1.36369e+07 0.500122;
pipeline_dffe[12] 0xc0 2.01029e+07 0.5;
pipeline_dffe[12]~46 0xc0 1.47171e+07 0.5;
pipeline_dffe[12]~47 0xc0 1.36435e+07 0.499939;
pipeline_dffe[13] 0xc0 2.01029e+07 0.5;
pipeline_dffe[13]~48 0xc0 1.47188e+07 0.5;
pipeline_dffe[13]~49 0xc0 1.36402e+07 0.500031;
pipeline_dffe[14] 0xc0 2.01029e+07 0.5;
pipeline_dffe[14]~50 0xc0 1.47179e+07 0.5;
pipeline_dffe[14]~51 0xc0 1.36418e+07 0.499985;
pipeline_dffe[15] 0xc0 2.01029e+07 0.5;
pipeline_dffe[15]~52 0xc0 1.47184e+07 0.5;
pipeline_dffe[15]~53 0xc0 1.3641e+07 0.500008;
pipeline_dffe[16] 0xc0 2.01029e+07 0.5;
pipeline_dffe[16]~54 0xc0 1.47181e+07 0.5;
pipeline_dffe[16]~55 0xc0 1.36414e+07 0.499996;
pipeline_dffe[17] 0xc0 2.01029e+07 0.5;
pipeline_dffe[17]~56 0xc0 1.47182e+07 0.5;
pipeline_dffe[17]~57 0xc0 1.36412e+07 0.500002;
pipeline_dffe[18] 0xc0 2.01029e+07 0.5;
pipeline_dffe[18]~58 0xc0 1.47182e+07 0.5;
pipeline_dffe[18]~59 0xc0 1.36413e+07 0.499999;
pipeline_dffe[19] 0xc0 2.01029e+07 0.5;
pipeline_dffe[19]~60 0xc0 1.47182e+07 0.5;
pipeline_dffe[19]~61 0xc0 1.36413e+07 0.5;
pipeline_dffe[20] 0xc0 2.01029e+07 0.5;
pipeline_dffe[20]~62 0xc0 1.47182e+07 0.5;
pipeline_dffe[20]~63 0xc0 1.36413e+07 0.5;
pipeline_dffe[21] 0xc0 2.01029e+07 0.5;
pipeline_dffe[21]~64 0xc0 1.84875e+07 0.5;
phi_int_arr_reg[0] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[0]~5 0xc0 3.125e+06 0.5;
phi_int_arr_reg[1] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[1]~4 0xc0 3.125e+06 0.5;
phi_int_arr_reg[2] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[2]~feeder 0xc0 3.125e+06 0.5;
phi_int_arr_reg[3] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[3]~3 0xc0 3.125e+06 0.5;
phi_int_arr_reg[4] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[5] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[5]~2 0xc0 3.125e+06 0.5;
phi_int_arr_reg[6] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[6]~feeder 0xc0 3.125e+06 0.5;
phi_int_arr_reg[7] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[7]~1 0xc0 3.125e+06 0.5;
phi_int_arr_reg[8] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[8]~feeder 0xc0 3.125e+06 0.5;
phi_int_arr_reg[9] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[9]~0 0xc0 3.125e+06 0.5;
phi_int_arr_reg[10] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[10]~feeder 0xc0 3.125e+06 0.5;
phi_int_arr_reg[11] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[12] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[12]~6 0xc0 3.125e+06 0.5;
phi_int_arr_reg[13] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[13]~7 0xc0 3.125e+06 0.5;
phi_int_arr_reg[14] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[14]~feeder 0xc0 3.125e+06 0.5;
phi_int_arr_reg[15] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[15]~8 0xc0 3.125e+06 0.5;
phi_int_arr_reg[16] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[16]~9 0xc0 3.125e+06 0.5;
phi_int_arr_reg[17] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[17]~10 0xc0 3.125e+06 0.5;
phi_int_arr_reg[18] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[19] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[20] 0xc0 2.01029e+07 0.5;
phi_int_arr_reg[21] 0xc0 2.01029e+07 0.5;
asj_gam_dp:ux008;
Add0~0 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[0] 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[1] 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[1]~feeder 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[2] 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[2]~feeder 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[3] 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[3]~feeder 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[4] 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[4]~feeder 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[5] 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[6] 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[6]~feeder 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[7] 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[8] 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[9] 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[9]~0 0xc0 2.01029e+07 0.5;
rom_add_cc_temp[10] 0xc0 2.01029e+07 0.5;
rom_add_cs[9] 0xc0 2.01029e+07 0.5;
rom_add_cs[9]~feeder 0xc0 2.01029e+07 0.5;
rom_add_cs[10] 0xc0 2.01029e+07 0.5;
rom_add_cs[10]~feeder 0xc0 2.01029e+07 0.5;
rom_add_f[0] 0xc0 2.01029e+07 0.5;
rom_add_f[0]~feeder 0xc0 2.01029e+07 0.5;
rom_add_f[1] 0xc0 2.01029e+07 0.5;
rom_add_f[1]~feeder 0xc0 2.01029e+07 0.5;
rom_add_f[2] 0xc0 2.01029e+07 0.5;
rom_add_f[3] 0xc0 2.01029e+07 0.5;
rom_add_f[3]~feeder 0xc0 2.01029e+07 0.5;
rom_add_f[4] 0xc0 2.01029e+07 0.5;
rom_add_f[4]~feeder 0xc0 2.01029e+07 0.5;
rom_add_f[5] 0xc0 2.01029e+07 0.5;
rom_add_f[6] 0xc0 2.01029e+07 0.5;
rom_add_f[6]~feeder 0xc0 2.01029e+07 0.5;
rom_add_f[7] 0xc0 2.01029e+07 0.5;
rom_add_f[7]~feeder 0xc0 2.01029e+07 0.5;
rom_add_f[8] 0xc0 2.01029e+07 0.5;
rom_add_f[9] 0xc0 2.01029e+07 0.5;
rom_add_f[10] 0xc0 2.01029e+07 0.5;
rom_add_f[10]~feeder 0xc0 2.01029e+07 0.5;
asj_nco_as_m_cen:ux0122;
altsyncram:altsyncram_component0;
altsyncram_u8a1:auto_generated;
q_a[0] 0xc0 2.01029e+07 0.5;
q_a[1] 0xc0 2.01029e+07 0.5;
q_a[2] 0xc0 2.01029e+07 0.5;
q_a[3] 0xc0 2.01029e+07 0.5;
q_a[4] 0xc0 2.01029e+07 0.5;
q_a[5] 0xc0 2.01029e+07 0.5;
q_a[6] 0xc0 2.01029e+07 0.5;
q_a[7] 0xc0 2.01029e+07 0.5;
q_a[8] 0xc0 2.01029e+07 0.5;
q_a[9] 0xc0 2.01029e+07 0.5;
q_a[10] 0xc0 2.01029e+07 0.5;
q_a[11] 0xc0 2.01029e+07 0.5;
q_a[12] 0xc0 2.01029e+07 0.5;
q_a[13] 0xc0 2.01029e+07 0.5;
q_a[14] 0xc0 2.01029e+07 0.5;
q_a[15] 0xc0 2.01029e+07 0.5;
asj_nco_as_m_cen:ux0123;
altsyncram:altsyncram_component0;
altsyncram_p8a1:auto_generated;
q_a[0] 0xc0 2.01029e+07 0.5;
q_a[1] 0xc0 2.01029e+07 0.5;
q_a[2] 0xc0 2.01029e+07 0.5;
q_a[3] 0xc0 2.01029e+07 0.5;
q_a[4] 0xc0 2.01029e+07 0.5;
q_a[5] 0xc0 2.01029e+07 0.5;
q_a[6] 0xc0 2.01029e+07 0.5;
q_a[7] 0xc0 2.01029e+07 0.5;
q_a[8] 0xc0 2.01029e+07 0.5;
q_a[9] 0xc0 2.01029e+07 0.5;
q_a[10] 0xc0 2.01029e+07 0.5;
q_a[11] 0xc0 2.01029e+07 0.5;
q_a[12] 0xc0 2.01029e+07 0.5;
q_a[13] 0xc0 2.01029e+07 0.5;
q_a[14] 0xc0 2.01029e+07 0.5;
q_a[15] 0xc0 2.01029e+07 0.5;
asj_nco_as_m_dp_cen:ux0220;
altsyncram:altsyncram_component;
altsyncram_4k82:auto_generated;
q_a[0] 0xc0 2.01029e+07 0.5;
q_a[1] 0xc0 2.01029e+07 0.5;
q_a[2] 0xc0 2.01029e+07 0.5;
q_a[3] 0xc0 2.01029e+07 0.5;
q_a[4] 0xc0 2.01029e+07 0.5;
q_a[5] 0xc0 2.01029e+07 0.5;
q_a[6] 0xc0 2.01029e+07 0.5;
q_a[7] 0xc0 2.01029e+07 0.5;
q_a[8] 0xc0 2.01029e+07 0.5;
q_a[9] 0xc0 2.01029e+07 0.5;
q_a[10] 0xc0 2.01029e+07 0.5;
q_a[11] 0xc0 2.01029e+07 0.5;
q_a[12] 0xc0 2.01029e+07 0.5;
q_a[13] 0xc0 2.01029e+07 0.5;
q_a[14] 0xc0 2.01029e+07 0.5;
q_a[15] 0xc0 2.01029e+07 0.5;
q_b[0] 0xc0 2.01029e+07 0.5;
q_b[1] 0xc0 2.01029e+07 0.5;
q_b[2] 0xc0 2.01029e+07 0.5;
q_b[3] 0xc0 2.01029e+07 0.5;
q_b[4] 0xc0 2.01029e+07 0.5;
q_b[5] 0xc0 2.01029e+07 0.5;
q_b[6] 0xc0 2.01029e+07 0.5;
q_b[7] 0xc0 2.01029e+07 0.5;
q_b[8] 0xc0 2.01029e+07 0.5;
q_b[9] 0xc0 2.01029e+07 0.5;
q_b[10] 0xc0 2.01029e+07 0.5;
q_b[11] 0xc0 2.01029e+07 0.5;
q_b[12] 0xc0 2.01029e+07 0.5;
q_b[13] 0xc0 2.01029e+07 0.5;
q_b[14] 0xc0 2.01029e+07 0.5;
q_b[15] 0xc0 2.01029e+07 0.5;
asj_nco_isdr:ux710isdr;
lpm_counter:lpm_counter_component;
tx_pll:TX_PLL;
altpll:altpll_component;
tx_pll_altpll:auto_generated;
wire_pll1_clk[0] 0xc 3.21647e+08 0.5;
wire_pll1_clk[0]~clkctrl 0xc0 3.21647e+08 0.5;
wire_pll1_fbout 0xc0 0 0;
tx_summator:TX_SUMMATOR;
lpm_add_sub:LPM_ADD_SUB_component;
add_sub_1vk:auto_generated;
overflow_dffe[0] 0xc0 2.01029e+07 0.5;
overflow_dffe[0]~0 0xc0 1.27382e+07 0.25;
pipeline_dffe[0] 0xc0 2.01029e+07 0.5;
pipeline_dffe[1] 0xc0 2.01029e+07 0.5;
pipeline_dffe[2] 0xc0 2.01029e+07 0.5;
pipeline_dffe[3] 0xc0 2.01029e+07 0.5;
pipeline_dffe[4] 0xc0 2.01029e+07 0.5;
pipeline_dffe[5] 0xc0 2.01029e+07 0.5;
pipeline_dffe[6] 0xc0 2.01029e+07 0.5;
pipeline_dffe[7] 0xc0 2.01029e+07 0.5;
pipeline_dffe[8] 0xc0 2.01029e+07 0.5;
pipeline_dffe[9] 0xc0 2.01029e+07 0.5;
pipeline_dffe[10] 0xc0 2.01029e+07 0.5;
pipeline_dffe[11] 0xc0 2.01029e+07 0.5;
pipeline_dffe[12] 0xc0 2.01029e+07 0.5;
pipeline_dffe[13] 0xc0 2.01029e+07 0.5;
pipeline_dffe[14] 0xc0 2.01029e+07 0.5;
pipeline_dffe[15] 0xc0 2.01029e+07 0.5;
pipeline_dffe[16] 0xc0 2.01029e+07 0.5;
pipeline_dffe[17] 0xc0 2.01029e+07 0.5;
pipeline_dffe[18] 0xc0 2.01029e+07 0.5;
pipeline_dffe[19] 0xc0 2.01029e+07 0.5;
pipeline_dffe[20] 0xc0 2.01029e+07 0.5;
pipeline_dffe[21] 0xc0 2.01029e+07 0.5;
pipeline_dffe[22] 0xc0 2.01029e+07 0.5;
pipeline_dffe[23] 0xc0 2.01029e+07 0.5;
pipeline_dffe[24] 0xc0 2.01029e+07 0.5;
pipeline_dffe[25] 0xc0 2.01029e+07 0.5;
pipeline_dffe[26] 0xc0 2.01029e+07 0.5;
pipeline_dffe[27] 0xc0 2.01029e+07 0.5;
pipeline_dffe[28] 0xc0 2.01029e+07 0.5;
pipeline_dffe[29] 0xc0 2.01029e+07 0.5;
pipeline_dffe[30] 0xc0 2.01029e+07 0.5;
pipeline_dffe[31] 0xc0 2.01029e+07 0.5;
pipeline_dffe[31]~_wirecell 0xc0 2.01029e+07 0.5;
result_int[0]~0 0xc0 2.01029e+07 0.5;
result_int[0]~1 0xc0 1.13079e+07 0.25;
result_int[1]~2 0xc0 1.69618e+07 0.5;
result_int[1]~3 0xc0 9.36435e+06 0.625;
result_int[2]~4 0xc0 1.43557e+07 0.5;
result_int[2]~5 0xc0 1.66796e+07 0.4375;
result_int[3]~6 0xc0 1.56545e+07 0.5;
result_int[3]~7 0xc0 1.27941e+07 0.53125;
result_int[4]~8 0xc0 1.45506e+07 0.5;
result_int[4]~9 0xc0 1.42887e+07 0.484375;
result_int[5]~10 0xc0 1.48911e+07 0.5;
result_int[5]~11 0xc0 1.33805e+07 0.507813;
result_int[6]~12 0xc0 1.46558e+07 0.5;
result_int[6]~13 0xc0 1.37883e+07 0.496094;
result_int[7]~14 0xc0 1.47557e+07 0.5;
result_int[7]~15 0xc0 1.3572e+07 0.501953;
result_int[8]~16 0xc0 1.47011e+07 0.5;
result_int[8]~17 0xc0 1.3677e+07 0.499023;
result_int[9]~18 0xc0 1.47272e+07 0.5;
result_int[9]~19 0xc0 1.36237e+07 0.500488;
result_int[10]~20 0xc0 1.47138e+07 0.5;
result_int[10]~21 0xc0 1.36501e+07 0.499756;
result_int[11]~22 0xc0 1.47204e+07 0.5;
result_int[11]~23 0xc0 1.36369e+07 0.500122;
result_int[12]~24 0xc0 1.47171e+07 0.5;
result_int[12]~25 0xc0 1.36435e+07 0.499939;
result_int[13]~26 0xc0 1.47188e+07 0.5;
result_int[13]~27 0xc0 1.36402e+07 0.500031;
result_int[14]~28 0xc0 1.47179e+07 0.5;
result_int[14]~29 0xc0 1.36418e+07 0.499985;
result_int[15]~30 0xc0 1.47184e+07 0.5;
result_int[15]~31 0xc0 1.3641e+07 0.500008;
result_int[16]~32 0xc0 1.47181e+07 0.5;
result_int[16]~33 0xc0 1.36414e+07 0.499996;
result_int[17]~34 0xc0 1.47182e+07 0.5;
result_int[17]~35 0xc0 1.36412e+07 0.500002;
result_int[18]~36 0xc0 1.47182e+07 0.5;
result_int[18]~37 0xc0 1.36413e+07 0.499999;
result_int[19]~38 0xc0 1.47182e+07 0.5;
result_int[19]~39 0xc0 1.36413e+07 0.5;
result_int[20]~40 0xc0 1.47182e+07 0.5;
result_int[20]~41 0xc0 1.36413e+07 0.5;
result_int[21]~42 0xc0 1.47182e+07 0.5;
result_int[21]~43 0xc0 1.36413e+07 0.5;
result_int[22]~44 0xc0 1.47182e+07 0.5;
result_int[22]~45 0xc0 1.36413e+07 0.5;
result_int[23]~46 0xc0 1.47182e+07 0.5;
result_int[23]~47 0xc0 1.36413e+07 0.5;
result_int[24]~48 0xc0 1.47182e+07 0.5;
result_int[24]~49 0xc0 1.36413e+07 0.5;
result_int[25]~50 0xc0 1.47182e+07 0.5;
result_int[25]~51 0xc0 1.36413e+07 0.5;
result_int[26]~52 0xc0 1.47182e+07 0.5;
result_int[26]~53 0xc0 1.36413e+07 0.5;
result_int[27]~54 0xc0 1.47182e+07 0.5;
result_int[27]~55 0xc0 1.36413e+07 0.5;
result_int[28]~56 0xc0 1.47182e+07 0.5;
result_int[28]~57 0xc0 1.36413e+07 0.5;
result_int[29]~58 0xc0 1.47182e+07 0.5;
result_int[29]~59 0xc0 1.36413e+07 0.5;
result_int[30]~60 0xc0 1.47182e+07 0.5;
result_int[30]~61 0xc0 1.36413e+07 0.5;
result_int[31]~62 0xc0 1.84875e+07 0.5;
TXRX_OUT 0xc0 3.125e+06 0.5;
TXRX_OUT~output 0xc0 3.125e+06 0.5;
~GND 0xc0 0 0;
~QIC_CREATED_GND~I 0xc0 0 0;
END_OUTPUT_SIGNAL_INFO;