Wolf-LITE/FPGA_61.440/clock_buffer/synthesis/clock_buffer.v

17 wiersze
364 B
Verilog

// clock_buffer.v
// Generated using ACDS version 18.1 625
`timescale 1 ps / 1 ps
module clock_buffer (
input wire inclk, // altclkctrl_input.inclk
output wire outclk // altclkctrl_output.outclk
);
clock_buffer_altclkctrl_0 altclkctrl_0 (
.inclk (inclk), // altclkctrl_input.inclk
.outclk (outclk) // altclkctrl_output.outclk
);
endmodule