diff --git a/STM32L476_ParaMETEO/ParaTNC.map b/STM32L476_ParaMETEO/ParaTNC.map index f5d3b49..ab6738c 100644 --- a/STM32L476_ParaMETEO/ParaTNC.map +++ b/STM32L476_ParaMETEO/ParaTNC.map @@ -245,6 +245,7 @@ rte_rtu_last_modbus_exception rtu_current_03_slave_address 0x1 ./system/src/modbus_rtu/rtu_serial_io.o rte_pv_sys_voltage 0x1 ./src/rte_pv.o +i2c_state 0x1 ./system/src/drivers/l4/i2c_stm32l4x.o main_own_aprs_msg_len 0x1 ./src/main.o davis_loop_state 0x1 ./system/src/davis_vantage/davis.o @@ -316,6 +317,7 @@ rte_wx_modbus_rtu_f4 0xa ./src/rte_rtu.o rte_pv_cell_voltage 0x2 ./src/rte_pv.o +i2cStartTime 0x4 ./system/src/drivers/l4/i2c_stm32l4x.o davis_wake_up_state 0x1 ./system/src/davis_vantage/davis.o main_ax25 0x218 ./src/main.o @@ -508,7 +510,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x2e ./system/src/umb_master/umb_0x26_status.o .debug_macro 0x0000000000000000 0x58 ./system/src/umb_master/umb_0x26_status.o .debug_macro 0x0000000000000000 0x46 ./system/src/umb_master/umb_0x26_status.o - .debug_macro 0x0000000000000000 0x34 ./system/src/umb_master/umb_0x26_status.o + .debug_macro 0x0000000000000000 0x2e ./system/src/umb_master/umb_0x26_status.o .debug_macro 0x0000000000000000 0x22 ./system/src/umb_master/umb_0x26_status.o .debug_macro 0x0000000000000000 0x58 ./system/src/umb_master/umb_0x26_status.o .debug_macro 0x0000000000000000 0x18 ./system/src/umb_master/umb_0x26_status.o @@ -10874,17 +10876,6 @@ Discarded input sections .bss 0x0000000000000000 0x0 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o .text.LL_I2C_DeInit 0x0000000000000000 0x6c ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o - .text.LL_I2C_Init - 0x0000000000000000 0x70 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o - .text.LL_I2C_StructInit - 0x0000000000000000 0x16 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o - .debug_info 0x0000000000000000 0x846 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o - .debug_abbrev 0x0000000000000000 0x1b5 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o - .debug_loc 0x0000000000000000 0x286 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o - .debug_aranges - 0x0000000000000000 0x30 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o - .debug_ranges 0x0000000000000000 0x20 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o - .debug_macro 0x0000000000000000 0xd1 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o .debug_macro 0x0000000000000000 0xa72 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o .debug_macro 0x0000000000000000 0x2e ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o .debug_macro 0x0000000000000000 0x28 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o @@ -10896,14 +10887,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x11f ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o .debug_macro 0x0000000000000000 0x17736 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o .debug_macro 0x0000000000000000 0x43 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o - .debug_macro 0x0000000000000000 0x240 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o .debug_macro 0x0000000000000000 0x1b2 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o - .debug_line 0x0000000000000000 0x2ba ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o - .debug_str 0x0000000000000000 0x90118 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o - .comment 0x0000000000000000 0x80 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o - .debug_frame 0x0000000000000000 0x4c ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o - .ARM.attributes - 0x0000000000000000 0x39 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o .group 0x0000000000000000 0xc ./system/src/stm32l4-hal-driver/stm32l4xx_ll_lptim.o .group 0x0000000000000000 0xc ./system/src/stm32l4-hal-driver/stm32l4xx_ll_lptim.o .group 0x0000000000000000 0xc ./system/src/stm32l4-hal-driver/stm32l4xx_ll_lptim.o @@ -11902,7 +11886,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x2e ./system/src/modbus_rtu/rtu_getters.o .debug_macro 0x0000000000000000 0x58 ./system/src/modbus_rtu/rtu_getters.o .debug_macro 0x0000000000000000 0x46 ./system/src/modbus_rtu/rtu_getters.o - .debug_macro 0x0000000000000000 0x34 ./system/src/modbus_rtu/rtu_getters.o + .debug_macro 0x0000000000000000 0x2e ./system/src/modbus_rtu/rtu_getters.o .group 0x0000000000000000 0xc ./system/src/modbus_rtu/rtu_parser.o .group 0x0000000000000000 0xc ./system/src/modbus_rtu/rtu_parser.o .group 0x0000000000000000 0xc ./system/src/modbus_rtu/rtu_parser.o @@ -12043,7 +12027,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x2e ./system/src/modbus_rtu/rtu_serial_io.o .debug_macro 0x0000000000000000 0x58 ./system/src/modbus_rtu/rtu_serial_io.o .debug_macro 0x0000000000000000 0x46 ./system/src/modbus_rtu/rtu_serial_io.o - .debug_macro 0x0000000000000000 0x34 ./system/src/modbus_rtu/rtu_serial_io.o + .debug_macro 0x0000000000000000 0x2e ./system/src/modbus_rtu/rtu_serial_io.o .debug_macro 0x0000000000000000 0x225 ./system/src/modbus_rtu/rtu_serial_io.o .debug_macro 0x0000000000000000 0x22 ./system/src/modbus_rtu/rtu_serial_io.o .debug_macro 0x0000000000000000 0x16a ./system/src/modbus_rtu/rtu_serial_io.o @@ -12100,10 +12084,6 @@ Discarded input sections .text 0x0000000000000000 0x0 ./system/src/drivers/l4/flash_stm32l4x.o .data 0x0000000000000000 0x0 ./system/src/drivers/l4/flash_stm32l4x.o .bss 0x0000000000000000 0x0 ./system/src/drivers/l4/flash_stm32l4x.o - .text.FLASH_PageErase - 0x0000000000000000 0x54 ./system/src/drivers/l4/flash_stm32l4x.o - .text.FLASH_WaitForLastOperation - 0x0000000000000000 0x20 ./system/src/drivers/l4/flash_stm32l4x.o .debug_macro 0x0000000000000000 0xa72 ./system/src/drivers/l4/flash_stm32l4x.o .debug_macro 0x0000000000000000 0x22 ./system/src/drivers/l4/flash_stm32l4x.o .debug_macro 0x0000000000000000 0x2e ./system/src/drivers/l4/flash_stm32l4x.o @@ -12116,6 +12096,57 @@ Discarded input sections .debug_macro 0x0000000000000000 0x11f ./system/src/drivers/l4/flash_stm32l4x.o .debug_macro 0x0000000000000000 0x17736 ./system/src/drivers/l4/flash_stm32l4x.o .debug_macro 0x0000000000000000 0x43 ./system/src/drivers/l4/flash_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .group 0x0000000000000000 0xc ./system/src/drivers/l4/i2c_stm32l4x.o + .text 0x0000000000000000 0x0 ./system/src/drivers/l4/i2c_stm32l4x.o + .data 0x0000000000000000 0x0 ./system/src/drivers/l4/i2c_stm32l4x.o + .bss 0x0000000000000000 0x0 ./system/src/drivers/l4/i2c_stm32l4x.o + .text.i2cReinit + 0x0000000000000000 0x4 ./system/src/drivers/l4/i2c_stm32l4x.o + .bss.i2c_done 0x0000000000000000 0x1 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0xa72 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x34e ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x22 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x64 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x2e ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x58 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x46 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x2e ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x28 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x1c ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x22 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x101 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x1011 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x11f ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x17736 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x43 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x2f7 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x71 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x22 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x2e ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x22 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000000000 0x16a ./system/src/drivers/l4/i2c_stm32l4x.o .group 0x0000000000000000 0xc ./system/src/drivers/l4/serial_stm32l4x.o .group 0x0000000000000000 0xc ./system/src/drivers/l4/serial_stm32l4x.o .group 0x0000000000000000 0xc ./system/src/drivers/l4/serial_stm32l4x.o @@ -12171,10 +12202,6 @@ Discarded input sections .text 0x0000000000000000 0x0 ./system/src/drivers/l4/serial_stm32l4x.o .data 0x0000000000000000 0x0 ./system/src/drivers/l4/serial_stm32l4x.o .bss 0x0000000000000000 0x0 ./system/src/drivers/l4/serial_stm32l4x.o - .text.srl_keep_timeout - 0x0000000000000000 0x90 ./system/src/drivers/l4/serial_stm32l4x.o - .text.srl_keep_tx_delay - 0x0000000000000000 0x60 ./system/src/drivers/l4/serial_stm32l4x.o .debug_macro 0x0000000000000000 0xa72 ./system/src/drivers/l4/serial_stm32l4x.o .debug_macro 0x0000000000000000 0x34e ./system/src/drivers/l4/serial_stm32l4x.o .debug_macro 0x0000000000000000 0x2e ./system/src/drivers/l4/serial_stm32l4x.o @@ -12218,7 +12245,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x58 ./system/src/drivers/l4/serial_stm32l4x.o .debug_macro 0x0000000000000000 0x46 ./system/src/drivers/l4/serial_stm32l4x.o .debug_macro 0x0000000000000000 0x22 ./system/src/drivers/l4/serial_stm32l4x.o - .debug_macro 0x0000000000000000 0x34 ./system/src/drivers/l4/serial_stm32l4x.o + .debug_macro 0x0000000000000000 0x2e ./system/src/drivers/l4/serial_stm32l4x.o .debug_macro 0x0000000000000000 0x18e ./system/src/drivers/l4/serial_stm32l4x.o .debug_macro 0x0000000000000000 0x10 ./system/src/drivers/l4/serial_stm32l4x.o .debug_macro 0x0000000000000000 0x35 ./system/src/drivers/l4/serial_stm32l4x.o @@ -12325,7 +12352,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x2e ./system/src/drivers/analog_anemometer.o .debug_macro 0x0000000000000000 0x58 ./system/src/drivers/analog_anemometer.o .debug_macro 0x0000000000000000 0x46 ./system/src/drivers/analog_anemometer.o - .debug_macro 0x0000000000000000 0x34 ./system/src/drivers/analog_anemometer.o + .debug_macro 0x0000000000000000 0x2e ./system/src/drivers/analog_anemometer.o .group 0x0000000000000000 0xc ./system/src/drivers/bme280.o .group 0x0000000000000000 0xc ./system/src/drivers/bme280.o .group 0x0000000000000000 0xc ./system/src/drivers/bme280.o @@ -12546,7 +12573,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x2f7 ./system/src/drivers/ms5611.o .debug_macro 0x0000000000000000 0x71 ./system/src/drivers/ms5611.o .debug_macro 0x0000000000000000 0x22 ./system/src/drivers/ms5611.o - .debug_macro 0x0000000000000000 0x34 ./system/src/drivers/ms5611.o + .debug_macro 0x0000000000000000 0x2e ./system/src/drivers/ms5611.o .debug_macro 0x0000000000000000 0x225 ./system/src/drivers/ms5611.o .debug_macro 0x0000000000000000 0x22 ./system/src/drivers/ms5611.o .debug_macro 0x0000000000000000 0x16a ./system/src/drivers/ms5611.o @@ -12673,7 +12700,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x58 ./system/src/davis_vantage/davis.o .debug_macro 0x0000000000000000 0x46 ./system/src/davis_vantage/davis.o .debug_macro 0x0000000000000000 0x22 ./system/src/davis_vantage/davis.o - .debug_macro 0x0000000000000000 0x34 ./system/src/davis_vantage/davis.o + .debug_macro 0x0000000000000000 0x2e ./system/src/davis_vantage/davis.o .group 0x0000000000000000 0xc ./system/src/davis_vantage/davis_parsers.o .group 0x0000000000000000 0xc ./system/src/davis_vantage/davis_parsers.o .group 0x0000000000000000 0xc ./system/src/davis_vantage/davis_parsers.o @@ -12788,7 +12815,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x2f7 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o .debug_macro 0x0000000000000000 0x71 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o .debug_macro 0x0000000000000000 0x22 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - .debug_macro 0x0000000000000000 0x34 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + .debug_macro 0x0000000000000000 0x2e ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o .group 0x0000000000000000 0xc ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o .group 0x0000000000000000 0xc ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o .group 0x0000000000000000 0xc ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o @@ -13165,7 +13192,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x2f7 ./system/src/aprs/beacon.o .debug_macro 0x0000000000000000 0x71 ./system/src/aprs/beacon.o .debug_macro 0x0000000000000000 0x22 ./system/src/aprs/beacon.o - .debug_macro 0x0000000000000000 0x34 ./system/src/aprs/beacon.o + .debug_macro 0x0000000000000000 0x2e ./system/src/aprs/beacon.o .debug_macro 0x0000000000000000 0x225 ./system/src/aprs/beacon.o .debug_macro 0x0000000000000000 0x22 ./system/src/aprs/beacon.o .debug_macro 0x0000000000000000 0x58 ./system/src/aprs/beacon.o @@ -13331,7 +13358,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x43 ./system/src/aprs/digi.o .debug_macro 0x0000000000000000 0x2f7 ./system/src/aprs/digi.o .debug_macro 0x0000000000000000 0x71 ./system/src/aprs/digi.o - .debug_macro 0x0000000000000000 0x34 ./system/src/aprs/digi.o + .debug_macro 0x0000000000000000 0x2e ./system/src/aprs/digi.o .debug_macro 0x0000000000000000 0x225 ./system/src/aprs/digi.o .group 0x0000000000000000 0xc ./system/src/aprs/telemetry.o .group 0x0000000000000000 0xc ./system/src/aprs/telemetry.o @@ -13435,7 +13462,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x46 ./system/src/aprs/telemetry.o .debug_macro 0x0000000000000000 0x2f7 ./system/src/aprs/telemetry.o .debug_macro 0x0000000000000000 0x71 ./system/src/aprs/telemetry.o - .debug_macro 0x0000000000000000 0x34 ./system/src/aprs/telemetry.o + .debug_macro 0x0000000000000000 0x2e ./system/src/aprs/telemetry.o .debug_macro 0x0000000000000000 0x1c ./system/src/aprs/telemetry.o .debug_macro 0x0000000000000000 0x35 ./system/src/aprs/telemetry.o .debug_macro 0x0000000000000000 0x10 ./system/src/aprs/telemetry.o @@ -13528,7 +13555,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x43 ./system/src/aprs/wx.o .debug_macro 0x0000000000000000 0x2f7 ./system/src/aprs/wx.o .debug_macro 0x0000000000000000 0x71 ./system/src/aprs/wx.o - .debug_macro 0x0000000000000000 0x34 ./system/src/aprs/wx.o + .debug_macro 0x0000000000000000 0x2e ./system/src/aprs/wx.o .debug_macro 0x0000000000000000 0x22 ./system/src/aprs/wx.o .debug_macro 0x0000000000000000 0x58 ./system/src/aprs/wx.o .debug_macro 0x0000000000000000 0x18 ./system/src/aprs/wx.o @@ -13680,8 +13707,6 @@ Discarded input sections .text 0x0000000000000000 0x0 ./src/LedConfig.o .data 0x0000000000000000 0x0 ./src/LedConfig.o .bss 0x0000000000000000 0x0 ./src/LedConfig.o - .text.led_service_blink - 0x0000000000000000 0x7c ./src/LedConfig.o .debug_macro 0x0000000000000000 0xa72 ./src/LedConfig.o .debug_macro 0x0000000000000000 0x2e ./src/LedConfig.o .debug_macro 0x0000000000000000 0x28 ./src/LedConfig.o @@ -13898,7 +13923,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x43 ./src/configuration_handler.o .debug_macro 0x0000000000000000 0x2f7 ./src/configuration_handler.o .debug_macro 0x0000000000000000 0x71 ./src/configuration_handler.o - .debug_macro 0x0000000000000000 0x34 ./src/configuration_handler.o + .debug_macro 0x0000000000000000 0x2e ./src/configuration_handler.o .debug_macro 0x0000000000000000 0x22 ./src/configuration_handler.o .debug_macro 0x0000000000000000 0x58 ./src/configuration_handler.o .debug_macro 0x0000000000000000 0x18 ./src/configuration_handler.o @@ -13957,7 +13982,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x2f7 ./src/delay.o .debug_macro 0x0000000000000000 0x71 ./src/delay.o .debug_macro 0x0000000000000000 0x22 ./src/delay.o - .debug_macro 0x0000000000000000 0x34 ./src/delay.o + .debug_macro 0x0000000000000000 0x2e ./src/delay.o .group 0x0000000000000000 0xc ./src/dummy.o .text 0x0000000000000000 0x0 ./src/dummy.o .data 0x0000000000000000 0x0 ./src/dummy.o @@ -14140,10 +14165,6 @@ Discarded input sections .text 0x0000000000000000 0x0 ./src/it_handlers.o .data 0x0000000000000000 0x0 ./src/it_handlers.o .bss 0x0000000000000000 0x0 ./src/it_handlers.o - .text.SysTick_Handler - 0x0000000000000000 0xbc ./src/it_handlers.o - .bss.it_handlers_cpu_load_pool - 0x0000000000000000 0x1 ./src/it_handlers.o .debug_macro 0x0000000000000000 0xa72 ./src/it_handlers.o .debug_macro 0x0000000000000000 0x22 ./src/it_handlers.o .debug_macro 0x0000000000000000 0x34e ./src/it_handlers.o @@ -14164,7 +14185,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x2f7 ./src/it_handlers.o .debug_macro 0x0000000000000000 0x71 ./src/it_handlers.o .debug_macro 0x0000000000000000 0x22 ./src/it_handlers.o - .debug_macro 0x0000000000000000 0x34 ./src/it_handlers.o + .debug_macro 0x0000000000000000 0x2e ./src/it_handlers.o .debug_macro 0x0000000000000000 0x99f ./src/it_handlers.o .debug_macro 0x0000000000000000 0x2cb ./src/it_handlers.o .debug_macro 0x0000000000000000 0x16a ./src/it_handlers.o @@ -14287,12 +14308,8 @@ Discarded input sections .text 0x0000000000000000 0x0 ./src/main.o .data 0x0000000000000000 0x0 ./src/main.o .bss 0x0000000000000000 0x0 ./src/main.o - .text.main_service_cpu_load_ticks - 0x0000000000000000 0x2c ./src/main.o .bss.digidrop10m 0x0000000000000000 0x2 ./src/main.o - .bss.main_cpu_load - 0x0000000000000000 0x1 ./src/main.o .data.main_umb_retval 0x0000000000000000 0x1 ./src/main.o .debug_macro 0x0000000000000000 0xa72 ./src/main.o @@ -14317,7 +14334,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x2f7 ./src/main.o .debug_macro 0x0000000000000000 0x71 ./src/main.o .debug_macro 0x0000000000000000 0x22 ./src/main.o - .debug_macro 0x0000000000000000 0x34 ./src/main.o + .debug_macro 0x0000000000000000 0x2e ./src/main.o .debug_macro 0x0000000000000000 0x16 ./src/main.o .debug_macro 0x0000000000000000 0x22 ./src/main.o .debug_macro 0x0000000000000000 0x58 ./src/main.o @@ -14477,7 +14494,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x2e ./src/packet_tx_handler.o .debug_macro 0x0000000000000000 0x58 ./src/packet_tx_handler.o .debug_macro 0x0000000000000000 0x46 ./src/packet_tx_handler.o - .debug_macro 0x0000000000000000 0x34 ./src/packet_tx_handler.o + .debug_macro 0x0000000000000000 0x2e ./src/packet_tx_handler.o .group 0x0000000000000000 0xc ./src/rte_main.o .group 0x0000000000000000 0xc ./src/rte_main.o .text 0x0000000000000000 0x0 ./src/rte_main.o @@ -14610,7 +14627,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x2e ./src/rte_wx.o .debug_macro 0x0000000000000000 0x58 ./src/rte_wx.o .debug_macro 0x0000000000000000 0x46 ./src/rte_wx.o - .debug_macro 0x0000000000000000 0x34 ./src/rte_wx.o + .debug_macro 0x0000000000000000 0x2e ./src/rte_wx.o .group 0x0000000000000000 0xc ./src/wx_handler.o .group 0x0000000000000000 0xc ./src/wx_handler.o .group 0x0000000000000000 0xc ./src/wx_handler.o @@ -14714,7 +14731,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x2e ./src/wx_handler.o .debug_macro 0x0000000000000000 0x58 ./src/wx_handler.o .debug_macro 0x0000000000000000 0x46 ./src/wx_handler.o - .debug_macro 0x0000000000000000 0x34 ./src/wx_handler.o + .debug_macro 0x0000000000000000 0x2e ./src/wx_handler.o .debug_macro 0x0000000000000000 0x10 ./src/wx_handler.o .debug_macro 0x0000000000000000 0x10 ./src/wx_handler.o .debug_macro 0x0000000000000000 0x1c ./src/wx_handler.o @@ -14898,7 +14915,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x2e ./src/wx_handler_pressure.o .debug_macro 0x0000000000000000 0x58 ./src/wx_handler_pressure.o .debug_macro 0x0000000000000000 0x46 ./src/wx_handler_pressure.o - .debug_macro 0x0000000000000000 0x34 ./src/wx_handler_pressure.o + .debug_macro 0x0000000000000000 0x2e ./src/wx_handler_pressure.o .debug_macro 0x0000000000000000 0x46 ./src/wx_handler_pressure.o .group 0x0000000000000000 0xc ./src/wx_handler_temperature.o .group 0x0000000000000000 0xc ./src/wx_handler_temperature.o @@ -14995,7 +15012,7 @@ Discarded input sections .debug_macro 0x0000000000000000 0x2e ./src/wx_handler_temperature.o .debug_macro 0x0000000000000000 0x58 ./src/wx_handler_temperature.o .debug_macro 0x0000000000000000 0x46 ./src/wx_handler_temperature.o - .debug_macro 0x0000000000000000 0x34 ./src/wx_handler_temperature.o + .debug_macro 0x0000000000000000 0x2e ./src/wx_handler_temperature.o .debug_macro 0x0000000000000000 0x46 ./src/wx_handler_temperature.o .text 0x0000000000000000 0x0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_cos.o) .data 0x0000000000000000 0x0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_cos.o) @@ -15597,6 +15614,7 @@ LOAD ./system/src/modbus_rtu/rtu_parser.o LOAD ./system/src/modbus_rtu/rtu_request.o LOAD ./system/src/modbus_rtu/rtu_serial_io.o LOAD ./system/src/drivers/l4/flash_stm32l4x.o +LOAD ./system/src/drivers/l4/i2c_stm32l4x.o LOAD ./system/src/drivers/l4/serial_stm32l4x.o LOAD ./system/src/drivers/analog_anemometer.o LOAD ./system/src/drivers/bme280.o @@ -15676,7 +15694,7 @@ LOAD /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-e 0x0000000008000000 g_pfnVectors 0x0000000008000188 . = ALIGN (0x8) -.text 0x0000000008000190 0x102c8 +.text 0x0000000008000190 0x10c18 0x0000000008000190 . = ALIGN (0x8) *(.text) .text 0x0000000008000190 0x40 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtbegin.o @@ -15880,1252 +15898,1303 @@ LOAD /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-e .text.LL_GPIO_Init 0x000000000800217c 0x170 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o 0x000000000800217c LL_GPIO_Init + .text.LL_I2C_Init + 0x00000000080022ec 0x70 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o + 0x00000000080022ec LL_I2C_Init + .text.LL_I2C_StructInit + 0x000000000800235c 0x16 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o + 0x000000000800235c LL_I2C_StructInit + *fill* 0x0000000008002372 0x2 .text.RCC_GetHCLKClockFreq - 0x00000000080022ec 0x18 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + 0x0000000008002374 0x18 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o .text.RCC_GetPCLK1ClockFreq - 0x0000000008002304 0x18 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + 0x000000000800238c 0x18 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o .text.RCC_GetPCLK2ClockFreq - 0x000000000800231c 0x18 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + 0x00000000080023a4 0x18 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o .text.RCC_PLL_GetFreqDomain_SYS - 0x0000000008002334 0x100 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + 0x00000000080023bc 0x100 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o .text.RCC_GetSystemClockFreq - 0x0000000008002434 0xec ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + 0x00000000080024bc 0xec ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o .text.LL_RCC_GetUSARTClockFreq - 0x0000000008002520 0x14c ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o - 0x0000000008002520 LL_RCC_GetUSARTClockFreq + 0x00000000080025a8 0x14c ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + 0x00000000080025a8 LL_RCC_GetUSARTClockFreq .text.LL_RCC_GetUARTClockFreq - 0x000000000800266c 0xec ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o - 0x000000000800266c LL_RCC_GetUARTClockFreq + 0x00000000080026f4 0xec ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + 0x00000000080026f4 LL_RCC_GetUARTClockFreq .text.IC1Config - 0x0000000008002758 0x38 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + 0x00000000080027e0 0x38 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o .text.IC2Config - 0x0000000008002790 0x3a ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + 0x0000000008002818 0x3a ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o .text.IC3Config - 0x00000000080027ca 0x3a ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + 0x0000000008002852 0x3a ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o .text.IC4Config - 0x0000000008002804 0x3a ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o - *fill* 0x000000000800283e 0x2 + 0x000000000800288c 0x3a ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + *fill* 0x00000000080028c6 0x2 .text.LL_TIM_DeInit - 0x0000000008002840 0x178 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o - 0x0000000008002840 LL_TIM_DeInit + 0x00000000080028c8 0x178 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + 0x00000000080028c8 LL_TIM_DeInit .text.LL_TIM_StructInit - 0x00000000080029b8 0x12 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o - 0x00000000080029b8 LL_TIM_StructInit - *fill* 0x00000000080029ca 0x2 + 0x0000000008002a40 0x12 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + 0x0000000008002a40 LL_TIM_StructInit + *fill* 0x0000000008002a52 0x2 .text.LL_TIM_Init - 0x00000000080029cc 0xc8 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o - 0x00000000080029cc LL_TIM_Init + 0x0000000008002a54 0xc8 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + 0x0000000008002a54 LL_TIM_Init .text.LL_TIM_IC_Init - 0x0000000008002a94 0x3c ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o - 0x0000000008002a94 LL_TIM_IC_Init + 0x0000000008002b1c 0x3c ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + 0x0000000008002b1c LL_TIM_IC_Init .text.LL_USART_DeInit - 0x0000000008002ad0 0xb0 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o - 0x0000000008002ad0 LL_USART_DeInit + 0x0000000008002b58 0xb0 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o + 0x0000000008002b58 LL_USART_DeInit .text.LL_USART_Init - 0x0000000008002b80 0xe8 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o - 0x0000000008002b80 LL_USART_Init + 0x0000000008002c08 0xe8 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o + 0x0000000008002c08 LL_USART_Init .text.rtu_get_temperature - 0x0000000008002c68 0x184 ./system/src/modbus_rtu/rtu_getters.o - 0x0000000008002c68 rtu_get_temperature + 0x0000000008002cf0 0x184 ./system/src/modbus_rtu/rtu_getters.o + 0x0000000008002cf0 rtu_get_temperature .text.rtu_get_wind_direction - 0x0000000008002dec 0x13c ./system/src/modbus_rtu/rtu_getters.o - 0x0000000008002dec rtu_get_wind_direction + 0x0000000008002e74 0x13c ./system/src/modbus_rtu/rtu_getters.o + 0x0000000008002e74 rtu_get_wind_direction .text.rtu_get_wind_speed - 0x0000000008002f28 0x138 ./system/src/modbus_rtu/rtu_getters.o - 0x0000000008002f28 rtu_get_wind_speed + 0x0000000008002fb0 0x138 ./system/src/modbus_rtu/rtu_getters.o + 0x0000000008002fb0 rtu_get_wind_speed .text.rtu_get_wind_gusts - 0x0000000008003060 0x138 ./system/src/modbus_rtu/rtu_getters.o - 0x0000000008003060 rtu_get_wind_gusts + 0x00000000080030e8 0x138 ./system/src/modbus_rtu/rtu_getters.o + 0x00000000080030e8 rtu_get_wind_gusts .text.rtu_get_humidity - 0x0000000008003198 0x15c ./system/src/modbus_rtu/rtu_getters.o - 0x0000000008003198 rtu_get_humidity + 0x0000000008003220 0x15c ./system/src/modbus_rtu/rtu_getters.o + 0x0000000008003220 rtu_get_humidity .text.rtu_parser_03_04_registers - 0x00000000080032f4 0xc2 ./system/src/modbus_rtu/rtu_parser.o - 0x00000000080032f4 rtu_parser_03_04_registers + 0x000000000800337c 0xc2 ./system/src/modbus_rtu/rtu_parser.o + 0x000000000800337c rtu_parser_03_04_registers .text.rtu_request_03_04_registers - 0x00000000080033b6 0x7c ./system/src/modbus_rtu/rtu_request.o - 0x00000000080033b6 rtu_request_03_04_registers - *fill* 0x0000000008003432 0x2 + 0x000000000800343e 0x7c ./system/src/modbus_rtu/rtu_request.o + 0x000000000800343e rtu_request_03_04_registers + *fill* 0x00000000080034ba 0x2 .text.rtu_serial_callback - 0x0000000008003434 0x60 ./system/src/modbus_rtu/rtu_serial_io.o - 0x0000000008003434 rtu_serial_callback + 0x00000000080034bc 0x60 ./system/src/modbus_rtu/rtu_serial_io.o + 0x00000000080034bc rtu_serial_callback .text.rtu_serial_init - 0x0000000008003494 0xd8 ./system/src/modbus_rtu/rtu_serial_io.o - 0x0000000008003494 rtu_serial_init + 0x000000000800351c 0xd8 ./system/src/modbus_rtu/rtu_serial_io.o + 0x000000000800351c rtu_serial_init .text.rtu_serial_blocking_io - 0x000000000800356c 0x74 ./system/src/modbus_rtu/rtu_serial_io.o - 0x000000000800356c rtu_serial_blocking_io + 0x00000000080035f4 0x74 ./system/src/modbus_rtu/rtu_serial_io.o + 0x00000000080035f4 rtu_serial_blocking_io .text.rtu_serial_pool - 0x00000000080035e0 0x3b8 ./system/src/modbus_rtu/rtu_serial_io.o - 0x00000000080035e0 rtu_serial_pool + 0x0000000008003668 0x3b8 ./system/src/modbus_rtu/rtu_serial_io.o + 0x0000000008003668 rtu_serial_pool .text.rtu_serial_start - 0x0000000008003998 0x10 ./system/src/modbus_rtu/rtu_serial_io.o - 0x0000000008003998 rtu_serial_start + 0x0000000008003a20 0x10 ./system/src/modbus_rtu/rtu_serial_io.o + 0x0000000008003a20 rtu_serial_start .text.rtu_serial_get_status_string - 0x00000000080039a8 0x6c ./system/src/modbus_rtu/rtu_serial_io.o - 0x00000000080039a8 rtu_serial_get_status_string + 0x0000000008003a30 0x6c ./system/src/modbus_rtu/rtu_serial_io.o + 0x0000000008003a30 rtu_serial_get_status_string .text.FLASH_GetBank1Status - 0x0000000008003a14 0x50 ./system/src/drivers/l4/flash_stm32l4x.o - 0x0000000008003a14 FLASH_GetBank1Status + 0x0000000008003a9c 0x50 ./system/src/drivers/l4/flash_stm32l4x.o + 0x0000000008003a9c FLASH_GetBank1Status .text.FLASH_ErasePage - 0x0000000008003a64 0x2 ./system/src/drivers/l4/flash_stm32l4x.o - 0x0000000008003a64 FLASH_ErasePage - *fill* 0x0000000008003a66 0x2 + 0x0000000008003aec 0x64 ./system/src/drivers/l4/flash_stm32l4x.o + 0x0000000008003aec FLASH_ErasePage + .text.FLASH_Unlock + 0x0000000008003b50 0x24 ./system/src/drivers/l4/flash_stm32l4x.o + 0x0000000008003b50 FLASH_Unlock + .text.FLASH_Lock + 0x0000000008003b74 0x10 ./system/src/drivers/l4/flash_stm32l4x.o + 0x0000000008003b74 FLASH_Lock + .text.i2cConfigure + 0x0000000008003b84 0x7c ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000008003b84 i2cConfigure + .text.i2cVariableReset + 0x0000000008003c00 0x38 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000008003c00 i2cVariableReset + .text.i2cStop 0x0000000008003c38 0x3c ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000008003c38 i2cStop + .text.i2cIrqHandler + 0x0000000008003c74 0xe4 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000008003c74 i2cIrqHandler + .text.i2cStart + 0x0000000008003d58 0x30 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000008003d58 i2cStart + .text.i2c_send_data + 0x0000000008003d88 0xc8 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000008003d88 i2c_send_data + .text.i2c_receive_data + 0x0000000008003e50 0x9c ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000008003e50 i2c_receive_data + .text.i2cErrIrqHandler + 0x0000000008003eec 0x1e0 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000008003eec i2cErrIrqHandler + .text.i2cKeepTimeout + 0x00000000080040cc 0x40 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x00000000080040cc i2cKeepTimeout .text.srl_init - 0x0000000008003a68 0xc8 ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000008003a68 srl_init + 0x000000000800410c 0xc8 ./system/src/drivers/l4/serial_stm32l4x.o + 0x000000000800410c srl_init .text.srl_close - 0x0000000008003b30 0x20 ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000008003b30 srl_close + 0x00000000080041d4 0x20 ./system/src/drivers/l4/serial_stm32l4x.o + 0x00000000080041d4 srl_close + .text.srl_keep_timeout + 0x00000000080041f4 0x90 ./system/src/drivers/l4/serial_stm32l4x.o + 0x00000000080041f4 srl_keep_timeout .text.srl_send_data - 0x0000000008003b50 0xc4 ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000008003b50 srl_send_data + 0x0000000008004284 0xc4 ./system/src/drivers/l4/serial_stm32l4x.o + 0x0000000008004284 srl_send_data .text.srl_start_tx - 0x0000000008003c14 0x74 ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000008003c14 srl_start_tx + 0x0000000008004348 0x74 ./system/src/drivers/l4/serial_stm32l4x.o + 0x0000000008004348 srl_start_tx .text.srl_wait_for_tx_completion - 0x0000000008003c88 0xe ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000008003c88 srl_wait_for_tx_completion + 0x00000000080043bc 0xe ./system/src/drivers/l4/serial_stm32l4x.o + 0x00000000080043bc srl_wait_for_tx_completion .text.srl_wait_for_rx_completion_or_timeout - 0x0000000008003c96 0x28 ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000008003c96 srl_wait_for_rx_completion_or_timeout - *fill* 0x0000000008003cbe 0x2 + 0x00000000080043ca 0x28 ./system/src/drivers/l4/serial_stm32l4x.o + 0x00000000080043ca srl_wait_for_rx_completion_or_timeout + *fill* 0x00000000080043f2 0x2 .text.srl_receive_data - 0x0000000008003cc0 0xe0 ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000008003cc0 srl_receive_data + 0x00000000080043f4 0xe0 ./system/src/drivers/l4/serial_stm32l4x.o + 0x00000000080043f4 srl_receive_data .text.srl_receive_data_with_instant_timeout - 0x0000000008003da0 0xdc ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000008003da0 srl_receive_data_with_instant_timeout + 0x00000000080044d4 0xdc ./system/src/drivers/l4/serial_stm32l4x.o + 0x00000000080044d4 srl_receive_data_with_instant_timeout .text.srl_receive_data_with_callback - 0x0000000008003e7c 0x84 ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000008003e7c srl_receive_data_with_callback + 0x00000000080045b0 0x84 ./system/src/drivers/l4/serial_stm32l4x.o + 0x00000000080045b0 srl_receive_data_with_callback .text.srl_irq_handler - 0x0000000008003f00 0x230 ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000008003f00 srl_irq_handler + 0x0000000008004634 0x230 ./system/src/drivers/l4/serial_stm32l4x.o + 0x0000000008004634 srl_irq_handler .text.srl_get_num_bytes_rxed - 0x0000000008004130 0x4 ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000008004130 srl_get_num_bytes_rxed + 0x0000000008004864 0x4 ./system/src/drivers/l4/serial_stm32l4x.o + 0x0000000008004864 srl_get_num_bytes_rxed .text.srl_get_rx_buffer - 0x0000000008004134 0x4 ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000008004134 srl_get_rx_buffer + 0x0000000008004868 0x4 ./system/src/drivers/l4/serial_stm32l4x.o + 0x0000000008004868 srl_get_rx_buffer + .text.srl_keep_tx_delay + 0x000000000800486c 0x60 ./system/src/drivers/l4/serial_stm32l4x.o + 0x000000000800486c srl_keep_tx_delay .text.srl_switch_tx_delay - 0x0000000008004138 0x14 ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000008004138 srl_switch_tx_delay + 0x00000000080048cc 0x14 ./system/src/drivers/l4/serial_stm32l4x.o + 0x00000000080048cc srl_switch_tx_delay .text.srl_switch_timeout - 0x000000000800414c 0x22 ./system/src/drivers/l4/serial_stm32l4x.o - 0x000000000800414c srl_switch_timeout + 0x00000000080048e0 0x22 ./system/src/drivers/l4/serial_stm32l4x.o + 0x00000000080048e0 srl_switch_timeout .text.srl_switch_timeout_for_waiting - 0x000000000800416e 0x20 ./system/src/drivers/l4/serial_stm32l4x.o - 0x000000000800416e srl_switch_timeout_for_waiting - *fill* 0x000000000800418e 0x2 + 0x0000000008004902 0x20 ./system/src/drivers/l4/serial_stm32l4x.o + 0x0000000008004902 srl_switch_timeout_for_waiting + *fill* 0x0000000008004922 0x2 .text.analog_anemometer_init - 0x0000000008004190 0x17c ./system/src/drivers/analog_anemometer.o - 0x0000000008004190 analog_anemometer_init + 0x0000000008004924 0x17c ./system/src/drivers/analog_anemometer.o + 0x0000000008004924 analog_anemometer_init .text.analog_anemometer_timer_irq - 0x000000000800430c 0xc ./system/src/drivers/analog_anemometer.o - 0x000000000800430c analog_anemometer_timer_irq + 0x0000000008004aa0 0xc ./system/src/drivers/analog_anemometer.o + 0x0000000008004aa0 analog_anemometer_timer_irq .text.analog_anemometer_dma_irq - 0x0000000008004318 0x240 ./system/src/drivers/analog_anemometer.o - 0x0000000008004318 analog_anemometer_dma_irq + 0x0000000008004aac 0x240 ./system/src/drivers/analog_anemometer.o + 0x0000000008004aac analog_anemometer_dma_irq .text.analog_anemometer_get_ms_from_pulse - 0x0000000008004558 0x28 ./system/src/drivers/analog_anemometer.o - 0x0000000008004558 analog_anemometer_get_ms_from_pulse + 0x0000000008004cec 0x28 ./system/src/drivers/analog_anemometer.o + 0x0000000008004cec analog_anemometer_get_ms_from_pulse .text.analog_anemometer_direction_sparkfun - 0x0000000008004580 0x40 ./system/src/drivers/analog_anemometer.o - 0x0000000008004580 analog_anemometer_direction_sparkfun + 0x0000000008004d14 0x40 ./system/src/drivers/analog_anemometer.o + 0x0000000008004d14 analog_anemometer_direction_sparkfun .text.analog_anemometer_direction_reset - 0x00000000080045c0 0x1c ./system/src/drivers/analog_anemometer.o - 0x00000000080045c0 analog_anemometer_direction_reset + 0x0000000008004d54 0x1c ./system/src/drivers/analog_anemometer.o + 0x0000000008004d54 analog_anemometer_direction_reset .text.analog_anemometer_direction_handler - 0x00000000080045dc 0x154 ./system/src/drivers/analog_anemometer.o - 0x00000000080045dc analog_anemometer_direction_handler + 0x0000000008004d70 0x154 ./system/src/drivers/analog_anemometer.o + 0x0000000008004d70 analog_anemometer_direction_handler .text.analog_anemometer_get_qf - 0x0000000008004730 0x9c ./system/src/drivers/analog_anemometer.o - 0x0000000008004730 analog_anemometer_get_qf + 0x0000000008004ec4 0x9c ./system/src/drivers/analog_anemometer.o + 0x0000000008004ec4 analog_anemometer_get_qf .text.bme280_reset - 0x00000000080047cc 0x5c ./system/src/drivers/bme280.o - 0x00000000080047cc bme280_reset + 0x0000000008004f60 0x5c ./system/src/drivers/bme280.o + 0x0000000008004f60 bme280_reset .text.bme280_setup - 0x0000000008004828 0x70 ./system/src/drivers/bme280.o - 0x0000000008004828 bme280_setup + 0x0000000008004fbc 0x70 ./system/src/drivers/bme280.o + 0x0000000008004fbc bme280_setup .text.bme280_read_calibration - 0x0000000008004898 0xf4 ./system/src/drivers/bme280.o - 0x0000000008004898 bme280_read_calibration + 0x000000000800502c 0xf4 ./system/src/drivers/bme280.o + 0x000000000800502c bme280_read_calibration .text.bme280_get_pressure - 0x000000000800498c 0x11c ./system/src/drivers/bme280.o - 0x000000000800498c bme280_get_pressure + 0x0000000008005120 0x11c ./system/src/drivers/bme280.o + 0x0000000008005120 bme280_get_pressure .text.bme280_get_temperature - 0x0000000008004aa8 0x70 ./system/src/drivers/bme280.o - 0x0000000008004aa8 bme280_get_temperature + 0x000000000800523c 0x70 ./system/src/drivers/bme280.o + 0x000000000800523c bme280_get_temperature .text.bme280_get_humidity - 0x0000000008004b18 0xb8 ./system/src/drivers/bme280.o - 0x0000000008004b18 bme280_get_humidity + 0x00000000080052ac 0xb8 ./system/src/drivers/bme280.o + 0x00000000080052ac bme280_get_humidity .text.dallas_init - 0x0000000008004bd0 0x68 ./system/src/drivers/dallas.o - 0x0000000008004bd0 dallas_init + 0x0000000008005364 0x68 ./system/src/drivers/dallas.o + 0x0000000008005364 dallas_init .text.dallas_config_timer - 0x0000000008004c38 0x5c ./system/src/drivers/dallas.o - 0x0000000008004c38 dallas_config_timer + 0x00000000080053cc 0x5c ./system/src/drivers/dallas.o + 0x00000000080053cc dallas_config_timer .text.dallas_deconfig_timer - 0x0000000008004c94 0x1c ./system/src/drivers/dallas.o - 0x0000000008004c94 dallas_deconfig_timer + 0x0000000008005428 0x1c ./system/src/drivers/dallas.o + 0x0000000008005428 dallas_deconfig_timer .text.dallas_reset - 0x0000000008004cb0 0x68 ./system/src/drivers/dallas.o - 0x0000000008004cb0 dallas_reset + 0x0000000008005444 0x68 ./system/src/drivers/dallas.o + 0x0000000008005444 dallas_reset .text.dallas_send_byte - 0x0000000008004d18 0xb4 ./system/src/drivers/dallas.o - 0x0000000008004d18 dallas_send_byte + 0x00000000080054ac 0xb4 ./system/src/drivers/dallas.o + 0x00000000080054ac dallas_send_byte .text.dallas_receive_byte - 0x0000000008004dcc 0xd0 ./system/src/drivers/dallas.o - 0x0000000008004dcc dallas_receive_byte + 0x0000000008005560 0xd0 ./system/src/drivers/dallas.o + 0x0000000008005560 dallas_receive_byte .text.dallas_query - 0x0000000008004e9c 0x230 ./system/src/drivers/dallas.o - 0x0000000008004e9c dallas_query + 0x0000000008005630 0x230 ./system/src/drivers/dallas.o + 0x0000000008005630 dallas_query .text.dallas_calculate_crc8 - 0x00000000080050cc 0x3c ./system/src/drivers/dallas.o - 0x00000000080050cc dallas_calculate_crc8 + 0x0000000008005860 0x3c ./system/src/drivers/dallas.o + 0x0000000008005860 dallas_calculate_crc8 .text.dallas_average - 0x0000000008005108 0x1a ./system/src/drivers/dallas.o - 0x0000000008005108 dallas_average - *fill* 0x0000000008005122 0x2 + 0x000000000800589c 0x1a ./system/src/drivers/dallas.o + 0x000000000800589c dallas_average + *fill* 0x00000000080058b6 0x2 .text.dallas_get_average - 0x0000000008005124 0x50 ./system/src/drivers/dallas.o - 0x0000000008005124 dallas_get_average + 0x00000000080058b8 0x50 ./system/src/drivers/dallas.o + 0x00000000080058b8 dallas_get_average .text.dallas_get_min - 0x0000000008005174 0x40 ./system/src/drivers/dallas.o - 0x0000000008005174 dallas_get_min + 0x0000000008005908 0x40 ./system/src/drivers/dallas.o + 0x0000000008005908 dallas_get_min .text.dallas_get_max - 0x00000000080051b4 0x3c ./system/src/drivers/dallas.o - 0x00000000080051b4 dallas_get_max + 0x0000000008005948 0x3c ./system/src/drivers/dallas.o + 0x0000000008005948 dallas_get_max .text.dma_helper_start_ch7 - 0x00000000080051f0 0x34 ./system/src/drivers/dma_helper_functions.o - 0x00000000080051f0 dma_helper_start_ch7 + 0x0000000008005984 0x34 ./system/src/drivers/dma_helper_functions.o + 0x0000000008005984 dma_helper_start_ch7 .text.ms5611_reset - 0x0000000008005224 0x50 ./system/src/drivers/ms5611.o - 0x0000000008005224 ms5611_reset + 0x00000000080059b8 0x50 ./system/src/drivers/ms5611.o + 0x00000000080059b8 ms5611_reset .text.ms5611_trigger_measure - 0x0000000008005274 0x1a4 ./system/src/drivers/ms5611.o - 0x0000000008005274 ms5611_trigger_measure + 0x0000000008005a08 0x1a4 ./system/src/drivers/ms5611.o + 0x0000000008005a08 ms5611_trigger_measure + *fill* 0x0000000008005bac 0x4 .text.ms5611_get_temperature - 0x0000000008005418 0x144 ./system/src/drivers/ms5611.o - 0x0000000008005418 ms5611_get_temperature - *fill* 0x000000000800555c 0x4 + 0x0000000008005bb0 0x144 ./system/src/drivers/ms5611.o + 0x0000000008005bb0 ms5611_get_temperature + *fill* 0x0000000008005cf4 0x4 .text.ms5611_get_pressure - 0x0000000008005560 0x248 ./system/src/drivers/ms5611.o - 0x0000000008005560 ms5611_get_pressure - .text.crc4 0x00000000080057a8 0x60 ./system/src/drivers/ms5611.o - 0x00000000080057a8 crc4 + 0x0000000008005cf8 0x248 ./system/src/drivers/ms5611.o + 0x0000000008005cf8 ms5611_get_pressure + .text.crc4 0x0000000008005f40 0x60 ./system/src/drivers/ms5611.o + 0x0000000008005f40 crc4 .text.ms5611_read_calibration - 0x0000000008005808 0xc4 ./system/src/drivers/ms5611.o - 0x0000000008005808 ms5611_read_calibration + 0x0000000008005fa0 0xc4 ./system/src/drivers/ms5611.o + 0x0000000008005fa0 ms5611_read_calibration .text.davis_init - 0x00000000080058cc 0x4c ./system/src/davis_vantage/davis.o - 0x00000000080058cc davis_init + 0x0000000008006064 0x4c ./system/src/davis_vantage/davis.o + 0x0000000008006064 davis_init .text.davis_wake_up - 0x0000000008005918 0x1c8 ./system/src/davis_vantage/davis.o - 0x0000000008005918 davis_wake_up + 0x00000000080060b0 0x1c8 ./system/src/davis_vantage/davis.o + 0x00000000080060b0 davis_wake_up .text.davis_rxcheck_packet_pooler - 0x0000000008005ae0 0x13c ./system/src/davis_vantage/davis.o - 0x0000000008005ae0 davis_rxcheck_packet_pooler + 0x0000000008006278 0x13c ./system/src/davis_vantage/davis.o + 0x0000000008006278 davis_rxcheck_packet_pooler .text.davis_loop_packet_pooler - 0x0000000008005c1c 0x10c ./system/src/davis_vantage/davis.o - 0x0000000008005c1c davis_loop_packet_pooler + 0x00000000080063b4 0x10c ./system/src/davis_vantage/davis.o + 0x00000000080063b4 davis_loop_packet_pooler .text.davis_trigger_rxcheck_packet - 0x0000000008005d28 0xc ./system/src/davis_vantage/davis.o - 0x0000000008005d28 davis_trigger_rxcheck_packet + 0x00000000080064c0 0xc ./system/src/davis_vantage/davis.o + 0x00000000080064c0 davis_trigger_rxcheck_packet .text.davis_trigger_loop_packet - 0x0000000008005d34 0xc ./system/src/davis_vantage/davis.o - 0x0000000008005d34 davis_trigger_loop_packet + 0x00000000080064cc 0xc ./system/src/davis_vantage/davis.o + 0x00000000080064cc davis_trigger_loop_packet .text.davis_control_backlight - 0x0000000008005d40 0x68 ./system/src/davis_vantage/davis.o - 0x0000000008005d40 davis_control_backlight + 0x00000000080064d8 0x68 ./system/src/davis_vantage/davis.o + 0x00000000080064d8 davis_control_backlight .text.davis_parsers_check_crc - 0x0000000008005da8 0x30 ./system/src/davis_vantage/davis_parsers.o - 0x0000000008005da8 davis_parsers_check_crc + 0x0000000008006540 0x30 ./system/src/davis_vantage/davis_parsers.o + 0x0000000008006540 davis_parsers_check_crc .text.davis_parsers_loop2 - 0x0000000008005dd8 0xa0 ./system/src/davis_vantage/davis_parsers.o - 0x0000000008005dd8 davis_parsers_loop2 + 0x0000000008006570 0xa0 ./system/src/davis_vantage/davis_parsers.o + 0x0000000008006570 davis_parsers_loop2 .text.davis_parsers_loop - 0x0000000008005e78 0x8 ./system/src/davis_vantage/davis_parsers.o - 0x0000000008005e78 davis_parsers_loop + 0x0000000008006610 0x8 ./system/src/davis_vantage/davis_parsers.o + 0x0000000008006610 davis_parsers_loop .text.davis_parsers_rxcheck - 0x0000000008005e80 0xd4 ./system/src/davis_vantage/davis_parsers.o - 0x0000000008005e80 davis_parsers_rxcheck + 0x0000000008006618 0xd4 ./system/src/davis_vantage/davis_parsers.o + 0x0000000008006618 davis_parsers_rxcheck .text.Reset_Handler - 0x0000000008005f54 0x50 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o - 0x0000000008005f54 Reset_Handler + 0x00000000080066ec 0x50 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o + 0x00000000080066ec Reset_Handler .text.Default_Handler - 0x0000000008005fa4 0x2 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o - 0x0000000008005fa4 RTC_Alarm_IRQHandler - 0x0000000008005fa4 EXTI2_IRQHandler - 0x0000000008005fa4 TIM8_TRG_COM_IRQHandler - 0x0000000008005fa4 TIM8_CC_IRQHandler - 0x0000000008005fa4 TIM1_CC_IRQHandler - 0x0000000008005fa4 TSC_IRQHandler - 0x0000000008005fa4 TAMP_STAMP_IRQHandler - 0x0000000008005fa4 EXTI3_IRQHandler - 0x0000000008005fa4 LPTIM2_IRQHandler - 0x0000000008005fa4 DFSDM1_FLT1_IRQHandler - 0x0000000008005fa4 I2C3_ER_IRQHandler - 0x0000000008005fa4 DFSDM1_FLT2_IRQHandler - 0x0000000008005fa4 EXTI0_IRQHandler - 0x0000000008005fa4 I2C2_EV_IRQHandler - 0x0000000008005fa4 CAN1_RX0_IRQHandler - 0x0000000008005fa4 FPU_IRQHandler - 0x0000000008005fa4 TIM1_UP_TIM16_IRQHandler - 0x0000000008005fa4 ADC1_2_IRQHandler - 0x0000000008005fa4 SPI1_IRQHandler - 0x0000000008005fa4 TIM8_UP_IRQHandler - 0x0000000008005fa4 DMA2_Channel2_IRQHandler - 0x0000000008005fa4 DMA1_Channel4_IRQHandler - 0x0000000008005fa4 SAI2_IRQHandler - 0x0000000008005fa4 DFSDM1_FLT3_IRQHandler - 0x0000000008005fa4 USART3_IRQHandler - 0x0000000008005fa4 CAN1_RX1_IRQHandler - 0x0000000008005fa4 UART5_IRQHandler - 0x0000000008005fa4 ADC3_IRQHandler - 0x0000000008005fa4 DMA2_Channel1_IRQHandler - 0x0000000008005fa4 QUADSPI_IRQHandler - 0x0000000008005fa4 DMA1_Channel6_IRQHandler - 0x0000000008005fa4 UART4_IRQHandler - 0x0000000008005fa4 DMA2_Channel4_IRQHandler - 0x0000000008005fa4 TIM3_IRQHandler - 0x0000000008005fa4 RCC_IRQHandler - 0x0000000008005fa4 DMA1_Channel1_IRQHandler - 0x0000000008005fa4 Default_Handler - 0x0000000008005fa4 DMA2_Channel7_IRQHandler - 0x0000000008005fa4 EXTI15_10_IRQHandler - 0x0000000008005fa4 SDMMC1_IRQHandler - 0x0000000008005fa4 TIM5_IRQHandler - 0x0000000008005fa4 I2C3_EV_IRQHandler - 0x0000000008005fa4 EXTI9_5_IRQHandler - 0x0000000008005fa4 RTC_WKUP_IRQHandler - 0x0000000008005fa4 PVD_PVM_IRQHandler - 0x0000000008005fa4 SPI2_IRQHandler - 0x0000000008005fa4 CAN1_TX_IRQHandler - 0x0000000008005fa4 DMA2_Channel5_IRQHandler - 0x0000000008005fa4 DMA1_Channel5_IRQHandler - 0x0000000008005fa4 EXTI4_IRQHandler - 0x0000000008005fa4 RNG_IRQHandler - 0x0000000008005fa4 DMA1_Channel3_IRQHandler - 0x0000000008005fa4 COMP_IRQHandler - 0x0000000008005fa4 WWDG_IRQHandler - 0x0000000008005fa4 LPUART1_IRQHandler - 0x0000000008005fa4 DMA2_Channel6_IRQHandler - 0x0000000008005fa4 EXTI1_IRQHandler - 0x0000000008005fa4 DFSDM1_FLT0_IRQHandler - 0x0000000008005fa4 I2C2_ER_IRQHandler - 0x0000000008005fa4 DMA1_Channel2_IRQHandler - 0x0000000008005fa4 TIM8_BRK_IRQHandler - 0x0000000008005fa4 CAN1_SCE_IRQHandler - 0x0000000008005fa4 FLASH_IRQHandler - 0x0000000008005fa4 SPI3_IRQHandler - 0x0000000008005fa4 FMC_IRQHandler - 0x0000000008005fa4 SWPMI1_IRQHandler - 0x0000000008005fa4 LPTIM1_IRQHandler - 0x0000000008005fa4 SAI1_IRQHandler - 0x0000000008005fa4 DMA2_Channel3_IRQHandler - 0x0000000008005fa4 TIM1_BRK_TIM15_IRQHandler + 0x000000000800673c 0x2 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o + 0x000000000800673c RTC_Alarm_IRQHandler + 0x000000000800673c EXTI2_IRQHandler + 0x000000000800673c TIM8_TRG_COM_IRQHandler + 0x000000000800673c TIM8_CC_IRQHandler + 0x000000000800673c TIM1_CC_IRQHandler + 0x000000000800673c TSC_IRQHandler + 0x000000000800673c TAMP_STAMP_IRQHandler + 0x000000000800673c EXTI3_IRQHandler + 0x000000000800673c LPTIM2_IRQHandler + 0x000000000800673c DFSDM1_FLT1_IRQHandler + 0x000000000800673c I2C3_ER_IRQHandler + 0x000000000800673c DFSDM1_FLT2_IRQHandler + 0x000000000800673c EXTI0_IRQHandler + 0x000000000800673c I2C2_EV_IRQHandler + 0x000000000800673c CAN1_RX0_IRQHandler + 0x000000000800673c FPU_IRQHandler + 0x000000000800673c TIM1_UP_TIM16_IRQHandler + 0x000000000800673c ADC1_2_IRQHandler + 0x000000000800673c SPI1_IRQHandler + 0x000000000800673c TIM8_UP_IRQHandler + 0x000000000800673c DMA2_Channel2_IRQHandler + 0x000000000800673c DMA1_Channel4_IRQHandler + 0x000000000800673c SAI2_IRQHandler + 0x000000000800673c DFSDM1_FLT3_IRQHandler + 0x000000000800673c USART3_IRQHandler + 0x000000000800673c CAN1_RX1_IRQHandler + 0x000000000800673c UART5_IRQHandler + 0x000000000800673c ADC3_IRQHandler + 0x000000000800673c DMA2_Channel1_IRQHandler + 0x000000000800673c QUADSPI_IRQHandler + 0x000000000800673c DMA1_Channel6_IRQHandler + 0x000000000800673c UART4_IRQHandler + 0x000000000800673c DMA2_Channel4_IRQHandler + 0x000000000800673c TIM3_IRQHandler + 0x000000000800673c RCC_IRQHandler + 0x000000000800673c DMA1_Channel1_IRQHandler + 0x000000000800673c Default_Handler + 0x000000000800673c DMA2_Channel7_IRQHandler + 0x000000000800673c EXTI15_10_IRQHandler + 0x000000000800673c SDMMC1_IRQHandler + 0x000000000800673c TIM5_IRQHandler + 0x000000000800673c I2C3_EV_IRQHandler + 0x000000000800673c EXTI9_5_IRQHandler + 0x000000000800673c RTC_WKUP_IRQHandler + 0x000000000800673c PVD_PVM_IRQHandler + 0x000000000800673c SPI2_IRQHandler + 0x000000000800673c CAN1_TX_IRQHandler + 0x000000000800673c DMA2_Channel5_IRQHandler + 0x000000000800673c DMA1_Channel5_IRQHandler + 0x000000000800673c EXTI4_IRQHandler + 0x000000000800673c RNG_IRQHandler + 0x000000000800673c DMA1_Channel3_IRQHandler + 0x000000000800673c COMP_IRQHandler + 0x000000000800673c WWDG_IRQHandler + 0x000000000800673c LPUART1_IRQHandler + 0x000000000800673c DMA2_Channel6_IRQHandler + 0x000000000800673c EXTI1_IRQHandler + 0x000000000800673c DFSDM1_FLT0_IRQHandler + 0x000000000800673c I2C2_ER_IRQHandler + 0x000000000800673c DMA1_Channel2_IRQHandler + 0x000000000800673c TIM8_BRK_IRQHandler + 0x000000000800673c CAN1_SCE_IRQHandler + 0x000000000800673c FLASH_IRQHandler + 0x000000000800673c SPI3_IRQHandler + 0x000000000800673c FMC_IRQHandler + 0x000000000800673c SWPMI1_IRQHandler + 0x000000000800673c LPTIM1_IRQHandler + 0x000000000800673c SAI1_IRQHandler + 0x000000000800673c DMA2_Channel3_IRQHandler + 0x000000000800673c TIM1_BRK_TIM15_IRQHandler .text.NMI_Handler - 0x0000000008005fa6 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - 0x0000000008005fa6 NMI_Handler + 0x000000000800673e 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + 0x000000000800673e NMI_Handler .text.HardFault_Handler - 0x0000000008005fa8 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - 0x0000000008005fa8 HardFault_Handler + 0x0000000008006740 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + 0x0000000008006740 HardFault_Handler .text.MemManage_Handler - 0x0000000008005faa 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - 0x0000000008005faa MemManage_Handler + 0x0000000008006742 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + 0x0000000008006742 MemManage_Handler .text.BusFault_Handler - 0x0000000008005fac 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - 0x0000000008005fac BusFault_Handler + 0x0000000008006744 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + 0x0000000008006744 BusFault_Handler .text.UsageFault_Handler - 0x0000000008005fae 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - 0x0000000008005fae UsageFault_Handler + 0x0000000008006746 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + 0x0000000008006746 UsageFault_Handler .text.SVC_Handler - 0x0000000008005fb0 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - 0x0000000008005fb0 SVC_Handler + 0x0000000008006748 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + 0x0000000008006748 SVC_Handler .text.DebugMon_Handler - 0x0000000008005fb2 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - 0x0000000008005fb2 DebugMon_Handler + 0x000000000800674a 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + 0x000000000800674a DebugMon_Handler .text.PendSV_Handler - 0x0000000008005fb4 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - 0x0000000008005fb4 PendSV_Handler - .text.SysTick_Handler - 0x0000000008005fb6 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - 0x0000000008005fb6 SysTick_Handler + 0x000000000800674c 0x2 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + 0x000000000800674c PendSV_Handler + *fill* 0x000000000800674e 0x2 .text.SystemInit - 0x0000000008005fb8 0x44 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o - 0x0000000008005fb8 SystemInit + 0x0000000008006750 0x44 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o + 0x0000000008006750 SystemInit .text.AD_Start - 0x0000000008005ffc 0xe ./system/src/aprs/adc.o - 0x0000000008005ffc AD_Start - .text.AD_Stop 0x000000000800600a 0xe ./system/src/aprs/adc.o - 0x000000000800600a AD_Stop + 0x0000000008006794 0xe ./system/src/aprs/adc.o + 0x0000000008006794 AD_Start + .text.AD_Stop 0x00000000080067a2 0xe ./system/src/aprs/adc.o + 0x00000000080067a2 AD_Stop .text.fifo_isempty - 0x0000000008006018 0xe ./system/src/aprs/afsk.o + 0x00000000080067b0 0xe ./system/src/aprs/afsk.o .text.fifo_isfull - 0x0000000008006026 0x26 ./system/src/aprs/afsk.o + 0x00000000080067be 0x26 ./system/src/aprs/afsk.o .text.fifo_push - 0x000000000800604c 0x1a ./system/src/aprs/afsk.o + 0x00000000080067e4 0x1a ./system/src/aprs/afsk.o .text.fifo_pop - 0x0000000008006066 0x1a ./system/src/aprs/afsk.o + 0x00000000080067fe 0x1a ./system/src/aprs/afsk.o .text.fifo_flush - 0x0000000008006080 0x6 ./system/src/aprs/afsk.o + 0x0000000008006818 0x6 ./system/src/aprs/afsk.o .text.fifo_init - 0x0000000008006086 0xe ./system/src/aprs/afsk.o + 0x000000000800681e 0xe ./system/src/aprs/afsk.o .text.sin_sample - 0x0000000008006094 0x20 ./system/src/aprs/afsk.o + 0x000000000800682c 0x20 ./system/src/aprs/afsk.o .text.hdlc_parse - 0x00000000080060b4 0xfc ./system/src/aprs/afsk.o + 0x000000000800684c 0xfc ./system/src/aprs/afsk.o .text.afsk_demod - 0x00000000080061b0 0x9c ./system/src/aprs/afsk.o + 0x0000000008006948 0x9c ./system/src/aprs/afsk.o .text.AFSK_ADC_ISR - 0x000000000800624c 0x9e ./system/src/aprs/afsk.o - 0x000000000800624c AFSK_ADC_ISR - *fill* 0x00000000080062ea 0x2 + 0x00000000080069e4 0x9e ./system/src/aprs/afsk.o + 0x00000000080069e4 AFSK_ADC_ISR + *fill* 0x0000000008006a82 0x2 .text.afsk_txStart - 0x00000000080062ec 0x3c ./system/src/aprs/afsk.o - 0x00000000080062ec afsk_txStart + 0x0000000008006a84 0x3c ./system/src/aprs/afsk.o + 0x0000000008006a84 afsk_txStart .text.AFSK_DAC_ISR - 0x0000000008006328 0x108 ./system/src/aprs/afsk.o - 0x0000000008006328 AFSK_DAC_ISR + 0x0000000008006ac0 0x108 ./system/src/aprs/afsk.o + 0x0000000008006ac0 AFSK_DAC_ISR .text.AFSK_Init - 0x0000000008006430 0x14c ./system/src/aprs/afsk.o - 0x0000000008006430 AFSK_Init + 0x0000000008006bc8 0x14c ./system/src/aprs/afsk.o + 0x0000000008006bc8 AFSK_Init .text.ADCStartConfig - 0x000000000800657c 0x48 ./system/src/aprs/afsk_pr.o - 0x000000000800657c ADCStartConfig + 0x0000000008006d14 0x48 ./system/src/aprs/afsk_pr.o + 0x0000000008006d14 ADCStartConfig .text.DACStartConfig - 0x00000000080065c4 0x2c ./system/src/aprs/afsk_pr.o - 0x00000000080065c4 DACStartConfig + 0x0000000008006d5c 0x2c ./system/src/aprs/afsk_pr.o + 0x0000000008006d5c DACStartConfig .text.updcrc_ccitt - 0x00000000080065f0 0x14 ./system/src/aprs/ax25.o + 0x0000000008006d88 0x14 ./system/src/aprs/ax25.o .text.fifo_isempty - 0x0000000008006604 0xe ./system/src/aprs/ax25.o + 0x0000000008006d9c 0xe ./system/src/aprs/ax25.o .text.fifo_isfull - 0x0000000008006612 0x26 ./system/src/aprs/ax25.o + 0x0000000008006daa 0x26 ./system/src/aprs/ax25.o .text.fifo_push - 0x0000000008006638 0x1a ./system/src/aprs/ax25.o + 0x0000000008006dd0 0x1a ./system/src/aprs/ax25.o .text.fifo_pop - 0x0000000008006652 0x1a ./system/src/aprs/ax25.o + 0x0000000008006dea 0x1a ./system/src/aprs/ax25.o .text.fifo_getc - 0x000000000800666c 0x1a ./system/src/aprs/ax25.o + 0x0000000008006e04 0x1a ./system/src/aprs/ax25.o .text.fifo_putc - 0x0000000008006686 0x1a ./system/src/aprs/ax25.o + 0x0000000008006e1e 0x1a ./system/src/aprs/ax25.o .text.ax25_decode - 0x00000000080066a0 0x160 ./system/src/aprs/ax25.o + 0x0000000008006e38 0x160 ./system/src/aprs/ax25.o .text.ax25_poll - 0x0000000008006800 0x10a ./system/src/aprs/ax25.o - 0x0000000008006800 ax25_poll + 0x0000000008006f98 0x10a ./system/src/aprs/ax25.o + 0x0000000008006f98 ax25_poll .text.ax25_putchar - 0x000000000800690a 0x40 ./system/src/aprs/ax25.o - 0x000000000800690a ax25_putchar + 0x00000000080070a2 0x40 ./system/src/aprs/ax25.o + 0x00000000080070a2 ax25_putchar .text.ax25_sendCall - 0x000000000800694a 0x76 ./system/src/aprs/ax25.o + 0x00000000080070e2 0x76 ./system/src/aprs/ax25.o .text.ax25_sendVia - 0x00000000080069c0 0xa0 ./system/src/aprs/ax25.o - 0x00000000080069c0 ax25_sendVia + 0x0000000008007158 0xa0 ./system/src/aprs/ax25.o + 0x0000000008007158 ax25_sendVia .text.ax25_sendVia_toBuffer - 0x0000000008006a60 0xb0 ./system/src/aprs/ax25.o - 0x0000000008006a60 ax25_sendVia_toBuffer + 0x00000000080071f8 0xb0 ./system/src/aprs/ax25.o + 0x00000000080071f8 ax25_sendVia_toBuffer .text.ax25_sendRaw - 0x0000000008006b10 0x62 ./system/src/aprs/ax25.o - 0x0000000008006b10 ax25_sendRaw + 0x00000000080072a8 0x62 ./system/src/aprs/ax25.o + 0x00000000080072a8 ax25_sendRaw .text.ax25_init - 0x0000000008006b72 0x2e ./system/src/aprs/ax25.o - 0x0000000008006b72 ax25_init + 0x000000000800730a 0x2e ./system/src/aprs/ax25.o + 0x000000000800730a ax25_init .text.beacon_send_own - 0x0000000008006ba0 0xa0 ./system/src/aprs/beacon.o - 0x0000000008006ba0 beacon_send_own - .text.DA_Init 0x0000000008006c40 0x2 ./system/src/aprs/dac.o - 0x0000000008006c40 DA_Init - *fill* 0x0000000008006c42 0x2 + 0x0000000008007338 0xa0 ./system/src/aprs/beacon.o + 0x0000000008007338 beacon_send_own + .text.DA_Init 0x00000000080073d8 0x2 ./system/src/aprs/dac.o + 0x00000000080073d8 DA_Init + *fill* 0x00000000080073da 0x2 .text.DA_Start - 0x0000000008006c44 0x24 ./system/src/aprs/dac.o - 0x0000000008006c44 DA_Start - .text.DA_Stop 0x0000000008006c68 0x24 ./system/src/aprs/dac.o - 0x0000000008006c68 DA_Stop + 0x00000000080073dc 0x24 ./system/src/aprs/dac.o + 0x00000000080073dc DA_Start + .text.DA_Stop 0x0000000008007400 0x24 ./system/src/aprs/dac.o + 0x0000000008007400 DA_Stop .text.digi_init - 0x0000000008006c8c 0x58 ./system/src/aprs/digi.o - 0x0000000008006c8c digi_init + 0x0000000008007424 0x58 ./system/src/aprs/digi.o + 0x0000000008007424 digi_init .text.digi_process - 0x0000000008006ce4 0x2c4 ./system/src/aprs/digi.o - 0x0000000008006ce4 digi_process + 0x000000000800747c 0x2c4 ./system/src/aprs/digi.o + 0x000000000800747c digi_process .text.telemetry_send_chns_description_pv - 0x0000000008006fa8 0x1c4 ./system/src/aprs/telemetry.o - 0x0000000008006fa8 telemetry_send_chns_description_pv + 0x0000000008007740 0x1c4 ./system/src/aprs/telemetry.o + 0x0000000008007740 telemetry_send_chns_description_pv .text.telemetry_send_values_pv - 0x000000000800716c 0x190 ./system/src/aprs/telemetry.o - 0x000000000800716c telemetry_send_values_pv + 0x0000000008007904 0x190 ./system/src/aprs/telemetry.o + 0x0000000008007904 telemetry_send_values_pv .text.telemetry_send_status_pv - 0x00000000080072fc 0xb0 ./system/src/aprs/telemetry.o - 0x00000000080072fc telemetry_send_status_pv + 0x0000000008007a94 0xb0 ./system/src/aprs/telemetry.o + 0x0000000008007a94 telemetry_send_status_pv .text.telemetry_send_chns_description - 0x00000000080073ac 0x1d8 ./system/src/aprs/telemetry.o - 0x00000000080073ac telemetry_send_chns_description + 0x0000000008007b44 0x1d8 ./system/src/aprs/telemetry.o + 0x0000000008007b44 telemetry_send_chns_description .text.telemetry_send_values - 0x0000000008007584 0x190 ./system/src/aprs/telemetry.o - 0x0000000008007584 telemetry_send_values + 0x0000000008007d1c 0x190 ./system/src/aprs/telemetry.o + 0x0000000008007d1c telemetry_send_values .text.telemetry_send_status - 0x0000000008007714 0x6c ./system/src/aprs/telemetry.o - 0x0000000008007714 telemetry_send_status + 0x0000000008007eac 0x6c ./system/src/aprs/telemetry.o + 0x0000000008007eac telemetry_send_status .text.telemetry_send_status_raw_values_modbus - 0x0000000008007780 0x2 ./system/src/aprs/telemetry.o - 0x0000000008007780 telemetry_send_status_raw_values_modbus - *fill* 0x0000000008007782 0x6 + 0x0000000008007f18 0x2 ./system/src/aprs/telemetry.o + 0x0000000008007f18 telemetry_send_status_raw_values_modbus + *fill* 0x0000000008007f1a 0x6 .text.SendWXFrame - 0x0000000008007788 0x1b8 ./system/src/aprs/wx.o - 0x0000000008007788 SendWXFrame + 0x0000000008007f20 0x1b8 ./system/src/aprs/wx.o + 0x0000000008007f20 SendWXFrame .text.SendWXFrameToBuffer - 0x0000000008007940 0x18c ./system/src/aprs/wx.o - 0x0000000008007940 SendWXFrameToBuffer + 0x00000000080080d8 0x18c ./system/src/aprs/wx.o + 0x00000000080080d8 SendWXFrameToBuffer .text.updcrc_ccitt - 0x0000000008007acc 0x14 ./src/KissCommunication.o + 0x0000000008008264 0x14 ./src/KissCommunication.o .text.SendKISSToHost - 0x0000000008007ae0 0x68 ./src/KissCommunication.o - 0x0000000008007ae0 SendKISSToHost + 0x0000000008008278 0x68 ./src/KissCommunication.o + 0x0000000008008278 SendKISSToHost .text.ParseReceivedKISS - 0x0000000008007b48 0x8c ./src/KissCommunication.o - 0x0000000008007b48 ParseReceivedKISS + 0x00000000080082e0 0x8c ./src/KissCommunication.o + 0x00000000080082e0 ParseReceivedKISS .text.kiss_reset_buffer - 0x0000000008007bd4 0x1c ./src/KissCommunication.o - 0x0000000008007bd4 kiss_reset_buffer + 0x000000000800836c 0x1c ./src/KissCommunication.o + 0x000000000800836c kiss_reset_buffer .text.kiss_put_char_nocheck - 0x0000000008007bf0 0x26 ./src/KissCommunication.o - 0x0000000008007bf0 kiss_put_char_nocheck + 0x0000000008008388 0x26 ./src/KissCommunication.o + 0x0000000008008388 kiss_put_char_nocheck .text.kiss_put_char - 0x0000000008007c16 0xb6 ./src/KissCommunication.o - 0x0000000008007c16 kiss_put_char + 0x00000000080083ae 0xb6 ./src/KissCommunication.o + 0x00000000080083ae kiss_put_char .text.kiss_put_call - 0x0000000008007ccc 0x94 ./src/KissCommunication.o - 0x0000000008007ccc kiss_put_call + 0x0000000008008464 0x94 ./src/KissCommunication.o + 0x0000000008008464 kiss_put_call .text.kiss_finalize_buffer - 0x0000000008007d60 0x18 ./src/KissCommunication.o - 0x0000000008007d60 kiss_finalize_buffer + 0x00000000080084f8 0x18 ./src/KissCommunication.o + 0x00000000080084f8 kiss_finalize_buffer .text.led_init - 0x0000000008007d78 0x3c ./src/LedConfig.o - 0x0000000008007d78 led_init + 0x0000000008008510 0x3c ./src/LedConfig.o + 0x0000000008008510 led_init + .text.led_service_blink + 0x000000000800854c 0x7c ./src/LedConfig.o + 0x000000000800854c led_service_blink .text.ConfigPath - 0x0000000008007db4 0x80 ./src/PathConfig.o - 0x0000000008007db4 ConfigPath + 0x00000000080085c8 0x80 ./src/PathConfig.o + 0x00000000080085c8 ConfigPath .text.TimerConfig - 0x0000000008007e34 0x94 ./src/TimerConfig.o - 0x0000000008007e34 TimerConfig + 0x0000000008008648 0x94 ./src/TimerConfig.o + 0x0000000008008648 TimerConfig .text.configuration_handler_check_crc - 0x0000000008007ec8 0x54 ./src/configuration_handler.o - 0x0000000008007ec8 configuration_handler_check_crc + 0x00000000080086dc 0x84 ./src/configuration_handler.o + 0x00000000080086dc configuration_handler_check_crc .text.configuration_handler_restore_default_first - 0x0000000008007f1c 0x144 ./src/configuration_handler.o - 0x0000000008007f1c configuration_handler_restore_default_first + 0x0000000008008760 0x15c ./src/configuration_handler.o + 0x0000000008008760 configuration_handler_restore_default_first .text.configuration_handler_restore_default_second - 0x0000000008008060 0x148 ./src/configuration_handler.o - 0x0000000008008060 configuration_handler_restore_default_second + 0x00000000080088bc 0x160 ./src/configuration_handler.o + 0x00000000080088bc configuration_handler_restore_default_second .text.configuration_handler_load_configuration - 0x00000000080081a8 0xbc ./src/configuration_handler.o - 0x00000000080081a8 configuration_handler_load_configuration + 0x0000000008008a1c 0xbc ./src/configuration_handler.o + 0x0000000008008a1c configuration_handler_load_configuration .text.configuration_get_register - 0x0000000008008264 0xc ./src/configuration_handler.o - 0x0000000008008264 configuration_get_register + 0x0000000008008ad8 0xc ./src/configuration_handler.o + 0x0000000008008ad8 configuration_get_register .text.configuration_set_bits_register - 0x0000000008008270 0x10 ./src/configuration_handler.o - 0x0000000008008270 configuration_set_bits_register + 0x0000000008008ae4 0x10 ./src/configuration_handler.o + 0x0000000008008ae4 configuration_set_bits_register .text.configuration_clear_bits_register - 0x0000000008008280 0x10 ./src/configuration_handler.o - 0x0000000008008280 configuration_clear_bits_register + 0x0000000008008af4 0x10 ./src/configuration_handler.o + 0x0000000008008af4 configuration_clear_bits_register .text.delay_fixed - 0x0000000008008290 0x14 ./src/delay.o - 0x0000000008008290 delay_fixed + 0x0000000008008b04 0x14 ./src/delay.o + 0x0000000008008b04 delay_fixed .text.delay_fixed_with_count - 0x00000000080082a4 0x1c ./src/delay.o - 0x00000000080082a4 delay_fixed_with_count + 0x0000000008008b18 0x1c ./src/delay.o + 0x0000000008008b18 delay_fixed_with_count .text.delay_random - 0x00000000080082c0 0x38 ./src/delay.o - 0x00000000080082c0 delay_random + 0x0000000008008b34 0x38 ./src/delay.o + 0x0000000008008b34 delay_random .text.delay_set - 0x00000000080082f8 0x2c ./src/delay.o - 0x00000000080082f8 delay_set + 0x0000000008008b6c 0x2c ./src/delay.o + 0x0000000008008b6c delay_set .text.delay_from_preset - 0x0000000008008324 0x30 ./src/delay.o - 0x0000000008008324 delay_from_preset - *fill* 0x0000000008008354 0x4 + 0x0000000008008b98 0x30 ./src/delay.o + 0x0000000008008b98 delay_from_preset .text.float_to_string - 0x0000000008008358 0xd0 ./src/float_to_string.o - 0x0000000008008358 float_to_string + 0x0000000008008bc8 0xd0 ./src/float_to_string.o + 0x0000000008008bc8 float_to_string .text.io_oc_init - 0x0000000008008428 0x2 ./src/io.o - 0x0000000008008428 io_oc_init + 0x0000000008008c98 0x2 ./src/io.o + 0x0000000008008c98 io_oc_init .text.io_oc_output_low - 0x000000000800842a 0x2 ./src/io.o - 0x000000000800842a io_oc_output_low + 0x0000000008008c9a 0x2 ./src/io.o + 0x0000000008008c9a io_oc_output_low .text.io_ext_watchdog_config - 0x000000000800842c 0x28 ./src/io.o - 0x000000000800842c io_ext_watchdog_config + 0x0000000008008c9c 0x28 ./src/io.o + 0x0000000008008c9c io_ext_watchdog_config .text.io_ext_watchdog_service - 0x0000000008008454 0x2 ./src/io.o - 0x0000000008008454 io_ext_watchdog_service - *fill* 0x0000000008008456 0x2 + 0x0000000008008cc4 0x2 ./src/io.o + 0x0000000008008cc4 io_ext_watchdog_service + *fill* 0x0000000008008cc6 0x2 .text.it_handlers_set_priorities - 0x0000000008008458 0x44 ./src/it_handlers.o - 0x0000000008008458 it_handlers_set_priorities + 0x0000000008008cc8 0x44 ./src/it_handlers.o + 0x0000000008008cc8 it_handlers_set_priorities + .text.SysTick_Handler + 0x0000000008008d0c 0xbc ./src/it_handlers.o + 0x0000000008008d0c SysTick_Handler .text.USART1_IRQHandler - 0x000000000800849c 0x1c ./src/it_handlers.o - 0x000000000800849c USART1_IRQHandler + 0x0000000008008dc8 0x1c ./src/it_handlers.o + 0x0000000008008dc8 USART1_IRQHandler .text.USART2_IRQHandler - 0x00000000080084b8 0x1c ./src/it_handlers.o - 0x00000000080084b8 USART2_IRQHandler + 0x0000000008008de4 0x1c ./src/it_handlers.o + 0x0000000008008de4 USART2_IRQHandler .text.I2C1_EV_IRQHandler - 0x00000000080084d4 0x18 ./src/it_handlers.o - 0x00000000080084d4 I2C1_EV_IRQHandler + 0x0000000008008e00 0x18 ./src/it_handlers.o + 0x0000000008008e00 I2C1_EV_IRQHandler .text.I2C1_ER_IRQHandler - 0x00000000080084ec 0x8 ./src/it_handlers.o - 0x00000000080084ec I2C1_ER_IRQHandler + 0x0000000008008e18 0x8 ./src/it_handlers.o + 0x0000000008008e18 I2C1_ER_IRQHandler .text.TIM2_IRQHandler - 0x00000000080084f4 0x24 ./src/it_handlers.o - 0x00000000080084f4 TIM2_IRQHandler + 0x0000000008008e20 0x24 ./src/it_handlers.o + 0x0000000008008e20 TIM2_IRQHandler .text.TIM1_TRG_COM_TIM17_IRQHandler - 0x0000000008008518 0x24 ./src/it_handlers.o - 0x0000000008008518 TIM1_TRG_COM_TIM17_IRQHandler + 0x0000000008008e44 0x24 ./src/it_handlers.o + 0x0000000008008e44 TIM1_TRG_COM_TIM17_IRQHandler .text.DMA1_Channel7_IRQHandler - 0x000000000800853c 0x14 ./src/it_handlers.o - 0x000000000800853c DMA1_Channel7_IRQHandler + 0x0000000008008e68 0x14 ./src/it_handlers.o + 0x0000000008008e68 DMA1_Channel7_IRQHandler .text.TIM4_IRQHandler - 0x0000000008008550 0x60 ./src/it_handlers.o - 0x0000000008008550 TIM4_IRQHandler + 0x0000000008008e7c 0x60 ./src/it_handlers.o + 0x0000000008008e7c TIM4_IRQHandler .text.TIM7_IRQHandler - 0x00000000080085b0 0xac ./src/it_handlers.o - 0x00000000080085b0 TIM7_IRQHandler + 0x0000000008008edc 0xac ./src/it_handlers.o + 0x0000000008008edc TIM7_IRQHandler .text.message_callback - 0x000000000800865c 0x2 ./src/main.o - *fill* 0x000000000800865e 0x2 - .text.main 0x0000000008008660 0xd70 ./src/main.o - 0x0000000008008660 main + 0x0000000008008f88 0x2 ./src/main.o + *fill* 0x0000000008008f8a 0x2 + .text.main 0x0000000008008f8c 0xd68 ./src/main.o + 0x0000000008008f8c main .text.main_get_adc_sample - 0x00000000080093d0 0xc ./src/main.o - 0x00000000080093d0 main_get_adc_sample + 0x0000000008009cf4 0xc ./src/main.o + 0x0000000008009cf4 main_get_adc_sample + .text.main_service_cpu_load_ticks + 0x0000000008009d00 0x2c ./src/main.o + 0x0000000008009d00 main_service_cpu_load_ticks .text.packet_tx_handler - 0x00000000080093dc 0x5a4 ./src/packet_tx_handler.o - 0x00000000080093dc packet_tx_handler + 0x0000000008009d2c 0x5a4 ./src/packet_tx_handler.o + 0x0000000008009d2c packet_tx_handler .text.rte_rtu_init - 0x0000000008009980 0x1c ./src/rte_rtu.o - 0x0000000008009980 rte_rtu_init + 0x000000000800a2d0 0x1c ./src/rte_rtu.o + 0x000000000800a2d0 rte_rtu_init .text.rte_wx_init - 0x000000000800999c 0x44 ./src/rte_wx.o - 0x000000000800999c rte_wx_init + 0x000000000800a2ec 0x44 ./src/rte_wx.o + 0x000000000800a2ec rte_wx_init .text.rte_wx_update_last_measuremenet_timers - 0x00000000080099e0 0x2c ./src/rte_wx.o - 0x00000000080099e0 rte_wx_update_last_measuremenet_timers + 0x000000000800a330 0x2c ./src/rte_wx.o + 0x000000000800a330 rte_wx_update_last_measuremenet_timers .text.wx_check_force_i2c_reset - 0x0000000008009a0c 0x2c ./src/wx_handler.o - 0x0000000008009a0c wx_check_force_i2c_reset + 0x000000000800a35c 0x2c ./src/wx_handler.o + 0x000000000800a35c wx_check_force_i2c_reset .text.wx_get_all_measurements - 0x0000000008009a38 0x88 ./src/wx_handler.o - 0x0000000008009a38 wx_get_all_measurements + 0x000000000800a388 0x88 ./src/wx_handler.o + 0x000000000800a388 wx_get_all_measurements .text.wx_pool_anemometer - 0x0000000008009ac0 0x29c ./src/wx_handler.o - 0x0000000008009ac0 wx_pool_anemometer + 0x000000000800a410 0x29c ./src/wx_handler.o + 0x000000000800a410 wx_pool_anemometer .text.wx_pwr_init - 0x0000000008009d5c 0x2c ./src/wx_handler.o - 0x0000000008009d5c wx_pwr_init + 0x000000000800a6ac 0x2c ./src/wx_handler.o + 0x000000000800a6ac wx_pwr_init .text.wx_pwr_periodic_handle - 0x0000000008009d88 0xec ./src/wx_handler.o - 0x0000000008009d88 wx_pwr_periodic_handle + 0x000000000800a6d8 0xec ./src/wx_handler.o + 0x000000000800a6d8 wx_pwr_periodic_handle .text.wx_get_humidity_bme280 - 0x0000000008009e74 0x28 ./src/wx_handler_humidity.o - 0x0000000008009e74 wx_get_humidity_bme280 + 0x000000000800a7c4 0x28 ./src/wx_handler_humidity.o + 0x000000000800a7c4 wx_get_humidity_bme280 .text.wx_get_humidity_measurement - 0x0000000008009e9c 0x64 ./src/wx_handler_humidity.o - 0x0000000008009e9c wx_get_humidity_measurement + 0x000000000800a7ec 0x64 ./src/wx_handler_humidity.o + 0x000000000800a7ec wx_get_humidity_measurement .text.wx_get_pressure_ms5611 - 0x0000000008009f00 0x10 ./src/wx_handler_pressure.o - 0x0000000008009f00 wx_get_pressure_ms5611 + 0x000000000800a850 0x10 ./src/wx_handler_pressure.o + 0x000000000800a850 wx_get_pressure_ms5611 .text.wx_get_pressure_bme280 - 0x0000000008009f10 0x30 ./src/wx_handler_pressure.o - 0x0000000008009f10 wx_get_pressure_bme280 + 0x000000000800a860 0x30 ./src/wx_handler_pressure.o + 0x000000000800a860 wx_get_pressure_bme280 .text.wx_get_pressure_measurement - 0x0000000008009f40 0x104 ./src/wx_handler_pressure.o - 0x0000000008009f40 wx_get_pressure_measurement + 0x000000000800a890 0x104 ./src/wx_handler_pressure.o + 0x000000000800a890 wx_get_pressure_measurement .text.wx_get_temperature_dallas - 0x000000000800a044 0x104 ./src/wx_handler_temperature.o - 0x000000000800a044 wx_get_temperature_dallas + 0x000000000800a994 0x104 ./src/wx_handler_temperature.o + 0x000000000800a994 wx_get_temperature_dallas .text.wx_get_temperature_ms5611 - 0x000000000800a148 0x10 ./src/wx_handler_temperature.o - 0x000000000800a148 wx_get_temperature_ms5611 + 0x000000000800aa98 0x10 ./src/wx_handler_temperature.o + 0x000000000800aa98 wx_get_temperature_ms5611 .text.wx_get_temperature_bme280 - 0x000000000800a158 0x30 ./src/wx_handler_temperature.o - 0x000000000800a158 wx_get_temperature_bme280 + 0x000000000800aaa8 0x30 ./src/wx_handler_temperature.o + 0x000000000800aaa8 wx_get_temperature_bme280 .text.wx_get_temperature_measurement - 0x000000000800a188 0x94 ./src/wx_handler_temperature.o - 0x000000000800a188 wx_get_temperature_measurement - *fill* 0x000000000800a21c 0x4 - .text.cos 0x000000000800a220 0xc0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_cos.o) - 0x000000000800a220 cos - .text.sin 0x000000000800a2e0 0xc0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_sin.o) - 0x000000000800a2e0 sin - .text.cosf 0x000000000800a3a0 0x90 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_cos.o) - 0x000000000800a3a0 cosf - .text.roundf 0x000000000800a430 0x60 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_round.o) - 0x000000000800a430 roundf - .text.sinf 0x000000000800a490 0x94 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_sin.o) - 0x000000000800a490 sinf - *fill* 0x000000000800a524 0x4 - .text.pow 0x000000000800a528 0x338 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-w_pow.o) - 0x000000000800a528 pow - .text.atan2f 0x000000000800a860 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-wf_atan2.o) - 0x000000000800a860 atan2f - *fill* 0x000000000800a864 0x4 + 0x000000000800aad8 0x94 ./src/wx_handler_temperature.o + 0x000000000800aad8 wx_get_temperature_measurement + *fill* 0x000000000800ab6c 0x4 + .text.cos 0x000000000800ab70 0xc0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_cos.o) + 0x000000000800ab70 cos + .text.sin 0x000000000800ac30 0xc0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_sin.o) + 0x000000000800ac30 sin + .text.cosf 0x000000000800acf0 0x90 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_cos.o) + 0x000000000800acf0 cosf + .text.roundf 0x000000000800ad80 0x60 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_round.o) + 0x000000000800ad80 roundf + .text.sinf 0x000000000800ade0 0x94 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_sin.o) + 0x000000000800ade0 sinf + *fill* 0x000000000800ae74 0x4 + .text.pow 0x000000000800ae78 0x338 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-w_pow.o) + 0x000000000800ae78 pow + .text.atan2f 0x000000000800b1b0 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-wf_atan2.o) + 0x000000000800b1b0 atan2f + *fill* 0x000000000800b1b4 0x4 .text.__ieee754_pow - 0x000000000800a868 0xab8 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_pow.o) - 0x000000000800a868 __ieee754_pow + 0x000000000800b1b8 0xab8 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_pow.o) + 0x000000000800b1b8 __ieee754_pow .text.__ieee754_rem_pio2 - 0x000000000800b320 0x454 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_rem_pio2.o) - 0x000000000800b320 __ieee754_rem_pio2 + 0x000000000800bc70 0x454 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_rem_pio2.o) + 0x000000000800bc70 __ieee754_rem_pio2 .text.__ieee754_sqrt - 0x000000000800b774 0x194 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_sqrt.o) - 0x000000000800b774 __ieee754_sqrt + 0x000000000800c0c4 0x194 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_sqrt.o) + 0x000000000800c0c4 __ieee754_sqrt .text.__ieee754_atan2f - 0x000000000800b908 0x17c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_atan2.o) - 0x000000000800b908 __ieee754_atan2f + 0x000000000800c258 0x17c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_atan2.o) + 0x000000000800c258 __ieee754_atan2f .text.__ieee754_rem_pio2f - 0x000000000800ba84 0x298 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_rem_pio2.o) - 0x000000000800ba84 __ieee754_rem_pio2f - *fill* 0x000000000800bd1c 0x4 + 0x000000000800c3d4 0x298 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_rem_pio2.o) + 0x000000000800c3d4 __ieee754_rem_pio2f + *fill* 0x000000000800c66c 0x4 .text.__kernel_cos - 0x000000000800bd20 0x284 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_cos.o) - 0x000000000800bd20 __kernel_cos - *fill* 0x000000000800bfa4 0x4 + 0x000000000800c670 0x284 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_cos.o) + 0x000000000800c670 __kernel_cos + *fill* 0x000000000800c8f4 0x4 .text.__kernel_rem_pio2 - 0x000000000800bfa8 0x818 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_rem_pio2.o) - 0x000000000800bfa8 __kernel_rem_pio2 + 0x000000000800c8f8 0x818 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_rem_pio2.o) + 0x000000000800c8f8 __kernel_rem_pio2 .text.__kernel_sin - 0x000000000800c7c0 0x194 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_sin.o) - 0x000000000800c7c0 __kernel_sin + 0x000000000800d110 0x194 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_sin.o) + 0x000000000800d110 __kernel_sin .text.__kernel_cosf - 0x000000000800c954 0x104 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_cos.o) - 0x000000000800c954 __kernel_cosf + 0x000000000800d2a4 0x104 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_cos.o) + 0x000000000800d2a4 __kernel_cosf .text.__kernel_rem_pio2f - 0x000000000800ca58 0x650 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_rem_pio2.o) - 0x000000000800ca58 __kernel_rem_pio2f + 0x000000000800d3a8 0x650 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_rem_pio2.o) + 0x000000000800d3a8 __kernel_rem_pio2f .text.__kernel_sinf - 0x000000000800d0a8 0x90 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_sin.o) - 0x000000000800d0a8 __kernel_sinf - .text.fabs 0x000000000800d138 0x14 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_fabs.o) - 0x000000000800d138 fabs - .text.finite 0x000000000800d14c 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_finite.o) - 0x000000000800d14c finite - *fill* 0x000000000800d15c 0x4 - .text.floor 0x000000000800d160 0x110 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_floor.o) - 0x000000000800d160 floor - .text.matherr 0x000000000800d270 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_matherr.o) - 0x000000000800d270 matherr - *fill* 0x000000000800d274 0x4 - .text.nan 0x000000000800d278 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_nan.o) - 0x000000000800d278 nan - .text.rint 0x000000000800d288 0x110 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_rint.o) - 0x000000000800d288 rint - .text.scalbn 0x000000000800d398 0x114 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_scalbn.o) - 0x000000000800d398 scalbn - .text.atanf 0x000000000800d4ac 0x1a4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_atan.o) - 0x000000000800d4ac atanf - .text.fabsf 0x000000000800d650 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_fabs.o) - 0x000000000800d650 fabsf - .text.floorf 0x000000000800d660 0x8c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_floor.o) - 0x000000000800d660 floorf - .text.scalbnf 0x000000000800d6ec 0xd0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_scalbn.o) - 0x000000000800d6ec scalbnf + 0x000000000800d9f8 0x90 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_sin.o) + 0x000000000800d9f8 __kernel_sinf + .text.fabs 0x000000000800da88 0x14 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_fabs.o) + 0x000000000800da88 fabs + .text.finite 0x000000000800da9c 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_finite.o) + 0x000000000800da9c finite + *fill* 0x000000000800daac 0x4 + .text.floor 0x000000000800dab0 0x110 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_floor.o) + 0x000000000800dab0 floor + .text.matherr 0x000000000800dbc0 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_matherr.o) + 0x000000000800dbc0 matherr + *fill* 0x000000000800dbc4 0x4 + .text.nan 0x000000000800dbc8 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_nan.o) + 0x000000000800dbc8 nan + .text.rint 0x000000000800dbd8 0x110 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_rint.o) + 0x000000000800dbd8 rint + .text.scalbn 0x000000000800dce8 0x114 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_scalbn.o) + 0x000000000800dce8 scalbn + .text.atanf 0x000000000800ddfc 0x1a4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_atan.o) + 0x000000000800ddfc atanf + .text.fabsf 0x000000000800dfa0 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_fabs.o) + 0x000000000800dfa0 fabsf + .text.floorf 0x000000000800dfb0 0x8c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_floor.o) + 0x000000000800dfb0 floorf + .text.scalbnf 0x000000000800e03c 0xd0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_scalbn.o) + 0x000000000800e03c scalbnf .text.copysign - 0x000000000800d7bc 0x1c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_copysign.o) - 0x000000000800d7bc copysign + 0x000000000800e10c 0x1c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_copysign.o) + 0x000000000800e10c copysign .text.copysignf - 0x000000000800d7d8 0x18 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_copysign.o) - 0x000000000800d7d8 copysignf - .text.__errno 0x000000000800d7f0 0xc /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-errno.o) - 0x000000000800d7f0 __errno + 0x000000000800e128 0x18 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_copysign.o) + 0x000000000800e128 copysignf + .text.__errno 0x000000000800e140 0xc /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-errno.o) + 0x000000000800e140 __errno .text.__libc_init_array - 0x000000000800d7fc 0x48 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-init.o) - 0x000000000800d7fc __libc_init_array - .text.memcmp 0x000000000800d844 0x1e /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memcmp.o) - 0x000000000800d844 memcmp - .text.memcpy 0x000000000800d862 0x16 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memcpy-stub.o) - 0x000000000800d862 memcpy - .text.memset 0x000000000800d878 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memset.o) - 0x000000000800d878 memset - .text.__cvt 0x000000000800d888 0xc2 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_float.o) - 0x000000000800d888 __cvt + 0x000000000800e14c 0x48 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-init.o) + 0x000000000800e14c __libc_init_array + .text.memcmp 0x000000000800e194 0x1e /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memcmp.o) + 0x000000000800e194 memcmp + .text.memcpy 0x000000000800e1b2 0x16 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memcpy-stub.o) + 0x000000000800e1b2 memcpy + .text.memset 0x000000000800e1c8 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memset.o) + 0x000000000800e1c8 memset + .text.__cvt 0x000000000800e1d8 0xc2 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_float.o) + 0x000000000800e1d8 __cvt .text.__exponent - 0x000000000800d94a 0x66 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_float.o) - 0x000000000800d94a __exponent + 0x000000000800e29a 0x66 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_float.o) + 0x000000000800e29a __exponent .text._printf_float - 0x000000000800d9b0 0x480 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_float.o) - 0x000000000800d9b0 _printf_float + 0x000000000800e300 0x480 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_float.o) + 0x000000000800e300 _printf_float .text._printf_common - 0x000000000800de30 0xea /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_i.o) - 0x000000000800de30 _printf_common - *fill* 0x000000000800df1a 0x2 + 0x000000000800e780 0xea /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_i.o) + 0x000000000800e780 _printf_common + *fill* 0x000000000800e86a 0x2 .text._printf_i - 0x000000000800df1c 0x224 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_i.o) - 0x000000000800df1c _printf_i + 0x000000000800e86c 0x224 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_i.o) + 0x000000000800e86c _printf_i .text.snprintf - 0x000000000800e140 0x68 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-snprintf.o) - 0x000000000800e140 snprintf - 0x000000000800e140 sniprintf - .text.sprintf 0x000000000800e1a8 0x40 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sprintf.o) - 0x000000000800e1a8 sprintf - 0x000000000800e1a8 siprintf - .text.sscanf 0x000000000800e1e8 0x54 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sscanf.o) - 0x000000000800e1e8 sscanf - 0x000000000800e1e8 siscanf + 0x000000000800ea90 0x68 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-snprintf.o) + 0x000000000800ea90 snprintf + 0x000000000800ea90 sniprintf + .text.sprintf 0x000000000800eaf8 0x40 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sprintf.o) + 0x000000000800eaf8 sprintf + 0x000000000800eaf8 siprintf + .text.sscanf 0x000000000800eb38 0x54 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sscanf.o) + 0x000000000800eb38 sscanf + 0x000000000800eb38 siscanf .text.__seofread - 0x000000000800e23c 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-stdio.o) - 0x000000000800e23c __seofread - .text.strcat 0x000000000800e240 0x1e /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcat.o) - 0x000000000800e240 strcat - .text.strcpy 0x000000000800e25e 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcpy.o) - 0x000000000800e25e strcpy - .text.strncpy 0x000000000800e26e 0x2a /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strncpy.o) - 0x000000000800e26e strncpy - .text.strnlen 0x000000000800e298 0x18 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strnlen.o) - 0x000000000800e298 strnlen - .text.strtok 0x000000000800e2b0 0x54 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtok.o) - 0x000000000800e2b0 strtok + 0x000000000800eb8c 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-stdio.o) + 0x000000000800eb8c __seofread + .text.strcat 0x000000000800eb90 0x1e /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcat.o) + 0x000000000800eb90 strcat + .text.strcpy 0x000000000800ebae 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcpy.o) + 0x000000000800ebae strcpy + .text.strncpy 0x000000000800ebbe 0x2a /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strncpy.o) + 0x000000000800ebbe strncpy + .text.strnlen 0x000000000800ebe8 0x18 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strnlen.o) + 0x000000000800ebe8 strnlen + .text.strtok 0x000000000800ec00 0x54 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtok.o) + 0x000000000800ec00 strtok .text.__strtok_r - 0x000000000800e304 0x54 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtok_r.o) - 0x000000000800e304 __strtok_r + 0x000000000800ec54 0x54 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtok_r.o) + 0x000000000800ec54 __strtok_r .text._strtol_l.isra.0 - 0x000000000800e358 0xf6 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtol.o) - *fill* 0x000000000800e44e 0x2 + 0x000000000800eca8 0xf6 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtol.o) + *fill* 0x000000000800ed9e 0x2 .text._strtol_r - 0x000000000800e450 0x24 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtol.o) - 0x000000000800e450 _strtol_r - .text.strtol 0x000000000800e474 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtol.o) - 0x000000000800e474 strtol - .text.quorem 0x000000000800e4a0 0x118 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-dtoa.o) - .text._dtoa_r 0x000000000800e5b8 0xb70 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-dtoa.o) - 0x000000000800e5b8 _dtoa_r + 0x000000000800eda0 0x24 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtol.o) + 0x000000000800eda0 _strtol_r + .text.strtol 0x000000000800edc4 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtol.o) + 0x000000000800edc4 strtol + .text.quorem 0x000000000800edf0 0x118 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-dtoa.o) + .text._dtoa_r 0x000000000800ef08 0xb70 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-dtoa.o) + 0x000000000800ef08 _dtoa_r .text.__locale_ctype_ptr_l - 0x000000000800f128 0x6 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-locale.o) - 0x000000000800f128 __locale_ctype_ptr_l - *fill* 0x000000000800f12e 0x2 + 0x000000000800fa78 0x6 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-locale.o) + 0x000000000800fa78 __locale_ctype_ptr_l + *fill* 0x000000000800fa7e 0x2 .text.__locale_ctype_ptr - 0x000000000800f130 0x1c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-locale.o) - 0x000000000800f130 __locale_ctype_ptr + 0x000000000800fa80 0x1c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-locale.o) + 0x000000000800fa80 __locale_ctype_ptr .text._localeconv_r - 0x000000000800f14c 0x1c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-localeconv.o) - 0x000000000800f14c _localeconv_r - .text.malloc 0x000000000800f168 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-malloc.o) - 0x000000000800f168 malloc + 0x000000000800fa9c 0x1c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-localeconv.o) + 0x000000000800fa9c _localeconv_r + .text.malloc 0x000000000800fab8 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-malloc.o) + 0x000000000800fab8 malloc .text.__ascii_mbtowc - 0x000000000800f178 0x24 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mbtowc_r.o) - 0x000000000800f178 __ascii_mbtowc - .text._Balloc 0x000000000800f19c 0x68 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) - 0x000000000800f19c _Balloc - .text._Bfree 0x000000000800f204 0x2e /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) - 0x000000000800f204 _Bfree + 0x000000000800fac8 0x24 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mbtowc_r.o) + 0x000000000800fac8 __ascii_mbtowc + .text._Balloc 0x000000000800faec 0x68 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x000000000800faec _Balloc + .text._Bfree 0x000000000800fb54 0x2e /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x000000000800fb54 _Bfree .text.__multadd - 0x000000000800f232 0x76 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) - 0x000000000800f232 __multadd + 0x000000000800fb82 0x76 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x000000000800fb82 __multadd .text.__hi0bits - 0x000000000800f2a8 0x3e /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) - 0x000000000800f2a8 __hi0bits + 0x000000000800fbf8 0x3e /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x000000000800fbf8 __hi0bits .text.__lo0bits - 0x000000000800f2e6 0x5e /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) - 0x000000000800f2e6 __lo0bits - .text.__i2b 0x000000000800f344 0x12 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) - 0x000000000800f344 __i2b + 0x000000000800fc36 0x5e /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x000000000800fc36 __lo0bits + .text.__i2b 0x000000000800fc94 0x12 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x000000000800fc94 __i2b .text.__multiply - 0x000000000800f356 0x12c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) - 0x000000000800f356 __multiply - *fill* 0x000000000800f482 0x2 + 0x000000000800fca6 0x12c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x000000000800fca6 __multiply + *fill* 0x000000000800fdd2 0x2 .text.__pow5mult - 0x000000000800f484 0x9c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) - 0x000000000800f484 __pow5mult + 0x000000000800fdd4 0x9c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x000000000800fdd4 __pow5mult .text.__lshift - 0x000000000800f520 0xa8 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) - 0x000000000800f520 __lshift - .text.__mcmp 0x000000000800f5c8 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) - 0x000000000800f5c8 __mcmp - .text.__mdiff 0x000000000800f5fc 0xba /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) - 0x000000000800f5fc __mdiff - .text.__d2b 0x000000000800f6b6 0x9e /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) - 0x000000000800f6b6 __d2b + 0x000000000800fe70 0xa8 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x000000000800fe70 __lshift + .text.__mcmp 0x000000000800ff18 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x000000000800ff18 __mcmp + .text.__mdiff 0x000000000800ff4c 0xba /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x000000000800ff4c __mdiff + .text.__d2b 0x0000000008010006 0x9e /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x0000000008010006 __d2b .text._calloc_r - 0x000000000800f754 0x1c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-callocr.o) - 0x000000000800f754 _calloc_r - .text._free_r 0x000000000800f770 0x9c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-freer.o) - 0x000000000800f770 _free_r + 0x00000000080100a4 0x1c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-callocr.o) + 0x00000000080100a4 _calloc_r + .text._free_r 0x00000000080100c0 0x9c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-freer.o) + 0x00000000080100c0 _free_r .text._malloc_r - 0x000000000800f80c 0xb4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-mallocr.o) - 0x000000000800f80c _malloc_r + 0x000000000801015c 0xb4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-mallocr.o) + 0x000000000801015c _malloc_r .text.__ssputs_r - 0x000000000800f8c0 0xb4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfprintf.o) - 0x000000000800f8c0 __ssputs_r + 0x0000000008010210 0xb4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfprintf.o) + 0x0000000008010210 __ssputs_r .text._svfprintf_r - 0x000000000800f974 0x1f0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfprintf.o) - 0x000000000800f974 _svfprintf_r - 0x000000000800f974 _svfiprintf_r + 0x00000000080102c4 0x1f0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfprintf.o) + 0x00000000080102c4 _svfprintf_r + 0x00000000080102c4 _svfiprintf_r .text._sungetc_r - 0x000000000800fb64 0x7a /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfscanf.o) - 0x000000000800fb64 _sungetc_r + 0x00000000080104b4 0x7a /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfscanf.o) + 0x00000000080104b4 _sungetc_r .text.__ssrefill_r - 0x000000000800fbde 0x3a /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfscanf.o) - 0x000000000800fbde __ssrefill_r + 0x000000000801052e 0x3a /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfscanf.o) + 0x000000000801052e __ssrefill_r .text.__ssvfscanf_r - 0x000000000800fc18 0x2a0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfscanf.o) - 0x000000000800fc18 __ssvfscanf_r - 0x000000000800fc18 __ssvfiscanf_r + 0x0000000008010568 0x2a0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfscanf.o) + 0x0000000008010568 __ssvfscanf_r + 0x0000000008010568 __ssvfiscanf_r .text._scanf_chars - 0x000000000800feb8 0xc6 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfscanf_i.o) - 0x000000000800feb8 _scanf_chars - *fill* 0x000000000800ff7e 0x2 + 0x0000000008010808 0xc6 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfscanf_i.o) + 0x0000000008010808 _scanf_chars + *fill* 0x00000000080108ce 0x2 .text._scanf_i - 0x000000000800ff80 0x1e8 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfscanf_i.o) - 0x000000000800ff80 _scanf_i - .text._sbrk_r 0x0000000008010168 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sbrkr.o) - 0x0000000008010168 _sbrk_r - .text.__sccl 0x0000000008010188 0x64 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sccl.o) - 0x0000000008010188 __sccl + 0x00000000080108d0 0x1e8 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfscanf_i.o) + 0x00000000080108d0 _scanf_i + .text._sbrk_r 0x0000000008010ab8 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sbrkr.o) + 0x0000000008010ab8 _sbrk_r + .text.__sccl 0x0000000008010ad8 0x64 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sccl.o) + 0x0000000008010ad8 __sccl .text._strtoul_l.isra.0 - 0x00000000080101ec 0xf4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtoul.o) + 0x0000000008010b3c 0xf4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtoul.o) .text._strtoul_r - 0x00000000080102e0 0x24 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtoul.o) - 0x00000000080102e0 _strtoul_r + 0x0000000008010c30 0x24 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtoul.o) + 0x0000000008010c30 _strtoul_r .text.__submore - 0x0000000008010304 0x74 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-ungetc.o) - 0x0000000008010304 __submore + 0x0000000008010c54 0x74 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-ungetc.o) + 0x0000000008010c54 __submore .text.__ascii_wctomb - 0x0000000008010378 0x1a /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-wctomb_r.o) - 0x0000000008010378 __ascii_wctomb - .text.memmove 0x0000000008010392 0x32 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memmove.o) - 0x0000000008010392 memmove + 0x0000000008010cc8 0x1a /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-wctomb_r.o) + 0x0000000008010cc8 __ascii_wctomb + .text.memmove 0x0000000008010ce2 0x32 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memmove.o) + 0x0000000008010ce2 memmove .text.__malloc_lock - 0x00000000080103c4 0x2 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mlock.o) - 0x00000000080103c4 __malloc_lock + 0x0000000008010d14 0x2 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mlock.o) + 0x0000000008010d14 __malloc_lock .text.__malloc_unlock - 0x00000000080103c6 0x2 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mlock.o) - 0x00000000080103c6 __malloc_unlock + 0x0000000008010d16 0x2 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mlock.o) + 0x0000000008010d16 __malloc_unlock .text._realloc_r - 0x00000000080103c8 0x4c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-reallocr.o) - 0x00000000080103c8 _realloc_r + 0x0000000008010d18 0x4c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-reallocr.o) + 0x0000000008010d18 _realloc_r .text._malloc_usable_size_r - 0x0000000008010414 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-msizer.o) - 0x0000000008010414 _malloc_usable_size_r - .text._sbrk 0x0000000008010424 0x1c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libnosys.a(sbrk.o) - 0x0000000008010424 _sbrk + 0x0000000008010d64 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-msizer.o) + 0x0000000008010d64 _malloc_usable_size_r + .text._sbrk 0x0000000008010d74 0x1c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libnosys.a(sbrk.o) + 0x0000000008010d74 _sbrk *(.glue_7) - .glue_7 0x0000000008010440 0x0 linker stubs + .glue_7 0x0000000008010d90 0x0 linker stubs *(.glue_7t) - .glue_7t 0x0000000008010440 0x0 linker stubs + .glue_7t 0x0000000008010d90 0x0 linker stubs *(.eh_frame) - .eh_frame 0x0000000008010440 0x0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtbegin.o + .eh_frame 0x0000000008010d90 0x0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtbegin.o *(.init) - .init 0x0000000008010440 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crti.o - 0x0000000008010440 _init - .init 0x0000000008010444 0x8 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtn.o + .init 0x0000000008010d90 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crti.o + 0x0000000008010d90 _init + .init 0x0000000008010d94 0x8 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtn.o *(.fini) - .fini 0x000000000801044c 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crti.o - 0x000000000801044c _fini - .fini 0x0000000008010450 0x8 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtn.o - 0x0000000008010458 . = ALIGN (0x8) - 0x0000000008010458 _etext = . + .fini 0x0000000008010d9c 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crti.o + 0x0000000008010d9c _fini + .fini 0x0000000008010da0 0x8 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtn.o + 0x0000000008010da8 . = ALIGN (0x8) + 0x0000000008010da8 _etext = . -.vfp11_veneer 0x0000000008010458 0x0 - .vfp11_veneer 0x0000000008010458 0x0 linker stubs +.vfp11_veneer 0x0000000008010da8 0x0 + .vfp11_veneer 0x0000000008010da8 0x0 linker stubs -.v4_bx 0x0000000008010458 0x0 - .v4_bx 0x0000000008010458 0x0 linker stubs +.v4_bx 0x0000000008010da8 0x0 + .v4_bx 0x0000000008010da8 0x0 linker stubs -.iplt 0x0000000008010458 0x0 - .iplt 0x0000000008010458 0x0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtbegin.o +.iplt 0x0000000008010da8 0x0 + .iplt 0x0000000008010da8 0x0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtbegin.o -.rodata 0x0000000008010458 0x1618 - 0x0000000008010458 . = ALIGN (0x8) +.rodata 0x0000000008010da8 0x1618 + 0x0000000008010da8 . = ALIGN (0x8) *(.rodata) - .rodata 0x0000000008010458 0x3 ./system/src/drivers/bme280.o - *fill* 0x000000000801045b 0x1 - .rodata 0x000000000801045c 0xc /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfscanf_i.o) + .rodata 0x0000000008010da8 0x3 ./system/src/drivers/bme280.o + *fill* 0x0000000008010dab 0x1 + .rodata 0x0000000008010dac 0xc /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfscanf_i.o) *(.rodata*) .rodata.get_key_value_from_str.str1.4 - 0x0000000008010468 0x55 ./system/src/ve_direct_protocol/parser.o + 0x0000000008010db8 0x55 ./system/src/ve_direct_protocol/parser.o 0x59 (size before relaxing) - *fill* 0x00000000080104bd 0x3 + *fill* 0x0000000008010e0d 0x3 .rodata.ve_direct_error_to_string.str1.4 - 0x00000000080104c0 0x11f ./system/src/ve_direct_protocol/parser.o - *fill* 0x00000000080105df 0x1 + 0x0000000008010e10 0x11f ./system/src/ve_direct_protocol/parser.o + *fill* 0x0000000008010f2f 0x1 .rodata.ve_direct_parse_to_raw_struct.str1.4 - 0x00000000080105e0 0x2f ./system/src/ve_direct_protocol/parser.o + 0x0000000008010f30 0x2f ./system/src/ve_direct_protocol/parser.o 0x33 (size before relaxing) - *fill* 0x000000000801060f 0x1 + *fill* 0x0000000008010f5f 0x1 .rodata.ve_direct_state_to_string.str1.4 - 0x0000000008010610 0x78 ./system/src/ve_direct_protocol/parser.o + 0x0000000008010f60 0x78 ./system/src/ve_direct_protocol/parser.o .rodata.umb_construct_status_str.str1.4 - 0x0000000008010688 0x4d ./system/src/umb_master/umb_master.o - *fill* 0x00000000080106d5 0x3 + 0x0000000008010fd8 0x4d ./system/src/umb_master/umb_master.o + *fill* 0x0000000008011025 0x3 .rodata.CHANNEL_OFFSET_TAB - 0x00000000080106d8 0x7 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o - *fill* 0x00000000080106df 0x1 + 0x0000000008011028 0x7 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o + *fill* 0x000000000801102f 0x1 .rodata.rtu_serial_get_status_string.str1.4 - 0x00000000080106e0 0x47 ./system/src/modbus_rtu/rtu_serial_io.o - *fill* 0x0000000008010727 0x1 + 0x0000000008011030 0x47 ./system/src/modbus_rtu/rtu_serial_io.o + *fill* 0x0000000008011077 0x1 .rodata.analog_anemometer_direction_sparkfun_ranges - 0x0000000008010728 0x60 ./system/src/drivers/analog_anemometer.o - 0x0000000008010728 analog_anemometer_direction_sparkfun_ranges + 0x0000000008011078 0x60 ./system/src/drivers/analog_anemometer.o + 0x0000000008011078 analog_anemometer_direction_sparkfun_ranges .rodata.lamps_off - 0x0000000008010788 0x9 ./system/src/davis_vantage/davis.o - *fill* 0x0000000008010791 0x3 + 0x00000000080110d8 0x9 ./system/src/davis_vantage/davis.o + *fill* 0x00000000080110e1 0x3 .rodata.lamps_on - 0x0000000008010794 0x9 ./system/src/davis_vantage/davis.o + 0x00000000080110e4 0x9 ./system/src/davis_vantage/davis.o .rodata.line_feed - 0x000000000801079d 0x1 ./system/src/davis_vantage/davis.o - *fill* 0x000000000801079e 0x2 + 0x00000000080110ed 0x1 ./system/src/davis_vantage/davis.o + *fill* 0x00000000080110ee 0x2 .rodata.line_feed_return - 0x00000000080107a0 0x2 ./system/src/davis_vantage/davis.o - *fill* 0x00000000080107a2 0x2 + 0x00000000080110f0 0x2 ./system/src/davis_vantage/davis.o + *fill* 0x00000000080110f2 0x2 .rodata.loop_command - 0x00000000080107a4 0x9 ./system/src/davis_vantage/davis.o - *fill* 0x00000000080107ad 0x3 + 0x00000000080110f4 0x9 ./system/src/davis_vantage/davis.o + *fill* 0x00000000080110fd 0x3 .rodata.rx_check - 0x00000000080107b0 0x9 ./system/src/davis_vantage/davis.o - *fill* 0x00000000080107b9 0x3 + 0x0000000008011100 0x9 ./system/src/davis_vantage/davis.o + *fill* 0x0000000008011109 0x3 .rodata.crc_table - 0x00000000080107bc 0x200 ./system/src/davis_vantage/davis_parsers.o - 0x00000000080107bc crc_table + 0x000000000801110c 0x200 ./system/src/davis_vantage/davis_parsers.o + 0x000000000801110c crc_table .rodata.davis_parsers_rxcheck.str1.4 - 0x00000000080109bc 0x7 ./system/src/davis_vantage/davis_parsers.o - *fill* 0x00000000080109c3 0x1 + 0x000000000801130c 0x7 ./system/src/davis_vantage/davis_parsers.o + *fill* 0x0000000008011313 0x1 .rodata.AHBPrescTable - 0x00000000080109c4 0x10 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o - 0x00000000080109c4 AHBPrescTable + 0x0000000008011314 0x10 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o + 0x0000000008011314 AHBPrescTable .rodata.APBPrescTable - 0x00000000080109d4 0x8 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o - 0x00000000080109d4 APBPrescTable + 0x0000000008011324 0x8 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o + 0x0000000008011324 APBPrescTable .rodata.MSIRangeTable - 0x00000000080109dc 0x30 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o - 0x00000000080109dc MSIRangeTable + 0x000000000801132c 0x30 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o + 0x000000000801132c MSIRangeTable .rodata.sin_table - 0x0000000008010a0c 0x80 ./system/src/aprs/afsk.o + 0x000000000801135c 0x80 ./system/src/aprs/afsk.o .rodata.beacon_send_own.str1.4 - 0x0000000008010a8c 0x11 ./system/src/aprs/beacon.o - *fill* 0x0000000008010a9d 0x3 + 0x00000000080113dc 0x11 ./system/src/aprs/beacon.o + *fill* 0x00000000080113ed 0x3 .rodata.crc_ccitt_tab - 0x0000000008010aa0 0x200 ./system/src/aprs/crc.o - 0x0000000008010aa0 crc_ccitt_tab + 0x00000000080113f0 0x200 ./system/src/aprs/crc.o + 0x00000000080113f0 crc_ccitt_tab .rodata.digi_process.str1.4 - 0x0000000008010ca0 0xe ./system/src/aprs/digi.o + 0x00000000080115f0 0xe ./system/src/aprs/digi.o 0x12 (size before relaxing) - *fill* 0x0000000008010cae 0x2 + *fill* 0x00000000080115fe 0x2 .rodata.telemetry_send_chns_description.str1.4 - 0x0000000008010cb0 0x1f5 ./system/src/aprs/telemetry.o - *fill* 0x0000000008010ea5 0x3 + 0x0000000008011600 0x1f5 ./system/src/aprs/telemetry.o + *fill* 0x00000000080117f5 0x3 .rodata.telemetry_send_chns_description_pv.str1.4 - 0x0000000008010ea8 0x1fa ./system/src/aprs/telemetry.o - *fill* 0x00000000080110a2 0x2 + 0x00000000080117f8 0x1fa ./system/src/aprs/telemetry.o + *fill* 0x00000000080119f2 0x2 .rodata.telemetry_send_status.str1.4 - 0x00000000080110a4 0x36 ./system/src/aprs/telemetry.o - *fill* 0x00000000080110da 0x2 + 0x00000000080119f4 0x36 ./system/src/aprs/telemetry.o + *fill* 0x0000000008011a2a 0x2 .rodata.telemetry_send_status_pv.str1.4 - 0x00000000080110dc 0x33 ./system/src/aprs/telemetry.o - *fill* 0x000000000801110f 0x1 + 0x0000000008011a2c 0x33 ./system/src/aprs/telemetry.o + *fill* 0x0000000008011a5f 0x1 .rodata.telemetry_send_values_pv.str1.4 - 0x0000000008011110 0x30 ./system/src/aprs/telemetry.o + 0x0000000008011a60 0x30 ./system/src/aprs/telemetry.o .rodata.SendWXFrame.str1.4 - 0x0000000008011140 0x38 ./system/src/aprs/wx.o + 0x0000000008011a90 0x38 ./system/src/aprs/wx.o .rodata.ConfigPath.str1.4 - 0x0000000008011178 0x7 ./src/PathConfig.o + 0x0000000008011ac8 0x7 ./src/PathConfig.o 0x16 (size before relaxing) - *fill* 0x000000000801117f 0x1 + *fill* 0x0000000008011acf 0x1 .rodata.float_to_string.str1.4 - 0x0000000008011180 0x2c ./src/float_to_string.o + 0x0000000008011ad0 0x2c ./src/float_to_string.o .rodata.main.str1.4 - 0x00000000080111ac 0x11 ./src/main.o + 0x0000000008011afc 0x11 ./src/main.o .rodata.internal - 0x00000000080111ac 0x4 ./src/wx_handler.o + 0x0000000008011afc 0x4 ./src/wx_handler.o .rodata.pow.str1.4 - 0x00000000080111b0 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-w_pow.o) + 0x0000000008011b00 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-w_pow.o) .rodata.__ieee754_pow.str1.4 - 0x00000000080111b4 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_pow.o) + 0x0000000008011b04 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_pow.o) 0x1 (size before relaxing) .rodata.npio2_hw - 0x00000000080111b4 0x80 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_rem_pio2.o) + 0x0000000008011b04 0x80 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_rem_pio2.o) .rodata.two_over_pi - 0x0000000008011234 0x108 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_rem_pio2.o) + 0x0000000008011b84 0x108 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_rem_pio2.o) .rodata.npio2_hw - 0x000000000801133c 0x80 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_rem_pio2.o) + 0x0000000008011c8c 0x80 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_rem_pio2.o) .rodata.two_over_pi - 0x00000000080113bc 0x318 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_rem_pio2.o) - *fill* 0x00000000080116d4 0x4 - .rodata.PIo2 0x00000000080116d8 0x40 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_rem_pio2.o) + 0x0000000008011d0c 0x318 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_rem_pio2.o) + *fill* 0x0000000008012024 0x4 + .rodata.PIo2 0x0000000008012028 0x40 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_rem_pio2.o) .rodata.init_jk - 0x0000000008011718 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_rem_pio2.o) - .rodata.PIo2 0x0000000008011728 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_rem_pio2.o) + 0x0000000008012068 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_rem_pio2.o) + .rodata.PIo2 0x0000000008012078 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_rem_pio2.o) .rodata.init_jk - 0x0000000008011754 0xc /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_rem_pio2.o) - .rodata.TWO52 0x0000000008011760 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_rint.o) + 0x00000000080120a4 0xc /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_rem_pio2.o) + .rodata.TWO52 0x00000000080120b0 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_rint.o) .rodata.atanhi - 0x0000000008011770 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_atan.o) + 0x00000000080120c0 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_atan.o) .rodata.atanlo - 0x0000000008011780 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_atan.o) + 0x00000000080120d0 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_atan.o) .rodata._printf_float.str1.1 - 0x0000000008011790 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_float.o) + 0x00000000080120e0 0x10 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_float.o) 0x12 (size before relaxing) .rodata._printf_i.str1.1 - 0x00000000080117a0 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_i.o) + 0x00000000080120f0 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_i.o) .rodata._dtoa_r.str1.1 - 0x00000000080117c2 0xd /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-dtoa.o) + 0x0000000008012112 0xd /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-dtoa.o) 0xf (size before relaxing) .rodata._setlocale_r.str1.1 - 0x00000000080117cf 0x8 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-locale.o) + 0x000000000801211f 0x8 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-locale.o) 0x9 (size before relaxing) .rodata.str1.1 - 0x00000000080117d7 0x2 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-locale.o) - *fill* 0x00000000080117d9 0x7 + 0x0000000008012127 0x2 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-locale.o) + *fill* 0x0000000008012129 0x7 .rodata.__mprec_bigtens - 0x00000000080117e0 0x28 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) - 0x00000000080117e0 __mprec_bigtens + 0x0000000008012130 0x28 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x0000000008012130 __mprec_bigtens .rodata.__mprec_tens - 0x0000000008011808 0xc8 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) - 0x0000000008011808 __mprec_tens + 0x0000000008012158 0xc8 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x0000000008012158 __mprec_tens .rodata.p05.7359 - 0x00000000080118d0 0xc /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x0000000008012220 0xc /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) .rodata._svfprintf_r.str1.1 - 0x00000000080118dc 0x11 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfprintf.o) + 0x000000000801222c 0x11 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfprintf.o) .rodata.__ssvfscanf_r.str1.1 - 0x00000000080118ed 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfscanf.o) + 0x000000000801223d 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfscanf.o) .rodata._scanf_i.str1.1 - 0x00000000080118ed 0x12 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfscanf_i.o) + 0x000000000801223d 0x12 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfscanf_i.o) .rodata.str1.1 - 0x00000000080118ff 0x9 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfscanf_i.o) + 0x000000000801224f 0x9 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfscanf_i.o) .rodata._ctype_ - 0x0000000008011908 0x101 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-ctype_.o) - 0x0000000008011908 _ctype_ - *fill* 0x0000000008011a09 0x3 + 0x0000000008012258 0x101 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-ctype_.o) + 0x0000000008012258 _ctype_ + *fill* 0x0000000008012359 0x3 .rodata.__sf_fake_stderr - 0x0000000008011a0c 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-findfp.o) - 0x0000000008011a0c __sf_fake_stderr + 0x000000000801235c 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-findfp.o) + 0x000000000801235c __sf_fake_stderr .rodata.__sf_fake_stdin - 0x0000000008011a2c 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-findfp.o) - 0x0000000008011a2c __sf_fake_stdin + 0x000000000801237c 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-findfp.o) + 0x000000000801237c __sf_fake_stdin .rodata.__sf_fake_stdout - 0x0000000008011a4c 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-findfp.o) - 0x0000000008011a4c __sf_fake_stdout - 0x0000000008011a70 . = ALIGN (0x8) - *fill* 0x0000000008011a6c 0x4 + 0x000000000801239c 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-findfp.o) + 0x000000000801239c __sf_fake_stdout + 0x00000000080123c0 . = ALIGN (0x8) + *fill* 0x00000000080123bc 0x4 .config_section_first - 0x0000000008011a70 0x2 + 0x00000000080123c0 0x2 .config_section_first - 0x0000000008011a70 0x2 ./src/config_data_first.o - 0x0000000008011a70 config_data_pgm_cntr_first + 0x00000000080123c0 0x2 ./src/config_data_first.o + 0x00000000080123c0 config_data_pgm_cntr_first .config_section_first.basic - 0x0000000008011a74 0x9c + 0x00000000080123c4 0x9c .config_section_first.basic - 0x0000000008011a74 0x9c ./src/config_data_first.o - 0x0000000008011a74 config_data_basic_first + 0x00000000080123c4 0x9c ./src/config_data_first.o + 0x00000000080123c4 config_data_basic_first .config_section_first.mode - 0x0000000008011b10 0xa + 0x0000000008012460 0xa .config_section_first.mode - 0x0000000008011b10 0xa ./src/config_data_first.o - 0x0000000008011b10 config_data_mode_first + 0x0000000008012460 0xa ./src/config_data_first.o + 0x0000000008012460 config_data_mode_first .config_section_first.rtu - 0x0000000008011b1c 0x54 + 0x000000000801246c 0x54 .config_section_first.rtu - 0x0000000008011b1c 0x54 ./src/config_data_first.o - 0x0000000008011b1c config_data_rtu_first + 0x000000000801246c 0x54 ./src/config_data_first.o + 0x000000000801246c config_data_rtu_first .config_section_first.sources - 0x0000000008011b70 0x4 + 0x00000000080124c0 0x4 .config_section_first.sources - 0x0000000008011b70 0x4 ./src/config_data_first.o - 0x0000000008011b70 config_data_wx_sources_first + 0x00000000080124c0 0x4 ./src/config_data_first.o + 0x00000000080124c0 config_data_wx_sources_first .config_section_first.umb - 0x0000000008011b74 0xe + 0x00000000080124c4 0xe .config_section_first.umb - 0x0000000008011b74 0xe ./src/config_data_first.o - 0x0000000008011b74 config_data_umb_first + 0x00000000080124c4 0xe ./src/config_data_first.o + 0x00000000080124c4 config_data_umb_first .config_section_second - 0x0000000008011b82 0x2 + 0x00000000080124d2 0x2 .config_section_second - 0x0000000008011b82 0x2 ./src/config_data_second.o - 0x0000000008011b82 config_data_pgm_cntr_second + 0x00000000080124d2 0x2 ./src/config_data_second.o + 0x00000000080124d2 config_data_pgm_cntr_second .config_section_second.basic - 0x0000000008011b84 0x9c + 0x00000000080124d4 0x9c .config_section_second.basic - 0x0000000008011b84 0x9c ./src/config_data_second.o - 0x0000000008011b84 config_data_basic_second + 0x00000000080124d4 0x9c ./src/config_data_second.o + 0x00000000080124d4 config_data_basic_second .config_section_second.mode - 0x0000000008011c20 0xa + 0x0000000008012570 0xa .config_section_second.mode - 0x0000000008011c20 0xa ./src/config_data_second.o - 0x0000000008011c20 config_data_mode_second + 0x0000000008012570 0xa ./src/config_data_second.o + 0x0000000008012570 config_data_mode_second .config_section_second.rtu - 0x0000000008011c2c 0x54 + 0x000000000801257c 0x54 .config_section_second.rtu - 0x0000000008011c2c 0x54 ./src/config_data_second.o - 0x0000000008011c2c config_data_rtu_second + 0x000000000801257c 0x54 ./src/config_data_second.o + 0x000000000801257c config_data_rtu_second .config_section_second.sources - 0x0000000008011c80 0x4 + 0x00000000080125d0 0x4 .config_section_second.sources - 0x0000000008011c80 0x4 ./src/config_data_second.o - 0x0000000008011c80 config_data_wx_sources_second + 0x00000000080125d0 0x4 ./src/config_data_second.o + 0x00000000080125d0 config_data_wx_sources_second .config_section_second.umb - 0x0000000008011c84 0xe + 0x00000000080125d4 0xe .config_section_second.umb - 0x0000000008011c84 0xe ./src/config_data_second.o - 0x0000000008011c84 config_data_umb_second + 0x00000000080125d4 0xe ./src/config_data_second.o + 0x00000000080125d4 config_data_umb_second -.rel.dyn 0x0000000008011c94 0x0 - .rel.iplt 0x0000000008011c94 0x0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtbegin.o +.rel.dyn 0x00000000080125e4 0x0 + .rel.iplt 0x00000000080125e4 0x0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtbegin.o -.ARM.extab 0x0000000008011c92 0x6 - 0x0000000008011c98 . = ALIGN (0x8) - *fill* 0x0000000008011c92 0x6 +.ARM.extab 0x00000000080125e2 0x6 + 0x00000000080125e8 . = ALIGN (0x8) + *fill* 0x00000000080125e2 0x6 *(.ARM.extab* .gnu.linkonce.armextab.*) - 0x0000000008011c98 . = ALIGN (0x8) + 0x00000000080125e8 . = ALIGN (0x8) -.ARM 0x0000000008011c98 0x0 - 0x0000000008011c98 . = ALIGN (0x8) - 0x0000000008011c98 __exidx_start = . +.ARM 0x00000000080125e8 0x0 + 0x00000000080125e8 . = ALIGN (0x8) + 0x00000000080125e8 __exidx_start = . *(.ARM.exidx*) - 0x0000000008011c98 __exidx_end = . - 0x0000000008011c98 . = ALIGN (0x8) + 0x00000000080125e8 __exidx_end = . + 0x00000000080125e8 . = ALIGN (0x8) -.preinit_array 0x0000000008011c98 0x0 - 0x0000000008011c98 . = ALIGN (0x8) - 0x0000000008011c98 PROVIDE (__preinit_array_start = .) +.preinit_array 0x00000000080125e8 0x0 + 0x00000000080125e8 . = ALIGN (0x8) + 0x00000000080125e8 PROVIDE (__preinit_array_start = .) *(.preinit_array*) - 0x0000000008011c98 PROVIDE (__preinit_array_end = .) - 0x0000000008011c98 . = ALIGN (0x8) + 0x00000000080125e8 PROVIDE (__preinit_array_end = .) + 0x00000000080125e8 . = ALIGN (0x8) -.init_array 0x0000000008011c98 0x8 - 0x0000000008011c98 . = ALIGN (0x8) - 0x0000000008011c98 PROVIDE (__init_array_start = .) +.init_array 0x00000000080125e8 0x8 + 0x00000000080125e8 . = ALIGN (0x8) + 0x00000000080125e8 PROVIDE (__init_array_start = .) *(SORT_BY_NAME(.init_array.*)) *(.init_array*) - .init_array 0x0000000008011c98 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtbegin.o - 0x0000000008011c9c PROVIDE (__init_array_end = .) - 0x0000000008011ca0 . = ALIGN (0x8) - *fill* 0x0000000008011c9c 0x4 + .init_array 0x00000000080125e8 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtbegin.o + 0x00000000080125ec PROVIDE (__init_array_end = .) + 0x00000000080125f0 . = ALIGN (0x8) + *fill* 0x00000000080125ec 0x4 -.fini_array 0x0000000008011ca0 0x8 - 0x0000000008011ca0 . = ALIGN (0x8) +.fini_array 0x00000000080125f0 0x8 + 0x00000000080125f0 . = ALIGN (0x8) [!provide] PROVIDE (__fini_array_start = .) *(SORT_BY_NAME(.fini_array.*)) *(.fini_array*) - .fini_array 0x0000000008011ca0 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtbegin.o + .fini_array 0x00000000080125f0 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtbegin.o [!provide] PROVIDE (__fini_array_end = .) - 0x0000000008011ca8 . = ALIGN (0x8) - *fill* 0x0000000008011ca4 0x4 - 0x0000000008011ca8 _sidata = LOADADDR (.data) + 0x00000000080125f8 . = ALIGN (0x8) + *fill* 0x00000000080125f4 0x4 + 0x00000000080125f8 _sidata = LOADADDR (.data) -.data 0x0000000020000000 0x320 load address 0x0000000008011ca8 +.data 0x0000000020000000 0x320 load address 0x00000000080125f8 0x0000000020000000 . = ALIGN (0x8) 0x0000000020000000 _sdata = . *(.data) @@ -17257,11 +17326,11 @@ LOAD /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-e 0x0000000020000320 . = ALIGN (0x8) 0x0000000020000320 _edata = . -.igot.plt 0x0000000020000320 0x0 load address 0x0000000008011fc8 +.igot.plt 0x0000000020000320 0x0 load address 0x0000000008012918 .igot.plt 0x0000000020000320 0x0 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtbegin.o 0x0000000020000320 . = ALIGN (0x4) -.bss 0x0000000020000320 0x1814 load address 0x0000000008011fc8 +.bss 0x0000000020000320 0x1870 load address 0x0000000008012918 0x0000000020000320 _sbss = . 0x0000000020000320 __bss_start__ = _sbss *(.bss) @@ -17280,415 +17349,455 @@ LOAD /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-e .bss.rtu_time_of_last_successfull_comm 0x0000000020000344 0x4 ./system/src/modbus_rtu/rtu_serial_io.o 0x0000000020000344 rtu_time_of_last_successfull_comm + .bss.i2c_error_counter + 0x0000000020000348 0x1 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000020000348 i2c_error_counter + *fill* 0x0000000020000349 0x1 + .bss.i2c_remote_addr + 0x000000002000034a 0x2 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x000000002000034a i2c_remote_addr + .bss.i2c_rx_bytes_number + 0x000000002000034c 0x1 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x000000002000034c i2c_rx_bytes_number + *fill* 0x000000002000034d 0x3 + .bss.i2c_rx_data + 0x0000000020000350 0x20 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000020000350 i2c_rx_data + .bss.i2c_rxing + 0x0000000020000370 0x1 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000020000370 i2c_rxing + .bss.i2c_trx_data_counter + 0x0000000020000371 0x1 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000020000371 i2c_trx_data_counter + *fill* 0x0000000020000372 0x2 + .bss.i2c_tx_data + 0x0000000020000374 0x20 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000020000374 i2c_tx_data + .bss.i2c_tx_queue_len + 0x0000000020000394 0x1 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000020000394 i2c_tx_queue_len + .bss.i2c_txing + 0x0000000020000395 0x1 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000020000395 i2c_txing + *fill* 0x0000000020000396 0x2 .bss.srl_usart1_rx_buffer - 0x0000000020000348 0x200 ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000020000348 srl_usart1_rx_buffer + 0x0000000020000398 0x200 ./system/src/drivers/l4/serial_stm32l4x.o + 0x0000000020000398 srl_usart1_rx_buffer .bss.srl_usart1_tx_buffer - 0x0000000020000548 0x200 ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000020000548 srl_usart1_tx_buffer + 0x0000000020000598 0x200 ./system/src/drivers/l4/serial_stm32l4x.o + 0x0000000020000598 srl_usart1_tx_buffer .bss.srl_usart2_rx_buffer - 0x0000000020000748 0x60 ./system/src/drivers/l4/serial_stm32l4x.o - 0x0000000020000748 srl_usart2_rx_buffer + 0x0000000020000798 0x60 ./system/src/drivers/l4/serial_stm32l4x.o + 0x0000000020000798 srl_usart2_rx_buffer .bss.srl_usart2_tx_buffer - 0x00000000200007a8 0x60 ./system/src/drivers/l4/serial_stm32l4x.o - 0x00000000200007a8 srl_usart2_tx_buffer + 0x00000000200007f8 0x60 ./system/src/drivers/l4/serial_stm32l4x.o + 0x00000000200007f8 srl_usart2_tx_buffer .bss.analog_anemometer_deboucing_fired - 0x0000000020000808 0x1 ./system/src/drivers/analog_anemometer.o - 0x0000000020000808 analog_anemometer_deboucing_fired + 0x0000000020000858 0x1 ./system/src/drivers/analog_anemometer.o + 0x0000000020000858 analog_anemometer_deboucing_fired .bss.analog_anemometer_direction_doesnt_work - 0x0000000020000809 0x1 ./system/src/drivers/analog_anemometer.o - 0x0000000020000809 analog_anemometer_direction_doesnt_work + 0x0000000020000859 0x1 ./system/src/drivers/analog_anemometer.o + 0x0000000020000859 analog_anemometer_direction_doesnt_work .bss.analog_anemometer_direction_timer_values_it - 0x000000002000080a 0x1 ./system/src/drivers/analog_anemometer.o - 0x000000002000080a analog_anemometer_direction_timer_values_it - *fill* 0x000000002000080b 0x1 + 0x000000002000085a 0x1 ./system/src/drivers/analog_anemometer.o + 0x000000002000085a analog_anemometer_direction_timer_values_it + *fill* 0x000000002000085b 0x1 .bss.analog_anemometer_last_direction_cnt - 0x000000002000080c 0x2 ./system/src/drivers/analog_anemometer.o - 0x000000002000080c analog_anemometer_last_direction_cnt + 0x000000002000085c 0x2 ./system/src/drivers/analog_anemometer.o + 0x000000002000085c analog_anemometer_last_direction_cnt .bss.analog_anemometer_pulses_per_m_s_constant - 0x000000002000080e 0x2 ./system/src/drivers/analog_anemometer.o - 0x000000002000080e analog_anemometer_pulses_per_m_s_constant + 0x000000002000085e 0x2 ./system/src/drivers/analog_anemometer.o + 0x000000002000085e analog_anemometer_pulses_per_m_s_constant .bss.analog_anemometer_slew_limit_fired - 0x0000000020000810 0x1 ./system/src/drivers/analog_anemometer.o - 0x0000000020000810 analog_anemometer_slew_limit_fired + 0x0000000020000860 0x1 ./system/src/drivers/analog_anemometer.o + 0x0000000020000860 analog_anemometer_slew_limit_fired .bss.analog_anemometer_timer_has_been_fired - 0x0000000020000811 0x1 ./system/src/drivers/analog_anemometer.o - 0x0000000020000811 analog_anemometer_timer_has_been_fired + 0x0000000020000861 0x1 ./system/src/drivers/analog_anemometer.o + 0x0000000020000861 analog_anemometer_timer_has_been_fired .bss.bme280_sensor_avaliable - 0x0000000020000812 0x1 ./system/src/drivers/bme280.o - 0x0000000020000812 bme280_sensor_avaliable - *fill* 0x0000000020000813 0x1 - .bss.t_fine 0x0000000020000814 0x4 ./system/src/drivers/bme280.o - 0x0000000020000814 t_fine + 0x0000000020000862 0x1 ./system/src/drivers/bme280.o + 0x0000000020000862 bme280_sensor_avaliable + *fill* 0x0000000020000863 0x1 + .bss.t_fine 0x0000000020000864 0x4 ./system/src/drivers/bme280.o + 0x0000000020000864 t_fine .bss.delay_5us - 0x0000000020000818 0x4 ./system/src/drivers/dallas.o - 0x0000000020000818 delay_5us - *fill* 0x000000002000081c 0x4 - .bss.SensorDT 0x0000000020000820 0x8 ./system/src/drivers/ms5611.o - 0x0000000020000820 SensorDT + 0x0000000020000868 0x4 ./system/src/drivers/dallas.o + 0x0000000020000868 delay_5us + *fill* 0x000000002000086c 0x4 + .bss.SensorDT 0x0000000020000870 0x8 ./system/src/drivers/ms5611.o + 0x0000000020000870 SensorDT .bss.ms5611_sensor_avaliable - 0x0000000020000828 0x1 ./system/src/drivers/ms5611.o - 0x0000000020000828 ms5611_sensor_avaliable - *fill* 0x0000000020000829 0x1 + 0x0000000020000878 0x1 ./system/src/drivers/ms5611.o + 0x0000000020000878 ms5611_sensor_avaliable + *fill* 0x0000000020000879 0x1 .bss.davis_base_crc_errors - 0x000000002000082a 0x2 ./system/src/davis_vantage/davis.o - 0x000000002000082a davis_base_crc_errors + 0x000000002000087a 0x2 ./system/src/davis_vantage/davis.o + 0x000000002000087a davis_base_crc_errors .bss.davis_base_packets_in_the_row - 0x000000002000082c 0x2 ./system/src/davis_vantage/davis.o - 0x000000002000082c davis_base_packets_in_the_row + 0x000000002000087c 0x2 ./system/src/davis_vantage/davis.o + 0x000000002000087c davis_base_packets_in_the_row .bss.davis_base_resynchronizations - 0x000000002000082e 0x2 ./system/src/davis_vantage/davis.o - 0x000000002000082e davis_base_resynchronizations + 0x000000002000087e 0x2 ./system/src/davis_vantage/davis.o + 0x000000002000087e davis_base_resynchronizations .bss.davis_base_total_packet_missed - 0x0000000020000830 0x2 ./system/src/davis_vantage/davis.o - 0x0000000020000830 davis_base_total_packet_missed + 0x0000000020000880 0x2 ./system/src/davis_vantage/davis.o + 0x0000000020000880 davis_base_total_packet_missed .bss.davis_base_total_packet_received - 0x0000000020000832 0x2 ./system/src/davis_vantage/davis.o - 0x0000000020000832 davis_base_total_packet_received + 0x0000000020000882 0x2 ./system/src/davis_vantage/davis.o + 0x0000000020000882 davis_base_total_packet_received .bss.davis_last_good_loop - 0x0000000020000834 0x4 ./system/src/davis_vantage/davis.o - 0x0000000020000834 davis_last_good_loop + 0x0000000020000884 0x4 ./system/src/davis_vantage/davis.o + 0x0000000020000884 davis_last_good_loop .bss.davis_last_good_rxcheck - 0x0000000020000838 0x4 ./system/src/davis_vantage/davis.o - 0x0000000020000838 davis_last_good_rxcheck + 0x0000000020000888 0x4 ./system/src/davis_vantage/davis.o + 0x0000000020000888 davis_last_good_rxcheck .bss.corr_mark_i - 0x000000002000083c 0x10 ./system/src/aprs/afsk.o + 0x000000002000088c 0x10 ./system/src/aprs/afsk.o .bss.corr_mark_q - 0x000000002000084c 0x10 ./system/src/aprs/afsk.o + 0x000000002000089c 0x10 ./system/src/aprs/afsk.o .bss.corr_space_i - 0x000000002000085c 0x10 ./system/src/aprs/afsk.o + 0x00000000200008ac 0x10 ./system/src/aprs/afsk.o .bss.corr_space_q - 0x000000002000086c 0x10 ./system/src/aprs/afsk.o - .bss.data 0x000000002000087c 0x10 ./system/src/aprs/afsk.o - .bss.ptr 0x000000002000088c 0x1 ./system/src/aprs/afsk.o + 0x00000000200008bc 0x10 ./system/src/aprs/afsk.o + .bss.data 0x00000000200008cc 0x10 ./system/src/aprs/afsk.o + .bss.ptr 0x00000000200008dc 0x1 ./system/src/aprs/afsk.o .bss.digi_call_len - 0x000000002000088d 0x1 ./system/src/aprs/digi.o - 0x000000002000088d digi_call_len + 0x00000000200008dd 0x1 ./system/src/aprs/digi.o + 0x00000000200008dd digi_call_len .bss.telemetry_counter - 0x000000002000088e 0x2 ./system/src/aprs/telemetry.o - 0x000000002000088e telemetry_counter + 0x00000000200008de 0x2 ./system/src/aprs/telemetry.o + 0x00000000200008de telemetry_counter .bss.delay_cnt - 0x0000000020000890 0x2 ./src/delay.o - 0x0000000020000890 delay_cnt + 0x00000000200008e0 0x2 ./src/delay.o + 0x00000000200008e0 delay_cnt .bss.preset_delay_msecs - 0x0000000020000892 0x2 ./src/delay.o - 0x0000000020000892 preset_delay_msecs + 0x00000000200008e2 0x2 ./src/delay.o + 0x00000000200008e2 preset_delay_msecs .bss.preset_use_random - 0x0000000020000894 0x1 ./src/delay.o - 0x0000000020000894 preset_use_random - *fill* 0x0000000020000895 0x3 + 0x00000000200008e4 0x1 ./src/delay.o + 0x00000000200008e4 preset_use_random + *fill* 0x00000000200008e5 0x3 .bss.adc_sample_c2 - 0x0000000020000898 0x4 ./src/it_handlers.o - 0x0000000020000898 adc_sample_c2 + 0x00000000200008e8 0x4 ./src/it_handlers.o + 0x00000000200008e8 adc_sample_c2 .bss.adc_sample_count - 0x000000002000089c 0x4 ./src/it_handlers.o - 0x000000002000089c adc_sample_count - .bss.buffer_len - 0x00000000200008a0 0x2 ./src/main.o - 0x00000000200008a0 buffer_len - .bss.digi10m 0x00000000200008a2 0x2 ./src/main.o - 0x00000000200008a2 digi10m - .bss.kiss10m 0x00000000200008a4 0x2 ./src/main.o - 0x00000000200008a4 kiss10m - *fill* 0x00000000200008a6 0x2 - .bss.main_config_data_basic - 0x00000000200008a8 0x4 ./src/main.o - 0x00000000200008a8 main_config_data_basic - .bss.main_config_data_mode - 0x00000000200008ac 0x4 ./src/main.o - 0x00000000200008ac main_config_data_mode - .bss.main_config_data_rtu - 0x00000000200008b0 0x4 ./src/main.o - 0x00000000200008b0 main_config_data_rtu - .bss.main_config_data_umb - 0x00000000200008b4 0x4 ./src/main.o - 0x00000000200008b4 main_config_data_umb - .bss.main_config_data_wx_sources - 0x00000000200008b8 0x4 ./src/main.o - 0x00000000200008b8 main_config_data_wx_sources - .bss.main_crc_result - 0x00000000200008bc 0x4 ./src/main.o - 0x00000000200008bc main_crc_result - .bss.main_current_cpu_idle_ticks - 0x00000000200008c0 0x4 ./src/main.o - 0x00000000200008c0 main_current_cpu_idle_ticks - .bss.main_davis_serial_enabled - 0x00000000200008c4 0x1 ./src/main.o - 0x00000000200008c4 main_davis_serial_enabled - *fill* 0x00000000200008c5 0x3 - .bss.main_idle_cpu_ticks - 0x00000000200008c8 0x4 ./src/main.o - 0x00000000200008c8 main_idle_cpu_ticks - .bss.main_modbus_rtu_master_enabled - 0x00000000200008cc 0x1 ./src/main.o - 0x00000000200008cc main_modbus_rtu_master_enabled - .bss.main_own_path_ln - 0x00000000200008cd 0x1 ./src/main.o - 0x00000000200008cd main_own_path_ln - *fill* 0x00000000200008ce 0x2 - .bss.master_time - 0x00000000200008d0 0x4 ./src/main.o - 0x00000000200008d0 master_time - .bss.rx10m 0x00000000200008d4 0x2 ./src/main.o - 0x00000000200008d4 rx10m - .bss.tx10m 0x00000000200008d6 0x2 ./src/main.o - 0x00000000200008d6 tx10m - .bss.packet_tx_beacon_counter - 0x00000000200008d8 0x1 ./src/packet_tx_handler.o - 0x00000000200008d8 packet_tx_beacon_counter - .bss.packet_tx_error_status_counter - 0x00000000200008d9 0x1 ./src/packet_tx_handler.o - 0x00000000200008d9 packet_tx_error_status_counter - .bss.packet_tx_meteo_kiss_counter - 0x00000000200008da 0x1 ./src/packet_tx_handler.o - 0x00000000200008da packet_tx_meteo_kiss_counter - .bss.packet_tx_more_than_one - 0x00000000200008db 0x1 ./src/packet_tx_handler.o - 0x00000000200008db packet_tx_more_than_one - .bss.packet_tx_telemetry_counter - 0x00000000200008dc 0x1 ./src/packet_tx_handler.o - 0x00000000200008dc packet_tx_telemetry_counter - .bss.rte_main_reboot_req - 0x00000000200008dd 0x1 ./src/rte_main.o - 0x00000000200008dd rte_main_reboot_req - .bss.rte_main_trigger_modbus_status - 0x00000000200008de 0x1 ./src/rte_main.o - 0x00000000200008de rte_main_trigger_modbus_status - *fill* 0x00000000200008df 0x1 - .bss.rte_pv_corrupted_messages_count - 0x00000000200008e0 0x2 ./src/rte_pv.o - 0x00000000200008e0 rte_pv_corrupted_messages_count - .bss.rte_pv_messages_count - 0x00000000200008e2 0x2 ./src/rte_pv.o - 0x00000000200008e2 rte_pv_messages_count - .bss.rte_rtu_number_of_serial_io_errors - 0x00000000200008e4 0x1 ./src/rte_rtu.o - 0x00000000200008e4 rte_rtu_number_of_serial_io_errors - *fill* 0x00000000200008e5 0x1 - .bss.rte_rtu_number_of_successfull_serial_comm - 0x00000000200008e6 0x2 ./src/rte_rtu.o - 0x00000000200008e6 rte_rtu_number_of_successfull_serial_comm - .bss.rte_wx_average_winddirection - 0x00000000200008e8 0x2 ./src/rte_wx.o - 0x00000000200008e8 rte_wx_average_winddirection - .bss.rte_wx_average_windspeed - 0x00000000200008ea 0x2 ./src/rte_wx.o - 0x00000000200008ea rte_wx_average_windspeed - .bss.rte_wx_davis_loop_packet_avaliable - 0x00000000200008ec 0x1 ./src/rte_wx.o - 0x00000000200008ec rte_wx_davis_loop_packet_avaliable - .bss.rte_wx_davis_station_avaliable - 0x00000000200008ed 0x1 ./src/rte_wx.o - 0x00000000200008ed rte_wx_davis_station_avaliable - .bss.rte_wx_error_dallas_qf - 0x00000000200008ee 0x1 ./src/rte_wx.o - 0x00000000200008ee rte_wx_error_dallas_qf - .bss.rte_wx_humidity - 0x00000000200008ef 0x1 ./src/rte_wx.o - 0x00000000200008ef rte_wx_humidity - .bss.rte_wx_humidity_valid - 0x00000000200008f0 0x1 ./src/rte_wx.o - 0x00000000200008f0 rte_wx_humidity_valid + 0x00000000200008ec 0x4 ./src/it_handlers.o + 0x00000000200008ec adc_sample_count + .bss.it_handlers_cpu_load_pool + 0x00000000200008f0 0x1 ./src/it_handlers.o + 0x00000000200008f0 it_handlers_cpu_load_pool *fill* 0x00000000200008f1 0x1 + .bss.buffer_len + 0x00000000200008f2 0x2 ./src/main.o + 0x00000000200008f2 buffer_len + .bss.digi10m 0x00000000200008f4 0x2 ./src/main.o + 0x00000000200008f4 digi10m + .bss.kiss10m 0x00000000200008f6 0x2 ./src/main.o + 0x00000000200008f6 kiss10m + .bss.main_config_data_basic + 0x00000000200008f8 0x4 ./src/main.o + 0x00000000200008f8 main_config_data_basic + .bss.main_config_data_mode + 0x00000000200008fc 0x4 ./src/main.o + 0x00000000200008fc main_config_data_mode + .bss.main_config_data_rtu + 0x0000000020000900 0x4 ./src/main.o + 0x0000000020000900 main_config_data_rtu + .bss.main_config_data_umb + 0x0000000020000904 0x4 ./src/main.o + 0x0000000020000904 main_config_data_umb + .bss.main_config_data_wx_sources + 0x0000000020000908 0x4 ./src/main.o + 0x0000000020000908 main_config_data_wx_sources + .bss.main_cpu_load + 0x000000002000090c 0x1 ./src/main.o + 0x000000002000090c main_cpu_load + *fill* 0x000000002000090d 0x3 + .bss.main_crc_result + 0x0000000020000910 0x4 ./src/main.o + 0x0000000020000910 main_crc_result + .bss.main_current_cpu_idle_ticks + 0x0000000020000914 0x4 ./src/main.o + 0x0000000020000914 main_current_cpu_idle_ticks + .bss.main_davis_serial_enabled + 0x0000000020000918 0x1 ./src/main.o + 0x0000000020000918 main_davis_serial_enabled + *fill* 0x0000000020000919 0x3 + .bss.main_idle_cpu_ticks + 0x000000002000091c 0x4 ./src/main.o + 0x000000002000091c main_idle_cpu_ticks + .bss.main_modbus_rtu_master_enabled + 0x0000000020000920 0x1 ./src/main.o + 0x0000000020000920 main_modbus_rtu_master_enabled + .bss.main_own_path_ln + 0x0000000020000921 0x1 ./src/main.o + 0x0000000020000921 main_own_path_ln + *fill* 0x0000000020000922 0x2 + .bss.master_time + 0x0000000020000924 0x4 ./src/main.o + 0x0000000020000924 master_time + .bss.rx10m 0x0000000020000928 0x2 ./src/main.o + 0x0000000020000928 rx10m + .bss.tx10m 0x000000002000092a 0x2 ./src/main.o + 0x000000002000092a tx10m + .bss.packet_tx_beacon_counter + 0x000000002000092c 0x1 ./src/packet_tx_handler.o + 0x000000002000092c packet_tx_beacon_counter + .bss.packet_tx_error_status_counter + 0x000000002000092d 0x1 ./src/packet_tx_handler.o + 0x000000002000092d packet_tx_error_status_counter + .bss.packet_tx_meteo_kiss_counter + 0x000000002000092e 0x1 ./src/packet_tx_handler.o + 0x000000002000092e packet_tx_meteo_kiss_counter + .bss.packet_tx_more_than_one + 0x000000002000092f 0x1 ./src/packet_tx_handler.o + 0x000000002000092f packet_tx_more_than_one + .bss.packet_tx_telemetry_counter + 0x0000000020000930 0x1 ./src/packet_tx_handler.o + 0x0000000020000930 packet_tx_telemetry_counter + .bss.rte_main_reboot_req + 0x0000000020000931 0x1 ./src/rte_main.o + 0x0000000020000931 rte_main_reboot_req + .bss.rte_main_trigger_modbus_status + 0x0000000020000932 0x1 ./src/rte_main.o + 0x0000000020000932 rte_main_trigger_modbus_status + *fill* 0x0000000020000933 0x1 + .bss.rte_pv_corrupted_messages_count + 0x0000000020000934 0x2 ./src/rte_pv.o + 0x0000000020000934 rte_pv_corrupted_messages_count + .bss.rte_pv_messages_count + 0x0000000020000936 0x2 ./src/rte_pv.o + 0x0000000020000936 rte_pv_messages_count + .bss.rte_rtu_number_of_serial_io_errors + 0x0000000020000938 0x1 ./src/rte_rtu.o + 0x0000000020000938 rte_rtu_number_of_serial_io_errors + *fill* 0x0000000020000939 0x1 + .bss.rte_rtu_number_of_successfull_serial_comm + 0x000000002000093a 0x2 ./src/rte_rtu.o + 0x000000002000093a rte_rtu_number_of_successfull_serial_comm + .bss.rte_wx_average_winddirection + 0x000000002000093c 0x2 ./src/rte_wx.o + 0x000000002000093c rte_wx_average_winddirection + .bss.rte_wx_average_windspeed + 0x000000002000093e 0x2 ./src/rte_wx.o + 0x000000002000093e rte_wx_average_windspeed + .bss.rte_wx_davis_loop_packet_avaliable + 0x0000000020000940 0x1 ./src/rte_wx.o + 0x0000000020000940 rte_wx_davis_loop_packet_avaliable + .bss.rte_wx_davis_station_avaliable + 0x0000000020000941 0x1 ./src/rte_wx.o + 0x0000000020000941 rte_wx_davis_station_avaliable + .bss.rte_wx_error_dallas_qf + 0x0000000020000942 0x1 ./src/rte_wx.o + 0x0000000020000942 rte_wx_error_dallas_qf + .bss.rte_wx_humidity + 0x0000000020000943 0x1 ./src/rte_wx.o + 0x0000000020000943 rte_wx_humidity + .bss.rte_wx_humidity_valid + 0x0000000020000944 0x1 ./src/rte_wx.o + 0x0000000020000944 rte_wx_humidity_valid + *fill* 0x0000000020000945 0x1 .bss.rte_wx_max_windspeed - 0x00000000200008f2 0x2 ./src/rte_wx.o - 0x00000000200008f2 rte_wx_max_windspeed + 0x0000000020000946 0x2 ./src/rte_wx.o + 0x0000000020000946 rte_wx_max_windspeed .bss.rte_wx_ms5611_qf - 0x00000000200008f4 0x1 ./src/rte_wx.o - 0x00000000200008f4 rte_wx_ms5611_qf - *fill* 0x00000000200008f5 0x3 + 0x0000000020000948 0x1 ./src/rte_wx.o + 0x0000000020000948 rte_wx_ms5611_qf + *fill* 0x0000000020000949 0x3 .bss.rte_wx_pressure - 0x00000000200008f8 0x4 ./src/rte_wx.o - 0x00000000200008f8 rte_wx_pressure + 0x000000002000094c 0x4 ./src/rte_wx.o + 0x000000002000094c rte_wx_pressure .bss.rte_wx_pressure_valid - 0x00000000200008fc 0x4 ./src/rte_wx.o - 0x00000000200008fc rte_wx_pressure_valid + 0x0000000020000950 0x4 ./src/rte_wx.o + 0x0000000020000950 rte_wx_pressure_valid .bss.rte_wx_temperature_average_external_valid - 0x0000000020000900 0x4 ./src/rte_wx.o - 0x0000000020000900 rte_wx_temperature_average_external_valid + 0x0000000020000954 0x4 ./src/rte_wx.o + 0x0000000020000954 rte_wx_temperature_average_external_valid .bss.rte_wx_temperature_external - 0x0000000020000904 0x4 ./src/rte_wx.o - 0x0000000020000904 rte_wx_temperature_external + 0x0000000020000958 0x4 ./src/rte_wx.o + 0x0000000020000958 rte_wx_temperature_external .bss.rte_wx_temperature_external_slew_rate - 0x0000000020000908 0x4 ./src/rte_wx.o - 0x0000000020000908 rte_wx_temperature_external_slew_rate + 0x000000002000095c 0x4 ./src/rte_wx.o + 0x000000002000095c rte_wx_temperature_external_slew_rate .bss.rte_wx_temperature_external_valid - 0x000000002000090c 0x4 ./src/rte_wx.o - 0x000000002000090c rte_wx_temperature_external_valid + 0x0000000020000960 0x4 ./src/rte_wx.o + 0x0000000020000960 rte_wx_temperature_external_valid .bss.rte_wx_temperature_internal - 0x0000000020000910 0x4 ./src/rte_wx.o - 0x0000000020000910 rte_wx_temperature_internal + 0x0000000020000964 0x4 ./src/rte_wx.o + 0x0000000020000964 rte_wx_temperature_internal .bss.rte_wx_temperature_internal_valid - 0x0000000020000914 0x4 ./src/rte_wx.o - 0x0000000020000914 rte_wx_temperature_internal_valid + 0x0000000020000968 0x4 ./src/rte_wx.o + 0x0000000020000968 rte_wx_temperature_internal_valid .bss.rte_wx_temperature_max_external_valid - 0x0000000020000918 0x4 ./src/rte_wx.o - 0x0000000020000918 rte_wx_temperature_max_external_valid + 0x000000002000096c 0x4 ./src/rte_wx.o + 0x000000002000096c rte_wx_temperature_max_external_valid .bss.rte_wx_temperature_min_external_valid - 0x000000002000091c 0x4 ./src/rte_wx.o - 0x000000002000091c rte_wx_temperature_min_external_valid + 0x0000000020000970 0x4 ./src/rte_wx.o + 0x0000000020000970 rte_wx_temperature_min_external_valid .bss.rte_wx_winddirection_it - 0x0000000020000920 0x1 ./src/rte_wx.o - 0x0000000020000920 rte_wx_winddirection_it - *fill* 0x0000000020000921 0x1 + 0x0000000020000974 0x1 ./src/rte_wx.o + 0x0000000020000974 rte_wx_winddirection_it + *fill* 0x0000000020000975 0x1 .bss.rte_wx_winddirection_last - 0x0000000020000922 0x2 ./src/rte_wx.o - 0x0000000020000922 rte_wx_winddirection_last + 0x0000000020000976 0x2 ./src/rte_wx.o + 0x0000000020000976 rte_wx_winddirection_last .bss.rte_wx_windspeed_it - 0x0000000020000924 0x1 ./src/rte_wx.o - 0x0000000020000924 rte_wx_windspeed_it - *fill* 0x0000000020000925 0x1 + 0x0000000020000978 0x1 ./src/rte_wx.o + 0x0000000020000978 rte_wx_windspeed_it + *fill* 0x0000000020000979 0x1 .bss.rte_wx_windspeed_pulses - 0x0000000020000926 0x2 ./src/rte_wx.o - 0x0000000020000926 rte_wx_windspeed_pulses + 0x000000002000097a 0x2 ./src/rte_wx.o + 0x000000002000097a rte_wx_windspeed_pulses .bss.wx_force_i2c_sensor_reset - 0x0000000020000928 0x1 ./src/wx_handler.o - 0x0000000020000928 wx_force_i2c_sensor_reset - *fill* 0x0000000020000929 0x3 + 0x000000002000097c 0x1 ./src/wx_handler.o + 0x000000002000097c wx_force_i2c_sensor_reset + *fill* 0x000000002000097d 0x3 .bss.wx_last_good_temperature_time - 0x000000002000092c 0x4 ./src/wx_handler.o - 0x000000002000092c wx_last_good_temperature_time + 0x0000000020000980 0x4 ./src/wx_handler.o + 0x0000000020000980 wx_last_good_temperature_time .bss.wx_last_good_wind_time - 0x0000000020000930 0x4 ./src/wx_handler.o - 0x0000000020000930 wx_last_good_wind_time + 0x0000000020000984 0x4 ./src/wx_handler.o + 0x0000000020000984 wx_last_good_wind_time .bss.wx_wind_pool_call_counter - 0x0000000020000934 0x4 ./src/wx_handler.o - 0x0000000020000934 wx_wind_pool_call_counter + 0x0000000020000988 0x4 ./src/wx_handler.o + 0x0000000020000988 wx_wind_pool_call_counter .bss.__malloc_free_list - 0x0000000020000938 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-mallocr.o) - 0x0000000020000938 __malloc_free_list + 0x000000002000098c 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-mallocr.o) + 0x000000002000098c __malloc_free_list .bss.__malloc_sbrk_start - 0x000000002000093c 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-mallocr.o) - 0x000000002000093c __malloc_sbrk_start + 0x0000000020000990 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-mallocr.o) + 0x0000000020000990 __malloc_sbrk_start .bss.heap_end.5377 - 0x0000000020000940 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libnosys.a(sbrk.o) + 0x0000000020000994 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libnosys.a(sbrk.o) *(COMMON) - COMMON 0x0000000020000944 0x18 ./system/src/ve_direct_protocol/parser.o - 0x0000000020000944 key - 0x0000000020000950 value - COMMON 0x000000002000095c 0x4 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - 0x000000002000095c uwTick - COMMON 0x0000000020000960 0x4c ./system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o - 0x0000000020000960 TimHandle - COMMON 0x00000000200009ac 0xf ./system/src/modbus_rtu/rtu_serial_io.o - 0x00000000200009ac rtu_used_serial_context - 0x00000000200009b0 rtu_current_03_slave_address - 0x00000000200009b4 rtu_used_queue - 0x00000000200009b8 rtu_current_03_base_register - 0x00000000200009ba rtu_current_03_number_of_registers - *fill* 0x00000000200009bb 0x1 - COMMON 0x00000000200009bc 0x68 ./system/src/drivers/analog_anemometer.o - 0x00000000200009bc analog_anemometer_time_between_pulses - 0x00000000200009d0 DMA_InitStruct - 0x00000000200009fc analog_anemometer_direction_timer_values - 0x0000000020000a10 analog_anemometer_windspeed_pulses_time - COMMON 0x0000000020000a24 0x35 ./system/src/drivers/bme280.o - 0x0000000020000a24 bme280_calibration_data - 0x0000000020000a50 bme280_data_buffer - *fill* 0x0000000020000a59 0x3 - COMMON 0x0000000020000a5c 0x20 ./system/src/drivers/dallas.o - 0x0000000020000a5c dallas - COMMON 0x0000000020000a7c 0x24 ./system/src/drivers/ms5611.o - 0x0000000020000a7c state - 0x0000000020000a80 SensorCalData - COMMON 0x0000000020000aa0 0xc ./system/src/davis_vantage/davis.o - 0x0000000020000aa0 davis_global_state - 0x0000000020000aa1 davis_quality_factor - 0x0000000020000aa2 davis_loop_state - 0x0000000020000aa3 davis_avaliable - 0x0000000020000aa4 davis_wake_up_state - 0x0000000020000aa5 davis_rx_check_state - 0x0000000020000aa8 davis_serial_context - COMMON 0x0000000020000aac 0x258 ./system/src/aprs/ax25.o - 0x0000000020000aac ax25_new_msg_rx_flag - 0x0000000020000ab0 ax25_rxed_frame - COMMON 0x0000000020000d04 0x239 ./system/src/aprs/digi.o - 0x0000000020000d04 digi_msg_len - 0x0000000020000d06 digi_mode - 0x0000000020000d08 digi_path - 0x0000000020000d39 digi_viscous_counter_sec - 0x0000000020000d3c digi_msg - 0x0000000020000f3c digi_viscous_delay_sec - *fill* 0x0000000020000f3d 0x3 - COMMON 0x0000000020000f40 0x12c ./src/KissCommunication.o - 0x0000000020000f40 kiss_buffer - COMMON 0x000000002000106c 0x2 ./src/LedConfig.o - 0x000000002000106c led_blinking_led1 - 0x000000002000106d led_blinking_led2 - *fill* 0x000000002000106e 0x2 - COMMON 0x0000000020001070 0x18 ./src/io.o - 0x0000000020001070 GPIO_InitTypeDef - COMMON 0x0000000020001088 0xa ./src/it_handlers.o - 0x0000000020001088 AdcBuffer - 0x0000000020001090 AdcValue - *fill* 0x0000000020001092 0x2 - COMMON 0x0000000020001094 0x7f0 ./src/main.o - 0x0000000020001094 main_wx_srl_ctx - 0x00000000200010ec main_string_longitude - 0x00000000200010f5 main_own_aprs_msg_len - 0x00000000200010f8 main_target_kiss_baudrate - 0x00000000200010fc main_kiss_srl_ctx - 0x0000000020001154 main_kiss_srl_ctx_ptr - 0x0000000020001158 main_target_wx_baudrate - 0x000000002000115c main_own_aprs_msg - 0x00000000200011fc after_tx_lock - 0x0000000020001200 main_own_path - 0x0000000020001218 main_ax25 - 0x0000000020001430 main_string_latitude - 0x000000002000143c main_afsk - 0x0000000020001880 main_wx_srl_ctx_ptr - COMMON 0x0000000020001884 0xf9 ./src/rte_pv.o - 0x0000000020001884 rte_pv_battery_current - 0x0000000020001886 rte_pv_sys_voltage - 0x0000000020001888 rte_pv_average - 0x0000000020001950 rte_pv_load_current - 0x0000000020001954 rte_pv_struct - 0x0000000020001978 rte_pv_battery_voltage - 0x000000002000197a rte_pv_cell_voltage - 0x000000002000197c rte_pv_last_error - *fill* 0x000000002000197d 0x3 - COMMON 0x0000000020001980 0x94 ./src/rte_rtu.o - 0x0000000020001980 rte_rtu_last_modbus_exception_timestamp - 0x0000000020001984 rte_wx_modbus_rtu_f6 - 0x0000000020001990 rte_wx_modbus_rtu_f3 - 0x000000002000199a rte_rtu_last_modbus_exception - 0x000000002000199c rte_rtu_pool_queue - 0x00000000200019e0 rte_wx_modbus_rtu_f5 - 0x00000000200019ec rte_wx_modbus_rtu_f2 - 0x00000000200019f8 rte_wx_modbus_rtu_f4 - 0x0000000020001a04 rte_wx_modbus_rtu_f1 - 0x0000000020001a10 rte_rtu_last_modbus_rx_error_timestamp - COMMON 0x0000000020001a14 0x118 ./src/rte_wx.o - 0x0000000020001a14 rte_wx_pressure_history - 0x0000000020001a24 rte_wx_windspeed - 0x0000000020001a48 rte_wx_umb_channel_values - 0x0000000020001a60 rte_wx_davis_loop_content - 0x0000000020001a74 rte_wx_umb - 0x0000000020001aa3 rte_wx_pressure_it - 0x0000000020001aa4 rte_wx_current_dallas_qf - 0x0000000020001aa8 rte_wx_dallas_average - 0x0000000020001ad8 rte_wx_umb_context - 0x0000000020001b08 rte_wx_winddirection - COMMON 0x0000000020001b2c 0x1 ./src/wx_handler.o - 0x0000000020001b2c wx_pwr_state - *fill* 0x0000000020001b2d 0x3 - COMMON 0x0000000020001b30 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-reent.o) - 0x0000000020001b30 errno - 0x0000000020001b34 . = ALIGN (0x4) - 0x0000000020001b34 _ebss = . - 0x0000000020001b34 __bss_end__ = _ebss + COMMON 0x0000000020000998 0x18 ./system/src/ve_direct_protocol/parser.o + 0x0000000020000998 key + 0x00000000200009a4 value + COMMON 0x00000000200009b0 0x4 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + 0x00000000200009b0 uwTick + COMMON 0x00000000200009b4 0x4c ./system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o + 0x00000000200009b4 TimHandle + COMMON 0x0000000020000a00 0xf ./system/src/modbus_rtu/rtu_serial_io.o + 0x0000000020000a00 rtu_used_serial_context + 0x0000000020000a04 rtu_current_03_slave_address + 0x0000000020000a08 rtu_used_queue + 0x0000000020000a0c rtu_current_03_base_register + 0x0000000020000a0e rtu_current_03_number_of_registers + *fill* 0x0000000020000a0f 0x1 + COMMON 0x0000000020000a10 0x8 ./system/src/drivers/l4/i2c_stm32l4x.o + 0x0000000020000a10 i2c_state + 0x0000000020000a14 i2cStartTime + COMMON 0x0000000020000a18 0x68 ./system/src/drivers/analog_anemometer.o + 0x0000000020000a18 analog_anemometer_time_between_pulses + 0x0000000020000a2c DMA_InitStruct + 0x0000000020000a58 analog_anemometer_direction_timer_values + 0x0000000020000a6c analog_anemometer_windspeed_pulses_time + COMMON 0x0000000020000a80 0x35 ./system/src/drivers/bme280.o + 0x0000000020000a80 bme280_calibration_data + 0x0000000020000aac bme280_data_buffer + *fill* 0x0000000020000ab5 0x3 + COMMON 0x0000000020000ab8 0x20 ./system/src/drivers/dallas.o + 0x0000000020000ab8 dallas + COMMON 0x0000000020000ad8 0x24 ./system/src/drivers/ms5611.o + 0x0000000020000ad8 state + 0x0000000020000adc SensorCalData + COMMON 0x0000000020000afc 0xc ./system/src/davis_vantage/davis.o + 0x0000000020000afc davis_global_state + 0x0000000020000afd davis_quality_factor + 0x0000000020000afe davis_loop_state + 0x0000000020000aff davis_avaliable + 0x0000000020000b00 davis_wake_up_state + 0x0000000020000b01 davis_rx_check_state + 0x0000000020000b04 davis_serial_context + COMMON 0x0000000020000b08 0x258 ./system/src/aprs/ax25.o + 0x0000000020000b08 ax25_new_msg_rx_flag + 0x0000000020000b0c ax25_rxed_frame + COMMON 0x0000000020000d60 0x239 ./system/src/aprs/digi.o + 0x0000000020000d60 digi_msg_len + 0x0000000020000d62 digi_mode + 0x0000000020000d64 digi_path + 0x0000000020000d95 digi_viscous_counter_sec + 0x0000000020000d98 digi_msg + 0x0000000020000f98 digi_viscous_delay_sec + *fill* 0x0000000020000f99 0x3 + COMMON 0x0000000020000f9c 0x12c ./src/KissCommunication.o + 0x0000000020000f9c kiss_buffer + COMMON 0x00000000200010c8 0x2 ./src/LedConfig.o + 0x00000000200010c8 led_blinking_led1 + 0x00000000200010c9 led_blinking_led2 + *fill* 0x00000000200010ca 0x2 + COMMON 0x00000000200010cc 0x18 ./src/io.o + 0x00000000200010cc GPIO_InitTypeDef + COMMON 0x00000000200010e4 0xa ./src/it_handlers.o + 0x00000000200010e4 AdcBuffer + 0x00000000200010ec AdcValue + *fill* 0x00000000200010ee 0x2 + COMMON 0x00000000200010f0 0x7f0 ./src/main.o + 0x00000000200010f0 main_wx_srl_ctx + 0x0000000020001148 main_string_longitude + 0x0000000020001151 main_own_aprs_msg_len + 0x0000000020001154 main_target_kiss_baudrate + 0x0000000020001158 main_kiss_srl_ctx + 0x00000000200011b0 main_kiss_srl_ctx_ptr + 0x00000000200011b4 main_target_wx_baudrate + 0x00000000200011b8 main_own_aprs_msg + 0x0000000020001258 after_tx_lock + 0x000000002000125c main_own_path + 0x0000000020001274 main_ax25 + 0x000000002000148c main_string_latitude + 0x0000000020001498 main_afsk + 0x00000000200018dc main_wx_srl_ctx_ptr + COMMON 0x00000000200018e0 0xf9 ./src/rte_pv.o + 0x00000000200018e0 rte_pv_battery_current + 0x00000000200018e2 rte_pv_sys_voltage + 0x00000000200018e4 rte_pv_average + 0x00000000200019ac rte_pv_load_current + 0x00000000200019b0 rte_pv_struct + 0x00000000200019d4 rte_pv_battery_voltage + 0x00000000200019d6 rte_pv_cell_voltage + 0x00000000200019d8 rte_pv_last_error + *fill* 0x00000000200019d9 0x3 + COMMON 0x00000000200019dc 0x94 ./src/rte_rtu.o + 0x00000000200019dc rte_rtu_last_modbus_exception_timestamp + 0x00000000200019e0 rte_wx_modbus_rtu_f6 + 0x00000000200019ec rte_wx_modbus_rtu_f3 + 0x00000000200019f6 rte_rtu_last_modbus_exception + 0x00000000200019f8 rte_rtu_pool_queue + 0x0000000020001a3c rte_wx_modbus_rtu_f5 + 0x0000000020001a48 rte_wx_modbus_rtu_f2 + 0x0000000020001a54 rte_wx_modbus_rtu_f4 + 0x0000000020001a60 rte_wx_modbus_rtu_f1 + 0x0000000020001a6c rte_rtu_last_modbus_rx_error_timestamp + COMMON 0x0000000020001a70 0x118 ./src/rte_wx.o + 0x0000000020001a70 rte_wx_pressure_history + 0x0000000020001a80 rte_wx_windspeed + 0x0000000020001aa4 rte_wx_umb_channel_values + 0x0000000020001abc rte_wx_davis_loop_content + 0x0000000020001ad0 rte_wx_umb + 0x0000000020001aff rte_wx_pressure_it + 0x0000000020001b00 rte_wx_current_dallas_qf + 0x0000000020001b04 rte_wx_dallas_average + 0x0000000020001b34 rte_wx_umb_context + 0x0000000020001b64 rte_wx_winddirection + COMMON 0x0000000020001b88 0x1 ./src/wx_handler.o + 0x0000000020001b88 wx_pwr_state + *fill* 0x0000000020001b89 0x3 + COMMON 0x0000000020001b8c 0x4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-reent.o) + 0x0000000020001b8c errno + 0x0000000020001b90 . = ALIGN (0x4) + 0x0000000020001b90 _ebss = . + 0x0000000020001b90 __bss_end__ = _ebss ._user_heap_stack - 0x0000000020001b34 0x604 load address 0x0000000008011fc8 - 0x0000000020001b38 . = ALIGN (0x8) - *fill* 0x0000000020001b34 0x4 - 0x0000000020001b38 PROVIDE (end = .) + 0x0000000020001b90 0x600 load address 0x0000000008012918 + 0x0000000020001b90 . = ALIGN (0x8) + 0x0000000020001b90 PROVIDE (end = .) [!provide] PROVIDE (_end = .) - 0x0000000020001d38 . = (. + _Min_Heap_Size) - *fill* 0x0000000020001b38 0x200 - 0x0000000020002138 . = (. + _Min_Stack_Size) - *fill* 0x0000000020001d38 0x400 - 0x0000000020002138 . = ALIGN (0x8) + 0x0000000020001d90 . = (. + _Min_Heap_Size) + *fill* 0x0000000020001b90 0x200 + 0x0000000020002190 . = (. + _Min_Stack_Size) + *fill* 0x0000000020001d90 0x400 + 0x0000000020002190 . = ALIGN (0x8) /DISCARD/ libc.a(*) @@ -17725,288 +17834,292 @@ LOAD /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-e .ARM.attributes 0x0000000000000290 0x39 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o .ARM.attributes - 0x00000000000002c9 0x39 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + 0x00000000000002c9 0x39 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o .ARM.attributes - 0x0000000000000302 0x39 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + 0x0000000000000302 0x39 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o .ARM.attributes - 0x000000000000033b 0x39 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o + 0x000000000000033b 0x39 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o .ARM.attributes - 0x0000000000000374 0x39 ./system/src/modbus_rtu/rtu_getters.o + 0x0000000000000374 0x39 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o .ARM.attributes - 0x00000000000003ad 0x39 ./system/src/modbus_rtu/rtu_parser.o + 0x00000000000003ad 0x39 ./system/src/modbus_rtu/rtu_getters.o .ARM.attributes - 0x00000000000003e6 0x39 ./system/src/modbus_rtu/rtu_request.o + 0x00000000000003e6 0x39 ./system/src/modbus_rtu/rtu_parser.o .ARM.attributes - 0x000000000000041f 0x39 ./system/src/modbus_rtu/rtu_serial_io.o + 0x000000000000041f 0x39 ./system/src/modbus_rtu/rtu_request.o .ARM.attributes - 0x0000000000000458 0x39 ./system/src/drivers/l4/flash_stm32l4x.o + 0x0000000000000458 0x39 ./system/src/modbus_rtu/rtu_serial_io.o .ARM.attributes - 0x0000000000000491 0x39 ./system/src/drivers/l4/serial_stm32l4x.o + 0x0000000000000491 0x39 ./system/src/drivers/l4/flash_stm32l4x.o .ARM.attributes - 0x00000000000004ca 0x39 ./system/src/drivers/analog_anemometer.o + 0x00000000000004ca 0x39 ./system/src/drivers/l4/i2c_stm32l4x.o .ARM.attributes - 0x0000000000000503 0x39 ./system/src/drivers/bme280.o + 0x0000000000000503 0x39 ./system/src/drivers/l4/serial_stm32l4x.o .ARM.attributes - 0x000000000000053c 0x39 ./system/src/drivers/dallas.o + 0x000000000000053c 0x39 ./system/src/drivers/analog_anemometer.o .ARM.attributes - 0x0000000000000575 0x39 ./system/src/drivers/dma_helper_functions.o + 0x0000000000000575 0x39 ./system/src/drivers/bme280.o .ARM.attributes - 0x00000000000005ae 0x39 ./system/src/drivers/ms5611.o + 0x00000000000005ae 0x39 ./system/src/drivers/dallas.o .ARM.attributes - 0x00000000000005e7 0x39 ./system/src/davis_vantage/davis.o + 0x00000000000005e7 0x39 ./system/src/drivers/dma_helper_functions.o .ARM.attributes - 0x0000000000000620 0x39 ./system/src/davis_vantage/davis_parsers.o + 0x0000000000000620 0x39 ./system/src/drivers/ms5611.o .ARM.attributes - 0x0000000000000659 0x21 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o + 0x0000000000000659 0x39 ./system/src/davis_vantage/davis.o .ARM.attributes - 0x000000000000067a 0x39 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + 0x0000000000000692 0x39 ./system/src/davis_vantage/davis_parsers.o .ARM.attributes - 0x00000000000006b3 0x39 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o + 0x00000000000006cb 0x21 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o .ARM.attributes - 0x00000000000006ec 0x39 ./system/src/aprs/adc.o + 0x00000000000006ec 0x39 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o .ARM.attributes - 0x0000000000000725 0x39 ./system/src/aprs/afsk.o + 0x0000000000000725 0x39 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o .ARM.attributes - 0x000000000000075e 0x39 ./system/src/aprs/afsk_pr.o + 0x000000000000075e 0x39 ./system/src/aprs/adc.o .ARM.attributes - 0x0000000000000797 0x39 ./system/src/aprs/ax25.o + 0x0000000000000797 0x39 ./system/src/aprs/afsk.o .ARM.attributes - 0x00000000000007d0 0x39 ./system/src/aprs/beacon.o + 0x00000000000007d0 0x39 ./system/src/aprs/afsk_pr.o .ARM.attributes - 0x0000000000000809 0x39 ./system/src/aprs/crc.o + 0x0000000000000809 0x39 ./system/src/aprs/ax25.o .ARM.attributes - 0x0000000000000842 0x39 ./system/src/aprs/dac.o + 0x0000000000000842 0x39 ./system/src/aprs/beacon.o .ARM.attributes - 0x000000000000087b 0x39 ./system/src/aprs/digi.o + 0x000000000000087b 0x39 ./system/src/aprs/crc.o .ARM.attributes - 0x00000000000008b4 0x39 ./system/src/aprs/telemetry.o + 0x00000000000008b4 0x39 ./system/src/aprs/dac.o .ARM.attributes - 0x00000000000008ed 0x39 ./system/src/aprs/wx.o + 0x00000000000008ed 0x39 ./system/src/aprs/digi.o .ARM.attributes - 0x0000000000000926 0x39 ./src/KissCommunication.o + 0x0000000000000926 0x39 ./system/src/aprs/telemetry.o .ARM.attributes - 0x000000000000095f 0x39 ./src/LedConfig.o + 0x000000000000095f 0x39 ./system/src/aprs/wx.o .ARM.attributes - 0x0000000000000998 0x39 ./src/PathConfig.o + 0x0000000000000998 0x39 ./src/KissCommunication.o .ARM.attributes - 0x00000000000009d1 0x39 ./src/TimerConfig.o + 0x00000000000009d1 0x39 ./src/LedConfig.o .ARM.attributes - 0x0000000000000a0a 0x39 ./src/config_data_default.o + 0x0000000000000a0a 0x39 ./src/PathConfig.o .ARM.attributes - 0x0000000000000a43 0x39 ./src/config_data_first.o + 0x0000000000000a43 0x39 ./src/TimerConfig.o .ARM.attributes - 0x0000000000000a7c 0x39 ./src/config_data_second.o + 0x0000000000000a7c 0x39 ./src/config_data_default.o .ARM.attributes - 0x0000000000000ab5 0x39 ./src/configuration_handler.o + 0x0000000000000ab5 0x39 ./src/config_data_first.o .ARM.attributes - 0x0000000000000aee 0x39 ./src/delay.o + 0x0000000000000aee 0x39 ./src/config_data_second.o .ARM.attributes - 0x0000000000000b27 0x39 ./src/float_to_string.o + 0x0000000000000b27 0x39 ./src/configuration_handler.o .ARM.attributes - 0x0000000000000b60 0x39 ./src/io.o + 0x0000000000000b60 0x39 ./src/delay.o .ARM.attributes - 0x0000000000000b99 0x39 ./src/it_handlers.o + 0x0000000000000b99 0x39 ./src/float_to_string.o .ARM.attributes - 0x0000000000000bd2 0x39 ./src/main.o + 0x0000000000000bd2 0x39 ./src/io.o .ARM.attributes - 0x0000000000000c0b 0x39 ./src/packet_tx_handler.o + 0x0000000000000c0b 0x39 ./src/it_handlers.o .ARM.attributes - 0x0000000000000c44 0x39 ./src/rte_main.o + 0x0000000000000c44 0x39 ./src/main.o .ARM.attributes - 0x0000000000000c7d 0x39 ./src/rte_pv.o + 0x0000000000000c7d 0x39 ./src/packet_tx_handler.o .ARM.attributes - 0x0000000000000cb6 0x39 ./src/rte_rtu.o + 0x0000000000000cb6 0x39 ./src/rte_main.o .ARM.attributes - 0x0000000000000cef 0x39 ./src/rte_wx.o + 0x0000000000000cef 0x39 ./src/rte_pv.o .ARM.attributes - 0x0000000000000d28 0x39 ./src/wx_handler.o + 0x0000000000000d28 0x39 ./src/rte_rtu.o .ARM.attributes - 0x0000000000000d61 0x39 ./src/wx_handler_humidity.o + 0x0000000000000d61 0x39 ./src/rte_wx.o .ARM.attributes - 0x0000000000000d9a 0x39 ./src/wx_handler_pressure.o + 0x0000000000000d9a 0x39 ./src/wx_handler.o .ARM.attributes - 0x0000000000000dd3 0x39 ./src/wx_handler_temperature.o + 0x0000000000000dd3 0x39 ./src/wx_handler_humidity.o .ARM.attributes - 0x0000000000000e0c 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_cos.o) + 0x0000000000000e0c 0x39 ./src/wx_handler_pressure.o .ARM.attributes - 0x0000000000000e40 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_sin.o) + 0x0000000000000e45 0x39 ./src/wx_handler_temperature.o .ARM.attributes - 0x0000000000000e74 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_cos.o) + 0x0000000000000e7e 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_cos.o) .ARM.attributes - 0x0000000000000ea8 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_round.o) + 0x0000000000000eb2 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_sin.o) .ARM.attributes - 0x0000000000000edc 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_sin.o) + 0x0000000000000ee6 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_cos.o) .ARM.attributes - 0x0000000000000f10 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-w_pow.o) + 0x0000000000000f1a 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_round.o) .ARM.attributes - 0x0000000000000f44 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-wf_atan2.o) + 0x0000000000000f4e 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_sin.o) .ARM.attributes - 0x0000000000000f78 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_pow.o) + 0x0000000000000f82 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-w_pow.o) .ARM.attributes - 0x0000000000000fac 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_rem_pio2.o) + 0x0000000000000fb6 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-wf_atan2.o) .ARM.attributes - 0x0000000000000fe0 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_sqrt.o) + 0x0000000000000fea 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_pow.o) .ARM.attributes - 0x0000000000001014 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_atan2.o) + 0x000000000000101e 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_rem_pio2.o) .ARM.attributes - 0x0000000000001048 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_rem_pio2.o) + 0x0000000000001052 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_sqrt.o) .ARM.attributes - 0x000000000000107c 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_cos.o) + 0x0000000000001086 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_atan2.o) .ARM.attributes - 0x00000000000010b0 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_rem_pio2.o) + 0x00000000000010ba 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_rem_pio2.o) .ARM.attributes - 0x00000000000010e4 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_sin.o) + 0x00000000000010ee 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_cos.o) .ARM.attributes - 0x0000000000001118 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_cos.o) + 0x0000000000001122 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_rem_pio2.o) .ARM.attributes - 0x000000000000114c 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_rem_pio2.o) + 0x0000000000001156 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_sin.o) .ARM.attributes - 0x0000000000001180 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_sin.o) + 0x000000000000118a 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_cos.o) .ARM.attributes - 0x00000000000011b4 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_fabs.o) + 0x00000000000011be 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_rem_pio2.o) .ARM.attributes - 0x00000000000011e8 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_finite.o) + 0x00000000000011f2 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_sin.o) .ARM.attributes - 0x000000000000121c 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_floor.o) + 0x0000000000001226 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_fabs.o) .ARM.attributes - 0x0000000000001250 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_lib_ver.o) + 0x000000000000125a 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_finite.o) .ARM.attributes - 0x0000000000001284 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_matherr.o) + 0x000000000000128e 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_floor.o) .ARM.attributes - 0x00000000000012b8 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_nan.o) + 0x00000000000012c2 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_lib_ver.o) .ARM.attributes - 0x00000000000012ec 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_rint.o) + 0x00000000000012f6 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_matherr.o) .ARM.attributes - 0x0000000000001320 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_scalbn.o) + 0x000000000000132a 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_nan.o) .ARM.attributes - 0x0000000000001354 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_atan.o) + 0x000000000000135e 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_rint.o) .ARM.attributes - 0x0000000000001388 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_fabs.o) + 0x0000000000001392 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_scalbn.o) .ARM.attributes - 0x00000000000013bc 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_floor.o) + 0x00000000000013c6 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_atan.o) .ARM.attributes - 0x00000000000013f0 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_scalbn.o) + 0x00000000000013fa 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_fabs.o) .ARM.attributes - 0x0000000000001424 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_copysign.o) + 0x000000000000142e 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_floor.o) .ARM.attributes - 0x0000000000001458 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_copysign.o) + 0x0000000000001462 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_scalbn.o) .ARM.attributes - 0x000000000000148c 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-errno.o) + 0x0000000000001496 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_copysign.o) .ARM.attributes - 0x00000000000014c0 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-impure.o) + 0x00000000000014ca 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_copysign.o) .ARM.attributes - 0x00000000000014f4 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-init.o) + 0x00000000000014fe 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-errno.o) .ARM.attributes - 0x0000000000001528 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memcmp.o) + 0x0000000000001532 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-impure.o) .ARM.attributes - 0x000000000000155c 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memcpy-stub.o) + 0x0000000000001566 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-init.o) .ARM.attributes - 0x0000000000001590 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memset.o) + 0x000000000000159a 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memcmp.o) .ARM.attributes - 0x00000000000015c4 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_float.o) + 0x00000000000015ce 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memcpy-stub.o) .ARM.attributes - 0x00000000000015f8 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_i.o) + 0x0000000000001602 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memset.o) .ARM.attributes - 0x000000000000162c 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-snprintf.o) + 0x0000000000001636 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_float.o) .ARM.attributes - 0x0000000000001660 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sprintf.o) + 0x000000000000166a 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_i.o) .ARM.attributes - 0x0000000000001694 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sscanf.o) + 0x000000000000169e 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-snprintf.o) .ARM.attributes - 0x00000000000016c8 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-stdio.o) + 0x00000000000016d2 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sprintf.o) .ARM.attributes - 0x00000000000016fc 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcat.o) + 0x0000000000001706 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sscanf.o) .ARM.attributes - 0x0000000000001730 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcmp.o) + 0x000000000000173a 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-stdio.o) .ARM.attributes - 0x0000000000001750 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcpy.o) + 0x000000000000176e 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcat.o) .ARM.attributes - 0x0000000000001784 0x1b /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strlen.o) + 0x00000000000017a2 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcmp.o) .ARM.attributes - 0x000000000000179f 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strncpy.o) + 0x00000000000017c2 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcpy.o) .ARM.attributes - 0x00000000000017d3 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strnlen.o) + 0x00000000000017f6 0x1b /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strlen.o) .ARM.attributes - 0x0000000000001807 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtok.o) + 0x0000000000001811 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strncpy.o) .ARM.attributes - 0x000000000000183b 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtok_r.o) + 0x0000000000001845 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strnlen.o) .ARM.attributes - 0x000000000000186f 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtol.o) + 0x0000000000001879 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtok.o) .ARM.attributes - 0x00000000000018a3 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-dtoa.o) + 0x00000000000018ad 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtok_r.o) .ARM.attributes - 0x00000000000018d7 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-locale.o) + 0x00000000000018e1 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtol.o) .ARM.attributes - 0x000000000000190b 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-localeconv.o) + 0x0000000000001915 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-dtoa.o) .ARM.attributes - 0x000000000000193f 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-malloc.o) + 0x0000000000001949 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-locale.o) .ARM.attributes - 0x0000000000001973 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mbtowc_r.o) + 0x000000000000197d 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-localeconv.o) .ARM.attributes - 0x00000000000019a7 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memchr.o) + 0x00000000000019b1 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-malloc.o) .ARM.attributes - 0x00000000000019c7 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + 0x00000000000019e5 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mbtowc_r.o) .ARM.attributes - 0x00000000000019fb 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-callocr.o) + 0x0000000000001a19 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memchr.o) .ARM.attributes - 0x0000000000001a2f 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-freer.o) + 0x0000000000001a39 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) .ARM.attributes - 0x0000000000001a63 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-mallocr.o) + 0x0000000000001a6d 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-callocr.o) .ARM.attributes - 0x0000000000001a97 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfprintf.o) + 0x0000000000001aa1 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-freer.o) .ARM.attributes - 0x0000000000001acb 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfscanf.o) + 0x0000000000001ad5 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-mallocr.o) .ARM.attributes - 0x0000000000001aff 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfscanf_i.o) + 0x0000000000001b09 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfprintf.o) .ARM.attributes - 0x0000000000001b33 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-reent.o) + 0x0000000000001b3d 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfscanf.o) .ARM.attributes - 0x0000000000001b67 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sbrkr.o) + 0x0000000000001b71 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfscanf_i.o) .ARM.attributes - 0x0000000000001b9b 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sccl.o) + 0x0000000000001ba5 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-reent.o) .ARM.attributes - 0x0000000000001bcf 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtoul.o) + 0x0000000000001bd9 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sbrkr.o) .ARM.attributes - 0x0000000000001c03 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-ungetc.o) + 0x0000000000001c0d 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sccl.o) .ARM.attributes - 0x0000000000001c37 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-wctomb_r.o) + 0x0000000000001c41 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtoul.o) .ARM.attributes - 0x0000000000001c6b 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-ctype_.o) + 0x0000000000001c75 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-ungetc.o) .ARM.attributes - 0x0000000000001c9f 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-findfp.o) + 0x0000000000001ca9 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-wctomb_r.o) .ARM.attributes - 0x0000000000001cd3 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memmove.o) + 0x0000000000001cdd 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-ctype_.o) .ARM.attributes - 0x0000000000001d07 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mlock.o) + 0x0000000000001d11 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-findfp.o) .ARM.attributes - 0x0000000000001d3b 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-reallocr.o) + 0x0000000000001d45 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memmove.o) .ARM.attributes - 0x0000000000001d6f 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-msizer.o) + 0x0000000000001d79 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mlock.o) .ARM.attributes - 0x0000000000001da3 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_addsubdf3.o) + 0x0000000000001dad 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-reallocr.o) .ARM.attributes - 0x0000000000001dc5 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_muldivdf3.o) + 0x0000000000001de1 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-msizer.o) .ARM.attributes - 0x0000000000001de7 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_cmpdf2.o) + 0x0000000000001e15 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_addsubdf3.o) .ARM.attributes - 0x0000000000001e09 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_unorddf2.o) + 0x0000000000001e37 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_muldivdf3.o) .ARM.attributes - 0x0000000000001e2b 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_fixdfsi.o) + 0x0000000000001e59 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_cmpdf2.o) .ARM.attributes - 0x0000000000001e4d 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_truncdfsf2.o) + 0x0000000000001e7b 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_unorddf2.o) .ARM.attributes - 0x0000000000001e6f 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_fixdfdi.o) + 0x0000000000001e9d 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_fixdfsi.o) .ARM.attributes - 0x0000000000001ea3 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_fixunsdfdi.o) + 0x0000000000001ebf 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_truncdfsf2.o) .ARM.attributes - 0x0000000000001ed7 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_fixunsdfsi.o) + 0x0000000000001ee1 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_fixdfdi.o) .ARM.attributes - 0x0000000000001ef9 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libnosys.a(sbrk.o) + 0x0000000000001f15 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_fixunsdfdi.o) .ARM.attributes - 0x0000000000001f2d 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtn.o + 0x0000000000001f49 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_fixunsdfsi.o) + .ARM.attributes + 0x0000000000001f6b 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libnosys.a(sbrk.o) + .ARM.attributes + 0x0000000000001f9f 0x22 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/crtn.o OUTPUT(ParaTNC.elf elf32-littlearm) -.debug_info 0x0000000000000000 0x51350 +.debug_info 0x0000000000000000 0x53b36 .debug_info 0x0000000000000000 0x19df ./system/src/ve_direct_protocol/parser.o .debug_info 0x00000000000019df 0x2115 ./system/src/umb_master/umb_0x23_offline_data.o .debug_info 0x0000000000003af4 0x1c01 ./system/src/umb_master/umb_0x26_status.o @@ -18018,59 +18131,61 @@ OUTPUT(ParaTNC.elf elf32-littlearm) .debug_info 0x000000000000dcec 0xeb3 ./system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o .debug_info 0x000000000000eb9f 0xade ./system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o .debug_info 0x000000000000f67d 0xca5 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o - .debug_info 0x0000000000010322 0x1e7c ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o - .debug_info 0x000000000001219e 0x1557 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o - .debug_info 0x00000000000136f5 0x9d7 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o - .debug_info 0x00000000000140cc 0x278e ./system/src/modbus_rtu/rtu_getters.o - .debug_info 0x000000000001685a 0x256 ./system/src/modbus_rtu/rtu_parser.o - .debug_info 0x0000000000016ab0 0xa45 ./system/src/modbus_rtu/rtu_request.o - .debug_info 0x00000000000174f5 0x27fd ./system/src/modbus_rtu/rtu_serial_io.o - .debug_info 0x0000000000019cf2 0x386 ./system/src/drivers/l4/flash_stm32l4x.o - .debug_info 0x000000000001a078 0x2408 ./system/src/drivers/l4/serial_stm32l4x.o - .debug_info 0x000000000001c480 0x306d ./system/src/drivers/analog_anemometer.o - .debug_info 0x000000000001f4ed 0x11ac ./system/src/drivers/bme280.o - .debug_info 0x0000000000020699 0x180d ./system/src/drivers/dallas.o - .debug_info 0x0000000000021ea6 0x3a9 ./system/src/drivers/dma_helper_functions.o - .debug_info 0x000000000002224f 0x2704 ./system/src/drivers/ms5611.o - .debug_info 0x0000000000024953 0x22a7 ./system/src/davis_vantage/davis.o - .debug_info 0x0000000000026bfa 0xc69 ./system/src/davis_vantage/davis_parsers.o - .debug_info 0x0000000000027863 0x22 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o - .debug_info 0x0000000000027885 0x1153 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - .debug_info 0x00000000000289d8 0x57f ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o - .debug_info 0x0000000000028f57 0xdde ./system/src/aprs/adc.o - .debug_info 0x0000000000029d35 0x1269 ./system/src/aprs/afsk.o - .debug_info 0x000000000002af9e 0x637 ./system/src/aprs/afsk_pr.o - .debug_info 0x000000000002b5d5 0x15c1 ./system/src/aprs/ax25.o - .debug_info 0x000000000002cb96 0x1a56 ./system/src/aprs/beacon.o - .debug_info 0x000000000002e5ec 0x159 ./system/src/aprs/crc.o - .debug_info 0x000000000002e745 0x65a ./system/src/aprs/dac.o - .debug_info 0x000000000002ed9f 0x1f1e ./system/src/aprs/digi.o - .debug_info 0x0000000000030cbd 0x25e4 ./system/src/aprs/telemetry.o - .debug_info 0x00000000000332a1 0x1c47 ./system/src/aprs/wx.o - .debug_info 0x0000000000034ee8 0x13a6 ./src/KissCommunication.o - .debug_info 0x000000000003628e 0x37e ./src/LedConfig.o - .debug_info 0x000000000003660c 0xbc1 ./src/PathConfig.o - .debug_info 0x00000000000371cd 0x6d1 ./src/TimerConfig.o - .debug_info 0x000000000003789e 0x682 ./src/config_data_default.o - .debug_info 0x0000000000037f20 0x6a0 ./src/config_data_first.o - .debug_info 0x00000000000385c0 0x6a0 ./src/config_data_second.o - .debug_info 0x0000000000038c60 0x237e ./src/configuration_handler.o - .debug_info 0x000000000003afde 0x11d2 ./src/delay.o - .debug_info 0x000000000003c1b0 0xab4 ./src/float_to_string.o - .debug_info 0x000000000003cc64 0x2c3 ./src/io.o - .debug_info 0x000000000003cf27 0x2ac1 ./src/it_handlers.o - .debug_info 0x000000000003f9e8 0x4067 ./src/main.o - .debug_info 0x0000000000043a4f 0x285f ./src/packet_tx_handler.o - .debug_info 0x00000000000462ae 0x10c ./src/rte_main.o - .debug_info 0x00000000000463ba 0x3c5 ./src/rte_pv.o - .debug_info 0x000000000004677f 0x327 ./src/rte_rtu.o - .debug_info 0x0000000000046aa6 0x21da ./src/rte_wx.o - .debug_info 0x0000000000048c80 0x287c ./src/wx_handler.o - .debug_info 0x000000000004b4fc 0x1ac4 ./src/wx_handler_humidity.o - .debug_info 0x000000000004cfc0 0x2175 ./src/wx_handler_pressure.o - .debug_info 0x000000000004f135 0x221b ./src/wx_handler_temperature.o + .debug_info 0x0000000000010322 0x846 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o + .debug_info 0x0000000000010b68 0x1e7c ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + .debug_info 0x00000000000129e4 0x1557 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + .debug_info 0x0000000000013f3b 0x9d7 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o + .debug_info 0x0000000000014912 0x278e ./system/src/modbus_rtu/rtu_getters.o + .debug_info 0x00000000000170a0 0x256 ./system/src/modbus_rtu/rtu_parser.o + .debug_info 0x00000000000172f6 0xa45 ./system/src/modbus_rtu/rtu_request.o + .debug_info 0x0000000000017d3b 0x27fd ./system/src/modbus_rtu/rtu_serial_io.o + .debug_info 0x000000000001a538 0x2ef ./system/src/drivers/l4/flash_stm32l4x.o + .debug_info 0x000000000001a827 0x1fca ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_info 0x000000000001c7f1 0x2408 ./system/src/drivers/l4/serial_stm32l4x.o + .debug_info 0x000000000001ebf9 0x306d ./system/src/drivers/analog_anemometer.o + .debug_info 0x0000000000021c66 0x11ac ./system/src/drivers/bme280.o + .debug_info 0x0000000000022e12 0x180d ./system/src/drivers/dallas.o + .debug_info 0x000000000002461f 0x3a9 ./system/src/drivers/dma_helper_functions.o + .debug_info 0x00000000000249c8 0x2704 ./system/src/drivers/ms5611.o + .debug_info 0x00000000000270cc 0x22a7 ./system/src/davis_vantage/davis.o + .debug_info 0x0000000000029373 0xc69 ./system/src/davis_vantage/davis_parsers.o + .debug_info 0x0000000000029fdc 0x22 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o + .debug_info 0x0000000000029ffe 0x1142 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + .debug_info 0x000000000002b140 0x57f ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o + .debug_info 0x000000000002b6bf 0xdde ./system/src/aprs/adc.o + .debug_info 0x000000000002c49d 0x1269 ./system/src/aprs/afsk.o + .debug_info 0x000000000002d706 0x637 ./system/src/aprs/afsk_pr.o + .debug_info 0x000000000002dd3d 0x15c1 ./system/src/aprs/ax25.o + .debug_info 0x000000000002f2fe 0x1a56 ./system/src/aprs/beacon.o + .debug_info 0x0000000000030d54 0x159 ./system/src/aprs/crc.o + .debug_info 0x0000000000030ead 0x65a ./system/src/aprs/dac.o + .debug_info 0x0000000000031507 0x1f1e ./system/src/aprs/digi.o + .debug_info 0x0000000000033425 0x25e4 ./system/src/aprs/telemetry.o + .debug_info 0x0000000000035a09 0x1c47 ./system/src/aprs/wx.o + .debug_info 0x0000000000037650 0x13a6 ./src/KissCommunication.o + .debug_info 0x00000000000389f6 0x37e ./src/LedConfig.o + .debug_info 0x0000000000038d74 0xbc1 ./src/PathConfig.o + .debug_info 0x0000000000039935 0x6d1 ./src/TimerConfig.o + .debug_info 0x000000000003a006 0x682 ./src/config_data_default.o + .debug_info 0x000000000003a688 0x6a0 ./src/config_data_first.o + .debug_info 0x000000000003ad28 0x6a0 ./src/config_data_second.o + .debug_info 0x000000000003b3c8 0x242c ./src/configuration_handler.o + .debug_info 0x000000000003d7f4 0x11d2 ./src/delay.o + .debug_info 0x000000000003e9c6 0xab4 ./src/float_to_string.o + .debug_info 0x000000000003f47a 0x2c3 ./src/io.o + .debug_info 0x000000000003f73d 0x2ac1 ./src/it_handlers.o + .debug_info 0x00000000000421fe 0x4037 ./src/main.o + .debug_info 0x0000000000046235 0x285f ./src/packet_tx_handler.o + .debug_info 0x0000000000048a94 0x10c ./src/rte_main.o + .debug_info 0x0000000000048ba0 0x3c5 ./src/rte_pv.o + .debug_info 0x0000000000048f65 0x327 ./src/rte_rtu.o + .debug_info 0x000000000004928c 0x21da ./src/rte_wx.o + .debug_info 0x000000000004b466 0x287c ./src/wx_handler.o + .debug_info 0x000000000004dce2 0x1ac4 ./src/wx_handler_humidity.o + .debug_info 0x000000000004f7a6 0x2175 ./src/wx_handler_pressure.o + .debug_info 0x000000000005191b 0x221b ./src/wx_handler_temperature.o -.debug_abbrev 0x0000000000000000 0x8f74 +.debug_abbrev 0x0000000000000000 0x94b8 .debug_abbrev 0x0000000000000000 0x375 ./system/src/ve_direct_protocol/parser.o .debug_abbrev 0x0000000000000375 0x28a ./system/src/umb_master/umb_0x23_offline_data.o .debug_abbrev 0x00000000000005ff 0x2b0 ./system/src/umb_master/umb_0x26_status.o @@ -18082,59 +18197,61 @@ OUTPUT(ParaTNC.elf elf32-littlearm) .debug_abbrev 0x000000000000143a 0x20a ./system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o .debug_abbrev 0x0000000000001644 0x26f ./system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o .debug_abbrev 0x00000000000018b3 0x28a ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o - .debug_abbrev 0x0000000000001b3d 0x25d ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o - .debug_abbrev 0x0000000000001d9a 0x24d ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o - .debug_abbrev 0x0000000000001fe7 0x276 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o - .debug_abbrev 0x000000000000225d 0x30c ./system/src/modbus_rtu/rtu_getters.o - .debug_abbrev 0x0000000000002569 0x136 ./system/src/modbus_rtu/rtu_parser.o - .debug_abbrev 0x000000000000269f 0x264 ./system/src/modbus_rtu/rtu_request.o - .debug_abbrev 0x0000000000002903 0x39f ./system/src/modbus_rtu/rtu_serial_io.o - .debug_abbrev 0x0000000000002ca2 0x16f ./system/src/drivers/l4/flash_stm32l4x.o - .debug_abbrev 0x0000000000002e11 0x407 ./system/src/drivers/l4/serial_stm32l4x.o - .debug_abbrev 0x0000000000003218 0x44d ./system/src/drivers/analog_anemometer.o - .debug_abbrev 0x0000000000003665 0x37e ./system/src/drivers/bme280.o - .debug_abbrev 0x00000000000039e3 0x412 ./system/src/drivers/dallas.o - .debug_abbrev 0x0000000000003df5 0x19d ./system/src/drivers/dma_helper_functions.o - .debug_abbrev 0x0000000000003f92 0x380 ./system/src/drivers/ms5611.o - .debug_abbrev 0x0000000000004312 0x344 ./system/src/davis_vantage/davis.o - .debug_abbrev 0x0000000000004656 0x285 ./system/src/davis_vantage/davis_parsers.o - .debug_abbrev 0x00000000000048db 0x12 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o - .debug_abbrev 0x00000000000048ed 0x16f ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - .debug_abbrev 0x0000000000004a5c 0x111 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o - .debug_abbrev 0x0000000000004b6d 0x210 ./system/src/aprs/adc.o - .debug_abbrev 0x0000000000004d7d 0x350 ./system/src/aprs/afsk.o - .debug_abbrev 0x00000000000050cd 0xf7 ./system/src/aprs/afsk_pr.o - .debug_abbrev 0x00000000000051c4 0x36f ./system/src/aprs/ax25.o - .debug_abbrev 0x0000000000005533 0x25a ./system/src/aprs/beacon.o - .debug_abbrev 0x000000000000578d 0xfa ./system/src/aprs/crc.o - .debug_abbrev 0x0000000000005887 0x181 ./system/src/aprs/dac.o - .debug_abbrev 0x0000000000005a08 0x305 ./system/src/aprs/digi.o - .debug_abbrev 0x0000000000005d0d 0x36c ./system/src/aprs/telemetry.o - .debug_abbrev 0x0000000000006079 0x263 ./system/src/aprs/wx.o - .debug_abbrev 0x00000000000062dc 0x2e0 ./src/KissCommunication.o - .debug_abbrev 0x00000000000065bc 0x1ba ./src/LedConfig.o - .debug_abbrev 0x0000000000006776 0x20a ./src/PathConfig.o - .debug_abbrev 0x0000000000006980 0x179 ./src/TimerConfig.o - .debug_abbrev 0x0000000000006af9 0xdd ./src/config_data_default.o - .debug_abbrev 0x0000000000006bd6 0xd6 ./src/config_data_first.o - .debug_abbrev 0x0000000000006cac 0xd6 ./src/config_data_second.o - .debug_abbrev 0x0000000000006d82 0x2f3 ./src/configuration_handler.o - .debug_abbrev 0x0000000000007075 0x1f6 ./src/delay.o - .debug_abbrev 0x000000000000726b 0x244 ./src/float_to_string.o - .debug_abbrev 0x00000000000074af 0x14a ./src/io.o - .debug_abbrev 0x00000000000075f9 0x343 ./src/it_handlers.o - .debug_abbrev 0x000000000000793c 0x3e6 ./src/main.o - .debug_abbrev 0x0000000000007d22 0x2f3 ./src/packet_tx_handler.o - .debug_abbrev 0x0000000000008015 0x54 ./src/rte_main.o - .debug_abbrev 0x0000000000008069 0xc8 ./src/rte_pv.o - .debug_abbrev 0x0000000000008131 0xd2 ./src/rte_rtu.o - .debug_abbrev 0x0000000000008203 0x255 ./src/rte_wx.o - .debug_abbrev 0x0000000000008458 0x34b ./src/wx_handler.o - .debug_abbrev 0x00000000000087a3 0x289 ./src/wx_handler_humidity.o - .debug_abbrev 0x0000000000008a2c 0x2a3 ./src/wx_handler_pressure.o - .debug_abbrev 0x0000000000008ccf 0x2a5 ./src/wx_handler_temperature.o + .debug_abbrev 0x0000000000001b3d 0x1b5 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o + .debug_abbrev 0x0000000000001cf2 0x25d ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + .debug_abbrev 0x0000000000001f4f 0x24d ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + .debug_abbrev 0x000000000000219c 0x276 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o + .debug_abbrev 0x0000000000002412 0x30c ./system/src/modbus_rtu/rtu_getters.o + .debug_abbrev 0x000000000000271e 0x136 ./system/src/modbus_rtu/rtu_parser.o + .debug_abbrev 0x0000000000002854 0x264 ./system/src/modbus_rtu/rtu_request.o + .debug_abbrev 0x0000000000002ab8 0x39f ./system/src/modbus_rtu/rtu_serial_io.o + .debug_abbrev 0x0000000000002e57 0x13a ./system/src/drivers/l4/flash_stm32l4x.o + .debug_abbrev 0x0000000000002f91 0x31b ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_abbrev 0x00000000000032ac 0x407 ./system/src/drivers/l4/serial_stm32l4x.o + .debug_abbrev 0x00000000000036b3 0x44d ./system/src/drivers/analog_anemometer.o + .debug_abbrev 0x0000000000003b00 0x37e ./system/src/drivers/bme280.o + .debug_abbrev 0x0000000000003e7e 0x412 ./system/src/drivers/dallas.o + .debug_abbrev 0x0000000000004290 0x19d ./system/src/drivers/dma_helper_functions.o + .debug_abbrev 0x000000000000442d 0x380 ./system/src/drivers/ms5611.o + .debug_abbrev 0x00000000000047ad 0x344 ./system/src/davis_vantage/davis.o + .debug_abbrev 0x0000000000004af1 0x285 ./system/src/davis_vantage/davis_parsers.o + .debug_abbrev 0x0000000000004d76 0x12 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o + .debug_abbrev 0x0000000000004d88 0x16f ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + .debug_abbrev 0x0000000000004ef7 0x111 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o + .debug_abbrev 0x0000000000005008 0x210 ./system/src/aprs/adc.o + .debug_abbrev 0x0000000000005218 0x350 ./system/src/aprs/afsk.o + .debug_abbrev 0x0000000000005568 0xf7 ./system/src/aprs/afsk_pr.o + .debug_abbrev 0x000000000000565f 0x36f ./system/src/aprs/ax25.o + .debug_abbrev 0x00000000000059ce 0x25a ./system/src/aprs/beacon.o + .debug_abbrev 0x0000000000005c28 0xfa ./system/src/aprs/crc.o + .debug_abbrev 0x0000000000005d22 0x181 ./system/src/aprs/dac.o + .debug_abbrev 0x0000000000005ea3 0x305 ./system/src/aprs/digi.o + .debug_abbrev 0x00000000000061a8 0x36c ./system/src/aprs/telemetry.o + .debug_abbrev 0x0000000000006514 0x263 ./system/src/aprs/wx.o + .debug_abbrev 0x0000000000006777 0x2e0 ./src/KissCommunication.o + .debug_abbrev 0x0000000000006a57 0x1ba ./src/LedConfig.o + .debug_abbrev 0x0000000000006c11 0x20a ./src/PathConfig.o + .debug_abbrev 0x0000000000006e1b 0x179 ./src/TimerConfig.o + .debug_abbrev 0x0000000000006f94 0xdd ./src/config_data_default.o + .debug_abbrev 0x0000000000007071 0xd6 ./src/config_data_first.o + .debug_abbrev 0x0000000000007147 0xd6 ./src/config_data_second.o + .debug_abbrev 0x000000000000721d 0x3b4 ./src/configuration_handler.o + .debug_abbrev 0x00000000000075d1 0x1f6 ./src/delay.o + .debug_abbrev 0x00000000000077c7 0x244 ./src/float_to_string.o + .debug_abbrev 0x0000000000007a0b 0x14a ./src/io.o + .debug_abbrev 0x0000000000007b55 0x343 ./src/it_handlers.o + .debug_abbrev 0x0000000000007e98 0x3ce ./src/main.o + .debug_abbrev 0x0000000000008266 0x2f3 ./src/packet_tx_handler.o + .debug_abbrev 0x0000000000008559 0x54 ./src/rte_main.o + .debug_abbrev 0x00000000000085ad 0xc8 ./src/rte_pv.o + .debug_abbrev 0x0000000000008675 0xd2 ./src/rte_rtu.o + .debug_abbrev 0x0000000000008747 0x255 ./src/rte_wx.o + .debug_abbrev 0x000000000000899c 0x34b ./src/wx_handler.o + .debug_abbrev 0x0000000000008ce7 0x289 ./src/wx_handler_humidity.o + .debug_abbrev 0x0000000000008f70 0x2a3 ./src/wx_handler_pressure.o + .debug_abbrev 0x0000000000009213 0x2a5 ./src/wx_handler_temperature.o -.debug_loc 0x0000000000000000 0x147e7 +.debug_loc 0x0000000000000000 0x152da .debug_loc 0x0000000000000000 0x625 ./system/src/ve_direct_protocol/parser.o .debug_loc 0x0000000000000625 0x4c9 ./system/src/umb_master/umb_0x23_offline_data.o .debug_loc 0x0000000000000aee 0x12f ./system/src/umb_master/umb_0x26_status.o @@ -18146,47 +18263,49 @@ OUTPUT(ParaTNC.elf elf32-littlearm) .debug_loc 0x0000000000008638 0xe1 ./system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o .debug_loc 0x0000000000008719 0x4dc ./system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o .debug_loc 0x0000000000008bf5 0x52a ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o - .debug_loc 0x000000000000911f 0xb63 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o - .debug_loc 0x0000000000009c82 0x1226 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o - .debug_loc 0x000000000000aea8 0x5d0 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o - .debug_loc 0x000000000000b478 0x183a ./system/src/modbus_rtu/rtu_getters.o - .debug_loc 0x000000000000ccb2 0x38d ./system/src/modbus_rtu/rtu_parser.o - .debug_loc 0x000000000000d03f 0x299 ./system/src/modbus_rtu/rtu_request.o - .debug_loc 0x000000000000d2d8 0x475 ./system/src/modbus_rtu/rtu_serial_io.o - .debug_loc 0x000000000000d74d 0xdd ./system/src/drivers/l4/flash_stm32l4x.o - .debug_loc 0x000000000000d82a 0xae2 ./system/src/drivers/l4/serial_stm32l4x.o - .debug_loc 0x000000000000e30c 0x6e3 ./system/src/drivers/analog_anemometer.o - .debug_loc 0x000000000000e9ef 0x6f4 ./system/src/drivers/bme280.o - .debug_loc 0x000000000000f0e3 0x572 ./system/src/drivers/dallas.o - .debug_loc 0x000000000000f655 0x84 ./system/src/drivers/dma_helper_functions.o - .debug_loc 0x000000000000f6d9 0x6fb ./system/src/drivers/ms5611.o - .debug_loc 0x000000000000fdd4 0x45f ./system/src/davis_vantage/davis.o - .debug_loc 0x0000000000010233 0x4e6 ./system/src/davis_vantage/davis_parsers.o - .debug_loc 0x0000000000010719 0xe0 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o - .debug_loc 0x00000000000107f9 0x4a6 ./system/src/aprs/afsk.o - .debug_loc 0x0000000000010c9f 0x8f8 ./system/src/aprs/ax25.o - .debug_loc 0x0000000000011597 0x4d ./system/src/aprs/beacon.o - .debug_loc 0x00000000000115e4 0xc8 ./system/src/aprs/crc.o - .debug_loc 0x00000000000116ac 0x224 ./system/src/aprs/digi.o - .debug_loc 0x00000000000118d0 0x761 ./system/src/aprs/telemetry.o - .debug_loc 0x0000000000012031 0x4cf ./system/src/aprs/wx.o - .debug_loc 0x0000000000012500 0x74d ./src/KissCommunication.o - .debug_loc 0x0000000000012c4d 0x5c ./src/LedConfig.o - .debug_loc 0x0000000000012ca9 0x3c ./src/PathConfig.o - .debug_loc 0x0000000000012ce5 0x3d ./src/TimerConfig.o - .debug_loc 0x0000000000012d22 0x4d4 ./src/configuration_handler.o - .debug_loc 0x00000000000131f6 0x75 ./src/delay.o - .debug_loc 0x000000000001326b 0x138 ./src/float_to_string.o - .debug_loc 0x00000000000133a3 0x23a ./src/it_handlers.o - .debug_loc 0x00000000000135dd 0x134 ./src/main.o - .debug_loc 0x0000000000013711 0x23a ./src/packet_tx_handler.o - .debug_loc 0x000000000001394b 0x36 ./src/rte_wx.o - .debug_loc 0x0000000000013981 0x4c4 ./src/wx_handler.o - .debug_loc 0x0000000000013e45 0x210 ./src/wx_handler_humidity.o - .debug_loc 0x0000000000014055 0x3c2 ./src/wx_handler_pressure.o - .debug_loc 0x0000000000014417 0x3d0 ./src/wx_handler_temperature.o + .debug_loc 0x000000000000911f 0x286 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o + .debug_loc 0x00000000000093a5 0xb63 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + .debug_loc 0x0000000000009f08 0x1226 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + .debug_loc 0x000000000000b12e 0x5d0 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o + .debug_loc 0x000000000000b6fe 0x183a ./system/src/modbus_rtu/rtu_getters.o + .debug_loc 0x000000000000cf38 0x38d ./system/src/modbus_rtu/rtu_parser.o + .debug_loc 0x000000000000d2c5 0x299 ./system/src/modbus_rtu/rtu_request.o + .debug_loc 0x000000000000d55e 0x475 ./system/src/modbus_rtu/rtu_serial_io.o + .debug_loc 0x000000000000d9d3 0xb7 ./system/src/drivers/l4/flash_stm32l4x.o + .debug_loc 0x000000000000da8a 0x65a ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_loc 0x000000000000e0e4 0xae2 ./system/src/drivers/l4/serial_stm32l4x.o + .debug_loc 0x000000000000ebc6 0x6e3 ./system/src/drivers/analog_anemometer.o + .debug_loc 0x000000000000f2a9 0x6f4 ./system/src/drivers/bme280.o + .debug_loc 0x000000000000f99d 0x572 ./system/src/drivers/dallas.o + .debug_loc 0x000000000000ff0f 0x84 ./system/src/drivers/dma_helper_functions.o + .debug_loc 0x000000000000ff93 0x6fb ./system/src/drivers/ms5611.o + .debug_loc 0x000000000001068e 0x45f ./system/src/davis_vantage/davis.o + .debug_loc 0x0000000000010aed 0x4e6 ./system/src/davis_vantage/davis_parsers.o + .debug_loc 0x0000000000010fd3 0xe0 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o + .debug_loc 0x00000000000110b3 0x4a6 ./system/src/aprs/afsk.o + .debug_loc 0x0000000000011559 0x8f8 ./system/src/aprs/ax25.o + .debug_loc 0x0000000000011e51 0x4d ./system/src/aprs/beacon.o + .debug_loc 0x0000000000011e9e 0xc8 ./system/src/aprs/crc.o + .debug_loc 0x0000000000011f66 0x224 ./system/src/aprs/digi.o + .debug_loc 0x000000000001218a 0x761 ./system/src/aprs/telemetry.o + .debug_loc 0x00000000000128eb 0x4cf ./system/src/aprs/wx.o + .debug_loc 0x0000000000012dba 0x74d ./src/KissCommunication.o + .debug_loc 0x0000000000013507 0x5c ./src/LedConfig.o + .debug_loc 0x0000000000013563 0x3c ./src/PathConfig.o + .debug_loc 0x000000000001359f 0x3d ./src/TimerConfig.o + .debug_loc 0x00000000000135dc 0x70d ./src/configuration_handler.o + .debug_loc 0x0000000000013ce9 0x75 ./src/delay.o + .debug_loc 0x0000000000013d5e 0x138 ./src/float_to_string.o + .debug_loc 0x0000000000013e96 0x23a ./src/it_handlers.o + .debug_loc 0x00000000000140d0 0x134 ./src/main.o + .debug_loc 0x0000000000014204 0x23a ./src/packet_tx_handler.o + .debug_loc 0x000000000001443e 0x36 ./src/rte_wx.o + .debug_loc 0x0000000000014474 0x4c4 ./src/wx_handler.o + .debug_loc 0x0000000000014938 0x210 ./src/wx_handler_humidity.o + .debug_loc 0x0000000000014b48 0x3c2 ./src/wx_handler_pressure.o + .debug_loc 0x0000000000014f0a 0x3d0 ./src/wx_handler_temperature.o -.debug_aranges 0x0000000000000000 0x1600 +.debug_aranges 0x0000000000000000 0x1690 .debug_aranges 0x0000000000000000 0x78 ./system/src/ve_direct_protocol/parser.o .debug_aranges @@ -18210,109 +18329,113 @@ OUTPUT(ParaTNC.elf elf32-littlearm) .debug_aranges 0x0000000000000870 0x30 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o .debug_aranges - 0x00000000000008a0 0xe0 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + 0x00000000000008a0 0x30 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o .debug_aranges - 0x0000000000000980 0xd0 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + 0x00000000000008d0 0xe0 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o .debug_aranges - 0x0000000000000a50 0x40 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o + 0x00000000000009b0 0xd0 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o .debug_aranges - 0x0000000000000a90 0x50 ./system/src/modbus_rtu/rtu_getters.o + 0x0000000000000a80 0x40 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o .debug_aranges - 0x0000000000000ae0 0x20 ./system/src/modbus_rtu/rtu_parser.o + 0x0000000000000ac0 0x50 ./system/src/modbus_rtu/rtu_getters.o .debug_aranges - 0x0000000000000b00 0x20 ./system/src/modbus_rtu/rtu_request.o + 0x0000000000000b10 0x20 ./system/src/modbus_rtu/rtu_parser.o .debug_aranges - 0x0000000000000b20 0x48 ./system/src/modbus_rtu/rtu_serial_io.o + 0x0000000000000b30 0x20 ./system/src/modbus_rtu/rtu_request.o .debug_aranges - 0x0000000000000b68 0x38 ./system/src/drivers/l4/flash_stm32l4x.o + 0x0000000000000b50 0x48 ./system/src/modbus_rtu/rtu_serial_io.o .debug_aranges - 0x0000000000000ba0 0xa0 ./system/src/drivers/l4/serial_stm32l4x.o + 0x0000000000000b98 0x38 ./system/src/drivers/l4/flash_stm32l4x.o .debug_aranges - 0x0000000000000c40 0x58 ./system/src/drivers/analog_anemometer.o + 0x0000000000000bd0 0x68 ./system/src/drivers/l4/i2c_stm32l4x.o .debug_aranges - 0x0000000000000c98 0x50 ./system/src/drivers/bme280.o + 0x0000000000000c38 0xa0 ./system/src/drivers/l4/serial_stm32l4x.o .debug_aranges - 0x0000000000000ce8 0x78 ./system/src/drivers/dallas.o + 0x0000000000000cd8 0x58 ./system/src/drivers/analog_anemometer.o .debug_aranges - 0x0000000000000d60 0x20 ./system/src/drivers/dma_helper_functions.o + 0x0000000000000d30 0x50 ./system/src/drivers/bme280.o .debug_aranges - 0x0000000000000d80 0x50 ./system/src/drivers/ms5611.o + 0x0000000000000d80 0x78 ./system/src/drivers/dallas.o .debug_aranges - 0x0000000000000dd0 0x70 ./system/src/davis_vantage/davis.o + 0x0000000000000df8 0x20 ./system/src/drivers/dma_helper_functions.o .debug_aranges - 0x0000000000000e40 0x38 ./system/src/davis_vantage/davis_parsers.o + 0x0000000000000e18 0x50 ./system/src/drivers/ms5611.o .debug_aranges - 0x0000000000000e78 0x28 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o + 0x0000000000000e68 0x70 ./system/src/davis_vantage/davis.o .debug_aranges - 0x0000000000000ea0 0x60 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + 0x0000000000000ed8 0x38 ./system/src/davis_vantage/davis_parsers.o .debug_aranges - 0x0000000000000f00 0x28 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o + 0x0000000000000f10 0x28 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o .debug_aranges - 0x0000000000000f28 0x30 ./system/src/aprs/adc.o + 0x0000000000000f38 0x58 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o .debug_aranges - 0x0000000000000f58 0x80 ./system/src/aprs/afsk.o + 0x0000000000000f90 0x28 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o .debug_aranges - 0x0000000000000fd8 0x28 ./system/src/aprs/afsk_pr.o + 0x0000000000000fb8 0x30 ./system/src/aprs/adc.o .debug_aranges - 0x0000000000001000 0x90 ./system/src/aprs/ax25.o + 0x0000000000000fe8 0x80 ./system/src/aprs/afsk.o .debug_aranges - 0x0000000000001090 0x30 ./system/src/aprs/beacon.o + 0x0000000000001068 0x28 ./system/src/aprs/afsk_pr.o .debug_aranges - 0x00000000000010c0 0x28 ./system/src/aprs/crc.o + 0x0000000000001090 0x90 ./system/src/aprs/ax25.o .debug_aranges - 0x00000000000010e8 0x38 ./system/src/aprs/dac.o + 0x0000000000001120 0x30 ./system/src/aprs/beacon.o .debug_aranges - 0x0000000000001120 0x38 ./system/src/aprs/digi.o + 0x0000000000001150 0x28 ./system/src/aprs/crc.o .debug_aranges - 0x0000000000001158 0x50 ./system/src/aprs/telemetry.o + 0x0000000000001178 0x38 ./system/src/aprs/dac.o .debug_aranges - 0x00000000000011a8 0x28 ./system/src/aprs/wx.o + 0x00000000000011b0 0x38 ./system/src/aprs/digi.o .debug_aranges - 0x00000000000011d0 0x60 ./src/KissCommunication.o + 0x00000000000011e8 0x50 ./system/src/aprs/telemetry.o .debug_aranges - 0x0000000000001230 0x28 ./src/LedConfig.o + 0x0000000000001238 0x28 ./system/src/aprs/wx.o .debug_aranges - 0x0000000000001258 0x20 ./src/PathConfig.o + 0x0000000000001260 0x60 ./src/KissCommunication.o .debug_aranges - 0x0000000000001278 0x20 ./src/TimerConfig.o + 0x00000000000012c0 0x28 ./src/LedConfig.o .debug_aranges - 0x0000000000001298 0x18 ./src/config_data_default.o + 0x00000000000012e8 0x20 ./src/PathConfig.o .debug_aranges - 0x00000000000012b0 0x18 ./src/config_data_first.o + 0x0000000000001308 0x20 ./src/TimerConfig.o .debug_aranges - 0x00000000000012c8 0x18 ./src/config_data_second.o + 0x0000000000001328 0x18 ./src/config_data_default.o .debug_aranges - 0x00000000000012e0 0x60 ./src/configuration_handler.o + 0x0000000000001340 0x18 ./src/config_data_first.o .debug_aranges - 0x0000000000001340 0x40 ./src/delay.o + 0x0000000000001358 0x18 ./src/config_data_second.o .debug_aranges - 0x0000000000001380 0x20 ./src/float_to_string.o + 0x0000000000001370 0x60 ./src/configuration_handler.o .debug_aranges - 0x00000000000013a0 0x40 ./src/io.o + 0x00000000000013d0 0x40 ./src/delay.o .debug_aranges - 0x00000000000013e0 0x70 ./src/it_handlers.o + 0x0000000000001410 0x20 ./src/float_to_string.o .debug_aranges - 0x0000000000001450 0x38 ./src/main.o + 0x0000000000001430 0x40 ./src/io.o .debug_aranges - 0x0000000000001488 0x20 ./src/packet_tx_handler.o + 0x0000000000001470 0x70 ./src/it_handlers.o .debug_aranges - 0x00000000000014a8 0x18 ./src/rte_main.o + 0x00000000000014e0 0x38 ./src/main.o .debug_aranges - 0x00000000000014c0 0x18 ./src/rte_pv.o + 0x0000000000001518 0x20 ./src/packet_tx_handler.o .debug_aranges - 0x00000000000014d8 0x20 ./src/rte_rtu.o + 0x0000000000001538 0x18 ./src/rte_main.o .debug_aranges - 0x00000000000014f8 0x30 ./src/rte_wx.o + 0x0000000000001550 0x18 ./src/rte_pv.o .debug_aranges - 0x0000000000001528 0x48 ./src/wx_handler.o + 0x0000000000001568 0x20 ./src/rte_rtu.o .debug_aranges - 0x0000000000001570 0x28 ./src/wx_handler_humidity.o + 0x0000000000001588 0x30 ./src/rte_wx.o .debug_aranges - 0x0000000000001598 0x30 ./src/wx_handler_pressure.o + 0x00000000000015b8 0x48 ./src/wx_handler.o .debug_aranges - 0x00000000000015c8 0x38 ./src/wx_handler_temperature.o + 0x0000000000001600 0x28 ./src/wx_handler_humidity.o + .debug_aranges + 0x0000000000001628 0x30 ./src/wx_handler_pressure.o + .debug_aranges + 0x0000000000001658 0x38 ./src/wx_handler_temperature.o -.debug_ranges 0x0000000000000000 0x1710 +.debug_ranges 0x0000000000000000 0x17c8 .debug_ranges 0x0000000000000000 0xb0 ./system/src/ve_direct_protocol/parser.o .debug_ranges 0x00000000000000b0 0x38 ./system/src/umb_master/umb_0x23_offline_data.o .debug_ranges 0x00000000000000e8 0x18 ./system/src/umb_master/umb_0x26_status.o @@ -18324,54 +18447,56 @@ OUTPUT(ParaTNC.elf elf32-littlearm) .debug_ranges 0x0000000000000848 0x30 ./system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o .debug_ranges 0x0000000000000878 0x50 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o .debug_ranges 0x00000000000008c8 0x158 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o - .debug_ranges 0x0000000000000a20 0xd0 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o - .debug_ranges 0x0000000000000af0 0xc0 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o - .debug_ranges 0x0000000000000bb0 0x48 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o - .debug_ranges 0x0000000000000bf8 0x40 ./system/src/modbus_rtu/rtu_getters.o - .debug_ranges 0x0000000000000c38 0x48 ./system/src/modbus_rtu/rtu_parser.o - .debug_ranges 0x0000000000000c80 0x40 ./system/src/modbus_rtu/rtu_request.o - .debug_ranges 0x0000000000000cc0 0x50 ./system/src/modbus_rtu/rtu_serial_io.o - .debug_ranges 0x0000000000000d10 0x28 ./system/src/drivers/l4/flash_stm32l4x.o - .debug_ranges 0x0000000000000d38 0x90 ./system/src/drivers/l4/serial_stm32l4x.o - .debug_ranges 0x0000000000000dc8 0xa8 ./system/src/drivers/analog_anemometer.o - .debug_ranges 0x0000000000000e70 0x40 ./system/src/drivers/bme280.o - .debug_ranges 0x0000000000000eb0 0xb0 ./system/src/drivers/dallas.o - .debug_ranges 0x0000000000000f60 0x10 ./system/src/drivers/dma_helper_functions.o - .debug_ranges 0x0000000000000f70 0x40 ./system/src/drivers/ms5611.o - .debug_ranges 0x0000000000000fb0 0x60 ./system/src/davis_vantage/davis.o - .debug_ranges 0x0000000000001010 0x48 ./system/src/davis_vantage/davis_parsers.o - .debug_ranges 0x0000000000001058 0x20 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o - .debug_ranges 0x0000000000001078 0x50 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - .debug_ranges 0x00000000000010c8 0x18 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o - .debug_ranges 0x00000000000010e0 0x20 ./system/src/aprs/adc.o - .debug_ranges 0x0000000000001100 0x70 ./system/src/aprs/afsk.o - .debug_ranges 0x0000000000001170 0x18 ./system/src/aprs/afsk_pr.o - .debug_ranges 0x0000000000001188 0xe0 ./system/src/aprs/ax25.o - .debug_ranges 0x0000000000001268 0x20 ./system/src/aprs/beacon.o - .debug_ranges 0x0000000000001288 0x18 ./system/src/aprs/crc.o - .debug_ranges 0x00000000000012a0 0x28 ./system/src/aprs/dac.o - .debug_ranges 0x00000000000012c8 0x40 ./system/src/aprs/digi.o - .debug_ranges 0x0000000000001308 0x40 ./system/src/aprs/telemetry.o - .debug_ranges 0x0000000000001348 0x18 ./system/src/aprs/wx.o - .debug_ranges 0x0000000000001360 0x50 ./src/KissCommunication.o - .debug_ranges 0x00000000000013b0 0x30 ./src/LedConfig.o - .debug_ranges 0x00000000000013e0 0x10 ./src/PathConfig.o - .debug_ranges 0x00000000000013f0 0x10 ./src/TimerConfig.o - .debug_ranges 0x0000000000001400 0x50 ./src/configuration_handler.o - .debug_ranges 0x0000000000001450 0x30 ./src/delay.o - .debug_ranges 0x0000000000001480 0x10 ./src/float_to_string.o - .debug_ranges 0x0000000000001490 0x30 ./src/io.o - .debug_ranges 0x00000000000014c0 0x90 ./src/it_handlers.o - .debug_ranges 0x0000000000001550 0x28 ./src/main.o - .debug_ranges 0x0000000000001578 0xd0 ./src/packet_tx_handler.o - .debug_ranges 0x0000000000001648 0x10 ./src/rte_rtu.o - .debug_ranges 0x0000000000001658 0x20 ./src/rte_wx.o - .debug_ranges 0x0000000000001678 0x38 ./src/wx_handler.o - .debug_ranges 0x00000000000016b0 0x18 ./src/wx_handler_humidity.o - .debug_ranges 0x00000000000016c8 0x20 ./src/wx_handler_pressure.o - .debug_ranges 0x00000000000016e8 0x28 ./src/wx_handler_temperature.o + .debug_ranges 0x0000000000000a20 0x20 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o + .debug_ranges 0x0000000000000a40 0xd0 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + .debug_ranges 0x0000000000000b10 0xc0 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + .debug_ranges 0x0000000000000bd0 0x48 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o + .debug_ranges 0x0000000000000c18 0x40 ./system/src/modbus_rtu/rtu_getters.o + .debug_ranges 0x0000000000000c58 0x48 ./system/src/modbus_rtu/rtu_parser.o + .debug_ranges 0x0000000000000ca0 0x40 ./system/src/modbus_rtu/rtu_request.o + .debug_ranges 0x0000000000000ce0 0x50 ./system/src/modbus_rtu/rtu_serial_io.o + .debug_ranges 0x0000000000000d30 0x28 ./system/src/drivers/l4/flash_stm32l4x.o + .debug_ranges 0x0000000000000d58 0x58 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_ranges 0x0000000000000db0 0x90 ./system/src/drivers/l4/serial_stm32l4x.o + .debug_ranges 0x0000000000000e40 0xa8 ./system/src/drivers/analog_anemometer.o + .debug_ranges 0x0000000000000ee8 0x40 ./system/src/drivers/bme280.o + .debug_ranges 0x0000000000000f28 0xb0 ./system/src/drivers/dallas.o + .debug_ranges 0x0000000000000fd8 0x10 ./system/src/drivers/dma_helper_functions.o + .debug_ranges 0x0000000000000fe8 0x40 ./system/src/drivers/ms5611.o + .debug_ranges 0x0000000000001028 0x60 ./system/src/davis_vantage/davis.o + .debug_ranges 0x0000000000001088 0x48 ./system/src/davis_vantage/davis_parsers.o + .debug_ranges 0x00000000000010d0 0x20 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o + .debug_ranges 0x00000000000010f0 0x48 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + .debug_ranges 0x0000000000001138 0x18 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o + .debug_ranges 0x0000000000001150 0x20 ./system/src/aprs/adc.o + .debug_ranges 0x0000000000001170 0x70 ./system/src/aprs/afsk.o + .debug_ranges 0x00000000000011e0 0x18 ./system/src/aprs/afsk_pr.o + .debug_ranges 0x00000000000011f8 0xe0 ./system/src/aprs/ax25.o + .debug_ranges 0x00000000000012d8 0x20 ./system/src/aprs/beacon.o + .debug_ranges 0x00000000000012f8 0x18 ./system/src/aprs/crc.o + .debug_ranges 0x0000000000001310 0x28 ./system/src/aprs/dac.o + .debug_ranges 0x0000000000001338 0x40 ./system/src/aprs/digi.o + .debug_ranges 0x0000000000001378 0x40 ./system/src/aprs/telemetry.o + .debug_ranges 0x00000000000013b8 0x18 ./system/src/aprs/wx.o + .debug_ranges 0x00000000000013d0 0x50 ./src/KissCommunication.o + .debug_ranges 0x0000000000001420 0x30 ./src/LedConfig.o + .debug_ranges 0x0000000000001450 0x10 ./src/PathConfig.o + .debug_ranges 0x0000000000001460 0x10 ./src/TimerConfig.o + .debug_ranges 0x0000000000001470 0x98 ./src/configuration_handler.o + .debug_ranges 0x0000000000001508 0x30 ./src/delay.o + .debug_ranges 0x0000000000001538 0x10 ./src/float_to_string.o + .debug_ranges 0x0000000000001548 0x30 ./src/io.o + .debug_ranges 0x0000000000001578 0x90 ./src/it_handlers.o + .debug_ranges 0x0000000000001608 0x28 ./src/main.o + .debug_ranges 0x0000000000001630 0xd0 ./src/packet_tx_handler.o + .debug_ranges 0x0000000000001700 0x10 ./src/rte_rtu.o + .debug_ranges 0x0000000000001710 0x20 ./src/rte_wx.o + .debug_ranges 0x0000000000001730 0x38 ./src/wx_handler.o + .debug_ranges 0x0000000000001768 0x18 ./src/wx_handler_humidity.o + .debug_ranges 0x0000000000001780 0x20 ./src/wx_handler_pressure.o + .debug_ranges 0x00000000000017a0 0x28 ./src/wx_handler_temperature.o -.debug_macro 0x0000000000000000 0x3015c +.debug_macro 0x0000000000000000 0x307ec .debug_macro 0x0000000000000000 0x17e ./system/src/ve_direct_protocol/parser.o .debug_macro 0x000000000000017e 0xa72 ./system/src/ve_direct_protocol/parser.o .debug_macro 0x0000000000000bf0 0x1c ./system/src/ve_direct_protocol/parser.o @@ -18426,161 +18551,165 @@ OUTPUT(ParaTNC.elf elf32-littlearm) .debug_macro 0x000000000001b3d4 0x2e ./system/src/umb_master/umb_0x23_offline_data.o .debug_macro 0x000000000001b402 0x58 ./system/src/umb_master/umb_0x23_offline_data.o .debug_macro 0x000000000001b45a 0x46 ./system/src/umb_master/umb_0x23_offline_data.o - .debug_macro 0x000000000001b4a0 0x34 ./system/src/umb_master/umb_0x23_offline_data.o - .debug_macro 0x000000000001b4d4 0x252 ./system/src/umb_master/umb_0x26_status.o - .debug_macro 0x000000000001b726 0x102 ./system/src/umb_master/umb_channel_pool.o - .debug_macro 0x000000000001b828 0x3a7 ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001bbcf 0x1c ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001bbeb 0x10 ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001bbfb 0x10 ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001bc0b 0x6a ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001bc75 0x1c ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001bc91 0x52 ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001bce3 0x40 ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001bd23 0x10 ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001bd33 0x40 ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001bd73 0xd7 ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001be4a 0x1c ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001be66 0x3d ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001bea3 0x16 ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001beb9 0x16f ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001c028 0xfb ./system/src/umb_master/umb_master.o - .debug_macro 0x000000000001c123 0x25b ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x000000000001c37e 0x1d1 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x000000000001c54f 0x3897 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x000000000001fde6 0x5c ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x000000000001fe42 0x14d3 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000021315 0x566 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x000000000002187b 0x13d ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x00000000000219b8 0x176 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000021b2e 0x26b ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000021d99 0x23d ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000021fd6 0xf83 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000022f59 0x632 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x000000000002358b 0x30c ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000023897 0xd5 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x000000000002396c 0x4c ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x00000000000239b8 0x153 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000023b0b 0xd8 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000023be3 0x241 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000023e24 0x37c ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x00000000000241a0 0xd6 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000024276 0x22c ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x00000000000244a2 0x61 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000024503 0xa5 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x00000000000245a8 0x85 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x000000000002462d 0x122 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x000000000002474f 0x373 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000024ac2 0xa4 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000024b66 0x31a ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000024e80 0x4fb ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x000000000002537b 0xe4 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x000000000002545f 0xa75 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000025ed4 0x1e7 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x00000000000260bb 0x5ba ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x0000000000026675 0x44 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x00000000000266b9 0x331 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o - .debug_macro 0x00000000000269ea 0x20e ./system/src/stm32l4-hal-driver/stm32l4xx_hal_tim.o - .debug_macro 0x0000000000026bf8 0x20d ./system/src/stm32l4-hal-driver/stm32l4xx_hal_tim_ex.o - .debug_macro 0x0000000000026e05 0x20d ./system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o - .debug_macro 0x0000000000027012 0xe9 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o - .debug_macro 0x00000000000270fb 0x2c5 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o - .debug_macro 0x00000000000273c0 0xd1 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o - .debug_macro 0x0000000000027491 0x164 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o - .debug_macro 0x00000000000275f5 0xe6 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o - .debug_macro 0x00000000000276db 0x705 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o - .debug_macro 0x0000000000027de0 0x138 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o - .debug_macro 0x0000000000027f18 0x999 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o - .debug_macro 0x00000000000288b1 0xfe ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o - .debug_macro 0x00000000000289af 0x2f1 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o - .debug_macro 0x0000000000028ca0 0x3a8 ./system/src/modbus_rtu/rtu_getters.o - .debug_macro 0x0000000000029048 0x16 ./system/src/modbus_rtu/rtu_getters.o - .debug_macro 0x000000000002905e 0x97 ./system/src/modbus_rtu/rtu_getters.o - .debug_macro 0x00000000000290f5 0xfd ./system/src/modbus_rtu/rtu_getters.o - .debug_macro 0x00000000000291f2 0x46 ./system/src/modbus_rtu/rtu_getters.o - .debug_macro 0x0000000000029238 0x46 ./system/src/modbus_rtu/rtu_getters.o - .debug_macro 0x000000000002927e 0x5a ./system/src/modbus_rtu/rtu_parser.o - .debug_macro 0x00000000000292d8 0x12c ./system/src/modbus_rtu/rtu_request.o - .debug_macro 0x0000000000029404 0x58 ./system/src/modbus_rtu/rtu_request.o - .debug_macro 0x000000000002945c 0x42b ./system/src/modbus_rtu/rtu_serial_io.o - .debug_macro 0x0000000000029887 0x16 ./system/src/modbus_rtu/rtu_serial_io.o - .debug_macro 0x000000000002989d 0xa7 ./system/src/drivers/l4/flash_stm32l4x.o - .debug_macro 0x0000000000029944 0x16 ./system/src/drivers/l4/flash_stm32l4x.o - .debug_macro 0x000000000002995a 0x2c3 ./system/src/drivers/l4/serial_stm32l4x.o - .debug_macro 0x0000000000029c1d 0x10 ./system/src/drivers/l4/serial_stm32l4x.o - .debug_macro 0x0000000000029c2d 0xcf ./system/src/drivers/l4/serial_stm32l4x.o - .debug_macro 0x0000000000029cfc 0xbe ./system/src/drivers/l4/serial_stm32l4x.o - .debug_macro 0x0000000000029dba 0x51a ./system/src/drivers/l4/serial_stm32l4x.o - .debug_macro 0x000000000002a2d4 0x318 ./system/src/drivers/analog_anemometer.o - .debug_macro 0x000000000002a5ec 0x10 ./system/src/drivers/analog_anemometer.o - .debug_macro 0x000000000002a5fc 0x10 ./system/src/drivers/analog_anemometer.o - .debug_macro 0x000000000002a60c 0x2cb ./system/src/drivers/analog_anemometer.o - .debug_macro 0x000000000002a8d7 0x28 ./system/src/drivers/analog_anemometer.o - .debug_macro 0x000000000002a8ff 0x16 ./system/src/drivers/analog_anemometer.o - .debug_macro 0x000000000002a915 0x99f ./system/src/drivers/analog_anemometer.o - .debug_macro 0x000000000002b2b4 0x197 ./system/src/drivers/bme280.o - .debug_macro 0x000000000002b44b 0x2e ./system/src/drivers/bme280.o - .debug_macro 0x000000000002b479 0x19b ./system/src/drivers/dallas.o - .debug_macro 0x000000000002b614 0xa2 ./system/src/drivers/dma_helper_functions.o - .debug_macro 0x000000000002b6b6 0x2b0 ./system/src/drivers/ms5611.o - .debug_macro 0x000000000002b966 0x255 ./system/src/davis_vantage/davis.o - .debug_macro 0x000000000002bbbb 0x2e ./system/src/davis_vantage/davis.o - .debug_macro 0x000000000002bbe9 0x10 ./system/src/davis_vantage/davis.o - .debug_macro 0x000000000002bbf9 0x1c ./system/src/davis_vantage/davis.o - .debug_macro 0x000000000002bc15 0x253 ./system/src/davis_vantage/davis_parsers.o - .debug_macro 0x000000000002be68 0x10c ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - .debug_macro 0x000000000002bf74 0xa1 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o - .debug_macro 0x000000000002c015 0x28f ./system/src/aprs/adc.o - .debug_macro 0x000000000002c2a4 0x10e ./system/src/aprs/adc.o - .debug_macro 0x000000000002c3b2 0x8d ./system/src/aprs/adc.o - .debug_macro 0x000000000002c43f 0x1b6 ./system/src/aprs/afsk.o - .debug_macro 0x000000000002c5f5 0xab ./system/src/aprs/afsk_pr.o - .debug_macro 0x000000000002c6a0 0x260 ./system/src/aprs/ax25.o - .debug_macro 0x000000000002c900 0x111 ./system/src/aprs/ax25.o - .debug_macro 0x000000000002ca11 0x28 ./system/src/aprs/ax25.o - .debug_macro 0x000000000002ca39 0x2a4 ./system/src/aprs/beacon.o - .debug_macro 0x000000000002ccdd 0x3d ./system/src/aprs/crc.o - .debug_macro 0x000000000002cd1a 0xf2 ./system/src/aprs/dac.o - .debug_macro 0x000000000002ce0c 0x21c ./system/src/aprs/digi.o - .debug_macro 0x000000000002d028 0x16 ./system/src/aprs/digi.o - .debug_macro 0x000000000002d03e 0x35b ./system/src/aprs/telemetry.o - .debug_macro 0x000000000002d399 0x1c ./system/src/aprs/telemetry.o - .debug_macro 0x000000000002d3b5 0x10 ./system/src/aprs/telemetry.o - .debug_macro 0x000000000002d3c5 0x2e2 ./system/src/aprs/wx.o - .debug_macro 0x000000000002d6a7 0x2eb ./src/KissCommunication.o - .debug_macro 0x000000000002d992 0x22 ./src/KissCommunication.o - .debug_macro 0x000000000002d9b4 0x10 ./src/KissCommunication.o - .debug_macro 0x000000000002d9c4 0xb9 ./src/LedConfig.o - .debug_macro 0x000000000002da7d 0x10 ./src/LedConfig.o - .debug_macro 0x000000000002da8d 0x165 ./src/PathConfig.o - .debug_macro 0x000000000002dbf2 0xb4 ./src/TimerConfig.o - .debug_macro 0x000000000002dca6 0x5a ./src/config_data_default.o - .debug_macro 0x000000000002dd00 0x5a ./src/config_data_first.o - .debug_macro 0x000000000002dd5a 0x5a ./src/config_data_second.o - .debug_macro 0x000000000002ddb4 0x22f ./src/configuration_handler.o - .debug_macro 0x000000000002dfe3 0x1c ./src/configuration_handler.o - .debug_macro 0x000000000002dfff 0x5f ./src/configuration_handler.o - .debug_macro 0x000000000002e05e 0x102 ./src/delay.o - .debug_macro 0x000000000002e160 0x1f1 ./src/float_to_string.o - .debug_macro 0x000000000002e351 0xb4 ./src/io.o - .debug_macro 0x000000000002e405 0x36c ./src/it_handlers.o - .debug_macro 0x000000000002e771 0x16 ./src/it_handlers.o - .debug_macro 0x000000000002e787 0x5f6 ./src/main.o - .debug_macro 0x000000000002ed7d 0x6a ./src/main.o - .debug_macro 0x000000000002ede7 0x10c ./src/main.o - .debug_macro 0x000000000002eef3 0x16 ./src/main.o - .debug_macro 0x000000000002ef09 0x32e ./src/packet_tx_handler.o - .debug_macro 0x000000000002f237 0x2e ./src/rte_main.o - .debug_macro 0x000000000002f265 0x41 ./src/rte_pv.o - .debug_macro 0x000000000002f2a6 0x71 ./src/rte_rtu.o - .debug_macro 0x000000000002f317 0x29f ./src/rte_wx.o - .debug_macro 0x000000000002f5b6 0x22 ./src/rte_wx.o - .debug_macro 0x000000000002f5d8 0x39c ./src/wx_handler.o - .debug_macro 0x000000000002f974 0x26a ./src/wx_handler_humidity.o - .debug_macro 0x000000000002fbde 0x2bc ./src/wx_handler_pressure.o - .debug_macro 0x000000000002fe9a 0x2c2 ./src/wx_handler_temperature.o + .debug_macro 0x000000000001b4a0 0x2e ./system/src/umb_master/umb_0x23_offline_data.o + .debug_macro 0x000000000001b4ce 0x252 ./system/src/umb_master/umb_0x26_status.o + .debug_macro 0x000000000001b720 0x102 ./system/src/umb_master/umb_channel_pool.o + .debug_macro 0x000000000001b822 0x3a7 ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001bbc9 0x1c ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001bbe5 0x10 ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001bbf5 0x10 ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001bc05 0x6a ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001bc6f 0x1c ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001bc8b 0x52 ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001bcdd 0x40 ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001bd1d 0x10 ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001bd2d 0x40 ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001bd6d 0xd7 ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001be44 0x1c ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001be60 0x3d ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001be9d 0x16 ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001beb3 0x16f ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001c022 0xfb ./system/src/umb_master/umb_master.o + .debug_macro 0x000000000001c11d 0x25b ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x000000000001c378 0x1d1 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x000000000001c549 0x3897 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x000000000001fde0 0x5c ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x000000000001fe3c 0x14d3 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x000000000002130f 0x566 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000021875 0x13d ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x00000000000219b2 0x176 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000021b28 0x26b ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000021d93 0x23d ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000021fd0 0xf83 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000022f53 0x632 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000023585 0x30c ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000023891 0xd5 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000023966 0x4c ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x00000000000239b2 0x153 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000023b05 0xd8 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000023bdd 0x241 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000023e1e 0x37c ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x000000000002419a 0xd6 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000024270 0x22c ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x000000000002449c 0x61 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x00000000000244fd 0xa5 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x00000000000245a2 0x85 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000024627 0x122 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000024749 0x373 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000024abc 0xa4 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000024b60 0x31a ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000024e7a 0x4fb ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000025375 0xe4 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000025459 0xa75 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x0000000000025ece 0x1e7 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x00000000000260b5 0x5ba ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x000000000002666f 0x44 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x00000000000266b3 0x331 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_macro 0x00000000000269e4 0x20e ./system/src/stm32l4-hal-driver/stm32l4xx_hal_tim.o + .debug_macro 0x0000000000026bf2 0x20d ./system/src/stm32l4-hal-driver/stm32l4xx_hal_tim_ex.o + .debug_macro 0x0000000000026dff 0x20d ./system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o + .debug_macro 0x000000000002700c 0xe9 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o + .debug_macro 0x00000000000270f5 0x2c5 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o + .debug_macro 0x00000000000273ba 0xd1 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o + .debug_macro 0x000000000002748b 0x164 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o + .debug_macro 0x00000000000275ef 0xd1 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o + .debug_macro 0x00000000000276c0 0x240 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o + .debug_macro 0x0000000000027900 0xe6 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + .debug_macro 0x00000000000279e6 0x705 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + .debug_macro 0x00000000000280eb 0x138 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + .debug_macro 0x0000000000028223 0x999 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + .debug_macro 0x0000000000028bbc 0xfe ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o + .debug_macro 0x0000000000028cba 0x2f1 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o + .debug_macro 0x0000000000028fab 0x3a8 ./system/src/modbus_rtu/rtu_getters.o + .debug_macro 0x0000000000029353 0x16 ./system/src/modbus_rtu/rtu_getters.o + .debug_macro 0x0000000000029369 0x97 ./system/src/modbus_rtu/rtu_getters.o + .debug_macro 0x0000000000029400 0xfd ./system/src/modbus_rtu/rtu_getters.o + .debug_macro 0x00000000000294fd 0x46 ./system/src/modbus_rtu/rtu_getters.o + .debug_macro 0x0000000000029543 0x46 ./system/src/modbus_rtu/rtu_getters.o + .debug_macro 0x0000000000029589 0x5a ./system/src/modbus_rtu/rtu_parser.o + .debug_macro 0x00000000000295e3 0x12c ./system/src/modbus_rtu/rtu_request.o + .debug_macro 0x000000000002970f 0x58 ./system/src/modbus_rtu/rtu_request.o + .debug_macro 0x0000000000029767 0x42b ./system/src/modbus_rtu/rtu_serial_io.o + .debug_macro 0x0000000000029b92 0x16 ./system/src/modbus_rtu/rtu_serial_io.o + .debug_macro 0x0000000000029ba8 0xb3 ./system/src/drivers/l4/flash_stm32l4x.o + .debug_macro 0x0000000000029c5b 0x16 ./system/src/drivers/l4/flash_stm32l4x.o + .debug_macro 0x0000000000029c71 0x133 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000029da4 0x246 ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_macro 0x0000000000029fea 0x2c3 ./system/src/drivers/l4/serial_stm32l4x.o + .debug_macro 0x000000000002a2ad 0x10 ./system/src/drivers/l4/serial_stm32l4x.o + .debug_macro 0x000000000002a2bd 0xcf ./system/src/drivers/l4/serial_stm32l4x.o + .debug_macro 0x000000000002a38c 0xbe ./system/src/drivers/l4/serial_stm32l4x.o + .debug_macro 0x000000000002a44a 0x51a ./system/src/drivers/l4/serial_stm32l4x.o + .debug_macro 0x000000000002a964 0x318 ./system/src/drivers/analog_anemometer.o + .debug_macro 0x000000000002ac7c 0x10 ./system/src/drivers/analog_anemometer.o + .debug_macro 0x000000000002ac8c 0x10 ./system/src/drivers/analog_anemometer.o + .debug_macro 0x000000000002ac9c 0x2cb ./system/src/drivers/analog_anemometer.o + .debug_macro 0x000000000002af67 0x28 ./system/src/drivers/analog_anemometer.o + .debug_macro 0x000000000002af8f 0x16 ./system/src/drivers/analog_anemometer.o + .debug_macro 0x000000000002afa5 0x99f ./system/src/drivers/analog_anemometer.o + .debug_macro 0x000000000002b944 0x197 ./system/src/drivers/bme280.o + .debug_macro 0x000000000002badb 0x2e ./system/src/drivers/bme280.o + .debug_macro 0x000000000002bb09 0x19b ./system/src/drivers/dallas.o + .debug_macro 0x000000000002bca4 0xa2 ./system/src/drivers/dma_helper_functions.o + .debug_macro 0x000000000002bd46 0x2b0 ./system/src/drivers/ms5611.o + .debug_macro 0x000000000002bff6 0x255 ./system/src/davis_vantage/davis.o + .debug_macro 0x000000000002c24b 0x2e ./system/src/davis_vantage/davis.o + .debug_macro 0x000000000002c279 0x10 ./system/src/davis_vantage/davis.o + .debug_macro 0x000000000002c289 0x1c ./system/src/davis_vantage/davis.o + .debug_macro 0x000000000002c2a5 0x253 ./system/src/davis_vantage/davis_parsers.o + .debug_macro 0x000000000002c4f8 0x10c ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + .debug_macro 0x000000000002c604 0xa1 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o + .debug_macro 0x000000000002c6a5 0x28f ./system/src/aprs/adc.o + .debug_macro 0x000000000002c934 0x10e ./system/src/aprs/adc.o + .debug_macro 0x000000000002ca42 0x8d ./system/src/aprs/adc.o + .debug_macro 0x000000000002cacf 0x1b6 ./system/src/aprs/afsk.o + .debug_macro 0x000000000002cc85 0xab ./system/src/aprs/afsk_pr.o + .debug_macro 0x000000000002cd30 0x260 ./system/src/aprs/ax25.o + .debug_macro 0x000000000002cf90 0x111 ./system/src/aprs/ax25.o + .debug_macro 0x000000000002d0a1 0x28 ./system/src/aprs/ax25.o + .debug_macro 0x000000000002d0c9 0x2a4 ./system/src/aprs/beacon.o + .debug_macro 0x000000000002d36d 0x3d ./system/src/aprs/crc.o + .debug_macro 0x000000000002d3aa 0xf2 ./system/src/aprs/dac.o + .debug_macro 0x000000000002d49c 0x21c ./system/src/aprs/digi.o + .debug_macro 0x000000000002d6b8 0x16 ./system/src/aprs/digi.o + .debug_macro 0x000000000002d6ce 0x35b ./system/src/aprs/telemetry.o + .debug_macro 0x000000000002da29 0x1c ./system/src/aprs/telemetry.o + .debug_macro 0x000000000002da45 0x10 ./system/src/aprs/telemetry.o + .debug_macro 0x000000000002da55 0x2e2 ./system/src/aprs/wx.o + .debug_macro 0x000000000002dd37 0x2eb ./src/KissCommunication.o + .debug_macro 0x000000000002e022 0x22 ./src/KissCommunication.o + .debug_macro 0x000000000002e044 0x10 ./src/KissCommunication.o + .debug_macro 0x000000000002e054 0xb9 ./src/LedConfig.o + .debug_macro 0x000000000002e10d 0x10 ./src/LedConfig.o + .debug_macro 0x000000000002e11d 0x165 ./src/PathConfig.o + .debug_macro 0x000000000002e282 0xb4 ./src/TimerConfig.o + .debug_macro 0x000000000002e336 0x5a ./src/config_data_default.o + .debug_macro 0x000000000002e390 0x5a ./src/config_data_first.o + .debug_macro 0x000000000002e3ea 0x5a ./src/config_data_second.o + .debug_macro 0x000000000002e444 0x22f ./src/configuration_handler.o + .debug_macro 0x000000000002e673 0x1c ./src/configuration_handler.o + .debug_macro 0x000000000002e68f 0x5f ./src/configuration_handler.o + .debug_macro 0x000000000002e6ee 0x102 ./src/delay.o + .debug_macro 0x000000000002e7f0 0x1f1 ./src/float_to_string.o + .debug_macro 0x000000000002e9e1 0xb4 ./src/io.o + .debug_macro 0x000000000002ea95 0x36c ./src/it_handlers.o + .debug_macro 0x000000000002ee01 0x16 ./src/it_handlers.o + .debug_macro 0x000000000002ee17 0x5f6 ./src/main.o + .debug_macro 0x000000000002f40d 0x6a ./src/main.o + .debug_macro 0x000000000002f477 0x10c ./src/main.o + .debug_macro 0x000000000002f583 0x16 ./src/main.o + .debug_macro 0x000000000002f599 0x32e ./src/packet_tx_handler.o + .debug_macro 0x000000000002f8c7 0x2e ./src/rte_main.o + .debug_macro 0x000000000002f8f5 0x41 ./src/rte_pv.o + .debug_macro 0x000000000002f936 0x71 ./src/rte_rtu.o + .debug_macro 0x000000000002f9a7 0x29f ./src/rte_wx.o + .debug_macro 0x000000000002fc46 0x22 ./src/rte_wx.o + .debug_macro 0x000000000002fc68 0x39c ./src/wx_handler.o + .debug_macro 0x0000000000030004 0x26a ./src/wx_handler_humidity.o + .debug_macro 0x000000000003026e 0x2bc ./src/wx_handler_pressure.o + .debug_macro 0x000000000003052a 0x2c2 ./src/wx_handler_temperature.o -.debug_line 0x0000000000000000 0x16ce7 +.debug_line 0x0000000000000000 0x1757b .debug_line 0x0000000000000000 0x596 ./system/src/ve_direct_protocol/parser.o .debug_line 0x0000000000000596 0x6d3 ./system/src/umb_master/umb_0x23_offline_data.o .debug_line 0x0000000000000c69 0x5e2 ./system/src/umb_master/umb_0x26_status.o @@ -18592,183 +18721,189 @@ OUTPUT(ParaTNC.elf elf32-littlearm) .debug_line 0x0000000000005fa4 0x5b5 ./system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o .debug_line 0x0000000000006559 0x3ee ./system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o .debug_line 0x0000000000006947 0x3c4 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o - .debug_line 0x0000000000006d0b 0xefa ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o - .debug_line 0x0000000000007c05 0x69b ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o - .debug_line 0x00000000000082a0 0x350 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o - .debug_line 0x00000000000085f0 0xa86 ./system/src/modbus_rtu/rtu_getters.o - .debug_line 0x0000000000009076 0x1da ./system/src/modbus_rtu/rtu_parser.o - .debug_line 0x0000000000009250 0x31e ./system/src/modbus_rtu/rtu_request.o - .debug_line 0x000000000000956e 0x9ae ./system/src/modbus_rtu/rtu_serial_io.o - .debug_line 0x0000000000009f1c 0x258 ./system/src/drivers/l4/flash_stm32l4x.o - .debug_line 0x000000000000a174 0x8b6 ./system/src/drivers/l4/serial_stm32l4x.o - .debug_line 0x000000000000aa2a 0x8d7 ./system/src/drivers/analog_anemometer.o - .debug_line 0x000000000000b301 0x4f7 ./system/src/drivers/bme280.o - .debug_line 0x000000000000b7f8 0x6d4 ./system/src/drivers/dallas.o - .debug_line 0x000000000000becc 0x225 ./system/src/drivers/dma_helper_functions.o - .debug_line 0x000000000000c0f1 0x7aa ./system/src/drivers/ms5611.o - .debug_line 0x000000000000c89b 0x6c3 ./system/src/davis_vantage/davis.o - .debug_line 0x000000000000cf5e 0x454 ./system/src/davis_vantage/davis_parsers.o - .debug_line 0x000000000000d3b2 0x93 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o - .debug_line 0x000000000000d445 0x31d ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - .debug_line 0x000000000000d762 0x1ec ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o - .debug_line 0x000000000000d94e 0x4bb ./system/src/aprs/adc.o - .debug_line 0x000000000000de09 0x55a ./system/src/aprs/afsk.o - .debug_line 0x000000000000e363 0x23e ./system/src/aprs/afsk_pr.o - .debug_line 0x000000000000e5a1 0x6ac ./system/src/aprs/ax25.o - .debug_line 0x000000000000ec4d 0x532 ./system/src/aprs/beacon.o - .debug_line 0x000000000000f17f 0x107 ./system/src/aprs/crc.o - .debug_line 0x000000000000f286 0x2a6 ./system/src/aprs/dac.o - .debug_line 0x000000000000f52c 0x5a7 ./system/src/aprs/digi.o - .debug_line 0x000000000000fad3 0x84a ./system/src/aprs/telemetry.o - .debug_line 0x000000000001031d 0x56f ./system/src/aprs/wx.o - .debug_line 0x000000000001088c 0x6ad ./src/KissCommunication.o - .debug_line 0x0000000000010f39 0x249 ./src/LedConfig.o - .debug_line 0x0000000000011182 0x323 ./src/PathConfig.o - .debug_line 0x00000000000114a5 0x24b ./src/TimerConfig.o - .debug_line 0x00000000000116f0 0xdf ./src/config_data_default.o - .debug_line 0x00000000000117cf 0xdd ./src/config_data_first.o - .debug_line 0x00000000000118ac 0xde ./src/config_data_second.o - .debug_line 0x000000000001198a 0x65a ./src/configuration_handler.o - .debug_line 0x0000000000011fe4 0x2c4 ./src/delay.o - .debug_line 0x00000000000122a8 0x374 ./src/float_to_string.o - .debug_line 0x000000000001261c 0x24b ./src/io.o - .debug_line 0x0000000000012867 0x842 ./src/it_handlers.o - .debug_line 0x00000000000130a9 0xdb2 ./src/main.o - .debug_line 0x0000000000013e5b 0x908 ./src/packet_tx_handler.o - .debug_line 0x0000000000014763 0xbd ./src/rte_main.o - .debug_line 0x0000000000014820 0x104 ./src/rte_pv.o - .debug_line 0x0000000000014924 0x17c ./src/rte_rtu.o - .debug_line 0x0000000000014aa0 0x5e7 ./src/rte_wx.o - .debug_line 0x0000000000015087 0x967 ./src/wx_handler.o - .debug_line 0x00000000000159ee 0x5da ./src/wx_handler_humidity.o - .debug_line 0x0000000000015fc8 0x681 ./src/wx_handler_pressure.o - .debug_line 0x0000000000016649 0x69e ./src/wx_handler_temperature.o + .debug_line 0x0000000000006d0b 0x2ba ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o + .debug_line 0x0000000000006fc5 0xefa ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + .debug_line 0x0000000000007ebf 0x69b ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + .debug_line 0x000000000000855a 0x350 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o + .debug_line 0x00000000000088aa 0xa86 ./system/src/modbus_rtu/rtu_getters.o + .debug_line 0x0000000000009330 0x1da ./system/src/modbus_rtu/rtu_parser.o + .debug_line 0x000000000000950a 0x31e ./system/src/modbus_rtu/rtu_request.o + .debug_line 0x0000000000009828 0x9ae ./system/src/modbus_rtu/rtu_serial_io.o + .debug_line 0x000000000000a1d6 0x25a ./system/src/drivers/l4/flash_stm32l4x.o + .debug_line 0x000000000000a430 0x53c ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_line 0x000000000000a96c 0x8b6 ./system/src/drivers/l4/serial_stm32l4x.o + .debug_line 0x000000000000b222 0x8d7 ./system/src/drivers/analog_anemometer.o + .debug_line 0x000000000000baf9 0x4f7 ./system/src/drivers/bme280.o + .debug_line 0x000000000000bff0 0x6d4 ./system/src/drivers/dallas.o + .debug_line 0x000000000000c6c4 0x225 ./system/src/drivers/dma_helper_functions.o + .debug_line 0x000000000000c8e9 0x7aa ./system/src/drivers/ms5611.o + .debug_line 0x000000000000d093 0x6c3 ./system/src/davis_vantage/davis.o + .debug_line 0x000000000000d756 0x454 ./system/src/davis_vantage/davis_parsers.o + .debug_line 0x000000000000dbaa 0x93 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o + .debug_line 0x000000000000dc3d 0x30c ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + .debug_line 0x000000000000df49 0x1ec ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o + .debug_line 0x000000000000e135 0x4bb ./system/src/aprs/adc.o + .debug_line 0x000000000000e5f0 0x55a ./system/src/aprs/afsk.o + .debug_line 0x000000000000eb4a 0x23e ./system/src/aprs/afsk_pr.o + .debug_line 0x000000000000ed88 0x6ac ./system/src/aprs/ax25.o + .debug_line 0x000000000000f434 0x532 ./system/src/aprs/beacon.o + .debug_line 0x000000000000f966 0x107 ./system/src/aprs/crc.o + .debug_line 0x000000000000fa6d 0x2a6 ./system/src/aprs/dac.o + .debug_line 0x000000000000fd13 0x5a7 ./system/src/aprs/digi.o + .debug_line 0x00000000000102ba 0x84a ./system/src/aprs/telemetry.o + .debug_line 0x0000000000010b04 0x56f ./system/src/aprs/wx.o + .debug_line 0x0000000000011073 0x6ad ./src/KissCommunication.o + .debug_line 0x0000000000011720 0x249 ./src/LedConfig.o + .debug_line 0x0000000000011969 0x323 ./src/PathConfig.o + .debug_line 0x0000000000011c8c 0x24b ./src/TimerConfig.o + .debug_line 0x0000000000011ed7 0xdf ./src/config_data_default.o + .debug_line 0x0000000000011fb6 0xdd ./src/config_data_first.o + .debug_line 0x0000000000012093 0xde ./src/config_data_second.o + .debug_line 0x0000000000012171 0x707 ./src/configuration_handler.o + .debug_line 0x0000000000012878 0x2c4 ./src/delay.o + .debug_line 0x0000000000012b3c 0x374 ./src/float_to_string.o + .debug_line 0x0000000000012eb0 0x24b ./src/io.o + .debug_line 0x00000000000130fb 0x842 ./src/it_handlers.o + .debug_line 0x000000000001393d 0xdb2 ./src/main.o + .debug_line 0x00000000000146ef 0x908 ./src/packet_tx_handler.o + .debug_line 0x0000000000014ff7 0xbd ./src/rte_main.o + .debug_line 0x00000000000150b4 0x104 ./src/rte_pv.o + .debug_line 0x00000000000151b8 0x17c ./src/rte_rtu.o + .debug_line 0x0000000000015334 0x5e7 ./src/rte_wx.o + .debug_line 0x000000000001591b 0x967 ./src/wx_handler.o + .debug_line 0x0000000000016282 0x5da ./src/wx_handler_humidity.o + .debug_line 0x000000000001685c 0x681 ./src/wx_handler_pressure.o + .debug_line 0x0000000000016edd 0x69e ./src/wx_handler_temperature.o -.debug_str 0x0000000000000000 0x115e79 +.debug_str 0x0000000000000000 0x117319 .debug_str 0x0000000000000000 0x708b ./system/src/ve_direct_protocol/parser.o 0x73ac (size before relaxing) - .debug_str 0x000000000000708b 0x8facb ./system/src/umb_master/umb_0x23_offline_data.o - 0x9669a (size before relaxing) - .debug_str 0x0000000000096b56 0xa1 ./system/src/umb_master/umb_0x26_status.o - 0x94244 (size before relaxing) - .debug_str 0x0000000000096bf7 0x6e ./system/src/umb_master/umb_channel_pool.o + .debug_str 0x000000000000708b 0x8fab8 ./system/src/umb_master/umb_0x23_offline_data.o + 0x96687 (size before relaxing) + .debug_str 0x0000000000096b43 0xa1 ./system/src/umb_master/umb_0x26_status.o + 0x94231 (size before relaxing) + .debug_str 0x0000000000096be4 0x6e ./system/src/umb_master/umb_channel_pool.o 0x8fc31 (size before relaxing) - .debug_str 0x0000000000096c65 0x16d0 ./system/src/umb_master/umb_master.o + .debug_str 0x0000000000096c52 0x16d0 ./system/src/umb_master/umb_master.o 0x96a6b (size before relaxing) - .debug_str 0x0000000000098335 0x6613f ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o + .debug_str 0x0000000000098322 0x66028 ./system/src/stm32l4-hal-driver/stm32l4xx_hal.o 0xf5832 (size before relaxing) - .debug_str 0x00000000000fe474 0x127d ./system/src/stm32l4-hal-driver/stm32l4xx_hal_tim.o + .debug_str 0x00000000000fe34a 0x127d ./system/src/stm32l4-hal-driver/stm32l4xx_hal_tim.o 0xf6000 (size before relaxing) - .debug_str 0x00000000000ff6f1 0x627 ./system/src/stm32l4-hal-driver/stm32l4xx_hal_tim_ex.o + .debug_str 0x00000000000ff5c7 0x627 ./system/src/stm32l4-hal-driver/stm32l4xx_hal_tim_ex.o 0xf5909 (size before relaxing) - .debug_str 0x00000000000ffd18 0x126 ./system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o + .debug_str 0x00000000000ffbee 0x126 ./system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o 0xf5819 (size before relaxing) - .debug_str 0x00000000000ffe3e 0x2ed1 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o + .debug_str 0x00000000000ffd14 0x2ed1 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o 0x910cf (size before relaxing) - .debug_str 0x0000000000102d0f 0x690 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o + .debug_str 0x0000000000102be5 0x690 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o 0x8fb83 (size before relaxing) - .debug_str 0x000000000010339f 0x461f ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + .debug_str 0x0000000000103275 0x145b ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o + 0x90118 (size before relaxing) + .debug_str 0x00000000001046d0 0x461a ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o 0x927db (size before relaxing) - .debug_str 0x00000000001079be 0x68b3 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + .debug_str 0x0000000000108cea 0x6881 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o 0x9573f (size before relaxing) - .debug_str 0x000000000010e271 0x870 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o + .debug_str 0x000000000010f56b 0x870 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o 0x9402c (size before relaxing) - .debug_str 0x000000000010eae1 0x78b ./system/src/modbus_rtu/rtu_getters.o - 0x97ff4 (size before relaxing) - .debug_str 0x000000000010f26c 0xb9 ./system/src/modbus_rtu/rtu_parser.o + .debug_str 0x000000000010fddb 0x78b ./system/src/modbus_rtu/rtu_getters.o + 0x97fe1 (size before relaxing) + .debug_str 0x0000000000110566 0xb9 ./system/src/modbus_rtu/rtu_parser.o 0x3d6f (size before relaxing) - .debug_str 0x000000000010f325 0x18d ./system/src/modbus_rtu/rtu_request.o + .debug_str 0x000000000011061f 0x18d ./system/src/modbus_rtu/rtu_request.o 0x6daa (size before relaxing) - .debug_str 0x000000000010f4b2 0x49c ./system/src/modbus_rtu/rtu_serial_io.o - 0x9843f (size before relaxing) - .debug_str 0x000000000010f94e 0x185 ./system/src/drivers/l4/flash_stm32l4x.o - 0x8e263 (size before relaxing) - .debug_str 0x000000000010fad3 0x114c ./system/src/drivers/l4/serial_stm32l4x.o - 0x971ac (size before relaxing) - .debug_str 0x0000000000110c1f 0x772 ./system/src/drivers/analog_anemometer.o - 0x9da3c (size before relaxing) - .debug_str 0x0000000000111391 0x4ef ./system/src/drivers/bme280.o + .debug_str 0x00000000001107ac 0x49c ./system/src/modbus_rtu/rtu_serial_io.o + 0x9842c (size before relaxing) + .debug_str 0x0000000000110c48 0x157 ./system/src/drivers/l4/flash_stm32l4x.o + 0x8e254 (size before relaxing) + .debug_str 0x0000000000110d9f 0x33d ./system/src/drivers/l4/i2c_stm32l4x.o + 0x92c58 (size before relaxing) + .debug_str 0x00000000001110dc 0x111a ./system/src/drivers/l4/serial_stm32l4x.o + 0x97199 (size before relaxing) + .debug_str 0x00000000001121f6 0x772 ./system/src/drivers/analog_anemometer.o + 0x9da29 (size before relaxing) + .debug_str 0x0000000000112968 0x3f9 ./system/src/drivers/bme280.o 0x7107 (size before relaxing) - .debug_str 0x0000000000111880 0x1e0 ./system/src/drivers/dallas.o + .debug_str 0x0000000000112d61 0x1e0 ./system/src/drivers/dallas.o 0x92a80 (size before relaxing) - .debug_str 0x0000000000111a60 0x55 ./system/src/drivers/dma_helper_functions.o + .debug_str 0x0000000000112f41 0x55 ./system/src/drivers/dma_helper_functions.o 0x8facd (size before relaxing) - .debug_str 0x0000000000111ab5 0x16d ./system/src/drivers/ms5611.o - 0x96641 (size before relaxing) - .debug_str 0x0000000000111c22 0x735 ./system/src/davis_vantage/davis.o - 0x94615 (size before relaxing) - .debug_str 0x0000000000112357 0x260 ./system/src/davis_vantage/davis_parsers.o + .debug_str 0x0000000000112f96 0x16d ./system/src/drivers/ms5611.o + 0x9662e (size before relaxing) + .debug_str 0x0000000000113103 0x735 ./system/src/davis_vantage/davis.o + 0x94602 (size before relaxing) + .debug_str 0x0000000000113838 0x260 ./system/src/davis_vantage/davis_parsers.o 0x8281 (size before relaxing) - .debug_str 0x00000000001125b7 0x42 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o + .debug_str 0x0000000000113a98 0x42 ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o 0x7f (size before relaxing) - .debug_str 0x00000000001125f9 0xcf ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - 0x90bc9 (size before relaxing) - .debug_str 0x00000000001126c8 0x7f ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o + .debug_str 0x0000000000113ada 0xbf ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + 0x90ba6 (size before relaxing) + .debug_str 0x0000000000113b99 0x7f ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o 0x8e22f (size before relaxing) - .debug_str 0x0000000000112747 0x7f ./system/src/aprs/adc.o + .debug_str 0x0000000000113c18 0x7f ./system/src/aprs/adc.o 0x97c48 (size before relaxing) - .debug_str 0x00000000001127c6 0x221 ./system/src/aprs/afsk.o + .debug_str 0x0000000000113c97 0x221 ./system/src/aprs/afsk.o 0x7f6f (size before relaxing) - .debug_str 0x00000000001129e7 0x135 ./system/src/aprs/afsk_pr.o + .debug_str 0x0000000000113eb8 0x135 ./system/src/aprs/afsk_pr.o 0x98a54 (size before relaxing) - .debug_str 0x0000000000112b1c 0x9f8 ./system/src/aprs/ax25.o + .debug_str 0x0000000000113fed 0x9f8 ./system/src/aprs/ax25.o 0x98da (size before relaxing) - .debug_str 0x0000000000113514 0xb2 ./system/src/aprs/beacon.o - 0x95912 (size before relaxing) - .debug_str 0x00000000001135c6 0x19 ./system/src/aprs/crc.o + .debug_str 0x00000000001149e5 0xb2 ./system/src/aprs/beacon.o + 0x958ff (size before relaxing) + .debug_str 0x0000000000114a97 0x19 ./system/src/aprs/crc.o 0x3c61 (size before relaxing) - .debug_str 0x00000000001135df 0x40 ./system/src/aprs/dac.o + .debug_str 0x0000000000114ab0 0x40 ./system/src/aprs/dac.o 0x8f811 (size before relaxing) - .debug_str 0x000000000011361f 0x1b1 ./system/src/aprs/digi.o - 0x94632 (size before relaxing) - .debug_str 0x00000000001137d0 0x3e6 ./system/src/aprs/telemetry.o - 0x972f7 (size before relaxing) - .debug_str 0x0000000000113bb6 0x9f ./system/src/aprs/wx.o - 0x959fb (size before relaxing) - .debug_str 0x0000000000113c55 0xdd ./src/KissCommunication.o + .debug_str 0x0000000000114af0 0x1b1 ./system/src/aprs/digi.o + 0x9461f (size before relaxing) + .debug_str 0x0000000000114ca1 0x3e6 ./system/src/aprs/telemetry.o + 0x972e4 (size before relaxing) + .debug_str 0x0000000000115087 0x9f ./system/src/aprs/wx.o + 0x959e8 (size before relaxing) + .debug_str 0x0000000000115126 0xdd ./src/KissCommunication.o 0x95479 (size before relaxing) - .debug_str 0x0000000000113d32 0x42 ./src/LedConfig.o + .debug_str 0x0000000000115203 0x42 ./src/LedConfig.o 0x8e9ef (size before relaxing) - .debug_str 0x0000000000113d74 0x35 ./src/PathConfig.o + .debug_str 0x0000000000115245 0x35 ./src/PathConfig.o 0x7a9e (size before relaxing) - .debug_str 0x0000000000113da9 0x21 ./src/TimerConfig.o + .debug_str 0x000000000011527a 0x21 ./src/TimerConfig.o 0x93ab3 (size before relaxing) - .debug_str 0x0000000000113dca 0x9f ./src/config_data_default.o + .debug_str 0x000000000011529b 0x9f ./src/config_data_default.o 0x47e6 (size before relaxing) - .debug_str 0x0000000000113e69 0xc8 ./src/config_data_first.o + .debug_str 0x000000000011533a 0xc8 ./src/config_data_first.o 0x4818 (size before relaxing) - .debug_str 0x0000000000113f31 0xd0 ./src/config_data_second.o + .debug_str 0x0000000000115402 0xd0 ./src/config_data_second.o 0x4820 (size before relaxing) - .debug_str 0x0000000000114001 0x768 ./src/configuration_handler.o - 0x947f7 (size before relaxing) - .debug_str 0x0000000000114769 0x8f ./src/delay.o - 0x90bb8 (size before relaxing) - .debug_str 0x00000000001147f8 0x7d ./src/float_to_string.o + .debug_str 0x00000000001154d2 0x775 ./src/configuration_handler.o + 0x94813 (size before relaxing) + .debug_str 0x0000000000115c47 0x8f ./src/delay.o + 0x90ba5 (size before relaxing) + .debug_str 0x0000000000115cd6 0x7d ./src/float_to_string.o 0x8740 (size before relaxing) - .debug_str 0x0000000000114875 0x68 ./src/io.o + .debug_str 0x0000000000115d53 0x68 ./src/io.o 0x8f03a (size before relaxing) - .debug_str 0x00000000001148dd 0x25b ./src/it_handlers.o - 0x9e89b (size before relaxing) - .debug_str 0x0000000000114b38 0xabf ./src/main.o - 0x9e9ef (size before relaxing) - .debug_str 0x00000000001155f7 0x1fe ./src/packet_tx_handler.o - 0x9702a (size before relaxing) - .debug_str 0x00000000001157f5 0x12 ./src/rte_main.o + .debug_str 0x0000000000115dbb 0x23d ./src/it_handlers.o + 0x9e888 (size before relaxing) + .debug_str 0x0000000000115ff8 0xa9f ./src/main.o + 0x9e9c9 (size before relaxing) + .debug_str 0x0000000000116a97 0x1fe ./src/packet_tx_handler.o + 0x97017 (size before relaxing) + .debug_str 0x0000000000116c95 0x12 ./src/rte_main.o 0x38dd (size before relaxing) - .debug_str 0x0000000000115807 0x10 ./src/rte_pv.o + .debug_str 0x0000000000116ca7 0x10 ./src/rte_pv.o 0x3c8f (size before relaxing) - .debug_str 0x0000000000115817 0x11 ./src/rte_rtu.o + .debug_str 0x0000000000116cb7 0x11 ./src/rte_rtu.o 0x4530 (size before relaxing) - .debug_str 0x0000000000115828 0x45 ./src/rte_wx.o - 0x9656e (size before relaxing) - .debug_str 0x000000000011586d 0x461 ./src/wx_handler.o - 0x973bb (size before relaxing) - .debug_str 0x0000000000115cce 0x58 ./src/wx_handler_humidity.o + .debug_str 0x0000000000116cc8 0x45 ./src/rte_wx.o + 0x9655b (size before relaxing) + .debug_str 0x0000000000116d0d 0x461 ./src/wx_handler.o + 0x973a8 (size before relaxing) + .debug_str 0x000000000011716e 0x58 ./src/wx_handler_humidity.o 0x95a69 (size before relaxing) - .debug_str 0x0000000000115d26 0x97 ./src/wx_handler_pressure.o - 0x967b2 (size before relaxing) - .debug_str 0x0000000000115dbd 0xbc ./src/wx_handler_temperature.o - 0x9686b (size before relaxing) + .debug_str 0x00000000001171c6 0x97 ./src/wx_handler_pressure.o + 0x9679f (size before relaxing) + .debug_str 0x000000000011725d 0xbc ./src/wx_handler_temperature.o + 0x96858 (size before relaxing) .comment 0x0000000000000000 0x7f .comment 0x0000000000000000 0x7f ./system/src/ve_direct_protocol/parser.o @@ -18783,6 +18918,7 @@ OUTPUT(ParaTNC.elf elf32-littlearm) .comment 0x000000000000007f 0x80 ./system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o .comment 0x000000000000007f 0x80 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o .comment 0x000000000000007f 0x80 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o + .comment 0x000000000000007f 0x80 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o .comment 0x000000000000007f 0x80 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o .comment 0x000000000000007f 0x80 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o .comment 0x000000000000007f 0x80 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o @@ -18791,6 +18927,7 @@ OUTPUT(ParaTNC.elf elf32-littlearm) .comment 0x000000000000007f 0x80 ./system/src/modbus_rtu/rtu_request.o .comment 0x000000000000007f 0x80 ./system/src/modbus_rtu/rtu_serial_io.o .comment 0x000000000000007f 0x80 ./system/src/drivers/l4/flash_stm32l4x.o + .comment 0x000000000000007f 0x80 ./system/src/drivers/l4/i2c_stm32l4x.o .comment 0x000000000000007f 0x80 ./system/src/drivers/l4/serial_stm32l4x.o .comment 0x000000000000007f 0x80 ./system/src/drivers/analog_anemometer.o .comment 0x000000000000007f 0x80 ./system/src/drivers/bme280.o @@ -18834,7 +18971,7 @@ OUTPUT(ParaTNC.elf elf32-littlearm) .comment 0x000000000000007f 0x80 ./src/wx_handler_pressure.o .comment 0x000000000000007f 0x80 ./src/wx_handler_temperature.o -.debug_frame 0x0000000000000000 0x55b8 +.debug_frame 0x0000000000000000 0x56f4 .debug_frame 0x0000000000000000 0x1b8 ./system/src/ve_direct_protocol/parser.o .debug_frame 0x00000000000001b8 0x5c ./system/src/umb_master/umb_0x23_offline_data.o .debug_frame 0x0000000000000214 0x64 ./system/src/umb_master/umb_0x26_status.o @@ -18846,134 +18983,136 @@ OUTPUT(ParaTNC.elf elf32-littlearm) .debug_frame 0x00000000000019c8 0x90 ./system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o .debug_frame 0x0000000000001a58 0x78 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o .debug_frame 0x0000000000001ad0 0x5c ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o - .debug_frame 0x0000000000001b2c 0x244 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o - .debug_frame 0x0000000000001d70 0x258 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o - .debug_frame 0x0000000000001fc8 0x7c ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o - .debug_frame 0x0000000000002044 0x15c ./system/src/modbus_rtu/rtu_getters.o - .debug_frame 0x00000000000021a0 0x3c ./system/src/modbus_rtu/rtu_parser.o - .debug_frame 0x00000000000021dc 0x38 ./system/src/modbus_rtu/rtu_request.o - .debug_frame 0x0000000000002214 0xe4 ./system/src/modbus_rtu/rtu_serial_io.o - .debug_frame 0x00000000000022f8 0x6c ./system/src/drivers/l4/flash_stm32l4x.o - .debug_frame 0x0000000000002364 0x1b8 ./system/src/drivers/l4/serial_stm32l4x.o - .debug_frame 0x000000000000251c 0xd8 ./system/src/drivers/analog_anemometer.o - .debug_frame 0x00000000000025f4 0x138 ./system/src/drivers/bme280.o - .debug_frame 0x000000000000272c 0x144 ./system/src/drivers/dallas.o - .debug_frame 0x0000000000002870 0x30 ./system/src/drivers/dma_helper_functions.o - .debug_frame 0x00000000000028a0 0x1d8 ./system/src/drivers/ms5611.o - .debug_frame 0x0000000000002a78 0x168 ./system/src/davis_vantage/davis.o - .debug_frame 0x0000000000002be0 0xb8 ./system/src/davis_vantage/davis_parsers.o - .debug_frame 0x0000000000002c98 0xa0 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o - .debug_frame 0x0000000000002d38 0x30 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o - .debug_frame 0x0000000000002d68 0x40 ./system/src/aprs/adc.o - .debug_frame 0x0000000000002da8 0x160 ./system/src/aprs/afsk.o - .debug_frame 0x0000000000002f08 0x30 ./system/src/aprs/afsk_pr.o - .debug_frame 0x0000000000002f38 0x1d4 ./system/src/aprs/ax25.o - .debug_frame 0x000000000000310c 0x6c ./system/src/aprs/beacon.o - .debug_frame 0x0000000000003178 0x40 ./system/src/aprs/crc.o - .debug_frame 0x00000000000031b8 0x68 ./system/src/aprs/dac.o - .debug_frame 0x0000000000003220 0xc0 ./system/src/aprs/digi.o - .debug_frame 0x00000000000032e0 0x148 ./system/src/aprs/telemetry.o - .debug_frame 0x0000000000003428 0xc0 ./system/src/aprs/wx.o - .debug_frame 0x00000000000034e8 0x184 ./src/KissCommunication.o - .debug_frame 0x000000000000366c 0x48 ./src/LedConfig.o - .debug_frame 0x00000000000036b4 0x30 ./src/PathConfig.o - .debug_frame 0x00000000000036e4 0x2c ./src/TimerConfig.o - .debug_frame 0x0000000000003710 0xe8 ./src/configuration_handler.o - .debug_frame 0x00000000000037f8 0x78 ./src/delay.o - .debug_frame 0x0000000000003870 0x50 ./src/float_to_string.o - .debug_frame 0x00000000000038c0 0x6c ./src/io.o - .debug_frame 0x000000000000392c 0x12c ./src/it_handlers.o - .debug_frame 0x0000000000003a58 0x68 ./src/main.o - .debug_frame 0x0000000000003ac0 0x40 ./src/packet_tx_handler.o - .debug_frame 0x0000000000003b00 0x20 ./src/rte_rtu.o - .debug_frame 0x0000000000003b20 0x40 ./src/rte_wx.o - .debug_frame 0x0000000000003b60 0xf8 ./src/wx_handler.o - .debug_frame 0x0000000000003c58 0x48 ./src/wx_handler_humidity.o - .debug_frame 0x0000000000003ca0 0x64 ./src/wx_handler_pressure.o - .debug_frame 0x0000000000003d04 0x80 ./src/wx_handler_temperature.o - .debug_frame 0x0000000000003d84 0x3c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_cos.o) - .debug_frame 0x0000000000003dc0 0x3c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_sin.o) - .debug_frame 0x0000000000003dfc 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_cos.o) - .debug_frame 0x0000000000003e30 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_round.o) - .debug_frame 0x0000000000003e64 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_sin.o) - .debug_frame 0x0000000000003e98 0x50 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-w_pow.o) - .debug_frame 0x0000000000003ee8 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-wf_atan2.o) - .debug_frame 0x0000000000003f08 0x68 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_pow.o) - .debug_frame 0x0000000000003f70 0x44 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_rem_pio2.o) - .debug_frame 0x0000000000003fb4 0x30 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_sqrt.o) - .debug_frame 0x0000000000003fe4 0x3c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_atan2.o) - .debug_frame 0x0000000000004020 0x3c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_rem_pio2.o) - .debug_frame 0x000000000000405c 0x6c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_cos.o) - .debug_frame 0x00000000000040c8 0x58 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_rem_pio2.o) - .debug_frame 0x0000000000004120 0x68 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_sin.o) - .debug_frame 0x0000000000004188 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_cos.o) - .debug_frame 0x00000000000041a8 0x5c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_rem_pio2.o) - .debug_frame 0x0000000000004204 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_sin.o) - .debug_frame 0x0000000000004224 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_fabs.o) - .debug_frame 0x0000000000004244 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_finite.o) - .debug_frame 0x0000000000004264 0x30 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_floor.o) - .debug_frame 0x0000000000004294 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_matherr.o) - .debug_frame 0x00000000000042b4 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_nan.o) - .debug_frame 0x00000000000042d4 0x38 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_rint.o) - .debug_frame 0x000000000000430c 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_scalbn.o) - .debug_frame 0x0000000000004338 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_atan.o) - .debug_frame 0x0000000000004364 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_fabs.o) - .debug_frame 0x0000000000004384 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_floor.o) - .debug_frame 0x00000000000043a4 0x44 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_scalbn.o) - .debug_frame 0x00000000000043e8 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_copysign.o) - .debug_frame 0x0000000000004408 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_copysign.o) - .debug_frame 0x0000000000004428 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-errno.o) - .debug_frame 0x0000000000004448 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-init.o) - .debug_frame 0x0000000000004474 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memcmp.o) - .debug_frame 0x00000000000044a0 0x28 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memcpy-stub.o) - .debug_frame 0x00000000000044c8 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memset.o) - .debug_frame 0x00000000000044e8 0x8c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_float.o) - .debug_frame 0x0000000000004574 0x60 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_i.o) - .debug_frame 0x00000000000045d4 0x7c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-snprintf.o) - .debug_frame 0x0000000000004650 0x6c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sprintf.o) - .debug_frame 0x00000000000046bc 0x80 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sscanf.o) - .debug_frame 0x000000000000473c 0x88 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-stdio.o) - .debug_frame 0x00000000000047c4 0x28 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcat.o) - .debug_frame 0x00000000000047ec 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcmp.o) - .debug_frame 0x000000000000480c 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcpy.o) - .debug_frame 0x000000000000482c 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strncpy.o) - .debug_frame 0x0000000000004858 0x28 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strnlen.o) - .debug_frame 0x0000000000004880 0x38 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtok.o) - .debug_frame 0x00000000000048b8 0x40 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtok_r.o) - .debug_frame 0x00000000000048f8 0x84 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtol.o) - .debug_frame 0x000000000000497c 0x70 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-dtoa.o) - .debug_frame 0x00000000000049ec 0x68 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-locale.o) - .debug_frame 0x0000000000004a54 0x40 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-localeconv.o) - .debug_frame 0x0000000000004a94 0x30 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-malloc.o) - .debug_frame 0x0000000000004ac4 0x48 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mbtowc_r.o) - .debug_frame 0x0000000000004b0c 0x244 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) - .debug_frame 0x0000000000004d50 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-callocr.o) - .debug_frame 0x0000000000004d7c 0x38 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-freer.o) - .debug_frame 0x0000000000004db4 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-mallocr.o) - .debug_frame 0x0000000000004de0 0x90 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfprintf.o) - .debug_frame 0x0000000000004e70 0x78 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfscanf.o) - .debug_frame 0x0000000000004ee8 0x60 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfscanf_i.o) - .debug_frame 0x0000000000004f48 0x5c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-reent.o) - .debug_frame 0x0000000000004fa4 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sbrkr.o) - .debug_frame 0x0000000000004fd0 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sccl.o) - .debug_frame 0x0000000000004ffc 0x84 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtoul.o) - .debug_frame 0x0000000000005080 0x5c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-ungetc.o) - .debug_frame 0x00000000000050dc 0x3c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-wctomb_r.o) - .debug_frame 0x0000000000005118 0x11c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-findfp.o) - .debug_frame 0x0000000000005234 0x28 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memmove.o) - .debug_frame 0x000000000000525c 0x30 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mlock.o) - .debug_frame 0x000000000000528c 0x3c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-reallocr.o) - .debug_frame 0x00000000000052c8 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-msizer.o) - .debug_frame 0x00000000000052e8 0xac /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_addsubdf3.o) - .debug_frame 0x0000000000005394 0x50 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_muldivdf3.o) - .debug_frame 0x00000000000053e4 0xc4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_cmpdf2.o) - .debug_frame 0x00000000000054a8 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_unorddf2.o) - .debug_frame 0x00000000000054c8 0x24 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_fixdfsi.o) - .debug_frame 0x00000000000054ec 0x24 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_truncdfsf2.o) - .debug_frame 0x0000000000005510 0x38 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_fixdfdi.o) - .debug_frame 0x0000000000005548 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_fixunsdfdi.o) - .debug_frame 0x0000000000005574 0x24 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_fixunsdfsi.o) - .debug_frame 0x0000000000005598 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libnosys.a(sbrk.o) + .debug_frame 0x0000000000001b2c 0x4c ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o + .debug_frame 0x0000000000001b78 0x244 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o + .debug_frame 0x0000000000001dbc 0x258 ./system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o + .debug_frame 0x0000000000002014 0x7c ./system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o + .debug_frame 0x0000000000002090 0x15c ./system/src/modbus_rtu/rtu_getters.o + .debug_frame 0x00000000000021ec 0x3c ./system/src/modbus_rtu/rtu_parser.o + .debug_frame 0x0000000000002228 0x38 ./system/src/modbus_rtu/rtu_request.o + .debug_frame 0x0000000000002260 0xe4 ./system/src/modbus_rtu/rtu_serial_io.o + .debug_frame 0x0000000000002344 0x50 ./system/src/drivers/l4/flash_stm32l4x.o + .debug_frame 0x0000000000002394 0x11c ./system/src/drivers/l4/i2c_stm32l4x.o + .debug_frame 0x00000000000024b0 0x1b8 ./system/src/drivers/l4/serial_stm32l4x.o + .debug_frame 0x0000000000002668 0xd8 ./system/src/drivers/analog_anemometer.o + .debug_frame 0x0000000000002740 0x138 ./system/src/drivers/bme280.o + .debug_frame 0x0000000000002878 0x144 ./system/src/drivers/dallas.o + .debug_frame 0x00000000000029bc 0x30 ./system/src/drivers/dma_helper_functions.o + .debug_frame 0x00000000000029ec 0x1d8 ./system/src/drivers/ms5611.o + .debug_frame 0x0000000000002bc4 0x168 ./system/src/davis_vantage/davis.o + .debug_frame 0x0000000000002d2c 0xb8 ./system/src/davis_vantage/davis_parsers.o + .debug_frame 0x0000000000002de4 0x90 ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o + .debug_frame 0x0000000000002e74 0x30 ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o + .debug_frame 0x0000000000002ea4 0x40 ./system/src/aprs/adc.o + .debug_frame 0x0000000000002ee4 0x160 ./system/src/aprs/afsk.o + .debug_frame 0x0000000000003044 0x30 ./system/src/aprs/afsk_pr.o + .debug_frame 0x0000000000003074 0x1d4 ./system/src/aprs/ax25.o + .debug_frame 0x0000000000003248 0x6c ./system/src/aprs/beacon.o + .debug_frame 0x00000000000032b4 0x40 ./system/src/aprs/crc.o + .debug_frame 0x00000000000032f4 0x68 ./system/src/aprs/dac.o + .debug_frame 0x000000000000335c 0xc0 ./system/src/aprs/digi.o + .debug_frame 0x000000000000341c 0x148 ./system/src/aprs/telemetry.o + .debug_frame 0x0000000000003564 0xc0 ./system/src/aprs/wx.o + .debug_frame 0x0000000000003624 0x184 ./src/KissCommunication.o + .debug_frame 0x00000000000037a8 0x48 ./src/LedConfig.o + .debug_frame 0x00000000000037f0 0x30 ./src/PathConfig.o + .debug_frame 0x0000000000003820 0x2c ./src/TimerConfig.o + .debug_frame 0x000000000000384c 0xe8 ./src/configuration_handler.o + .debug_frame 0x0000000000003934 0x78 ./src/delay.o + .debug_frame 0x00000000000039ac 0x50 ./src/float_to_string.o + .debug_frame 0x00000000000039fc 0x6c ./src/io.o + .debug_frame 0x0000000000003a68 0x12c ./src/it_handlers.o + .debug_frame 0x0000000000003b94 0x68 ./src/main.o + .debug_frame 0x0000000000003bfc 0x40 ./src/packet_tx_handler.o + .debug_frame 0x0000000000003c3c 0x20 ./src/rte_rtu.o + .debug_frame 0x0000000000003c5c 0x40 ./src/rte_wx.o + .debug_frame 0x0000000000003c9c 0xf8 ./src/wx_handler.o + .debug_frame 0x0000000000003d94 0x48 ./src/wx_handler_humidity.o + .debug_frame 0x0000000000003ddc 0x64 ./src/wx_handler_pressure.o + .debug_frame 0x0000000000003e40 0x80 ./src/wx_handler_temperature.o + .debug_frame 0x0000000000003ec0 0x3c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_cos.o) + .debug_frame 0x0000000000003efc 0x3c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_sin.o) + .debug_frame 0x0000000000003f38 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_cos.o) + .debug_frame 0x0000000000003f6c 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_round.o) + .debug_frame 0x0000000000003fa0 0x34 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_sin.o) + .debug_frame 0x0000000000003fd4 0x50 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-w_pow.o) + .debug_frame 0x0000000000004024 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-wf_atan2.o) + .debug_frame 0x0000000000004044 0x68 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_pow.o) + .debug_frame 0x00000000000040ac 0x44 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_rem_pio2.o) + .debug_frame 0x00000000000040f0 0x30 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-e_sqrt.o) + .debug_frame 0x0000000000004120 0x3c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_atan2.o) + .debug_frame 0x000000000000415c 0x3c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-ef_rem_pio2.o) + .debug_frame 0x0000000000004198 0x6c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_cos.o) + .debug_frame 0x0000000000004204 0x58 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_rem_pio2.o) + .debug_frame 0x000000000000425c 0x68 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-k_sin.o) + .debug_frame 0x00000000000042c4 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_cos.o) + .debug_frame 0x00000000000042e4 0x5c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_rem_pio2.o) + .debug_frame 0x0000000000004340 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-kf_sin.o) + .debug_frame 0x0000000000004360 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_fabs.o) + .debug_frame 0x0000000000004380 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_finite.o) + .debug_frame 0x00000000000043a0 0x30 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_floor.o) + .debug_frame 0x00000000000043d0 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_matherr.o) + .debug_frame 0x00000000000043f0 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_nan.o) + .debug_frame 0x0000000000004410 0x38 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_rint.o) + .debug_frame 0x0000000000004448 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_scalbn.o) + .debug_frame 0x0000000000004474 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_atan.o) + .debug_frame 0x00000000000044a0 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_fabs.o) + .debug_frame 0x00000000000044c0 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_floor.o) + .debug_frame 0x00000000000044e0 0x44 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_scalbn.o) + .debug_frame 0x0000000000004524 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-s_copysign.o) + .debug_frame 0x0000000000004544 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_copysign.o) + .debug_frame 0x0000000000004564 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-errno.o) + .debug_frame 0x0000000000004584 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-init.o) + .debug_frame 0x00000000000045b0 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memcmp.o) + .debug_frame 0x00000000000045dc 0x28 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memcpy-stub.o) + .debug_frame 0x0000000000004604 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memset.o) + .debug_frame 0x0000000000004624 0x8c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_float.o) + .debug_frame 0x00000000000046b0 0x60 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfprintf_i.o) + .debug_frame 0x0000000000004710 0x7c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-snprintf.o) + .debug_frame 0x000000000000478c 0x6c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sprintf.o) + .debug_frame 0x00000000000047f8 0x80 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sscanf.o) + .debug_frame 0x0000000000004878 0x88 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-stdio.o) + .debug_frame 0x0000000000004900 0x28 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcat.o) + .debug_frame 0x0000000000004928 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcmp.o) + .debug_frame 0x0000000000004948 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strcpy.o) + .debug_frame 0x0000000000004968 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strncpy.o) + .debug_frame 0x0000000000004994 0x28 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strnlen.o) + .debug_frame 0x00000000000049bc 0x38 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtok.o) + .debug_frame 0x00000000000049f4 0x40 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtok_r.o) + .debug_frame 0x0000000000004a34 0x84 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtol.o) + .debug_frame 0x0000000000004ab8 0x70 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-dtoa.o) + .debug_frame 0x0000000000004b28 0x68 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-locale.o) + .debug_frame 0x0000000000004b90 0x40 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-localeconv.o) + .debug_frame 0x0000000000004bd0 0x30 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-malloc.o) + .debug_frame 0x0000000000004c00 0x48 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mbtowc_r.o) + .debug_frame 0x0000000000004c48 0x244 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mprec.o) + .debug_frame 0x0000000000004e8c 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-callocr.o) + .debug_frame 0x0000000000004eb8 0x38 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-freer.o) + .debug_frame 0x0000000000004ef0 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-mallocr.o) + .debug_frame 0x0000000000004f1c 0x90 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfprintf.o) + .debug_frame 0x0000000000004fac 0x78 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-svfscanf.o) + .debug_frame 0x0000000000005024 0x60 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-vfscanf_i.o) + .debug_frame 0x0000000000005084 0x5c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-reent.o) + .debug_frame 0x00000000000050e0 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sbrkr.o) + .debug_frame 0x000000000000510c 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-sccl.o) + .debug_frame 0x0000000000005138 0x84 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-strtoul.o) + .debug_frame 0x00000000000051bc 0x5c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-ungetc.o) + .debug_frame 0x0000000000005218 0x3c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-wctomb_r.o) + .debug_frame 0x0000000000005254 0x11c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-findfp.o) + .debug_frame 0x0000000000005370 0x28 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-memmove.o) + .debug_frame 0x0000000000005398 0x30 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-mlock.o) + .debug_frame 0x00000000000053c8 0x3c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-reallocr.o) + .debug_frame 0x0000000000005404 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-nano-msizer.o) + .debug_frame 0x0000000000005424 0xac /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_addsubdf3.o) + .debug_frame 0x00000000000054d0 0x50 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_muldivdf3.o) + .debug_frame 0x0000000000005520 0xc4 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_cmpdf2.o) + .debug_frame 0x00000000000055e4 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_unorddf2.o) + .debug_frame 0x0000000000005604 0x24 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_fixdfsi.o) + .debug_frame 0x0000000000005628 0x24 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_truncdfsf2.o) + .debug_frame 0x000000000000564c 0x38 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_fixdfdi.o) + .debug_frame 0x0000000000005684 0x2c /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_fixunsdfdi.o) + .debug_frame 0x00000000000056b0 0x24 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/thumb/v7e-m/fpv4-sp/hard/libgcc.a(_arm_fixunsdfsi.o) + .debug_frame 0x00000000000056d4 0x20 /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libnosys.a(sbrk.o) .stabstr 0x0000000000000000 0xdf .stabstr 0x0000000000000000 0xdf /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libnosys.a(close.o) @@ -19025,9 +19164,6 @@ CAN1_RX1_IRQHandler ./system/src/cmsis/stm32l4xx/s CAN1_SCE_IRQHandler ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o CAN1_TX_IRQHandler ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o COMP_IRQHandler ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o -CRC_CalcBlockCRC ./src/configuration_handler.o -CRC_CalcCRC ./src/configuration_handler.o -CRC_ResetDR ./src/configuration_handler.o CalcQNHFromQFE ./system/src/drivers/ms5611.o ConfigPath ./src/PathConfig.o ./src/main.o @@ -19087,10 +19223,12 @@ FLASH_FlushCaches ./system/src/stm32l4-hal-drive FLASH_GetBank1Status ./system/src/drivers/l4/flash_stm32l4x.o ./src/configuration_handler.o FLASH_IRQHandler ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o -FLASH_Lock ./src/configuration_handler.o +FLASH_Lock ./system/src/drivers/l4/flash_stm32l4x.o + ./src/configuration_handler.o FLASH_PageErase ./system/src/stm32l4-hal-driver/stm32l4xx_hal_flash_ex.o ./system/src/stm32l4-hal-driver/stm32l4xx_hal_flash.o -FLASH_Unlock ./src/configuration_handler.o +FLASH_Unlock ./system/src/drivers/l4/flash_stm32l4x.o + ./src/configuration_handler.o FLASH_WaitForLastOperation ./system/src/stm32l4-hal-driver/stm32l4xx_hal_flash.o ./system/src/stm32l4-hal-driver/stm32l4xx_hal_flash_ex.o FMC_IRQHandler ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o @@ -19779,8 +19917,6 @@ I2C2_ER_IRQHandler ./system/src/cmsis/stm32l4xx/s I2C2_EV_IRQHandler ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o I2C3_ER_IRQHandler ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o I2C3_EV_IRQHandler ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o -IS_FLASH_PAGE ./system/src/drivers/l4/flash_stm32l4x.o -IWDG_ReloadCounter ./src/main.o LL_ADC_CommonDeInit ./system/src/stm32l4-hal-driver/stm32l4xx_ll_adc.o LL_ADC_CommonInit ./system/src/stm32l4-hal-driver/stm32l4xx_ll_adc.o LL_ADC_CommonStructInit ./system/src/stm32l4-hal-driver/stm32l4xx_ll_adc.o @@ -19818,7 +19954,9 @@ LL_GPIO_Init ./system/src/stm32l4-hal-drive LL_GPIO_StructInit ./system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o LL_I2C_DeInit ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o LL_I2C_Init ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o + ./system/src/drivers/l4/i2c_stm32l4x.o LL_I2C_StructInit ./system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o + ./system/src/drivers/l4/i2c_stm32l4x.o LL_Init1msTick ./system/src/stm32l4-hal-driver/stm32l4xx_ll_utils.o LL_LPTIM_DeInit ./system/src/stm32l4-hal-driver/stm32l4xx_ll_lptim.o LL_LPTIM_Disable ./system/src/stm32l4-hal-driver/stm32l4xx_ll_lptim.o @@ -19949,7 +20087,7 @@ SendWXFrameToBuffer ./system/src/aprs/wx.o SensorCalData ./system/src/drivers/ms5611.o ./src/main.o SensorDT ./system/src/drivers/ms5611.o -SysTick_Handler ./system/src/cmsis/stm32l4xx/stm32l4xx_it.o +SysTick_Handler ./src/it_handlers.o ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o SystemCoreClock ./system/src/cmsis/stm32l4xx/system_stm32l4xx.o ./system/src/stm32l4-hal-driver/stm32l4xx_ll_utils.o @@ -20500,7 +20638,6 @@ analog_anemometer_timer_has_been_fired ./system/src/drivers/analog_an analog_anemometer_timer_irq ./system/src/drivers/analog_anemometer.o ./src/it_handlers.o analog_anemometer_windspeed_pulses_time ./system/src/drivers/analog_anemometer.o -assert_param ./system/src/drivers/l4/flash_stm32l4x.o atan2f /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-wf_atan2.o) ./src/wx_handler.o atanf /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libm.a(lib_a-sf_atan.o) @@ -20754,18 +20891,40 @@ floorf /usr/local/bin/gcc-arm-none-ea free /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/libc_nano.a(lib_a-malloc.o) g_pfnVectors ./system/src/cmsis/stm32l4xx/startup_stm32l471xx.o hardware_init_hook /usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/bin/../lib/gcc/arm-none-eabi/7.3.1/../../../../arm-none-eabi/lib/thumb/v7e-m/fpv4-sp/hard/crt0.o -i2cConfigure ./src/main.o -i2cErrIrqHandler ./src/it_handlers.o -i2cIrqHandler ./src/it_handlers.o -i2cKeepTimeout ./src/it_handlers.o -i2c_receive_data ./system/src/drivers/ms5611.o +i2cConfigure ./system/src/drivers/l4/i2c_stm32l4x.o + ./src/main.o +i2cErrIrqHandler ./system/src/drivers/l4/i2c_stm32l4x.o + ./src/it_handlers.o +i2cIrqHandler ./system/src/drivers/l4/i2c_stm32l4x.o + ./src/it_handlers.o +i2cKeepTimeout ./system/src/drivers/l4/i2c_stm32l4x.o + ./src/it_handlers.o +i2cReinit ./system/src/drivers/l4/i2c_stm32l4x.o +i2cStart ./system/src/drivers/l4/i2c_stm32l4x.o +i2cStartTime ./system/src/drivers/l4/i2c_stm32l4x.o +i2cStop ./system/src/drivers/l4/i2c_stm32l4x.o +i2cVariableReset ./system/src/drivers/l4/i2c_stm32l4x.o +i2c_done ./system/src/drivers/l4/i2c_stm32l4x.o +i2c_error_counter ./system/src/drivers/l4/i2c_stm32l4x.o +i2c_receive_data ./system/src/drivers/l4/i2c_stm32l4x.o + ./system/src/drivers/ms5611.o ./system/src/drivers/bme280.o -i2c_rx_data ./system/src/drivers/ms5611.o +i2c_remote_addr ./system/src/drivers/l4/i2c_stm32l4x.o +i2c_rx_bytes_number ./system/src/drivers/l4/i2c_stm32l4x.o +i2c_rx_data ./system/src/drivers/l4/i2c_stm32l4x.o + ./system/src/drivers/ms5611.o ./system/src/drivers/bme280.o -i2c_send_data ./system/src/drivers/ms5611.o +i2c_rxing ./system/src/drivers/l4/i2c_stm32l4x.o +i2c_send_data ./system/src/drivers/l4/i2c_stm32l4x.o + ./system/src/drivers/ms5611.o ./system/src/drivers/bme280.o -i2c_state ./system/src/drivers/ms5611.o +i2c_state ./system/src/drivers/l4/i2c_stm32l4x.o + ./system/src/drivers/ms5611.o ./system/src/drivers/bme280.o +i2c_trx_data_counter ./system/src/drivers/l4/i2c_stm32l4x.o +i2c_tx_data ./system/src/drivers/l4/i2c_stm32l4x.o +i2c_tx_queue_len ./system/src/drivers/l4/i2c_stm32l4x.o +i2c_txing ./system/src/drivers/l4/i2c_stm32l4x.o io_ext_watchdog_config ./src/io.o ./src/main.o io_ext_watchdog_service ./src/io.o @@ -20904,6 +21063,7 @@ master_time ./src/main.o ./system/src/davis_vantage/davis.o ./system/src/drivers/analog_anemometer.o ./system/src/drivers/l4/serial_stm32l4x.o + ./system/src/drivers/l4/i2c_stm32l4x.o ./system/src/modbus_rtu/rtu_serial_io.o ./system/src/modbus_rtu/rtu_getters.o ./system/src/umb_master/umb_0x26_status.o diff --git a/STM32L476_ParaMETEO/src/KissCommunication.o.lst b/STM32L476_ParaMETEO/src/KissCommunication.o.lst index 6ccadb2..b4988df 100644 --- a/STM32L476_ParaMETEO/src/KissCommunication.o.lst +++ b/STM32L476_ParaMETEO/src/KissCommunication.o.lst @@ -1108,28 +1108,28 @@ 819 .file 15 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/string.h" DEFINED SYMBOLS *ABS*:0000000000000000 KissCommunication.c - /tmp/ccwioUU6.s:18 .text.updcrc_ccitt:0000000000000000 $t - /tmp/ccwioUU6.s:24 .text.updcrc_ccitt:0000000000000000 updcrc_ccitt - /tmp/ccwioUU6.s:45 .text.updcrc_ccitt:0000000000000010 $d - /tmp/ccwioUU6.s:50 .text.SendKISSToHost:0000000000000000 $t - /tmp/ccwioUU6.s:57 .text.SendKISSToHost:0000000000000000 SendKISSToHost - /tmp/ccwioUU6.s:186 .text.ParseReceivedKISS:0000000000000000 $t - /tmp/ccwioUU6.s:193 .text.ParseReceivedKISS:0000000000000000 ParseReceivedKISS - /tmp/ccwioUU6.s:339 .text.ParseReceivedKISS:0000000000000084 $d + /tmp/cc8Vdu08.s:18 .text.updcrc_ccitt:0000000000000000 $t + /tmp/cc8Vdu08.s:24 .text.updcrc_ccitt:0000000000000000 updcrc_ccitt + /tmp/cc8Vdu08.s:45 .text.updcrc_ccitt:0000000000000010 $d + /tmp/cc8Vdu08.s:50 .text.SendKISSToHost:0000000000000000 $t + /tmp/cc8Vdu08.s:57 .text.SendKISSToHost:0000000000000000 SendKISSToHost + /tmp/cc8Vdu08.s:186 .text.ParseReceivedKISS:0000000000000000 $t + /tmp/cc8Vdu08.s:193 .text.ParseReceivedKISS:0000000000000000 ParseReceivedKISS + /tmp/cc8Vdu08.s:339 .text.ParseReceivedKISS:0000000000000084 $d *COM*:000000000000012c kiss_buffer - /tmp/ccwioUU6.s:345 .text.kiss_reset_buffer:0000000000000000 $t - /tmp/ccwioUU6.s:352 .text.kiss_reset_buffer:0000000000000000 kiss_reset_buffer - /tmp/ccwioUU6.s:389 .text.kiss_put_char_nocheck:0000000000000000 $t - /tmp/ccwioUU6.s:396 .text.kiss_put_char_nocheck:0000000000000000 kiss_put_char_nocheck - /tmp/ccwioUU6.s:454 .text.kiss_put_char:0000000000000000 $t - /tmp/ccwioUU6.s:461 .text.kiss_put_char:0000000000000000 kiss_put_char - /tmp/ccwioUU6.s:604 .text.kiss_put_call:0000000000000000 $t - /tmp/ccwioUU6.s:611 .text.kiss_put_call:0000000000000000 kiss_put_call - /tmp/ccwioUU6.s:736 .text.kiss_finalize_buffer:0000000000000000 $t - /tmp/ccwioUU6.s:743 .text.kiss_finalize_buffer:0000000000000000 kiss_finalize_buffer - /tmp/ccwioUU6.s:780 .text.kiss_get_buff_ptr:0000000000000000 $t - /tmp/ccwioUU6.s:787 .text.kiss_get_buff_ptr:0000000000000000 kiss_get_buff_ptr - /tmp/ccwioUU6.s:800 .text.kiss_get_buff_ptr:0000000000000004 $d + /tmp/cc8Vdu08.s:345 .text.kiss_reset_buffer:0000000000000000 $t + /tmp/cc8Vdu08.s:352 .text.kiss_reset_buffer:0000000000000000 kiss_reset_buffer + /tmp/cc8Vdu08.s:389 .text.kiss_put_char_nocheck:0000000000000000 $t + /tmp/cc8Vdu08.s:396 .text.kiss_put_char_nocheck:0000000000000000 kiss_put_char_nocheck + /tmp/cc8Vdu08.s:454 .text.kiss_put_char:0000000000000000 $t + /tmp/cc8Vdu08.s:461 .text.kiss_put_char:0000000000000000 kiss_put_char + /tmp/cc8Vdu08.s:604 .text.kiss_put_call:0000000000000000 $t + /tmp/cc8Vdu08.s:611 .text.kiss_put_call:0000000000000000 kiss_put_call + /tmp/cc8Vdu08.s:736 .text.kiss_finalize_buffer:0000000000000000 $t + /tmp/cc8Vdu08.s:743 .text.kiss_finalize_buffer:0000000000000000 kiss_finalize_buffer + /tmp/cc8Vdu08.s:780 .text.kiss_get_buff_ptr:0000000000000000 $t + /tmp/cc8Vdu08.s:787 .text.kiss_get_buff_ptr:0000000000000000 kiss_get_buff_ptr + /tmp/cc8Vdu08.s:800 .text.kiss_get_buff_ptr:0000000000000004 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.config.h.2.b965ce3e758b9658e1093b983de98cf3 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f diff --git a/STM32L476_ParaMETEO/src/LedConfig.o.lst b/STM32L476_ParaMETEO/src/LedConfig.o.lst index 2aafb43..24c3884 100644 --- a/STM32L476_ParaMETEO/src/LedConfig.o.lst +++ b/STM32L476_ParaMETEO/src/LedConfig.o.lst @@ -1382,12 +1382,12 @@ 208 .file 7 "../system/include/cmsis/stm32l4xx/device/stm32l471xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 LedConfig.c - /tmp/ccKI80VM.s:18 .text.led_init:0000000000000000 $t - /tmp/ccKI80VM.s:25 .text.led_init:0000000000000000 led_init - /tmp/ccKI80VM.s:89 .text.led_init:0000000000000038 $d - /tmp/ccKI80VM.s:94 .text.led_service_blink:0000000000000000 $t - /tmp/ccKI80VM.s:101 .text.led_service_blink:0000000000000000 led_service_blink - /tmp/ccKI80VM.s:193 .text.led_service_blink:0000000000000070 $d + /tmp/cca6skkH.s:18 .text.led_init:0000000000000000 $t + /tmp/cca6skkH.s:25 .text.led_init:0000000000000000 led_init + /tmp/cca6skkH.s:89 .text.led_init:0000000000000038 $d + /tmp/cca6skkH.s:94 .text.led_service_blink:0000000000000000 $t + /tmp/cca6skkH.s:101 .text.led_service_blink:0000000000000000 led_service_blink + /tmp/cca6skkH.s:193 .text.led_service_blink:0000000000000070 $d *COM*:0000000000000001 led_blinking_led1 *COM*:0000000000000001 led_blinking_led2 .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 diff --git a/STM32L476_ParaMETEO/src/PathConfig.o.lst b/STM32L476_ParaMETEO/src/PathConfig.o.lst index dc8157e..8512034 100644 --- a/STM32L476_ParaMETEO/src/PathConfig.o.lst +++ b/STM32L476_ParaMETEO/src/PathConfig.o.lst @@ -191,10 +191,10 @@ 137 .file 9 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/string.h" DEFINED SYMBOLS *ABS*:0000000000000000 PathConfig.c - /tmp/ccZjGh5q.s:18 .text.ConfigPath:0000000000000000 $t - /tmp/ccZjGh5q.s:25 .text.ConfigPath:0000000000000000 ConfigPath - /tmp/ccZjGh5q.s:112 .text.ConfigPath:0000000000000074 $d - /tmp/ccZjGh5q.s:119 .rodata.ConfigPath.str1.4:0000000000000000 $d + /tmp/cc1JcUKk.s:18 .text.ConfigPath:0000000000000000 $t + /tmp/cc1JcUKk.s:25 .text.ConfigPath:0000000000000000 ConfigPath + /tmp/cc1JcUKk.s:112 .text.ConfigPath:0000000000000074 $d + /tmp/cc1JcUKk.s:119 .rodata.ConfigPath.str1.4:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdbool.h.29.07dce69c3b78884144b7f7bd19483461 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f diff --git a/STM32L476_ParaMETEO/src/TimerConfig.o.lst b/STM32L476_ParaMETEO/src/TimerConfig.o.lst index cedb0e9..322364e 100644 --- a/STM32L476_ParaMETEO/src/TimerConfig.o.lst +++ b/STM32L476_ParaMETEO/src/TimerConfig.o.lst @@ -1898,9 +1898,9 @@ 147 .file 6 "../system/include/stm32l4-hal-driver/stm32l4xx_ll_tim.h" DEFINED SYMBOLS *ABS*:0000000000000000 TimerConfig.c - /tmp/ccTWDIUg.s:18 .text.TimerConfig:0000000000000000 $t - /tmp/ccTWDIUg.s:25 .text.TimerConfig:0000000000000000 TimerConfig - /tmp/ccTWDIUg.s:137 .text.TimerConfig:000000000000008c $d + /tmp/ccXl4dUU.s:18 .text.TimerConfig:0000000000000000 $t + /tmp/ccXl4dUU.s:25 .text.TimerConfig:0000000000000000 TimerConfig + /tmp/ccXl4dUU.s:137 .text.TimerConfig:000000000000008c $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.station_config.h.9.d547169434a316afe56104ac612d2109 .group:0000000000000000 wm4.station_config_target_hw.h.9.a20e080416e80d1cff9c1b51cc464832 diff --git a/STM32L476_ParaMETEO/src/config_data_default.o.lst b/STM32L476_ParaMETEO/src/config_data_default.o.lst index 86a3140..b78d087 100644 --- a/STM32L476_ParaMETEO/src/config_data_default.o.lst +++ b/STM32L476_ParaMETEO/src/config_data_default.o.lst @@ -161,16 +161,16 @@ 159 .file 3 "../src/config_data_default.c" DEFINED SYMBOLS *ABS*:0000000000000000 config_data_default.c - /tmp/ccfJrYhr.s:62 .data.config_data_rtu_default:0000000000000000 config_data_rtu_default - /tmp/ccfJrYhr.s:138 .data.config_data_umb_default:0000000000000000 config_data_umb_default - /tmp/ccfJrYhr.s:150 .data.config_data_wx_sources_default:0000000000000000 config_data_wx_sources_default - /tmp/ccfJrYhr.s:26 .data.config_data_basic_default:0000000000000000 config_data_basic_default - /tmp/ccfJrYhr.s:47 .data.config_data_mode_default:0000000000000000 config_data_mode_default - /tmp/ccfJrYhr.s:23 .data.config_data_basic_default:0000000000000000 $d - /tmp/ccfJrYhr.s:44 .data.config_data_mode_default:0000000000000000 $d - /tmp/ccfJrYhr.s:59 .data.config_data_rtu_default:0000000000000000 $d - /tmp/ccfJrYhr.s:135 .data.config_data_umb_default:0000000000000000 $d - /tmp/ccfJrYhr.s:147 .data.config_data_wx_sources_default:0000000000000000 $d + /tmp/cctDUvua.s:62 .data.config_data_rtu_default:0000000000000000 config_data_rtu_default + /tmp/cctDUvua.s:138 .data.config_data_umb_default:0000000000000000 config_data_umb_default + /tmp/cctDUvua.s:150 .data.config_data_wx_sources_default:0000000000000000 config_data_wx_sources_default + /tmp/cctDUvua.s:26 .data.config_data_basic_default:0000000000000000 config_data_basic_default + /tmp/cctDUvua.s:47 .data.config_data_mode_default:0000000000000000 config_data_mode_default + /tmp/cctDUvua.s:23 .data.config_data_basic_default:0000000000000000 $d + /tmp/cctDUvua.s:44 .data.config_data_mode_default:0000000000000000 $d + /tmp/cctDUvua.s:59 .data.config_data_rtu_default:0000000000000000 $d + /tmp/cctDUvua.s:135 .data.config_data_umb_default:0000000000000000 $d + /tmp/cctDUvua.s:147 .data.config_data_wx_sources_default:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.config_data.h.20.3fa825aa23b2311026266e3d076368dc diff --git a/STM32L476_ParaMETEO/src/config_data_first.o.lst b/STM32L476_ParaMETEO/src/config_data_first.o.lst index 0ab9877..509232a 100644 --- a/STM32L476_ParaMETEO/src/config_data_first.o.lst +++ b/STM32L476_ParaMETEO/src/config_data_first.o.lst @@ -171,20 +171,20 @@ 173 .file 3 "../src/config_data_first.c" DEFINED SYMBOLS *ABS*:0000000000000000 config_data_first.c - /tmp/cc2DJzf5.s:76 .config_section_first.rtu:0000000000000000 config_data_rtu_first - /tmp/cc2DJzf5.s:161 .config_section_first.umb:0000000000000000 config_data_umb_first - /tmp/cc2DJzf5.s:152 .config_section_first.sources:0000000000000000 config_data_wx_sources_first - /tmp/cc2DJzf5.s:34 .config_section_first.basic:0000000000000000 config_data_basic_first - /tmp/cc2DJzf5.s:61 .config_section_first.mode:0000000000000000 config_data_mode_first - /tmp/cc2DJzf5.s:55 .config_section_first.crc:0000000000000000 config_data_crc_val_first - /tmp/cc2DJzf5.s:28 .config_section_first:0000000000000000 config_data_pgm_cntr_first - /tmp/cc2DJzf5.s:25 .config_section_first:0000000000000000 $d - /tmp/cc2DJzf5.s:31 .config_section_first.basic:0000000000000000 $d - /tmp/cc2DJzf5.s:52 .config_section_first.crc:0000000000000000 $d - /tmp/cc2DJzf5.s:58 .config_section_first.mode:0000000000000000 $d - /tmp/cc2DJzf5.s:73 .config_section_first.rtu:0000000000000000 $d - /tmp/cc2DJzf5.s:149 .config_section_first.sources:0000000000000000 $d - /tmp/cc2DJzf5.s:158 .config_section_first.umb:0000000000000000 $d + /tmp/ccjZq3RI.s:76 .config_section_first.rtu:0000000000000000 config_data_rtu_first + /tmp/ccjZq3RI.s:161 .config_section_first.umb:0000000000000000 config_data_umb_first + /tmp/ccjZq3RI.s:152 .config_section_first.sources:0000000000000000 config_data_wx_sources_first + /tmp/ccjZq3RI.s:34 .config_section_first.basic:0000000000000000 config_data_basic_first + /tmp/ccjZq3RI.s:61 .config_section_first.mode:0000000000000000 config_data_mode_first + /tmp/ccjZq3RI.s:55 .config_section_first.crc:0000000000000000 config_data_crc_val_first + /tmp/ccjZq3RI.s:28 .config_section_first:0000000000000000 config_data_pgm_cntr_first + /tmp/ccjZq3RI.s:25 .config_section_first:0000000000000000 $d + /tmp/ccjZq3RI.s:31 .config_section_first.basic:0000000000000000 $d + /tmp/ccjZq3RI.s:52 .config_section_first.crc:0000000000000000 $d + /tmp/ccjZq3RI.s:58 .config_section_first.mode:0000000000000000 $d + /tmp/ccjZq3RI.s:73 .config_section_first.rtu:0000000000000000 $d + /tmp/ccjZq3RI.s:149 .config_section_first.sources:0000000000000000 $d + /tmp/ccjZq3RI.s:158 .config_section_first.umb:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.config_data.h.20.3fa825aa23b2311026266e3d076368dc diff --git a/STM32L476_ParaMETEO/src/config_data_second.o.lst b/STM32L476_ParaMETEO/src/config_data_second.o.lst index 07d33de..1327389 100644 --- a/STM32L476_ParaMETEO/src/config_data_second.o.lst +++ b/STM32L476_ParaMETEO/src/config_data_second.o.lst @@ -171,20 +171,20 @@ 173 .file 3 "../src/config_data_second.c" DEFINED SYMBOLS *ABS*:0000000000000000 config_data_second.c - /tmp/cc0ILQfG.s:76 .config_section_second.rtu:0000000000000000 config_data_rtu_second - /tmp/cc0ILQfG.s:161 .config_section_second.umb:0000000000000000 config_data_umb_second - /tmp/cc0ILQfG.s:152 .config_section_second.sources:0000000000000000 config_data_wx_sources_second - /tmp/cc0ILQfG.s:34 .config_section_second.basic:0000000000000000 config_data_basic_second - /tmp/cc0ILQfG.s:61 .config_section_second.mode:0000000000000000 config_data_mode_second - /tmp/cc0ILQfG.s:55 .config_section_second.crc:0000000000000000 config_data_crc_val_second - /tmp/cc0ILQfG.s:28 .config_section_second:0000000000000000 config_data_pgm_cntr_second - /tmp/cc0ILQfG.s:25 .config_section_second:0000000000000000 $d - /tmp/cc0ILQfG.s:31 .config_section_second.basic:0000000000000000 $d - /tmp/cc0ILQfG.s:52 .config_section_second.crc:0000000000000000 $d - /tmp/cc0ILQfG.s:58 .config_section_second.mode:0000000000000000 $d - /tmp/cc0ILQfG.s:73 .config_section_second.rtu:0000000000000000 $d - /tmp/cc0ILQfG.s:149 .config_section_second.sources:0000000000000000 $d - /tmp/cc0ILQfG.s:158 .config_section_second.umb:0000000000000000 $d + /tmp/ccYUaubn.s:76 .config_section_second.rtu:0000000000000000 config_data_rtu_second + /tmp/ccYUaubn.s:161 .config_section_second.umb:0000000000000000 config_data_umb_second + /tmp/ccYUaubn.s:152 .config_section_second.sources:0000000000000000 config_data_wx_sources_second + /tmp/ccYUaubn.s:34 .config_section_second.basic:0000000000000000 config_data_basic_second + /tmp/ccYUaubn.s:61 .config_section_second.mode:0000000000000000 config_data_mode_second + /tmp/ccYUaubn.s:55 .config_section_second.crc:0000000000000000 config_data_crc_val_second + /tmp/ccYUaubn.s:28 .config_section_second:0000000000000000 config_data_pgm_cntr_second + /tmp/ccYUaubn.s:25 .config_section_second:0000000000000000 $d + /tmp/ccYUaubn.s:31 .config_section_second.basic:0000000000000000 $d + /tmp/ccYUaubn.s:52 .config_section_second.crc:0000000000000000 $d + /tmp/ccYUaubn.s:58 .config_section_second.mode:0000000000000000 $d + /tmp/ccYUaubn.s:73 .config_section_second.rtu:0000000000000000 $d + /tmp/ccYUaubn.s:149 .config_section_second.sources:0000000000000000 $d + /tmp/ccYUaubn.s:158 .config_section_second.umb:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.config_data.h.20.3fa825aa23b2311026266e3d076368dc diff --git a/STM32L476_ParaMETEO/src/configuration_handler.o.lst b/STM32L476_ParaMETEO/src/configuration_handler.o.lst index 56ab911..1ff3b2d 100644 --- a/STM32L476_ParaMETEO/src/configuration_handler.o.lst +++ b/STM32L476_ParaMETEO/src/configuration_handler.o.lst @@ -75,13 +75,182 @@ 29 .cfi_startproc 30 @ args = 0, pretend = 0, frame = 0 31 @ frame_needed = 0, uses_anonymous_args = 0 - 32 0000 38B5 push {r3, r4, r5, lr} - 33 .cfi_def_cfa_offset 16 - 34 .cfi_offset 3, -16 - 35 .cfi_offset 4, -12 - 36 .cfi_offset 5, -8 - 37 .cfi_offset 14, -4 - 38 .LVL0: + 32 @ link register save eliminated. + 33 0000 10B4 push {r4} + 34 .cfi_def_cfa_offset 4 + 35 .cfi_offset 4, -4 + 36 .LVL0: + 37 .LBB22: + 38 .LBB23: + 39 .file 2 "../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h" + 1:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 2:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** ****************************************************************************** + 3:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @file stm32l4xx_ll_crc.h + 4:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @author MCD Application Team + 5:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Header file of CRC LL module. + 6:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** ****************************************************************************** + 7:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @attention + 8:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * + 9:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** *

© Copyright (c) 2017 STMicroelectronics. + 10:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * All rights reserved.

+ 11:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * + 12:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * This software component is licensed by ST under BSD 3-Clause license, + 13:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * the "License"; You may not use this file except in compliance with the + 14:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * License. You may obtain a copy of the License at: + 15:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * opensource.org/licenses/BSD-3-Clause + 16:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * + 17:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** ****************************************************************************** + 18:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 19:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 20:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /* Define to prevent recursive inclusion -------------------------------------*/ + 21:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #ifndef STM32L4xx_LL_CRC_H + 22:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #define STM32L4xx_LL_CRC_H + 23:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 24:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #ifdef __cplusplus + 25:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** extern "C" { + 26:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #endif + 27:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 28:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /* Includes ------------------------------------------------------------------*/ + 29:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #include "stm32l4xx.h" + 30:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 31:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** @addtogroup STM32L4xx_LL_Driver + 32:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @{ + 33:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 34:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 35:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #if defined(CRC) + 36:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 37:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** @defgroup CRC_LL CRC + 38:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @{ + 39:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 40:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 41:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /* Private types -------------------------------------------------------------*/ + 42:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /* Private variables ---------------------------------------------------------*/ + 43:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /* Private constants ---------------------------------------------------------*/ + 44:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /* Private macros ------------------------------------------------------------*/ + 45:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 46:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /* Exported types ------------------------------------------------------------*/ + 47:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /* Exported constants --------------------------------------------------------*/ + 48:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** @defgroup CRC_LL_Exported_Constants CRC Exported Constants + 49:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @{ + 50:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 51:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 52:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** @defgroup CRC_LL_EC_POLYLENGTH Polynomial length + 53:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @{ + 54:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 55:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #define LL_CRC_POLYLENGTH_32B 0x00000000U /*!< 32 bits Po + 56:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #define LL_CRC_POLYLENGTH_16B CRC_CR_POLYSIZE_0 /*!< 16 bits Po + 57:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #define LL_CRC_POLYLENGTH_8B CRC_CR_POLYSIZE_1 /*!< 8 bits Pol + 58:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #define LL_CRC_POLYLENGTH_7B (CRC_CR_POLYSIZE_1 | CRC_CR_POLYSIZE_0) /*!< 7 bits Pol + 59:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 60:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @} + 61:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 62:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 63:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** @defgroup CRC_LL_EC_INDATA_REVERSE Input Data Reverse + 64:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @{ + 65:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 66:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #define LL_CRC_INDATA_REVERSE_NONE 0x00000000U /*!< Input Data + 67:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #define LL_CRC_INDATA_REVERSE_BYTE CRC_CR_REV_IN_0 /*!< Input Data + 68:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #define LL_CRC_INDATA_REVERSE_HALFWORD CRC_CR_REV_IN_1 /*!< Input Data + 69:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #define LL_CRC_INDATA_REVERSE_WORD (CRC_CR_REV_IN_1 | CRC_CR_REV_IN_0) /*!< Input Data + 70:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 71:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @} + 72:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 73:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 74:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** @defgroup CRC_LL_EC_OUTDATA_REVERSE Output Data Reverse + 75:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @{ + 76:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 77:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #define LL_CRC_OUTDATA_REVERSE_NONE 0x00000000U /*!< Output Da + 78:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #define LL_CRC_OUTDATA_REVERSE_BIT CRC_CR_REV_OUT /*!< Output Da + 79:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 80:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @} + 81:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 82:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 83:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** @defgroup CRC_LL_EC_Default_Polynomial_Value Default CRC generating polynomial value + 84:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Normal representation of this polynomial value is + 85:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * X^32 + X^26 + X^23 + X^22 + X^16 + X^12 + X^11 + X^10 +X^8 + X^7 + X^5 + X^4 + X^2 + + 86:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @{ + 87:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 88:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #define LL_CRC_DEFAULT_CRC32_POLY 0x04C11DB7U /*!< Default C + 89:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 90:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @} + 91:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 92:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 93:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** @defgroup CRC_LL_EC_Default_InitValue Default CRC computation initialization value + 94:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @{ + 95:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 96:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #define LL_CRC_DEFAULT_CRC_INITVALUE 0xFFFFFFFFU /*!< Default C + 97:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 98:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @} + 99:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 100:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 101:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 102:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @} + 103:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 104:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 105:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /* Exported macro ------------------------------------------------------------*/ + 106:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** @defgroup CRC_LL_Exported_Macros CRC Exported Macros + 107:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @{ + 108:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 109:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 110:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** @defgroup CRC_LL_EM_WRITE_READ Common Write and read registers Macros + 111:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @{ + 112:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 113:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 114:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 115:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Write a value in CRC register + 116:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param __INSTANCE__ CRC Instance + 117:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param __REG__ Register to be written + 118:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param __VALUE__ Value to be written in the register + 119:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @retval None + 120:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 121:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #define LL_CRC_WriteReg(__INSTANCE__, __REG__, __VALUE__) WRITE_REG(__INSTANCE__->__REG__, __VALUE_ + 122:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 123:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 124:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Read a value in CRC register + 125:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param __INSTANCE__ CRC Instance + 126:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param __REG__ Register to be read + 127:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @retval Register value + 128:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 129:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** #define LL_CRC_ReadReg(__INSTANCE__, __REG__) READ_REG(__INSTANCE__->__REG__) + 130:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 131:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @} + 132:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 133:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 134:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 135:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @} + 136:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 137:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 138:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 139:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /* Exported functions --------------------------------------------------------*/ + 140:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** @defgroup CRC_LL_Exported_Functions CRC Exported Functions + 141:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @{ + 142:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 143:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 144:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** @defgroup CRC_LL_EF_Configuration CRC Configuration functions + 145:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @{ + 146:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 147:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 148:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 149:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Reset the CRC calculation unit. + 150:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @note If Programmable Initial CRC value feature + 151:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * is available, also set the Data Register to the value stored in the + 152:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * CRC_INIT register, otherwise, reset Data Register to its default value. + 153:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @rmtoll CR RESET LL_CRC_ResetCRCCalculationUnit + 154:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param CRCx CRC Instance + 155:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @retval None + 156:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 157:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** __STATIC_INLINE void LL_CRC_ResetCRCCalculationUnit(CRC_TypeDef *CRCx) + 158:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** { + 159:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** SET_BIT(CRCx->CR, CRC_CR_RESET); + 40 .loc 2 159 0 + 41 0002 1D4A ldr r2, .L11 + 42 0004 9368 ldr r3, [r2, #8] + 43 0006 43F00103 orr r3, r3, #1 + 44 000a 9360 str r3, [r2, #8] + 45 .LVL1: + 46 .LBE23: + 47 .LBE22: + 48 .LBB24: 48:../src/configuration_handler.c **** 49:../src/configuration_handler.c **** uint32_t out = 0; 50:../src/configuration_handler.c **** @@ -91,1332 +260,1755 @@ 54:../src/configuration_handler.c **** // calculated CRC value 55:../src/configuration_handler.c **** uint32_t crc_current = 0; 56:../src/configuration_handler.c **** - 57:../src/configuration_handler.c **** // reset CRC engine - 58:../src/configuration_handler.c **** CRC_ResetDR(); - 39 .loc 1 58 0 - 40 0002 FFF7FEFF bl CRC_ResetDR - 41 .LVL1: - 59:../src/configuration_handler.c **** - 60:../src/configuration_handler.c **** // calculate CRC over everything from config_section_first except the last word which constit crc - 61:../src/configuration_handler.c **** CRC_CalcBlockCRC(config_section_first_start, CRC_32B_WORD_OFFSET - 1); - 42 .loc 1 61 0 - 43 0006 114C ldr r4, .L7 - 44 0008 4FF4FF71 mov r1, #510 - 45 000c 2068 ldr r0, [r4] - 46 000e FFF7FEFF bl CRC_CalcBlockCRC - 47 .LVL2: - 62:../src/configuration_handler.c **** - 63:../src/configuration_handler.c **** // add 0x0 as a placeholder for CRC value - 64:../src/configuration_handler.c **** crc_current = CRC_CalcCRC(0x0); - 48 .loc 1 64 0 - 49 0012 0020 movs r0, #0 - 50 0014 FFF7FEFF bl CRC_CalcCRC - 51 .LVL3: - 65:../src/configuration_handler.c **** - 66:../src/configuration_handler.c **** // expected crc is stored in the last 32b word of the configuration section - 67:../src/configuration_handler.c **** crc_expected = *(config_section_first_start + CRC_32B_WORD_OFFSET); - 52 .loc 1 67 0 - 53 0018 2368 ldr r3, [r4] - 54 001a D3F8FC47 ldr r4, [r3, #2044] - 55 .LVL4: - 68:../src/configuration_handler.c **** - 69:../src/configuration_handler.c **** // check if calculated CRC value match value stored in flash memory - 70:../src/configuration_handler.c **** if (crc_expected == crc_current) { - 56 .loc 1 70 0 - 57 001e A042 cmp r0, r4 - 58 0020 0FD0 beq .L4 + 57:../src/configuration_handler.c **** #ifdef STM32F10X_MD_VL + 58:../src/configuration_handler.c **** // reset CRC engine + 59:../src/configuration_handler.c **** CRC_ResetDR(); + 60:../src/configuration_handler.c **** + 61:../src/configuration_handler.c **** // calculate CRC over everything from config_section_first except the last word which constit crc + 62:../src/configuration_handler.c **** CRC_CalcBlockCRC(config_section_first_start, CRC_32B_WORD_OFFSET - 1); + 63:../src/configuration_handler.c **** + 64:../src/configuration_handler.c **** // add 0x0 as a placeholder for CRC value + 65:../src/configuration_handler.c **** crc_current = CRC_CalcCRC(0x0); + 66:../src/configuration_handler.c **** #endif + 67:../src/configuration_handler.c **** + 68:../src/configuration_handler.c **** #ifdef STM32L471xx + 69:../src/configuration_handler.c **** + 70:../src/configuration_handler.c **** // reset CRC engine + 71:../src/configuration_handler.c **** LL_CRC_ResetCRCCalculationUnit(CRC); + 72:../src/configuration_handler.c **** + 73:../src/configuration_handler.c **** for (int i = 0; i < CRC_32B_WORD_OFFSET - 1; i++) { + 49 .loc 1 73 0 + 50 000c 0023 movs r3, #0 + 51 000e 06E0 b .L2 + 52 .LVL2: + 53 .L3: + 74:../src/configuration_handler.c **** // feed the data into CRC engine + 75:../src/configuration_handler.c **** LL_CRC_FeedData32(CRC, *(config_section_first_start + i)); + 54 .loc 1 75 0 discriminator 3 + 55 0010 1A4A ldr r2, .L11+4 + 56 0012 1268 ldr r2, [r2] + 57 0014 52F82310 ldr r1, [r2, r3, lsl #2] + 58 .LVL3: + 59 .LBB25: + 60 .LBB26: + 160:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** } + 161:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 162:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 163:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Configure size of the polynomial. + 164:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @rmtoll CR POLYSIZE LL_CRC_SetPolynomialSize + 165:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param CRCx CRC Instance + 166:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param PolySize This parameter can be one of the following values: + 167:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_POLYLENGTH_32B + 168:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_POLYLENGTH_16B + 169:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_POLYLENGTH_8B + 170:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_POLYLENGTH_7B + 171:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @retval None + 172:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 173:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** __STATIC_INLINE void LL_CRC_SetPolynomialSize(CRC_TypeDef *CRCx, uint32_t PolySize) + 174:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** { + 175:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** MODIFY_REG(CRCx->CR, CRC_CR_POLYSIZE, PolySize); + 176:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** } + 177:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 178:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 179:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Return size of the polynomial. + 180:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @rmtoll CR POLYSIZE LL_CRC_GetPolynomialSize + 181:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param CRCx CRC Instance + 182:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @retval Returned value can be one of the following values: + 183:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_POLYLENGTH_32B + 184:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_POLYLENGTH_16B + 185:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_POLYLENGTH_8B + 186:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_POLYLENGTH_7B + 187:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 188:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** __STATIC_INLINE uint32_t LL_CRC_GetPolynomialSize(CRC_TypeDef *CRCx) + 189:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** { + 190:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** return (uint32_t)(READ_BIT(CRCx->CR, CRC_CR_POLYSIZE)); + 191:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** } + 192:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 193:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 194:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Configure the reversal of the bit order of the input data + 195:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @rmtoll CR REV_IN LL_CRC_SetInputDataReverseMode + 196:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param CRCx CRC Instance + 197:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param ReverseMode This parameter can be one of the following values: + 198:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_INDATA_REVERSE_NONE + 199:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_INDATA_REVERSE_BYTE + 200:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_INDATA_REVERSE_HALFWORD + 201:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_INDATA_REVERSE_WORD + 202:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @retval None + 203:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 204:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** __STATIC_INLINE void LL_CRC_SetInputDataReverseMode(CRC_TypeDef *CRCx, uint32_t ReverseMode) + 205:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** { + 206:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** MODIFY_REG(CRCx->CR, CRC_CR_REV_IN, ReverseMode); + 207:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** } + 208:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 209:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 210:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Return type of reversal for input data bit order + 211:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @rmtoll CR REV_IN LL_CRC_GetInputDataReverseMode + 212:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param CRCx CRC Instance + 213:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @retval Returned value can be one of the following values: + 214:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_INDATA_REVERSE_NONE + 215:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_INDATA_REVERSE_BYTE + 216:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_INDATA_REVERSE_HALFWORD + 217:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_INDATA_REVERSE_WORD + 218:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 219:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** __STATIC_INLINE uint32_t LL_CRC_GetInputDataReverseMode(CRC_TypeDef *CRCx) + 220:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** { + 221:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** return (uint32_t)(READ_BIT(CRCx->CR, CRC_CR_REV_IN)); + 222:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** } + 223:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 224:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 225:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Configure the reversal of the bit order of the Output data + 226:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @rmtoll CR REV_OUT LL_CRC_SetOutputDataReverseMode + 227:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param CRCx CRC Instance + 228:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param ReverseMode This parameter can be one of the following values: + 229:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_OUTDATA_REVERSE_NONE + 230:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_OUTDATA_REVERSE_BIT + 231:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @retval None + 232:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 233:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** __STATIC_INLINE void LL_CRC_SetOutputDataReverseMode(CRC_TypeDef *CRCx, uint32_t ReverseMode) + 234:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** { + 235:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** MODIFY_REG(CRCx->CR, CRC_CR_REV_OUT, ReverseMode); + 236:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** } + 237:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 238:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 239:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Configure the reversal of the bit order of the Output data + 240:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @rmtoll CR REV_OUT LL_CRC_GetOutputDataReverseMode + 241:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param CRCx CRC Instance + 242:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @retval Returned value can be one of the following values: + 243:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_OUTDATA_REVERSE_NONE + 244:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @arg @ref LL_CRC_OUTDATA_REVERSE_BIT + 245:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 246:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** __STATIC_INLINE uint32_t LL_CRC_GetOutputDataReverseMode(CRC_TypeDef *CRCx) + 247:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** { + 248:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** return (uint32_t)(READ_BIT(CRCx->CR, CRC_CR_REV_OUT)); + 249:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** } + 250:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 251:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 252:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Initialize the Programmable initial CRC value. + 253:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @note If the CRC size is less than 32 bits, the least significant bits + 254:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * are used to write the correct value + 255:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @note LL_CRC_DEFAULT_CRC_INITVALUE could be used as value for InitCrc parameter. + 256:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @rmtoll INIT INIT LL_CRC_SetInitialData + 257:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param CRCx CRC Instance + 258:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param InitCrc Value to be programmed in Programmable initial CRC value register + 259:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @retval None + 260:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 261:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** __STATIC_INLINE void LL_CRC_SetInitialData(CRC_TypeDef *CRCx, uint32_t InitCrc) + 262:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** { + 263:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** WRITE_REG(CRCx->INIT, InitCrc); + 264:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** } + 265:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 266:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 267:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Return current Initial CRC value. + 268:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @note If the CRC size is less than 32 bits, the least significant bits + 269:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * are used to read the correct value + 270:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @rmtoll INIT INIT LL_CRC_GetInitialData + 271:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param CRCx CRC Instance + 272:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @retval Value programmed in Programmable initial CRC value register + 273:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 274:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** __STATIC_INLINE uint32_t LL_CRC_GetInitialData(CRC_TypeDef *CRCx) + 275:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** { + 276:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** return (uint32_t)(READ_REG(CRCx->INIT)); + 277:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** } + 278:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 279:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 280:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Initialize the Programmable polynomial value + 281:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * (coefficients of the polynomial to be used for CRC calculation). + 282:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @note LL_CRC_DEFAULT_CRC32_POLY could be used as value for PolynomCoef parameter. + 283:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @note Please check Reference Manual and existing Errata Sheets, + 284:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * regarding possible limitations for Polynomial values usage. + 285:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * For example, for a polynomial of degree 7, X^7 + X^6 + X^5 + X^2 + 1 is written 0x65 + 286:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @rmtoll POL POL LL_CRC_SetPolynomialCoef + 287:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param CRCx CRC Instance + 288:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param PolynomCoef Value to be programmed in Programmable Polynomial value register + 289:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @retval None + 290:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 291:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** __STATIC_INLINE void LL_CRC_SetPolynomialCoef(CRC_TypeDef *CRCx, uint32_t PolynomCoef) + 292:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** { + 293:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** WRITE_REG(CRCx->POL, PolynomCoef); + 294:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** } + 295:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 296:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 297:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Return current Programmable polynomial value + 298:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @note Please check Reference Manual and existing Errata Sheets, + 299:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * regarding possible limitations for Polynomial values usage. + 300:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * For example, for a polynomial of degree 7, X^7 + X^6 + X^5 + X^2 + 1 is written 0x65 + 301:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @rmtoll POL POL LL_CRC_GetPolynomialCoef + 302:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param CRCx CRC Instance + 303:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @retval Value programmed in Programmable Polynomial value register + 304:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 305:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** __STATIC_INLINE uint32_t LL_CRC_GetPolynomialCoef(CRC_TypeDef *CRCx) + 306:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** { + 307:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** return (uint32_t)(READ_REG(CRCx->POL)); + 308:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** } + 309:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 310:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 311:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @} + 312:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 313:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 314:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** @defgroup CRC_LL_EF_Data_Management Data_Management + 315:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @{ + 316:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 317:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** + 318:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** /** + 319:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @brief Write given 32-bit data to the CRC calculator + 320:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @rmtoll DR DR LL_CRC_FeedData32 + 321:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param CRCx CRC Instance + 322:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @param InData value to be provided to CRC calculator between between Min_Data=0 and Max_Data=0 + 323:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** * @retval None + 324:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** */ + 325:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** __STATIC_INLINE void LL_CRC_FeedData32(CRC_TypeDef *CRCx, uint32_t InData) + 326:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** { + 327:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** WRITE_REG(CRCx->DR, InData); + 61 .loc 2 327 0 discriminator 3 + 62 0018 174A ldr r2, .L11 + 63 001a 1160 str r1, [r2] + 64 .LVL4: + 65 .LBE26: + 66 .LBE25: + 73:../src/configuration_handler.c **** // feed the data into CRC engine + 67 .loc 1 73 0 discriminator 3 + 68 001c 0133 adds r3, r3, #1 + 69 .LVL5: + 70 .L2: + 73:../src/configuration_handler.c **** // feed the data into CRC engine + 71 .loc 1 73 0 is_stmt 0 discriminator 1 + 72 001e B3F5FF7F cmp r3, #510 + 73 0022 F5DB blt .L3 + 74 .LBE24: + 76:../src/configuration_handler.c **** } + 77:../src/configuration_handler.c **** + 78:../src/configuration_handler.c **** // placeholder for CRC value itself + 79:../src/configuration_handler.c **** CRC->DR = 0x00; + 75 .loc 1 79 0 is_stmt 1 + 76 0024 144B ldr r3, .L11 + 77 .LVL6: + 78 0026 0022 movs r2, #0 + 79 0028 1A60 str r2, [r3] + 80:../src/configuration_handler.c **** + 81:../src/configuration_handler.c **** crc_current = CRC->DR; + 80 .loc 1 81 0 + 81 002a 1B68 ldr r3, [r3] + 82 .LVL7: + 82:../src/configuration_handler.c **** #endif + 83:../src/configuration_handler.c **** + 84:../src/configuration_handler.c **** // expected crc is stored in the last 32b word of the configuration section + 85:../src/configuration_handler.c **** crc_expected = *(config_section_first_start + CRC_32B_WORD_OFFSET); + 83 .loc 1 85 0 + 84 002c 134A ldr r2, .L11+4 + 85 002e 1268 ldr r2, [r2] + 86 0030 D2F8FC47 ldr r4, [r2, #2044] + 87 .LVL8: + 86:../src/configuration_handler.c **** + 87:../src/configuration_handler.c **** // check if calculated CRC value match value stored in flash memory + 88:../src/configuration_handler.c **** if (crc_expected == crc_current) { + 88 .loc 1 88 0 + 89 0034 A342 cmp r3, r4 + 90 0036 07D0 beq .L8 49:../src/configuration_handler.c **** - 59 .loc 1 49 0 - 60 0022 0025 movs r5, #0 - 61 .L2: - 62 .LVL5: - 71:../src/configuration_handler.c **** out |= 0x01; - 72:../src/configuration_handler.c **** } - 73:../src/configuration_handler.c **** - 74:../src/configuration_handler.c **** // reset the CRC engine - 75:../src/configuration_handler.c **** CRC_ResetDR(); - 63 .loc 1 75 0 - 64 0024 FFF7FEFF bl CRC_ResetDR - 65 .LVL6: - 76:../src/configuration_handler.c **** - 77:../src/configuration_handler.c **** // and do the same but for second section - 78:../src/configuration_handler.c **** CRC_CalcBlockCRC(config_section_second_start, CRC_32B_WORD_OFFSET - 1); - 66 .loc 1 78 0 - 67 0028 4FF4FF71 mov r1, #510 - 68 002c 084B ldr r3, .L7+4 - 69 002e 1868 ldr r0, [r3] - 70 0030 FFF7FEFF bl CRC_CalcBlockCRC - 71 .LVL7: - 79:../src/configuration_handler.c **** - 80:../src/configuration_handler.c **** // add 0x0 as a placeholder for CRC value - 81:../src/configuration_handler.c **** crc_current = CRC_CalcCRC((uint32_t)0x0); - 72 .loc 1 81 0 - 73 0034 0020 movs r0, #0 - 74 0036 FFF7FEFF bl CRC_CalcCRC - 75 .LVL8: - 82:../src/configuration_handler.c **** - 83:../src/configuration_handler.c **** //crc_expected = *__config_section_second_end; - 84:../src/configuration_handler.c **** - 85:../src/configuration_handler.c **** // check if calculated CRC value match value stored in flash memory - 86:../src/configuration_handler.c **** if (crc_expected == crc_current) { - 76 .loc 1 86 0 - 77 003a 8442 cmp r4, r0 - 78 003c 03D0 beq .L6 - 79 .L1: - 87:../src/configuration_handler.c **** out |= 0x02; - 88:../src/configuration_handler.c **** } - 89:../src/configuration_handler.c **** return out; - 90:../src/configuration_handler.c **** } - 80 .loc 1 90 0 - 81 003e 2846 mov r0, r5 - 82 .LVL9: - 83 0040 38BD pop {r3, r4, r5, pc} - 84 .LVL10: - 85 .L4: - 71:../src/configuration_handler.c **** } - 86 .loc 1 71 0 - 87 0042 0125 movs r5, #1 - 88 0044 EEE7 b .L2 - 89 .LVL11: - 90 .L6: - 87:../src/configuration_handler.c **** out |= 0x02; - 91 .loc 1 87 0 - 92 0046 45F00205 orr r5, r5, #2 - 93 .LVL12: - 89:../src/configuration_handler.c **** } - 94 .loc 1 89 0 - 95 004a F8E7 b .L1 - 96 .L8: - 97 .align 2 - 98 .L7: - 99 004c 00000000 .word .LANCHOR0 - 100 0050 00000000 .word .LANCHOR1 - 101 .cfi_endproc - 102 .LFE371: - 104 .section .text.configuration_handler_restore_default_first,"ax",%progbits - 105 .align 1 - 106 .global configuration_handler_restore_default_first - 107 .syntax unified - 108 .thumb - 109 .thumb_func - 110 .fpu fpv4-sp-d16 - 112 configuration_handler_restore_default_first: - 113 .LFB372: + 91 .loc 1 49 0 + 92 0038 0020 movs r0, #0 + 93 .L4: + 94 .LVL9: + 95 .LBB27: + 96 .LBB28: + 159:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** } + 97 .loc 2 159 0 + 98 003a 0F4A ldr r2, .L11 + 99 003c 9368 ldr r3, [r2, #8] + 100 .LVL10: + 101 003e 43F00103 orr r3, r3, #1 + 102 0042 9360 str r3, [r2, #8] + 103 .LVL11: + 104 .LBE28: + 105 .LBE27: + 106 .LBB29: + 89:../src/configuration_handler.c **** out |= 0x01; + 90:../src/configuration_handler.c **** } 91:../src/configuration_handler.c **** - 92:../src/configuration_handler.c **** uint32_t configuration_handler_restore_default_first(void) { - 114 .loc 1 92 0 - 115 .cfi_startproc - 116 @ args = 0, pretend = 0, frame = 0 - 117 @ frame_needed = 0, uses_anonymous_args = 0 - 118 0000 2DE9F843 push {r3, r4, r5, r6, r7, r8, r9, lr} - 119 .cfi_def_cfa_offset 32 - 120 .cfi_offset 3, -32 - 121 .cfi_offset 4, -28 - 122 .cfi_offset 5, -24 - 123 .cfi_offset 6, -20 - 124 .cfi_offset 7, -16 - 125 .cfi_offset 8, -12 - 126 .cfi_offset 9, -8 - 127 .cfi_offset 14, -4 - 128 .LVL13: - 93:../src/configuration_handler.c **** - 94:../src/configuration_handler.c **** uint32_t out = 0; + 92:../src/configuration_handler.c **** #ifdef STM32F10X_MD_VL + 93:../src/configuration_handler.c **** // reset the CRC engine + 94:../src/configuration_handler.c **** CRC_ResetDR(); 95:../src/configuration_handler.c **** - 96:../src/configuration_handler.c **** // loop iterators - 97:../src/configuration_handler.c **** int i = 0; - 98:../src/configuration_handler.c **** int8_t config_struct_it = 0; - 99:../src/configuration_handler.c **** - 100:../src/configuration_handler.c **** // source pointer - 101:../src/configuration_handler.c **** volatile uint16_t * source = 0x00; + 96:../src/configuration_handler.c **** // and do the same but for second section + 97:../src/configuration_handler.c **** CRC_CalcBlockCRC(config_section_second_start, CRC_32B_WORD_OFFSET - 1); + 98:../src/configuration_handler.c **** + 99:../src/configuration_handler.c **** // add 0x0 as a placeholder for CRC value + 100:../src/configuration_handler.c **** crc_current = CRC_CalcCRC((uint32_t)0x0); + 101:../src/configuration_handler.c **** #endif 102:../src/configuration_handler.c **** - 103:../src/configuration_handler.c **** // destination pointer for flash reprogramming - 104:../src/configuration_handler.c **** volatile uint16_t * target = 0x00; - 105:../src/configuration_handler.c **** - 106:../src/configuration_handler.c **** // amount of 16 bit words to copy across the memory - 107:../src/configuration_handler.c **** uint16_t size = 0; - 108:../src/configuration_handler.c **** - 109:../src/configuration_handler.c **** // target region CRC value to be stored in the flash memory - 110:../src/configuration_handler.c **** uint32_t target_crc_value = 0; + 103:../src/configuration_handler.c **** #ifdef STM32L471xx + 104:../src/configuration_handler.c **** // reset CRC engine + 105:../src/configuration_handler.c **** LL_CRC_ResetCRCCalculationUnit(CRC); + 106:../src/configuration_handler.c **** + 107:../src/configuration_handler.c **** for (int i = 0; i < CRC_32B_WORD_OFFSET - 1; i++) { + 107 .loc 1 107 0 + 108 0044 0023 movs r3, #0 + 109 0046 08E0 b .L5 + 110 .LVL12: + 111 .L8: + 112 .LBE29: + 89:../src/configuration_handler.c **** out |= 0x01; + 113 .loc 1 89 0 + 114 0048 0120 movs r0, #1 + 115 004a F6E7 b .L4 + 116 .LVL13: + 117 .L6: + 118 .LBB32: + 108:../src/configuration_handler.c **** // feed the data into CRC engine + 109:../src/configuration_handler.c **** LL_CRC_FeedData32(CRC, *(config_section_second_start + i)); + 119 .loc 1 109 0 discriminator 3 + 120 004c 0C4A ldr r2, .L11+8 + 121 004e 1268 ldr r2, [r2] + 122 0050 52F82310 ldr r1, [r2, r3, lsl #2] + 123 .LVL14: + 124 .LBB30: + 125 .LBB31: + 126 .loc 2 327 0 discriminator 3 + 127 0054 084A ldr r2, .L11 + 128 0056 1160 str r1, [r2] + 129 .LVL15: + 130 .LBE31: + 131 .LBE30: + 107:../src/configuration_handler.c **** // feed the data into CRC engine + 132 .loc 1 107 0 discriminator 3 + 133 0058 0133 adds r3, r3, #1 + 134 .LVL16: + 135 .L5: + 107:../src/configuration_handler.c **** // feed the data into CRC engine + 136 .loc 1 107 0 is_stmt 0 discriminator 1 + 137 005a B3F5FF7F cmp r3, #510 + 138 005e F5DB blt .L6 + 139 .LBE32: + 110:../src/configuration_handler.c **** } 111:../src/configuration_handler.c **** - 112:../src/configuration_handler.c **** // flash operation result - 113:../src/configuration_handler.c **** FLASH_Status flash_status = 0; + 112:../src/configuration_handler.c **** // placeholder for CRC value itself + 113:../src/configuration_handler.c **** CRC->DR = 0x00; + 140 .loc 1 113 0 is_stmt 1 + 141 0060 054B ldr r3, .L11 + 142 .LVL17: + 143 0062 0022 movs r2, #0 + 144 0064 1A60 str r2, [r3] 114:../src/configuration_handler.c **** - 115:../src/configuration_handler.c **** int comparision_result = 0; - 116:../src/configuration_handler.c **** - 117:../src/configuration_handler.c **** // unlock flash memory - 118:../src/configuration_handler.c **** FLASH_Unlock(); - 129 .loc 1 118 0 - 130 0004 FFF7FEFF bl FLASH_Unlock - 131 .LVL14: + 115:../src/configuration_handler.c **** crc_current = CRC->DR; + 145 .loc 1 115 0 + 146 0066 1B68 ldr r3, [r3] + 147 .LVL18: + 116:../src/configuration_handler.c **** #endif + 117:../src/configuration_handler.c **** + 118:../src/configuration_handler.c **** //crc_expected = *__config_section_second_end; 119:../src/configuration_handler.c **** - 120:../src/configuration_handler.c **** // erase first page - 121:../src/configuration_handler.c **** flash_status = FLASH_ErasePage((uint32_t)config_section_first_start); - 132 .loc 1 121 0 - 133 0008 414C ldr r4, .L32 - 134 000a 2068 ldr r0, [r4] - 135 000c FFF7FEFF bl FLASH_ErasePage - 136 .LVL15: - 122:../src/configuration_handler.c **** flash_status = FLASH_ErasePage((uint32_t)config_section_first_start + 0x400); - 137 .loc 1 122 0 - 138 0010 2068 ldr r0, [r4] - 139 0012 00F58060 add r0, r0, #1024 - 140 0016 FFF7FEFF bl FLASH_ErasePage - 141 .LVL16: - 142 001a C0B2 uxtb r0, r0 - 143 .LVL17: - 123:../src/configuration_handler.c **** - 124:../src/configuration_handler.c **** // check if erasure was completed successfully - 125:../src/configuration_handler.c **** if (flash_status == FLASH_COMPLETE) { - 144 .loc 1 125 0 - 145 001c 0428 cmp r0, #4 - 146 001e 4DD1 bne .L11 - 107:../src/configuration_handler.c **** - 147 .loc 1 107 0 - 148 0020 0025 movs r5, #0 - 104:../src/configuration_handler.c **** - 149 .loc 1 104 0 - 150 0022 2F46 mov r7, r5 - 101:../src/configuration_handler.c **** - 151 .loc 1 101 0 - 152 0024 2E46 mov r6, r5 + 120:../src/configuration_handler.c **** // check if calculated CRC value match value stored in flash memory + 121:../src/configuration_handler.c **** if (crc_expected == crc_current) { + 148 .loc 1 121 0 + 149 0068 9C42 cmp r4, r3 + 150 006a 02D0 beq .L10 + 151 .L1: + 122:../src/configuration_handler.c **** out |= 0x02; + 123:../src/configuration_handler.c **** } + 124:../src/configuration_handler.c **** return out; + 125:../src/configuration_handler.c **** } + 152 .loc 1 125 0 + 153 006c 5DF8044B ldr r4, [sp], #4 + 154 .cfi_remember_state + 155 .cfi_restore 4 + 156 .cfi_def_cfa_offset 0 + 157 .LVL19: + 158 0070 7047 bx lr + 159 .LVL20: + 160 .L10: + 161 .cfi_restore_state + 122:../src/configuration_handler.c **** out |= 0x02; + 162 .loc 1 122 0 + 163 0072 40F00200 orr r0, r0, #2 + 164 .LVL21: + 124:../src/configuration_handler.c **** } + 165 .loc 1 124 0 + 166 0076 F9E7 b .L1 + 167 .L12: + 168 .align 2 + 169 .L11: + 170 0078 00300240 .word 1073885184 + 171 007c 00000000 .word .LANCHOR0 + 172 0080 00000000 .word .LANCHOR1 + 173 .cfi_endproc + 174 .LFE371: + 176 .section .text.configuration_handler_restore_default_first,"ax",%progbits + 177 .align 1 + 178 .global configuration_handler_restore_default_first + 179 .syntax unified + 180 .thumb + 181 .thumb_func + 182 .fpu fpv4-sp-d16 + 184 configuration_handler_restore_default_first: + 185 .LFB372: 126:../src/configuration_handler.c **** - 127:../src/configuration_handler.c **** for (config_struct_it = 0; config_struct_it < 5; config_struct_it++) { - 153 .loc 1 127 0 - 154 0026 A946 mov r9, r5 - 155 0028 34E0 b .L10 - 156 .LVL18: - 157 .L15: + 127:../src/configuration_handler.c **** uint32_t configuration_handler_restore_default_first(void) { + 186 .loc 1 127 0 + 187 .cfi_startproc + 188 @ args = 0, pretend = 0, frame = 0 + 189 @ frame_needed = 0, uses_anonymous_args = 0 + 190 0000 2DE9F843 push {r3, r4, r5, r6, r7, r8, r9, lr} + 191 .cfi_def_cfa_offset 32 + 192 .cfi_offset 3, -32 + 193 .cfi_offset 4, -28 + 194 .cfi_offset 5, -24 + 195 .cfi_offset 6, -20 + 196 .cfi_offset 7, -16 + 197 .cfi_offset 8, -12 + 198 .cfi_offset 9, -8 + 199 .cfi_offset 14, -4 + 200 .LVL22: 128:../src/configuration_handler.c **** - 129:../src/configuration_handler.c **** // set pointers - 130:../src/configuration_handler.c **** switch (config_struct_it) { - 131:../src/configuration_handler.c **** case 0: // mode - 132:../src/configuration_handler.c **** source = (uint16_t *) &config_data_mode_default; - 133:../src/configuration_handler.c **** target = (uint16_t *) &config_data_mode_first; - 134:../src/configuration_handler.c **** size = sizeof(config_data_mode_t) / 2; - 135:../src/configuration_handler.c **** break; - 136:../src/configuration_handler.c **** case 1: // basic - 137:../src/configuration_handler.c **** source = (uint16_t *) &config_data_basic_default; - 138:../src/configuration_handler.c **** target = (uint16_t *) &config_data_basic_first; - 139:../src/configuration_handler.c **** size = sizeof(config_data_basic_t) / 2; - 158 .loc 1 139 0 - 159 002a 4E25 movs r5, #78 - 138:../src/configuration_handler.c **** size = sizeof(config_data_basic_t) / 2; - 160 .loc 1 138 0 - 161 002c 394F ldr r7, .L32+4 - 137:../src/configuration_handler.c **** target = (uint16_t *) &config_data_basic_first; - 162 .loc 1 137 0 - 163 002e 3A4E ldr r6, .L32+8 - 140:../src/configuration_handler.c **** break; - 164 .loc 1 140 0 - 165 0030 0EE0 b .L13 - 166 .LVL19: - 167 .L16: - 141:../src/configuration_handler.c **** case 2: // sources - 142:../src/configuration_handler.c **** source = (uint16_t *) &config_data_wx_sources_default; - 143:../src/configuration_handler.c **** target = (uint16_t *) &config_data_wx_sources_first; - 144:../src/configuration_handler.c **** size = sizeof(config_data_wx_sources_t) / 2; - 168 .loc 1 144 0 - 169 0032 0225 movs r5, #2 - 143:../src/configuration_handler.c **** size = sizeof(config_data_wx_sources_t) / 2; - 170 .loc 1 143 0 - 171 0034 394F ldr r7, .L32+12 - 142:../src/configuration_handler.c **** target = (uint16_t *) &config_data_wx_sources_first; - 172 .loc 1 142 0 - 173 0036 3A4E ldr r6, .L32+16 - 145:../src/configuration_handler.c **** break; - 174 .loc 1 145 0 - 175 0038 0AE0 b .L13 - 176 .LVL20: - 177 .L17: - 146:../src/configuration_handler.c **** case 3: - 147:../src/configuration_handler.c **** source = (uint16_t *) &config_data_umb_default; - 148:../src/configuration_handler.c **** target = (uint16_t *) &config_data_umb_first; - 149:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; - 178 .loc 1 149 0 - 179 003a 0725 movs r5, #7 - 148:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; - 180 .loc 1 148 0 - 181 003c 394F ldr r7, .L32+20 - 147:../src/configuration_handler.c **** target = (uint16_t *) &config_data_umb_first; - 182 .loc 1 147 0 - 183 003e 3A4E ldr r6, .L32+24 - 150:../src/configuration_handler.c **** break; - 184 .loc 1 150 0 - 185 0040 06E0 b .L13 - 186 .LVL21: - 187 .L18: - 151:../src/configuration_handler.c **** case 4: - 152:../src/configuration_handler.c **** source = (uint16_t *) &config_data_rtu_default; - 153:../src/configuration_handler.c **** target = (uint16_t *) &config_data_rtu_first; - 154:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; - 188 .loc 1 154 0 - 189 0042 0725 movs r5, #7 - 153:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; - 190 .loc 1 153 0 - 191 0044 394F ldr r7, .L32+28 - 152:../src/configuration_handler.c **** target = (uint16_t *) &config_data_rtu_first; - 192 .loc 1 152 0 - 193 0046 3A4E ldr r6, .L32+32 - 155:../src/configuration_handler.c **** break; - 194 .loc 1 155 0 - 195 0048 02E0 b .L13 - 196 .LVL22: - 197 .L27: - 134:../src/configuration_handler.c **** break; - 198 .loc 1 134 0 - 199 004a 0525 movs r5, #5 - 200 .LVL23: - 133:../src/configuration_handler.c **** size = sizeof(config_data_mode_t) / 2; - 201 .loc 1 133 0 - 202 004c 394F ldr r7, .L32+36 - 203 .LVL24: - 132:../src/configuration_handler.c **** target = (uint16_t *) &config_data_mode_first; - 204 .loc 1 132 0 - 205 004e 3A4E ldr r6, .L32+40 - 206 .LVL25: - 207 .L13: - 156:../src/configuration_handler.c **** } - 157:../src/configuration_handler.c **** + 129:../src/configuration_handler.c **** uint32_t out = 0; + 130:../src/configuration_handler.c **** + 131:../src/configuration_handler.c **** // loop iterators + 132:../src/configuration_handler.c **** int i = 0; + 133:../src/configuration_handler.c **** int8_t config_struct_it = 0; + 134:../src/configuration_handler.c **** + 135:../src/configuration_handler.c **** // source pointer + 136:../src/configuration_handler.c **** volatile uint16_t * source = 0x00; + 137:../src/configuration_handler.c **** + 138:../src/configuration_handler.c **** // destination pointer for flash reprogramming + 139:../src/configuration_handler.c **** volatile uint16_t * target = 0x00; + 140:../src/configuration_handler.c **** + 141:../src/configuration_handler.c **** // amount of 16 bit words to copy across the memory + 142:../src/configuration_handler.c **** uint16_t size = 0; + 143:../src/configuration_handler.c **** + 144:../src/configuration_handler.c **** // target region CRC value to be stored in the flash memory + 145:../src/configuration_handler.c **** uint32_t target_crc_value = 0; + 146:../src/configuration_handler.c **** + 147:../src/configuration_handler.c **** // flash operation result + 148:../src/configuration_handler.c **** FLASH_Status flash_status = 0; + 149:../src/configuration_handler.c **** + 150:../src/configuration_handler.c **** int comparision_result = 0; + 151:../src/configuration_handler.c **** + 152:../src/configuration_handler.c **** // unlock flash memory + 153:../src/configuration_handler.c **** FLASH_Unlock(); + 201 .loc 1 153 0 + 202 0004 FFF7FEFF bl FLASH_Unlock + 203 .LVL23: + 154:../src/configuration_handler.c **** + 155:../src/configuration_handler.c **** // erase first page + 156:../src/configuration_handler.c **** flash_status = FLASH_ErasePage((uint32_t)config_section_first_start); + 204 .loc 1 156 0 + 205 0008 464C ldr r4, .L39 + 206 000a 2068 ldr r0, [r4] + 207 000c FFF7FEFF bl FLASH_ErasePage + 208 .LVL24: + 157:../src/configuration_handler.c **** flash_status = FLASH_ErasePage((uint32_t)config_section_first_start + 0x400); + 209 .loc 1 157 0 + 210 0010 2068 ldr r0, [r4] + 211 0012 00F58060 add r0, r0, #1024 + 212 0016 FFF7FEFF bl FLASH_ErasePage + 213 .LVL25: + 214 001a C0B2 uxtb r0, r0 + 215 .LVL26: 158:../src/configuration_handler.c **** - 159:../src/configuration_handler.c **** // enable programming - 160:../src/configuration_handler.c **** FLASH->CR |= FLASH_CR_PG; - 208 .loc 1 160 0 - 209 0050 3A4A ldr r2, .L32+44 - 210 0052 5369 ldr r3, [r2, #20] - 211 0054 43F00103 orr r3, r3, #1 - 212 0058 5361 str r3, [r2, #20] - 213 .LVL26: + 159:../src/configuration_handler.c **** // check if erasure was completed successfully + 160:../src/configuration_handler.c **** if (flash_status == FLASH_COMPLETE) { + 216 .loc 1 160 0 + 217 001c 0428 cmp r0, #4 + 218 001e 51D0 beq .L37 + 219 .LVL27: + 220 .L15: 161:../src/configuration_handler.c **** - 162:../src/configuration_handler.c **** // if so reprogram first section - 163:../src/configuration_handler.c **** for (i = 0; i < size; i++) { - 214 .loc 1 163 0 - 215 005a 0024 movs r4, #0 - 216 .LVL27: - 217 .L19: - 218 .loc 1 163 0 is_stmt 0 discriminator 1 - 219 005c A846 mov r8, r5 - 220 005e A542 cmp r5, r4 - 221 0060 0CDD ble .L21 - 164:../src/configuration_handler.c **** - 165:../src/configuration_handler.c **** // copy data - 166:../src/configuration_handler.c **** *(target + i) = *(source + i); - 222 .loc 1 166 0 is_stmt 1 - 223 0062 36F81430 ldrh r3, [r6, r4, lsl #1] - 224 0066 9BB2 uxth r3, r3 - 225 0068 27F81430 strh r3, [r7, r4, lsl #1] @ movhi - 226 .L20: - 167:../src/configuration_handler.c **** - 168:../src/configuration_handler.c **** // wait for flash operation to finish - 169:../src/configuration_handler.c **** while (1) { - 170:../src/configuration_handler.c **** // check current status - 171:../src/configuration_handler.c **** flash_status = FLASH_GetBank1Status(); - 227 .loc 1 171 0 - 228 006c FFF7FEFF bl FLASH_GetBank1Status - 229 .LVL28: - 172:../src/configuration_handler.c **** - 173:../src/configuration_handler.c **** if (flash_status == FLASH_BUSY) { - 230 .loc 1 173 0 - 231 0070 0128 cmp r0, #1 - 232 0072 FBD0 beq .L20 - 174:../src/configuration_handler.c **** ; - 175:../src/configuration_handler.c **** } - 176:../src/configuration_handler.c **** else { - 177:../src/configuration_handler.c **** break; - 178:../src/configuration_handler.c **** } - 179:../src/configuration_handler.c **** } - 180:../src/configuration_handler.c **** - 181:../src/configuration_handler.c **** if (flash_status != FLASH_COMPLETE) { - 233 .loc 1 181 0 - 234 0074 0428 cmp r0, #4 - 235 0076 01D1 bne .L21 + 162:../src/configuration_handler.c **** for (config_struct_it = 0; config_struct_it < 5; config_struct_it++) { 163:../src/configuration_handler.c **** - 236 .loc 1 163 0 discriminator 2 - 237 0078 0134 adds r4, r4, #1 - 238 .LVL29: - 239 007a EFE7 b .L19 - 240 .LVL30: - 241 .L21: - 182:../src/configuration_handler.c **** break; // exit from the loop in case of programming error - 183:../src/configuration_handler.c **** } - 184:../src/configuration_handler.c **** - 185:../src/configuration_handler.c **** } - 186:../src/configuration_handler.c **** - 187:../src/configuration_handler.c **** // verify programming - 188:../src/configuration_handler.c **** comparision_result = memcmp((const void * )target, (const void * )source, size * 2); - 242 .loc 1 188 0 - 243 007c 4FEA4802 lsl r2, r8, #1 - 244 0080 3146 mov r1, r6 - 245 0082 3846 mov r0, r7 - 246 0084 FFF7FEFF bl memcmp - 247 .LVL31: - 189:../src/configuration_handler.c **** - 190:../src/configuration_handler.c **** if (comparision_result != 0) { - 248 .loc 1 190 0 - 249 0088 0028 cmp r0, #0 - 250 008a 3DD1 bne .L28 - 127:../src/configuration_handler.c **** - 251 .loc 1 127 0 discriminator 2 - 252 008c 09F10109 add r9, r9, #1 - 253 .LVL32: - 254 0090 4FFA89F9 sxtb r9, r9 - 255 .LVL33: - 256 .L10: - 127:../src/configuration_handler.c **** - 257 .loc 1 127 0 is_stmt 0 discriminator 1 - 258 0094 B9F1040F cmp r9, #4 - 259 0098 10DC bgt .L11 - 130:../src/configuration_handler.c **** case 0: // mode - 260 .loc 1 130 0 is_stmt 1 - 261 009a B9F1040F cmp r9, #4 - 262 009e D7D8 bhi .L13 - 263 00a0 01A3 adr r3, .L14 - 264 00a2 53F829F0 ldr pc, [r3, r9, lsl #2] - 265 00a6 00BF .p2align 2 - 266 .L14: - 267 00a8 4B000000 .word .L27+1 - 268 00ac 2B000000 .word .L15+1 - 269 00b0 33000000 .word .L16+1 - 270 00b4 3B000000 .word .L17+1 - 271 00b8 43000000 .word .L18+1 - 272 .LVL34: - 273 .p2align 1 - 274 .L11: - 191:../src/configuration_handler.c **** // quit from the - 192:../src/configuration_handler.c **** out = -1; + 164:../src/configuration_handler.c **** // set pointers + 165:../src/configuration_handler.c **** switch (config_struct_it) { + 166:../src/configuration_handler.c **** case 0: // mode + 167:../src/configuration_handler.c **** source = (uint16_t *) &config_data_mode_default; + 168:../src/configuration_handler.c **** target = (uint16_t *) &config_data_mode_first; + 169:../src/configuration_handler.c **** size = sizeof(config_data_mode_t) / 2; + 170:../src/configuration_handler.c **** break; + 171:../src/configuration_handler.c **** case 1: // basic + 172:../src/configuration_handler.c **** source = (uint16_t *) &config_data_basic_default; + 173:../src/configuration_handler.c **** target = (uint16_t *) &config_data_basic_first; + 174:../src/configuration_handler.c **** size = sizeof(config_data_basic_t) / 2; + 175:../src/configuration_handler.c **** break; + 176:../src/configuration_handler.c **** case 2: // sources + 177:../src/configuration_handler.c **** source = (uint16_t *) &config_data_wx_sources_default; + 178:../src/configuration_handler.c **** target = (uint16_t *) &config_data_wx_sources_first; + 179:../src/configuration_handler.c **** size = sizeof(config_data_wx_sources_t) / 2; + 180:../src/configuration_handler.c **** break; + 181:../src/configuration_handler.c **** case 3: + 182:../src/configuration_handler.c **** source = (uint16_t *) &config_data_umb_default; + 183:../src/configuration_handler.c **** target = (uint16_t *) &config_data_umb_first; + 184:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; + 185:../src/configuration_handler.c **** break; + 186:../src/configuration_handler.c **** case 4: + 187:../src/configuration_handler.c **** source = (uint16_t *) &config_data_rtu_default; + 188:../src/configuration_handler.c **** target = (uint16_t *) &config_data_rtu_first; + 189:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; + 190:../src/configuration_handler.c **** break; + 191:../src/configuration_handler.c **** } + 192:../src/configuration_handler.c **** 193:../src/configuration_handler.c **** - 194:../src/configuration_handler.c **** return out; - 195:../src/configuration_handler.c **** } - 196:../src/configuration_handler.c **** } - 197:../src/configuration_handler.c **** } - 198:../src/configuration_handler.c **** - 199:../src/configuration_handler.c **** // set programming counter. If second region is also screwed the first one will be used as a sourc - 200:../src/configuration_handler.c **** // if second is OK it will be used instead (if its programming counter has value three or more). - 201:../src/configuration_handler.c **** *(uint16_t*)&config_data_pgm_cntr_first = 0x0002u; - 275 .loc 1 201 0 - 276 00bc 204B ldr r3, .L32+48 - 277 00be 0222 movs r2, #2 - 278 00c0 1A80 strh r2, [r3] @ movhi + 194:../src/configuration_handler.c **** // enable programming + 195:../src/configuration_handler.c **** FLASH->CR |= FLASH_CR_PG; + 196:../src/configuration_handler.c **** + 197:../src/configuration_handler.c **** // if so reprogram first section + 198:../src/configuration_handler.c **** for (i = 0; i < size; i++) { + 199:../src/configuration_handler.c **** + 200:../src/configuration_handler.c **** // copy data + 201:../src/configuration_handler.c **** *(target + i) = *(source + i); 202:../src/configuration_handler.c **** - 203:../src/configuration_handler.c **** // resetting CRC engine - 204:../src/configuration_handler.c **** CRC_ResetDR(); - 279 .loc 1 204 0 - 280 00c2 FFF7FEFF bl CRC_ResetDR - 281 .LVL35: - 205:../src/configuration_handler.c **** - 206:../src/configuration_handler.c **** // calculate CRC checksum of the first block - 207:../src/configuration_handler.c **** CRC_CalcBlockCRC(config_section_first_start, CRC_32B_WORD_OFFSET - 1); - 282 .loc 1 207 0 - 283 00c6 124C ldr r4, .L32 - 284 00c8 4FF4FF71 mov r1, #510 - 285 00cc 2068 ldr r0, [r4] - 286 00ce FFF7FEFF bl CRC_CalcBlockCRC - 287 .LVL36: - 208:../src/configuration_handler.c **** - 209:../src/configuration_handler.c **** // adding finalizing 0x00 - 210:../src/configuration_handler.c **** target_crc_value = CRC_CalcCRC((uint32_t)0x0); - 288 .loc 1 210 0 - 289 00d2 0020 movs r0, #0 - 290 00d4 FFF7FEFF bl CRC_CalcCRC - 291 .LVL37: - 211:../src/configuration_handler.c **** - 212:../src/configuration_handler.c **** // program the CRC value - 213:../src/configuration_handler.c **** *(uint16_t*)((uint16_t *)config_section_first_start + CRC_16B_WORD_OFFSET) = (uint16_t)(target_crc - 292 .loc 1 213 0 - 293 00d8 2368 ldr r3, [r4] - 294 00da A3F8FC07 strh r0, [r3, #2044] @ movhi - 214:../src/configuration_handler.c **** *(uint16_t*)((uint16_t *)config_section_first_start + CRC_16B_WORD_OFFSET + 1) = (uint16_t)((targe - 295 .loc 1 214 0 - 296 00de 000C lsrs r0, r0, #16 - 297 .LVL38: - 298 00e0 A3F8FE07 strh r0, [r3, #2046] @ movhi + 203:../src/configuration_handler.c **** // wait for flash operation to finish + 204:../src/configuration_handler.c **** while (1) { + 205:../src/configuration_handler.c **** // check current status + 206:../src/configuration_handler.c **** flash_status = FLASH_GetBank1Status(); + 207:../src/configuration_handler.c **** + 208:../src/configuration_handler.c **** if (flash_status == FLASH_BUSY) { + 209:../src/configuration_handler.c **** ; + 210:../src/configuration_handler.c **** } + 211:../src/configuration_handler.c **** else { + 212:../src/configuration_handler.c **** break; + 213:../src/configuration_handler.c **** } + 214:../src/configuration_handler.c **** } 215:../src/configuration_handler.c **** - 216:../src/configuration_handler.c **** flash_status = FLASH_GetBank1Status(); - 299 .loc 1 216 0 - 300 00e4 FFF7FEFF bl FLASH_GetBank1Status - 301 .LVL39: - 217:../src/configuration_handler.c **** - 218:../src/configuration_handler.c **** if (flash_status != FLASH_COMPLETE) { - 302 .loc 1 218 0 - 303 00e8 0428 cmp r0, #4 - 304 00ea 0BD0 beq .L31 - 219:../src/configuration_handler.c **** out = -2; // exit from the loop in case of programming error - 305 .loc 1 219 0 - 306 00ec 6FF00104 mvn r4, #1 - 307 .L25: - 308 .LVL40: - 220:../src/configuration_handler.c **** } + 216:../src/configuration_handler.c **** if (flash_status != FLASH_COMPLETE) { + 217:../src/configuration_handler.c **** break; // exit from the loop in case of programming error + 218:../src/configuration_handler.c **** } + 219:../src/configuration_handler.c **** + 220:../src/configuration_handler.c **** } 221:../src/configuration_handler.c **** - 222:../src/configuration_handler.c **** // disable programming - 223:../src/configuration_handler.c **** FLASH->CR &= (0xFFFFFFFF ^ FLASH_CR_PG); - 309 .loc 1 223 0 - 310 00f0 124A ldr r2, .L32+44 - 311 00f2 5369 ldr r3, [r2, #20] - 312 00f4 23F00103 bic r3, r3, #1 - 313 00f8 5361 str r3, [r2, #20] + 222:../src/configuration_handler.c **** // verify programming + 223:../src/configuration_handler.c **** comparision_result = memcmp((const void * )target, (const void * )source, size * 2); 224:../src/configuration_handler.c **** - 225:../src/configuration_handler.c **** // lock the memory back - 226:../src/configuration_handler.c **** FLASH_Lock(); - 314 .loc 1 226 0 - 315 00fa FFF7FEFF bl FLASH_Lock - 316 .LVL41: - 317 .L9: - 227:../src/configuration_handler.c **** - 228:../src/configuration_handler.c **** return out; - 229:../src/configuration_handler.c **** - 230:../src/configuration_handler.c **** } - 318 .loc 1 230 0 - 319 00fe 2046 mov r0, r4 - 320 0100 BDE8F883 pop {r3, r4, r5, r6, r7, r8, r9, pc} - 321 .LVL42: - 322 .L31: - 94:../src/configuration_handler.c **** - 323 .loc 1 94 0 - 324 0104 0024 movs r4, #0 - 325 0106 F3E7 b .L25 - 326 .LVL43: - 327 .L28: - 194:../src/configuration_handler.c **** } - 328 .loc 1 194 0 - 329 0108 4FF0FF34 mov r4, #-1 - 330 .LVL44: - 331 010c F7E7 b .L9 - 332 .L33: - 333 010e 00BF .align 2 - 334 .L32: - 335 0110 00000000 .word .LANCHOR0 - 336 0114 00000000 .word config_data_basic_first - 337 0118 00000000 .word config_data_basic_default - 338 011c 00000000 .word config_data_wx_sources_first - 339 0120 00000000 .word config_data_wx_sources_default - 340 0124 00000000 .word config_data_umb_first - 341 0128 00000000 .word config_data_umb_default - 342 012c 00000000 .word config_data_rtu_first - 343 0130 00000000 .word config_data_rtu_default - 344 0134 00000000 .word config_data_mode_first - 345 0138 00000000 .word config_data_mode_default - 346 013c 00200240 .word 1073881088 - 347 0140 00000000 .word config_data_pgm_cntr_first - 348 .cfi_endproc - 349 .LFE372: - 351 .section .text.configuration_handler_restore_default_second,"ax",%progbits - 352 .align 1 - 353 .global configuration_handler_restore_default_second - 354 .syntax unified - 355 .thumb - 356 .thumb_func - 357 .fpu fpv4-sp-d16 - 359 configuration_handler_restore_default_second: - 360 .LFB373: - 231:../src/configuration_handler.c **** - 232:../src/configuration_handler.c **** uint32_t configuration_handler_restore_default_second(void) { - 361 .loc 1 232 0 - 362 .cfi_startproc - 363 @ args = 0, pretend = 0, frame = 0 - 364 @ frame_needed = 0, uses_anonymous_args = 0 - 365 0000 2DE9F843 push {r3, r4, r5, r6, r7, r8, r9, lr} - 366 .cfi_def_cfa_offset 32 - 367 .cfi_offset 3, -32 - 368 .cfi_offset 4, -28 - 369 .cfi_offset 5, -24 - 370 .cfi_offset 6, -20 - 371 .cfi_offset 7, -16 - 372 .cfi_offset 8, -12 - 373 .cfi_offset 9, -8 - 374 .cfi_offset 14, -4 - 375 .LVL45: - 233:../src/configuration_handler.c **** uint32_t out = 0; - 234:../src/configuration_handler.c **** - 235:../src/configuration_handler.c **** // loop iterators - 236:../src/configuration_handler.c **** int i = 0; - 237:../src/configuration_handler.c **** int8_t config_struct_it = 0; - 238:../src/configuration_handler.c **** - 239:../src/configuration_handler.c **** // source pointer - 240:../src/configuration_handler.c **** volatile uint16_t * source = 0x00; - 241:../src/configuration_handler.c **** - 242:../src/configuration_handler.c **** // destination pointer for flash reprogramming - 243:../src/configuration_handler.c **** volatile uint16_t * target = 0x00; - 244:../src/configuration_handler.c **** - 245:../src/configuration_handler.c **** // amount of 16 bit words to copy across the memory - 246:../src/configuration_handler.c **** uint16_t size = 0; - 247:../src/configuration_handler.c **** - 248:../src/configuration_handler.c **** // target region CRC value to be stored in the flash memory - 249:../src/configuration_handler.c **** uint32_t target_crc_value = 0; - 250:../src/configuration_handler.c **** - 251:../src/configuration_handler.c **** // flash operation result - 252:../src/configuration_handler.c **** FLASH_Status flash_status = 0; - 253:../src/configuration_handler.c **** - 254:../src/configuration_handler.c **** int comparision_result = 0; - 255:../src/configuration_handler.c **** - 256:../src/configuration_handler.c **** // unlock flash memory - 257:../src/configuration_handler.c **** FLASH_Unlock(); - 376 .loc 1 257 0 - 377 0004 FFF7FEFF bl FLASH_Unlock - 378 .LVL46: - 258:../src/configuration_handler.c **** - 259:../src/configuration_handler.c **** // erase first page - 260:../src/configuration_handler.c **** flash_status = FLASH_ErasePage((uint32_t)config_section_second_start); - 379 .loc 1 260 0 - 380 0008 414C ldr r4, .L57 - 381 000a 2068 ldr r0, [r4] - 382 000c FFF7FEFF bl FLASH_ErasePage - 383 .LVL47: - 261:../src/configuration_handler.c **** flash_status = FLASH_ErasePage((uint32_t)config_section_second_start + 0x400); - 384 .loc 1 261 0 - 385 0010 2068 ldr r0, [r4] - 386 0012 00F58060 add r0, r0, #1024 - 387 0016 FFF7FEFF bl FLASH_ErasePage - 388 .LVL48: - 389 001a C0B2 uxtb r0, r0 - 390 .LVL49: - 262:../src/configuration_handler.c **** - 263:../src/configuration_handler.c **** // check if erasure was completed successfully - 264:../src/configuration_handler.c **** if (flash_status == FLASH_COMPLETE) { - 391 .loc 1 264 0 - 392 001c 0428 cmp r0, #4 - 393 001e 4DD1 bne .L36 - 246:../src/configuration_handler.c **** - 394 .loc 1 246 0 - 395 0020 0025 movs r5, #0 - 243:../src/configuration_handler.c **** - 396 .loc 1 243 0 - 397 0022 2F46 mov r7, r5 - 240:../src/configuration_handler.c **** - 398 .loc 1 240 0 - 399 0024 2E46 mov r6, r5 - 265:../src/configuration_handler.c **** - 266:../src/configuration_handler.c **** for (config_struct_it = 0; config_struct_it < 5; config_struct_it++) { - 400 .loc 1 266 0 - 401 0026 A946 mov r9, r5 - 402 0028 34E0 b .L35 - 403 .LVL50: - 404 .L40: - 267:../src/configuration_handler.c **** - 268:../src/configuration_handler.c **** // set pointers - 269:../src/configuration_handler.c **** switch (config_struct_it) { - 270:../src/configuration_handler.c **** case 0: // mode - 271:../src/configuration_handler.c **** source = (uint16_t *) &config_data_mode_default; - 272:../src/configuration_handler.c **** target = (uint16_t *) &config_data_mode_second; - 273:../src/configuration_handler.c **** size = sizeof(config_data_mode_t) / 2; - 274:../src/configuration_handler.c **** break; - 275:../src/configuration_handler.c **** case 1: // basic - 276:../src/configuration_handler.c **** source = (uint16_t *) &config_data_basic_default; - 277:../src/configuration_handler.c **** target = (uint16_t *) &config_data_basic_second; - 278:../src/configuration_handler.c **** size = sizeof(config_data_basic_t) / 2; - 405 .loc 1 278 0 - 406 002a 4E25 movs r5, #78 - 277:../src/configuration_handler.c **** size = sizeof(config_data_basic_t) / 2; - 407 .loc 1 277 0 - 408 002c 394F ldr r7, .L57+4 - 276:../src/configuration_handler.c **** target = (uint16_t *) &config_data_basic_second; - 409 .loc 1 276 0 - 410 002e 3A4E ldr r6, .L57+8 - 279:../src/configuration_handler.c **** break; - 411 .loc 1 279 0 - 412 0030 0EE0 b .L38 - 413 .LVL51: - 414 .L41: - 280:../src/configuration_handler.c **** case 2: // sources - 281:../src/configuration_handler.c **** source = (uint16_t *) &config_data_wx_sources_default; - 282:../src/configuration_handler.c **** target = (uint16_t *) &config_data_wx_sources_second; - 283:../src/configuration_handler.c **** size = sizeof(config_data_wx_sources_t) / 2; - 415 .loc 1 283 0 - 416 0032 0225 movs r5, #2 - 282:../src/configuration_handler.c **** size = sizeof(config_data_wx_sources_t) / 2; - 417 .loc 1 282 0 - 418 0034 394F ldr r7, .L57+12 - 281:../src/configuration_handler.c **** target = (uint16_t *) &config_data_wx_sources_second; - 419 .loc 1 281 0 - 420 0036 3A4E ldr r6, .L57+16 - 284:../src/configuration_handler.c **** break; - 421 .loc 1 284 0 - 422 0038 0AE0 b .L38 - 423 .LVL52: - 424 .L42: - 285:../src/configuration_handler.c **** case 3: - 286:../src/configuration_handler.c **** source = (uint16_t *) &config_data_umb_default; - 287:../src/configuration_handler.c **** target = (uint16_t *) &config_data_umb_second; - 288:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; - 425 .loc 1 288 0 - 426 003a 0725 movs r5, #7 - 287:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; - 427 .loc 1 287 0 - 428 003c 394F ldr r7, .L57+20 - 286:../src/configuration_handler.c **** target = (uint16_t *) &config_data_umb_second; - 429 .loc 1 286 0 - 430 003e 3A4E ldr r6, .L57+24 - 289:../src/configuration_handler.c **** break; - 431 .loc 1 289 0 - 432 0040 06E0 b .L38 - 433 .LVL53: - 434 .L43: - 290:../src/configuration_handler.c **** case 4: - 291:../src/configuration_handler.c **** source = (uint16_t *) &config_data_rtu_default; - 292:../src/configuration_handler.c **** target = (uint16_t *) &config_data_rtu_second; - 293:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; - 435 .loc 1 293 0 - 436 0042 0725 movs r5, #7 - 292:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; - 437 .loc 1 292 0 - 438 0044 394F ldr r7, .L57+28 - 291:../src/configuration_handler.c **** target = (uint16_t *) &config_data_rtu_second; - 439 .loc 1 291 0 - 440 0046 3A4E ldr r6, .L57+32 - 294:../src/configuration_handler.c **** break; - 441 .loc 1 294 0 - 442 0048 02E0 b .L38 - 443 .LVL54: - 444 .L52: - 273:../src/configuration_handler.c **** break; - 445 .loc 1 273 0 - 446 004a 0525 movs r5, #5 - 447 .LVL55: - 272:../src/configuration_handler.c **** size = sizeof(config_data_mode_t) / 2; - 448 .loc 1 272 0 - 449 004c 394F ldr r7, .L57+36 - 450 .LVL56: - 271:../src/configuration_handler.c **** target = (uint16_t *) &config_data_mode_second; - 451 .loc 1 271 0 - 452 004e 3A4E ldr r6, .L57+40 - 453 .LVL57: - 454 .L38: - 295:../src/configuration_handler.c **** } - 296:../src/configuration_handler.c **** - 297:../src/configuration_handler.c **** - 298:../src/configuration_handler.c **** // enable programming - 299:../src/configuration_handler.c **** FLASH->CR |= FLASH_CR_PG; - 455 .loc 1 299 0 - 456 0050 3A4A ldr r2, .L57+44 - 457 0052 5369 ldr r3, [r2, #20] - 458 0054 43F00103 orr r3, r3, #1 - 459 0058 5361 str r3, [r2, #20] - 460 .LVL58: - 300:../src/configuration_handler.c **** - 301:../src/configuration_handler.c **** // if so reprogram first section - 302:../src/configuration_handler.c **** for (i = 0; i < size; i++) { - 461 .loc 1 302 0 - 462 005a 0024 movs r4, #0 - 463 .LVL59: - 464 .L44: - 465 .loc 1 302 0 is_stmt 0 discriminator 1 - 466 005c A846 mov r8, r5 - 467 005e A542 cmp r5, r4 - 468 0060 0CDD ble .L46 - 303:../src/configuration_handler.c **** - 304:../src/configuration_handler.c **** // copy data - 305:../src/configuration_handler.c **** *(target + i) = *(source + i); - 469 .loc 1 305 0 is_stmt 1 - 470 0062 36F81430 ldrh r3, [r6, r4, lsl #1] - 471 0066 9BB2 uxth r3, r3 - 472 0068 27F81430 strh r3, [r7, r4, lsl #1] @ movhi - 473 .L45: - 306:../src/configuration_handler.c **** - 307:../src/configuration_handler.c **** // wait for flash operation to finish - 308:../src/configuration_handler.c **** while (1) { - 309:../src/configuration_handler.c **** // check current status - 310:../src/configuration_handler.c **** flash_status = FLASH_GetBank1Status(); - 474 .loc 1 310 0 - 475 006c FFF7FEFF bl FLASH_GetBank1Status - 476 .LVL60: - 311:../src/configuration_handler.c **** - 312:../src/configuration_handler.c **** if (flash_status == FLASH_BUSY) { - 477 .loc 1 312 0 - 478 0070 0128 cmp r0, #1 - 479 0072 FBD0 beq .L45 - 313:../src/configuration_handler.c **** ; - 314:../src/configuration_handler.c **** } - 315:../src/configuration_handler.c **** else { - 316:../src/configuration_handler.c **** break; - 317:../src/configuration_handler.c **** } - 318:../src/configuration_handler.c **** } - 319:../src/configuration_handler.c **** - 320:../src/configuration_handler.c **** if (flash_status != FLASH_COMPLETE) { - 480 .loc 1 320 0 - 481 0074 0428 cmp r0, #4 - 482 0076 01D1 bne .L46 - 302:../src/configuration_handler.c **** - 483 .loc 1 302 0 discriminator 2 - 484 0078 0134 adds r4, r4, #1 - 485 .LVL61: - 486 007a EFE7 b .L44 - 487 .LVL62: - 488 .L46: - 321:../src/configuration_handler.c **** break; // exit from the loop in case of programming error - 322:../src/configuration_handler.c **** } - 323:../src/configuration_handler.c **** - 324:../src/configuration_handler.c **** } - 325:../src/configuration_handler.c **** - 326:../src/configuration_handler.c **** // verify programming - 327:../src/configuration_handler.c **** comparision_result = memcmp((const void * )target, (const void * )source, size * 2); - 489 .loc 1 327 0 - 490 007c 4FEA4802 lsl r2, r8, #1 - 491 0080 3146 mov r1, r6 - 492 0082 3846 mov r0, r7 - 493 0084 FFF7FEFF bl memcmp - 494 .LVL63: - 328:../src/configuration_handler.c **** - 329:../src/configuration_handler.c **** if (comparision_result != 0) { - 495 .loc 1 329 0 - 496 0088 0028 cmp r0, #0 - 497 008a 3ED1 bne .L53 - 266:../src/configuration_handler.c **** - 498 .loc 1 266 0 discriminator 2 - 499 008c 09F10109 add r9, r9, #1 - 500 .LVL64: - 501 0090 4FFA89F9 sxtb r9, r9 - 502 .LVL65: - 503 .L35: - 266:../src/configuration_handler.c **** - 504 .loc 1 266 0 is_stmt 0 discriminator 1 - 505 0094 B9F1040F cmp r9, #4 - 506 0098 10DC bgt .L36 - 269:../src/configuration_handler.c **** case 0: // mode - 507 .loc 1 269 0 is_stmt 1 - 508 009a B9F1040F cmp r9, #4 - 509 009e D7D8 bhi .L38 - 510 00a0 01A3 adr r3, .L39 - 511 00a2 53F829F0 ldr pc, [r3, r9, lsl #2] - 512 00a6 00BF .p2align 2 - 513 .L39: - 514 00a8 4B000000 .word .L52+1 - 515 00ac 2B000000 .word .L40+1 - 516 00b0 33000000 .word .L41+1 - 517 00b4 3B000000 .word .L42+1 - 518 00b8 43000000 .word .L43+1 - 519 .LVL66: - 520 .p2align 1 - 521 .L36: - 330:../src/configuration_handler.c **** // quit from the - 331:../src/configuration_handler.c **** out = -1; - 332:../src/configuration_handler.c **** - 333:../src/configuration_handler.c **** return out; - 334:../src/configuration_handler.c **** } - 335:../src/configuration_handler.c **** } - 336:../src/configuration_handler.c **** } - 337:../src/configuration_handler.c **** - 338:../src/configuration_handler.c **** // set programming counter. If second region is also screwed the first one will be used as a sourc - 339:../src/configuration_handler.c **** // if second is OK it will be used instead (if its programming counter has value three or more). - 340:../src/configuration_handler.c **** *(uint16_t*)&config_data_pgm_cntr_second = 0x0002u; - 522 .loc 1 340 0 - 523 00bc 204B ldr r3, .L57+48 - 524 00be 0222 movs r2, #2 - 525 00c0 1A80 strh r2, [r3] @ movhi - 341:../src/configuration_handler.c **** - 342:../src/configuration_handler.c **** // resetting CRC engine - 343:../src/configuration_handler.c **** CRC_ResetDR(); - 526 .loc 1 343 0 - 527 00c2 FFF7FEFF bl CRC_ResetDR - 528 .LVL67: - 344:../src/configuration_handler.c **** - 345:../src/configuration_handler.c **** // calculate CRC checksum of the first block - 346:../src/configuration_handler.c **** CRC_CalcBlockCRC(config_section_first_start, CRC_32B_WORD_OFFSET - 1); - 529 .loc 1 346 0 - 530 00c6 4FF4FF71 mov r1, #510 - 531 00ca 1E4B ldr r3, .L57+52 - 532 00cc 1868 ldr r0, [r3] - 533 00ce FFF7FEFF bl CRC_CalcBlockCRC - 534 .LVL68: - 347:../src/configuration_handler.c **** - 348:../src/configuration_handler.c **** // adding finalizing 0x00 - 349:../src/configuration_handler.c **** target_crc_value = CRC_CalcCRC((uint32_t)0x0); - 535 .loc 1 349 0 - 536 00d2 0020 movs r0, #0 - 537 00d4 FFF7FEFF bl CRC_CalcCRC - 538 .LVL69: - 350:../src/configuration_handler.c **** - 351:../src/configuration_handler.c **** // program the CRC value - 352:../src/configuration_handler.c **** *(uint16_t*)((uint16_t *)config_section_second_start + CRC_16B_WORD_OFFSET) = (uint16_t)(target_cr - 539 .loc 1 352 0 - 540 00d8 0D4B ldr r3, .L57 - 541 00da 1B68 ldr r3, [r3] - 542 00dc A3F8FC07 strh r0, [r3, #2044] @ movhi - 353:../src/configuration_handler.c **** *(uint16_t*)((uint16_t *)config_section_second_start + CRC_16B_WORD_OFFSET + 1) = (uint16_t)((targ - 543 .loc 1 353 0 - 544 00e0 000C lsrs r0, r0, #16 - 545 .LVL70: - 546 00e2 A3F8FE07 strh r0, [r3, #2046] @ movhi - 354:../src/configuration_handler.c **** - 355:../src/configuration_handler.c **** flash_status = FLASH_GetBank1Status(); - 547 .loc 1 355 0 - 548 00e6 FFF7FEFF bl FLASH_GetBank1Status - 549 .LVL71: - 356:../src/configuration_handler.c **** - 357:../src/configuration_handler.c **** if (flash_status != FLASH_COMPLETE) { - 550 .loc 1 357 0 - 551 00ea 0428 cmp r0, #4 - 552 00ec 0BD0 beq .L56 - 358:../src/configuration_handler.c **** out = -2; // exit from the loop in case of programming error - 553 .loc 1 358 0 - 554 00ee 6FF00104 mvn r4, #1 - 555 .L50: - 556 .LVL72: - 359:../src/configuration_handler.c **** } - 360:../src/configuration_handler.c **** - 361:../src/configuration_handler.c **** // disable programming - 362:../src/configuration_handler.c **** FLASH->CR &= (0xFFFFFFFF ^ FLASH_CR_PG); - 557 .loc 1 362 0 - 558 00f2 124A ldr r2, .L57+44 - 559 00f4 5369 ldr r3, [r2, #20] - 560 00f6 23F00103 bic r3, r3, #1 - 561 00fa 5361 str r3, [r2, #20] - 363:../src/configuration_handler.c **** - 364:../src/configuration_handler.c **** // lock the memory back - 365:../src/configuration_handler.c **** FLASH_Lock(); - 562 .loc 1 365 0 - 563 00fc FFF7FEFF bl FLASH_Lock - 564 .LVL73: - 565 .L34: - 366:../src/configuration_handler.c **** - 367:../src/configuration_handler.c **** return out;} - 566 .loc 1 367 0 - 567 0100 2046 mov r0, r4 - 568 0102 BDE8F883 pop {r3, r4, r5, r6, r7, r8, r9, pc} - 569 .LVL74: - 570 .L56: + 225:../src/configuration_handler.c **** if (comparision_result != 0) { + 226:../src/configuration_handler.c **** // quit from the + 227:../src/configuration_handler.c **** out = -1; + 228:../src/configuration_handler.c **** + 229:../src/configuration_handler.c **** return out; + 230:../src/configuration_handler.c **** } + 231:../src/configuration_handler.c **** } + 232:../src/configuration_handler.c **** } 233:../src/configuration_handler.c **** - 571 .loc 1 233 0 - 572 0106 0024 movs r4, #0 - 573 0108 F3E7 b .L50 - 574 .LVL75: - 575 .L53: - 333:../src/configuration_handler.c **** } - 576 .loc 1 333 0 - 577 010a 4FF0FF34 mov r4, #-1 - 578 .LVL76: - 579 010e F7E7 b .L34 - 580 .L58: - 581 .align 2 - 582 .L57: - 583 0110 00000000 .word .LANCHOR1 - 584 0114 00000000 .word config_data_basic_second - 585 0118 00000000 .word config_data_basic_default - 586 011c 00000000 .word config_data_wx_sources_second - 587 0120 00000000 .word config_data_wx_sources_default - 588 0124 00000000 .word config_data_umb_second - 589 0128 00000000 .word config_data_umb_default - 590 012c 00000000 .word config_data_rtu_second - 591 0130 00000000 .word config_data_rtu_default - 592 0134 00000000 .word config_data_mode_second - 593 0138 00000000 .word config_data_mode_default - 594 013c 00200240 .word 1073881088 - 595 0140 00000000 .word config_data_pgm_cntr_second - 596 0144 00000000 .word .LANCHOR0 - 597 .cfi_endproc - 598 .LFE373: - 600 .section .text.configuration_handler_load_configuration,"ax",%progbits - 601 .align 1 - 602 .global configuration_handler_load_configuration - 603 .syntax unified - 604 .thumb - 605 .thumb_func - 606 .fpu fpv4-sp-d16 - 608 configuration_handler_load_configuration: - 609 .LFB374: - 368:../src/configuration_handler.c **** - 369:../src/configuration_handler.c **** void configuration_handler_load_configuration(configuration_handler_region_t region) { - 610 .loc 1 369 0 - 611 .cfi_startproc - 612 @ args = 0, pretend = 0, frame = 0 - 613 @ frame_needed = 0, uses_anonymous_args = 0 - 614 @ link register save eliminated. - 615 .LVL77: - 370:../src/configuration_handler.c **** - 371:../src/configuration_handler.c **** if (region == REGION_DEFAULT) { - 616 .loc 1 371 0 - 617 0000 20B1 cbz r0, .L63 - 372:../src/configuration_handler.c **** main_config_data_mode = &config_data_mode_default; - 373:../src/configuration_handler.c **** main_config_data_basic = &config_data_basic_default; - 374:../src/configuration_handler.c **** main_config_data_wx_sources = &config_data_wx_sources_default; - 375:../src/configuration_handler.c **** main_config_data_umb = &config_data_umb_default; - 376:../src/configuration_handler.c **** main_config_data_rtu = &config_data_rtu_default; - 377:../src/configuration_handler.c **** } - 378:../src/configuration_handler.c **** else if (region == REGION_FIRST) { - 618 .loc 1 378 0 - 619 0002 0128 cmp r0, #1 - 620 0004 12D0 beq .L64 - 379:../src/configuration_handler.c **** main_config_data_mode = &config_data_mode_first; - 380:../src/configuration_handler.c **** main_config_data_basic = &config_data_basic_first; - 381:../src/configuration_handler.c **** main_config_data_wx_sources = &config_data_wx_sources_first; - 382:../src/configuration_handler.c **** main_config_data_umb = &config_data_umb_first; - 383:../src/configuration_handler.c **** main_config_data_rtu = &config_data_rtu_first; - 384:../src/configuration_handler.c **** } - 385:../src/configuration_handler.c **** else if (region == REGION_SECOND) { - 621 .loc 1 385 0 - 622 0006 0228 cmp r0, #2 - 623 0008 20D0 beq .L65 - 624 .L59: - 386:../src/configuration_handler.c **** main_config_data_mode = &config_data_mode_second; - 387:../src/configuration_handler.c **** main_config_data_basic = &config_data_basic_second; - 388:../src/configuration_handler.c **** main_config_data_wx_sources = &config_data_wx_sources_second; - 389:../src/configuration_handler.c **** main_config_data_umb = &config_data_umb_second; - 390:../src/configuration_handler.c **** main_config_data_rtu = &config_data_rtu_second; - 391:../src/configuration_handler.c **** } - 392:../src/configuration_handler.c **** else { - 393:../src/configuration_handler.c **** ; - 394:../src/configuration_handler.c **** } - 395:../src/configuration_handler.c **** - 396:../src/configuration_handler.c **** } - 625 .loc 1 396 0 - 626 000a 7047 bx lr - 627 .L63: - 372:../src/configuration_handler.c **** main_config_data_basic = &config_data_basic_default; - 628 .loc 1 372 0 - 629 000c 174B ldr r3, .L66 - 630 000e 184A ldr r2, .L66+4 - 631 0010 1A60 str r2, [r3] - 373:../src/configuration_handler.c **** main_config_data_wx_sources = &config_data_wx_sources_default; - 632 .loc 1 373 0 - 633 0012 184B ldr r3, .L66+8 - 634 0014 184A ldr r2, .L66+12 - 635 0016 1A60 str r2, [r3] - 374:../src/configuration_handler.c **** main_config_data_umb = &config_data_umb_default; - 636 .loc 1 374 0 - 637 0018 184B ldr r3, .L66+16 - 638 001a 194A ldr r2, .L66+20 - 639 001c 1A60 str r2, [r3] - 375:../src/configuration_handler.c **** main_config_data_rtu = &config_data_rtu_default; - 640 .loc 1 375 0 - 641 001e 194B ldr r3, .L66+24 - 642 0020 194A ldr r2, .L66+28 - 643 0022 1A60 str r2, [r3] - 376:../src/configuration_handler.c **** } - 644 .loc 1 376 0 - 645 0024 194B ldr r3, .L66+32 - 646 0026 1A4A ldr r2, .L66+36 - 647 0028 1A60 str r2, [r3] - 648 002a 7047 bx lr - 649 .L64: - 379:../src/configuration_handler.c **** main_config_data_basic = &config_data_basic_first; - 650 .loc 1 379 0 - 651 002c 0F4B ldr r3, .L66 - 652 002e 194A ldr r2, .L66+40 - 653 0030 1A60 str r2, [r3] - 380:../src/configuration_handler.c **** main_config_data_wx_sources = &config_data_wx_sources_first; - 654 .loc 1 380 0 - 655 0032 104B ldr r3, .L66+8 - 656 0034 184A ldr r2, .L66+44 - 657 0036 1A60 str r2, [r3] - 381:../src/configuration_handler.c **** main_config_data_umb = &config_data_umb_first; - 658 .loc 1 381 0 - 659 0038 104B ldr r3, .L66+16 - 660 003a 184A ldr r2, .L66+48 - 661 003c 1A60 str r2, [r3] - 382:../src/configuration_handler.c **** main_config_data_rtu = &config_data_rtu_first; - 662 .loc 1 382 0 - 663 003e 114B ldr r3, .L66+24 - 664 0040 174A ldr r2, .L66+52 - 665 0042 1A60 str r2, [r3] - 383:../src/configuration_handler.c **** } - 666 .loc 1 383 0 - 667 0044 114B ldr r3, .L66+32 - 668 0046 174A ldr r2, .L66+56 - 669 0048 1A60 str r2, [r3] - 670 004a 7047 bx lr - 671 .L65: - 386:../src/configuration_handler.c **** main_config_data_basic = &config_data_basic_second; - 672 .loc 1 386 0 - 673 004c 074B ldr r3, .L66 - 674 004e 164A ldr r2, .L66+60 - 675 0050 1A60 str r2, [r3] - 387:../src/configuration_handler.c **** main_config_data_wx_sources = &config_data_wx_sources_second; - 676 .loc 1 387 0 - 677 0052 084B ldr r3, .L66+8 - 678 0054 154A ldr r2, .L66+64 - 679 0056 1A60 str r2, [r3] - 388:../src/configuration_handler.c **** main_config_data_umb = &config_data_umb_second; - 680 .loc 1 388 0 - 681 0058 084B ldr r3, .L66+16 - 682 005a 154A ldr r2, .L66+68 - 683 005c 1A60 str r2, [r3] - 389:../src/configuration_handler.c **** main_config_data_rtu = &config_data_rtu_second; - 684 .loc 1 389 0 - 685 005e 094B ldr r3, .L66+24 - 686 0060 144A ldr r2, .L66+72 - 687 0062 1A60 str r2, [r3] - 390:../src/configuration_handler.c **** } - 688 .loc 1 390 0 - 689 0064 094B ldr r3, .L66+32 - 690 0066 144A ldr r2, .L66+76 - 691 0068 1A60 str r2, [r3] - 692 .loc 1 396 0 - 693 006a CEE7 b .L59 - 694 .L67: - 695 .align 2 - 696 .L66: - 697 006c 00000000 .word main_config_data_mode - 698 0070 00000000 .word config_data_mode_default - 699 0074 00000000 .word main_config_data_basic - 700 0078 00000000 .word config_data_basic_default - 701 007c 00000000 .word main_config_data_wx_sources - 702 0080 00000000 .word config_data_wx_sources_default - 703 0084 00000000 .word main_config_data_umb - 704 0088 00000000 .word config_data_umb_default - 705 008c 00000000 .word main_config_data_rtu - 706 0090 00000000 .word config_data_rtu_default - 707 0094 00000000 .word config_data_mode_first - 708 0098 00000000 .word config_data_basic_first - 709 009c 00000000 .word config_data_wx_sources_first - 710 00a0 00000000 .word config_data_umb_first - 711 00a4 00000000 .word config_data_rtu_first - 712 00a8 00000000 .word config_data_mode_second - 713 00ac 00000000 .word config_data_basic_second - 714 00b0 00000000 .word config_data_wx_sources_second - 715 00b4 00000000 .word config_data_umb_second - 716 00b8 00000000 .word config_data_rtu_second - 717 .cfi_endproc - 718 .LFE374: - 720 .section .text.configuration_handler_program,"ax",%progbits - 721 .align 1 - 722 .global configuration_handler_program - 723 .syntax unified - 724 .thumb - 725 .thumb_func - 726 .fpu fpv4-sp-d16 - 728 configuration_handler_program: - 729 .LFB375: + 234:../src/configuration_handler.c **** // set programming counter. If second region is also screwed the first one will be used as a sourc + 235:../src/configuration_handler.c **** // if second is OK it will be used instead (if its programming counter has value three or more). + 236:../src/configuration_handler.c **** *(uint16_t*)&config_data_pgm_cntr_first = 0x0002u; + 221 .loc 1 236 0 + 222 0020 414B ldr r3, .L39+4 + 223 0022 0222 movs r2, #2 + 224 0024 1A80 strh r2, [r3] @ movhi + 225 .LVL28: + 226 .LBB33: + 227 .LBB34: + 159:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** } + 228 .loc 2 159 0 + 229 0026 414A ldr r2, .L39+8 + 230 0028 9368 ldr r3, [r2, #8] + 231 002a 43F00103 orr r3, r3, #1 + 232 002e 9360 str r3, [r2, #8] + 233 .LVL29: + 234 .LBE34: + 235 .LBE33: + 236 .LBB35: + 237:../src/configuration_handler.c **** + 238:../src/configuration_handler.c **** #ifdef STM32F10X_MD_VL + 239:../src/configuration_handler.c **** // resetting CRC engine + 240:../src/configuration_handler.c **** CRC_ResetDR(); + 241:../src/configuration_handler.c **** + 242:../src/configuration_handler.c **** // calculate CRC checksum of the first block + 243:../src/configuration_handler.c **** CRC_CalcBlockCRC(config_section_first_start, CRC_32B_WORD_OFFSET - 1); + 244:../src/configuration_handler.c **** + 245:../src/configuration_handler.c **** // adding finalizing 0x00 + 246:../src/configuration_handler.c **** target_crc_value = CRC_CalcCRC((uint32_t)0x0); + 247:../src/configuration_handler.c **** #endif + 248:../src/configuration_handler.c **** + 249:../src/configuration_handler.c **** #ifdef STM32L471xx + 250:../src/configuration_handler.c **** // reset CRC engine + 251:../src/configuration_handler.c **** LL_CRC_ResetCRCCalculationUnit(CRC); + 252:../src/configuration_handler.c **** + 253:../src/configuration_handler.c **** for (int i = 0; i < CRC_32B_WORD_OFFSET - 1; i++) { + 237 .loc 1 253 0 + 238 0030 0023 movs r3, #0 + 239 0032 53E0 b .L29 + 240 .LVL30: + 241 .L19: + 242 .LBE35: + 174:../src/configuration_handler.c **** break; + 243 .loc 1 174 0 + 244 0034 4E25 movs r5, #78 + 173:../src/configuration_handler.c **** size = sizeof(config_data_basic_t) / 2; + 245 .loc 1 173 0 + 246 0036 3E4F ldr r7, .L39+12 + 172:../src/configuration_handler.c **** target = (uint16_t *) &config_data_basic_first; + 247 .loc 1 172 0 + 248 0038 3E4E ldr r6, .L39+16 + 175:../src/configuration_handler.c **** case 2: // sources + 249 .loc 1 175 0 + 250 003a 0EE0 b .L17 + 251 .LVL31: + 252 .L20: + 179:../src/configuration_handler.c **** break; + 253 .loc 1 179 0 + 254 003c 0225 movs r5, #2 + 178:../src/configuration_handler.c **** size = sizeof(config_data_wx_sources_t) / 2; + 255 .loc 1 178 0 + 256 003e 3E4F ldr r7, .L39+20 + 177:../src/configuration_handler.c **** target = (uint16_t *) &config_data_wx_sources_first; + 257 .loc 1 177 0 + 258 0040 3E4E ldr r6, .L39+24 + 180:../src/configuration_handler.c **** case 3: + 259 .loc 1 180 0 + 260 0042 0AE0 b .L17 + 261 .LVL32: + 262 .L21: + 184:../src/configuration_handler.c **** break; + 263 .loc 1 184 0 + 264 0044 0725 movs r5, #7 + 183:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; + 265 .loc 1 183 0 + 266 0046 3E4F ldr r7, .L39+28 + 182:../src/configuration_handler.c **** target = (uint16_t *) &config_data_umb_first; + 267 .loc 1 182 0 + 268 0048 3E4E ldr r6, .L39+32 + 185:../src/configuration_handler.c **** case 4: + 269 .loc 1 185 0 + 270 004a 06E0 b .L17 + 271 .LVL33: + 272 .L22: + 189:../src/configuration_handler.c **** break; + 273 .loc 1 189 0 + 274 004c 0725 movs r5, #7 + 188:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; + 275 .loc 1 188 0 + 276 004e 3E4F ldr r7, .L39+36 + 187:../src/configuration_handler.c **** target = (uint16_t *) &config_data_rtu_first; + 277 .loc 1 187 0 + 278 0050 3E4E ldr r6, .L39+40 + 190:../src/configuration_handler.c **** } + 279 .loc 1 190 0 + 280 0052 02E0 b .L17 + 281 .LVL34: + 282 .L33: + 169:../src/configuration_handler.c **** break; + 283 .loc 1 169 0 + 284 0054 0525 movs r5, #5 + 285 .LVL35: + 168:../src/configuration_handler.c **** size = sizeof(config_data_mode_t) / 2; + 286 .loc 1 168 0 + 287 0056 3E4F ldr r7, .L39+44 + 288 .LVL36: + 167:../src/configuration_handler.c **** target = (uint16_t *) &config_data_mode_first; + 289 .loc 1 167 0 + 290 0058 3E4E ldr r6, .L39+48 + 291 .LVL37: + 292 .L17: + 195:../src/configuration_handler.c **** + 293 .loc 1 195 0 + 294 005a 3F4A ldr r2, .L39+52 + 295 005c 5369 ldr r3, [r2, #20] + 296 005e 43F00103 orr r3, r3, #1 + 297 0062 5361 str r3, [r2, #20] + 298 .LVL38: + 198:../src/configuration_handler.c **** + 299 .loc 1 198 0 + 300 0064 0024 movs r4, #0 + 301 .LVL39: + 302 .L23: + 198:../src/configuration_handler.c **** + 303 .loc 1 198 0 is_stmt 0 discriminator 1 + 304 0066 A846 mov r8, r5 + 305 0068 A542 cmp r5, r4 + 306 006a 0CDD ble .L25 + 201:../src/configuration_handler.c **** + 307 .loc 1 201 0 is_stmt 1 + 308 006c 36F81430 ldrh r3, [r6, r4, lsl #1] + 309 0070 9BB2 uxth r3, r3 + 310 0072 27F81430 strh r3, [r7, r4, lsl #1] @ movhi + 311 .L24: + 206:../src/configuration_handler.c **** + 312 .loc 1 206 0 + 313 0076 FFF7FEFF bl FLASH_GetBank1Status + 314 .LVL40: + 208:../src/configuration_handler.c **** ; + 315 .loc 1 208 0 + 316 007a 0128 cmp r0, #1 + 317 007c FBD0 beq .L24 + 216:../src/configuration_handler.c **** break; // exit from the loop in case of programming error + 318 .loc 1 216 0 + 319 007e 0428 cmp r0, #4 + 320 0080 01D1 bne .L25 + 198:../src/configuration_handler.c **** + 321 .loc 1 198 0 discriminator 2 + 322 0082 0134 adds r4, r4, #1 + 323 .LVL41: + 324 0084 EFE7 b .L23 + 325 .LVL42: + 326 .L25: + 223:../src/configuration_handler.c **** + 327 .loc 1 223 0 + 328 0086 4FEA4802 lsl r2, r8, #1 + 329 008a 3146 mov r1, r6 + 330 008c 3846 mov r0, r7 + 331 008e FFF7FEFF bl memcmp + 332 .LVL43: + 225:../src/configuration_handler.c **** // quit from the + 333 .loc 1 225 0 + 334 0092 0028 cmp r0, #0 + 335 0094 42D1 bne .L34 + 162:../src/configuration_handler.c **** + 336 .loc 1 162 0 discriminator 2 + 337 0096 09F10109 add r9, r9, #1 + 338 .LVL44: + 339 009a 4FFA89F9 sxtb r9, r9 + 340 .LVL45: + 341 .L14: + 162:../src/configuration_handler.c **** + 342 .loc 1 162 0 is_stmt 0 discriminator 1 + 343 009e B9F1040F cmp r9, #4 + 344 00a2 BDDC bgt .L15 + 165:../src/configuration_handler.c **** case 0: // mode + 345 .loc 1 165 0 is_stmt 1 + 346 00a4 B9F1040F cmp r9, #4 + 347 00a8 D7D8 bhi .L17 + 348 00aa 01A3 adr r3, .L18 + 349 00ac 53F829F0 ldr pc, [r3, r9, lsl #2] + 350 .p2align 2 + 351 .L18: + 352 00b0 55000000 .word .L33+1 + 353 00b4 35000000 .word .L19+1 + 354 00b8 3D000000 .word .L20+1 + 355 00bc 45000000 .word .L21+1 + 356 00c0 4D000000 .word .L22+1 + 357 .LVL46: + 358 .p2align 1 + 359 .L37: + 142:../src/configuration_handler.c **** + 360 .loc 1 142 0 + 361 00c4 0025 movs r5, #0 + 139:../src/configuration_handler.c **** + 362 .loc 1 139 0 + 363 00c6 2F46 mov r7, r5 + 136:../src/configuration_handler.c **** + 364 .loc 1 136 0 + 365 00c8 2E46 mov r6, r5 + 162:../src/configuration_handler.c **** + 366 .loc 1 162 0 + 367 00ca A946 mov r9, r5 + 368 00cc E7E7 b .L14 + 369 .LVL47: + 370 .L30: + 371 .LBB38: + 254:../src/configuration_handler.c **** // feed the data into CRC engine + 255:../src/configuration_handler.c **** LL_CRC_FeedData32(CRC, *(config_section_first_start + i)); + 372 .loc 1 255 0 discriminator 3 + 373 00ce 154A ldr r2, .L39 + 374 00d0 1268 ldr r2, [r2] + 375 00d2 52F82310 ldr r1, [r2, r3, lsl #2] + 376 .LVL48: + 377 .LBB36: + 378 .LBB37: + 379 .loc 2 327 0 discriminator 3 + 380 00d6 154A ldr r2, .L39+8 + 381 00d8 1160 str r1, [r2] + 382 .LVL49: + 383 .LBE37: + 384 .LBE36: + 253:../src/configuration_handler.c **** // feed the data into CRC engine + 385 .loc 1 253 0 discriminator 3 + 386 00da 0133 adds r3, r3, #1 + 387 .LVL50: + 388 .L29: + 253:../src/configuration_handler.c **** // feed the data into CRC engine + 389 .loc 1 253 0 is_stmt 0 discriminator 1 + 390 00dc B3F5FF7F cmp r3, #510 + 391 00e0 F5DB blt .L30 + 392 .LBE38: + 256:../src/configuration_handler.c **** } + 257:../src/configuration_handler.c **** + 258:../src/configuration_handler.c **** // placeholder for CRC value itself + 259:../src/configuration_handler.c **** CRC->DR = 0x00; + 393 .loc 1 259 0 is_stmt 1 + 394 00e2 124B ldr r3, .L39+8 + 395 .LVL51: + 396 00e4 0022 movs r2, #0 + 397 00e6 1A60 str r2, [r3] + 260:../src/configuration_handler.c **** + 261:../src/configuration_handler.c **** target_crc_value = CRC->DR; + 398 .loc 1 261 0 + 399 00e8 1B68 ldr r3, [r3] + 400 .LVL52: + 262:../src/configuration_handler.c **** #endif + 263:../src/configuration_handler.c **** + 264:../src/configuration_handler.c **** // program the CRC value + 265:../src/configuration_handler.c **** *(uint16_t*)((uint16_t *)config_section_first_start + CRC_16B_WORD_OFFSET) = (uint16_t)(target_crc + 401 .loc 1 265 0 + 402 00ea 0E4A ldr r2, .L39 + 403 00ec 1268 ldr r2, [r2] + 404 00ee A2F8FC37 strh r3, [r2, #2044] @ movhi + 266:../src/configuration_handler.c **** *(uint16_t*)((uint16_t *)config_section_first_start + CRC_16B_WORD_OFFSET + 1) = (uint16_t)((targe + 405 .loc 1 266 0 + 406 00f2 1B0C lsrs r3, r3, #16 + 407 .LVL53: + 408 00f4 A2F8FE37 strh r3, [r2, #2046] @ movhi + 267:../src/configuration_handler.c **** + 268:../src/configuration_handler.c **** flash_status = FLASH_GetBank1Status(); + 409 .loc 1 268 0 + 410 00f8 FFF7FEFF bl FLASH_GetBank1Status + 411 .LVL54: + 269:../src/configuration_handler.c **** + 270:../src/configuration_handler.c **** if (flash_status != FLASH_COMPLETE) { + 412 .loc 1 270 0 + 413 00fc 0428 cmp r0, #4 + 414 00fe 0BD0 beq .L38 + 271:../src/configuration_handler.c **** out = -2; // exit from the loop in case of programming error + 415 .loc 1 271 0 + 416 0100 6FF00104 mvn r4, #1 + 417 .L31: + 418 .LVL55: + 272:../src/configuration_handler.c **** } + 273:../src/configuration_handler.c **** + 274:../src/configuration_handler.c **** // disable programming + 275:../src/configuration_handler.c **** FLASH->CR &= (0xFFFFFFFF ^ FLASH_CR_PG); + 419 .loc 1 275 0 + 420 0104 144A ldr r2, .L39+52 + 421 0106 5369 ldr r3, [r2, #20] + 422 0108 23F00103 bic r3, r3, #1 + 423 010c 5361 str r3, [r2, #20] + 276:../src/configuration_handler.c **** + 277:../src/configuration_handler.c **** // lock the memory back + 278:../src/configuration_handler.c **** FLASH_Lock(); + 424 .loc 1 278 0 + 425 010e FFF7FEFF bl FLASH_Lock + 426 .LVL56: + 427 .L13: + 279:../src/configuration_handler.c **** + 280:../src/configuration_handler.c **** return out; + 281:../src/configuration_handler.c **** + 282:../src/configuration_handler.c **** } + 428 .loc 1 282 0 + 429 0112 2046 mov r0, r4 + 430 0114 BDE8F883 pop {r3, r4, r5, r6, r7, r8, r9, pc} + 431 .LVL57: + 432 .L38: + 129:../src/configuration_handler.c **** + 433 .loc 1 129 0 + 434 0118 0024 movs r4, #0 + 435 011a F3E7 b .L31 + 436 .LVL58: + 437 .L34: + 229:../src/configuration_handler.c **** } + 438 .loc 1 229 0 + 439 011c 4FF0FF34 mov r4, #-1 + 440 .LVL59: + 441 0120 F7E7 b .L13 + 442 .L40: + 443 0122 00BF .align 2 + 444 .L39: + 445 0124 00000000 .word .LANCHOR0 + 446 0128 00000000 .word config_data_pgm_cntr_first + 447 012c 00300240 .word 1073885184 + 448 0130 00000000 .word config_data_basic_first + 449 0134 00000000 .word config_data_basic_default + 450 0138 00000000 .word config_data_wx_sources_first + 451 013c 00000000 .word config_data_wx_sources_default + 452 0140 00000000 .word config_data_umb_first + 453 0144 00000000 .word config_data_umb_default + 454 0148 00000000 .word config_data_rtu_first + 455 014c 00000000 .word config_data_rtu_default + 456 0150 00000000 .word config_data_mode_first + 457 0154 00000000 .word config_data_mode_default + 458 0158 00200240 .word 1073881088 + 459 .cfi_endproc + 460 .LFE372: + 462 .section .text.configuration_handler_restore_default_second,"ax",%progbits + 463 .align 1 + 464 .global configuration_handler_restore_default_second + 465 .syntax unified + 466 .thumb + 467 .thumb_func + 468 .fpu fpv4-sp-d16 + 470 configuration_handler_restore_default_second: + 471 .LFB373: + 283:../src/configuration_handler.c **** + 284:../src/configuration_handler.c **** uint32_t configuration_handler_restore_default_second(void) { + 472 .loc 1 284 0 + 473 .cfi_startproc + 474 @ args = 0, pretend = 0, frame = 0 + 475 @ frame_needed = 0, uses_anonymous_args = 0 + 476 0000 2DE9F843 push {r3, r4, r5, r6, r7, r8, r9, lr} + 477 .cfi_def_cfa_offset 32 + 478 .cfi_offset 3, -32 + 479 .cfi_offset 4, -28 + 480 .cfi_offset 5, -24 + 481 .cfi_offset 6, -20 + 482 .cfi_offset 7, -16 + 483 .cfi_offset 8, -12 + 484 .cfi_offset 9, -8 + 485 .cfi_offset 14, -4 + 486 .LVL60: + 285:../src/configuration_handler.c **** uint32_t out = 0; + 286:../src/configuration_handler.c **** + 287:../src/configuration_handler.c **** // loop iterators + 288:../src/configuration_handler.c **** int i = 0; + 289:../src/configuration_handler.c **** int8_t config_struct_it = 0; + 290:../src/configuration_handler.c **** + 291:../src/configuration_handler.c **** // source pointer + 292:../src/configuration_handler.c **** volatile uint16_t * source = 0x00; + 293:../src/configuration_handler.c **** + 294:../src/configuration_handler.c **** // destination pointer for flash reprogramming + 295:../src/configuration_handler.c **** volatile uint16_t * target = 0x00; + 296:../src/configuration_handler.c **** + 297:../src/configuration_handler.c **** // amount of 16 bit words to copy across the memory + 298:../src/configuration_handler.c **** uint16_t size = 0; + 299:../src/configuration_handler.c **** + 300:../src/configuration_handler.c **** // target region CRC value to be stored in the flash memory + 301:../src/configuration_handler.c **** uint32_t target_crc_value = 0; + 302:../src/configuration_handler.c **** + 303:../src/configuration_handler.c **** // flash operation result + 304:../src/configuration_handler.c **** FLASH_Status flash_status = 0; + 305:../src/configuration_handler.c **** + 306:../src/configuration_handler.c **** int comparision_result = 0; + 307:../src/configuration_handler.c **** + 308:../src/configuration_handler.c **** // unlock flash memory + 309:../src/configuration_handler.c **** FLASH_Unlock(); + 487 .loc 1 309 0 + 488 0004 FFF7FEFF bl FLASH_Unlock + 489 .LVL61: + 310:../src/configuration_handler.c **** + 311:../src/configuration_handler.c **** // erase first page + 312:../src/configuration_handler.c **** flash_status = FLASH_ErasePage((uint32_t)config_section_second_start); + 490 .loc 1 312 0 + 491 0008 464C ldr r4, .L67 + 492 000a 2068 ldr r0, [r4] + 493 000c FFF7FEFF bl FLASH_ErasePage + 494 .LVL62: + 313:../src/configuration_handler.c **** flash_status = FLASH_ErasePage((uint32_t)config_section_second_start + 0x400); + 495 .loc 1 313 0 + 496 0010 2068 ldr r0, [r4] + 497 0012 00F58060 add r0, r0, #1024 + 498 0016 FFF7FEFF bl FLASH_ErasePage + 499 .LVL63: + 500 001a C0B2 uxtb r0, r0 + 501 .LVL64: + 314:../src/configuration_handler.c **** + 315:../src/configuration_handler.c **** // check if erasure was completed successfully + 316:../src/configuration_handler.c **** if (flash_status == FLASH_COMPLETE) { + 502 .loc 1 316 0 + 503 001c 0428 cmp r0, #4 + 504 001e 51D0 beq .L65 + 505 .LVL65: + 506 .L43: + 317:../src/configuration_handler.c **** + 318:../src/configuration_handler.c **** for (config_struct_it = 0; config_struct_it < 5; config_struct_it++) { + 319:../src/configuration_handler.c **** + 320:../src/configuration_handler.c **** // set pointers + 321:../src/configuration_handler.c **** switch (config_struct_it) { + 322:../src/configuration_handler.c **** case 0: // mode + 323:../src/configuration_handler.c **** source = (uint16_t *) &config_data_mode_default; + 324:../src/configuration_handler.c **** target = (uint16_t *) &config_data_mode_second; + 325:../src/configuration_handler.c **** size = sizeof(config_data_mode_t) / 2; + 326:../src/configuration_handler.c **** break; + 327:../src/configuration_handler.c **** case 1: // basic + 328:../src/configuration_handler.c **** source = (uint16_t *) &config_data_basic_default; + 329:../src/configuration_handler.c **** target = (uint16_t *) &config_data_basic_second; + 330:../src/configuration_handler.c **** size = sizeof(config_data_basic_t) / 2; + 331:../src/configuration_handler.c **** break; + 332:../src/configuration_handler.c **** case 2: // sources + 333:../src/configuration_handler.c **** source = (uint16_t *) &config_data_wx_sources_default; + 334:../src/configuration_handler.c **** target = (uint16_t *) &config_data_wx_sources_second; + 335:../src/configuration_handler.c **** size = sizeof(config_data_wx_sources_t) / 2; + 336:../src/configuration_handler.c **** break; + 337:../src/configuration_handler.c **** case 3: + 338:../src/configuration_handler.c **** source = (uint16_t *) &config_data_umb_default; + 339:../src/configuration_handler.c **** target = (uint16_t *) &config_data_umb_second; + 340:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; + 341:../src/configuration_handler.c **** break; + 342:../src/configuration_handler.c **** case 4: + 343:../src/configuration_handler.c **** source = (uint16_t *) &config_data_rtu_default; + 344:../src/configuration_handler.c **** target = (uint16_t *) &config_data_rtu_second; + 345:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; + 346:../src/configuration_handler.c **** break; + 347:../src/configuration_handler.c **** } + 348:../src/configuration_handler.c **** + 349:../src/configuration_handler.c **** + 350:../src/configuration_handler.c **** // enable programming + 351:../src/configuration_handler.c **** FLASH->CR |= FLASH_CR_PG; + 352:../src/configuration_handler.c **** + 353:../src/configuration_handler.c **** // if so reprogram first section + 354:../src/configuration_handler.c **** for (i = 0; i < size; i++) { + 355:../src/configuration_handler.c **** + 356:../src/configuration_handler.c **** // copy data + 357:../src/configuration_handler.c **** *(target + i) = *(source + i); + 358:../src/configuration_handler.c **** + 359:../src/configuration_handler.c **** // wait for flash operation to finish + 360:../src/configuration_handler.c **** while (1) { + 361:../src/configuration_handler.c **** // check current status + 362:../src/configuration_handler.c **** flash_status = FLASH_GetBank1Status(); + 363:../src/configuration_handler.c **** + 364:../src/configuration_handler.c **** if (flash_status == FLASH_BUSY) { + 365:../src/configuration_handler.c **** ; + 366:../src/configuration_handler.c **** } + 367:../src/configuration_handler.c **** else { + 368:../src/configuration_handler.c **** break; + 369:../src/configuration_handler.c **** } + 370:../src/configuration_handler.c **** } + 371:../src/configuration_handler.c **** + 372:../src/configuration_handler.c **** if (flash_status != FLASH_COMPLETE) { + 373:../src/configuration_handler.c **** break; // exit from the loop in case of programming error + 374:../src/configuration_handler.c **** } + 375:../src/configuration_handler.c **** + 376:../src/configuration_handler.c **** } + 377:../src/configuration_handler.c **** + 378:../src/configuration_handler.c **** // verify programming + 379:../src/configuration_handler.c **** comparision_result = memcmp((const void * )target, (const void * )source, size * 2); + 380:../src/configuration_handler.c **** + 381:../src/configuration_handler.c **** if (comparision_result != 0) { + 382:../src/configuration_handler.c **** // quit from the + 383:../src/configuration_handler.c **** out = -1; + 384:../src/configuration_handler.c **** + 385:../src/configuration_handler.c **** return out; + 386:../src/configuration_handler.c **** } + 387:../src/configuration_handler.c **** } + 388:../src/configuration_handler.c **** } + 389:../src/configuration_handler.c **** + 390:../src/configuration_handler.c **** // set programming counter. If second region is also screwed the first one will be used as a sourc + 391:../src/configuration_handler.c **** // if second is OK it will be used instead (if its programming counter has value three or more). + 392:../src/configuration_handler.c **** *(uint16_t*)&config_data_pgm_cntr_second = 0x0002u; + 507 .loc 1 392 0 + 508 0020 414B ldr r3, .L67+4 + 509 0022 0222 movs r2, #2 + 510 0024 1A80 strh r2, [r3] @ movhi + 511 .LVL66: + 512 .LBB39: + 513 .LBB40: + 159:../system/include/stm32l4-hal-driver/stm32l4xx_ll_crc.h **** } + 514 .loc 2 159 0 + 515 0026 414A ldr r2, .L67+8 + 516 0028 9368 ldr r3, [r2, #8] + 517 002a 43F00103 orr r3, r3, #1 + 518 002e 9360 str r3, [r2, #8] + 519 .LVL67: + 520 .LBE40: + 521 .LBE39: + 522 .LBB41: + 393:../src/configuration_handler.c **** + 394:../src/configuration_handler.c **** #ifdef STM32F10X_MD_VL + 395:../src/configuration_handler.c **** // resetting CRC engine + 396:../src/configuration_handler.c **** CRC_ResetDR(); 397:../src/configuration_handler.c **** - 398:../src/configuration_handler.c **** uint32_t configuration_handler_program(uint8_t* data, uint16_t data_ln, uint8_t config_idx) { - 730 .loc 1 398 0 - 731 .cfi_startproc - 732 @ args = 0, pretend = 0, frame = 0 - 733 @ frame_needed = 0, uses_anonymous_args = 0 - 734 @ link register save eliminated. - 735 .LVL78: - 399:../src/configuration_handler.c **** return -1; - 400:../src/configuration_handler.c **** } - 736 .loc 1 400 0 - 737 0000 4FF0FF30 mov r0, #-1 - 738 .LVL79: - 739 0004 7047 bx lr - 740 .cfi_endproc - 741 .LFE375: - 743 .section .text.configuration_get_register,"ax",%progbits - 744 .align 1 - 745 .global configuration_get_register - 746 .syntax unified - 747 .thumb - 748 .thumb_func - 749 .fpu fpv4-sp-d16 - 751 configuration_get_register: - 752 .LFB376: - 401:../src/configuration_handler.c **** - 402:../src/configuration_handler.c **** uint32_t configuration_get_register(void) { - 753 .loc 1 402 0 - 754 .cfi_startproc - 755 @ args = 0, pretend = 0, frame = 0 - 756 @ frame_needed = 0, uses_anonymous_args = 0 - 757 @ link register save eliminated. - 758 .LVL80: - 403:../src/configuration_handler.c **** - 404:../src/configuration_handler.c **** uint32_t out = 0; - 405:../src/configuration_handler.c **** - 406:../src/configuration_handler.c **** #ifdef STM32F10X_MD_VL - 407:../src/configuration_handler.c **** out = BKP->DR3; - 408:../src/configuration_handler.c **** #endif - 409:../src/configuration_handler.c **** - 410:../src/configuration_handler.c **** #ifdef STM32L471xx - 411:../src/configuration_handler.c **** out = RTC->BKP3R; - 759 .loc 1 411 0 - 760 0000 014B ldr r3, .L70 - 761 0002 D86D ldr r0, [r3, #92] - 762 .LVL81: - 412:../src/configuration_handler.c **** - 413:../src/configuration_handler.c **** #endif - 414:../src/configuration_handler.c **** - 415:../src/configuration_handler.c **** return out; - 416:../src/configuration_handler.c **** } - 763 .loc 1 416 0 - 764 0004 7047 bx lr - 765 .L71: - 766 0006 00BF .align 2 - 767 .L70: - 768 0008 00280040 .word 1073752064 - 769 .cfi_endproc - 770 .LFE376: - 772 .section .text.configuration_set_register,"ax",%progbits - 773 .align 1 - 774 .global configuration_set_register - 775 .syntax unified - 776 .thumb - 777 .thumb_func - 778 .fpu fpv4-sp-d16 - 780 configuration_set_register: - 781 .LFB377: - 417:../src/configuration_handler.c **** - 418:../src/configuration_handler.c **** void configuration_set_register(uint32_t value) { - 782 .loc 1 418 0 - 783 .cfi_startproc - 784 @ args = 0, pretend = 0, frame = 0 - 785 @ frame_needed = 0, uses_anonymous_args = 0 - 786 @ link register save eliminated. - 787 .LVL82: - 419:../src/configuration_handler.c **** #ifdef STM32F10X_MD_VL - 420:../src/configuration_handler.c **** BKP->DR3 = value; - 421:../src/configuration_handler.c **** #endif - 422:../src/configuration_handler.c **** - 423:../src/configuration_handler.c **** #ifdef STM32L471xx - 424:../src/configuration_handler.c **** RTC->BKP3R = value; - 788 .loc 1 424 0 - 789 0000 014B ldr r3, .L73 - 790 0002 D865 str r0, [r3, #92] + 398:../src/configuration_handler.c **** // calculate CRC checksum of the first block + 399:../src/configuration_handler.c **** CRC_CalcBlockCRC(config_section_first_start, CRC_32B_WORD_OFFSET - 1); + 400:../src/configuration_handler.c **** + 401:../src/configuration_handler.c **** // adding finalizing 0x00 + 402:../src/configuration_handler.c **** target_crc_value = CRC_CalcCRC((uint32_t)0x0); + 403:../src/configuration_handler.c **** #endif + 404:../src/configuration_handler.c **** + 405:../src/configuration_handler.c **** #ifdef STM32L471xx + 406:../src/configuration_handler.c **** // reset CRC engine + 407:../src/configuration_handler.c **** LL_CRC_ResetCRCCalculationUnit(CRC); + 408:../src/configuration_handler.c **** + 409:../src/configuration_handler.c **** for (int i = 0; i < CRC_32B_WORD_OFFSET - 1; i++) { + 523 .loc 1 409 0 + 524 0030 0023 movs r3, #0 + 525 0032 53E0 b .L57 + 526 .LVL68: + 527 .L47: + 528 .LBE41: + 330:../src/configuration_handler.c **** break; + 529 .loc 1 330 0 + 530 0034 4E25 movs r5, #78 + 329:../src/configuration_handler.c **** size = sizeof(config_data_basic_t) / 2; + 531 .loc 1 329 0 + 532 0036 3E4F ldr r7, .L67+12 + 328:../src/configuration_handler.c **** target = (uint16_t *) &config_data_basic_second; + 533 .loc 1 328 0 + 534 0038 3E4E ldr r6, .L67+16 + 331:../src/configuration_handler.c **** case 2: // sources + 535 .loc 1 331 0 + 536 003a 0EE0 b .L45 + 537 .LVL69: + 538 .L48: + 335:../src/configuration_handler.c **** break; + 539 .loc 1 335 0 + 540 003c 0225 movs r5, #2 + 334:../src/configuration_handler.c **** size = sizeof(config_data_wx_sources_t) / 2; + 541 .loc 1 334 0 + 542 003e 3E4F ldr r7, .L67+20 + 333:../src/configuration_handler.c **** target = (uint16_t *) &config_data_wx_sources_second; + 543 .loc 1 333 0 + 544 0040 3E4E ldr r6, .L67+24 + 336:../src/configuration_handler.c **** case 3: + 545 .loc 1 336 0 + 546 0042 0AE0 b .L45 + 547 .LVL70: + 548 .L49: + 340:../src/configuration_handler.c **** break; + 549 .loc 1 340 0 + 550 0044 0725 movs r5, #7 + 339:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; + 551 .loc 1 339 0 + 552 0046 3E4F ldr r7, .L67+28 + 338:../src/configuration_handler.c **** target = (uint16_t *) &config_data_umb_second; + 553 .loc 1 338 0 + 554 0048 3E4E ldr r6, .L67+32 + 341:../src/configuration_handler.c **** case 4: + 555 .loc 1 341 0 + 556 004a 06E0 b .L45 + 557 .LVL71: + 558 .L50: + 345:../src/configuration_handler.c **** break; + 559 .loc 1 345 0 + 560 004c 0725 movs r5, #7 + 344:../src/configuration_handler.c **** size = sizeof(config_data_umb_t) / 2; + 561 .loc 1 344 0 + 562 004e 3E4F ldr r7, .L67+36 + 343:../src/configuration_handler.c **** target = (uint16_t *) &config_data_rtu_second; + 563 .loc 1 343 0 + 564 0050 3E4E ldr r6, .L67+40 + 346:../src/configuration_handler.c **** } + 565 .loc 1 346 0 + 566 0052 02E0 b .L45 + 567 .LVL72: + 568 .L61: + 325:../src/configuration_handler.c **** break; + 569 .loc 1 325 0 + 570 0054 0525 movs r5, #5 + 571 .LVL73: + 324:../src/configuration_handler.c **** size = sizeof(config_data_mode_t) / 2; + 572 .loc 1 324 0 + 573 0056 3E4F ldr r7, .L67+44 + 574 .LVL74: + 323:../src/configuration_handler.c **** target = (uint16_t *) &config_data_mode_second; + 575 .loc 1 323 0 + 576 0058 3E4E ldr r6, .L67+48 + 577 .LVL75: + 578 .L45: + 351:../src/configuration_handler.c **** + 579 .loc 1 351 0 + 580 005a 3F4A ldr r2, .L67+52 + 581 005c 5369 ldr r3, [r2, #20] + 582 005e 43F00103 orr r3, r3, #1 + 583 0062 5361 str r3, [r2, #20] + 584 .LVL76: + 354:../src/configuration_handler.c **** + 585 .loc 1 354 0 + 586 0064 0024 movs r4, #0 + 587 .LVL77: + 588 .L51: + 354:../src/configuration_handler.c **** + 589 .loc 1 354 0 is_stmt 0 discriminator 1 + 590 0066 A846 mov r8, r5 + 591 0068 A542 cmp r5, r4 + 592 006a 0CDD ble .L53 + 357:../src/configuration_handler.c **** + 593 .loc 1 357 0 is_stmt 1 + 594 006c 36F81430 ldrh r3, [r6, r4, lsl #1] + 595 0070 9BB2 uxth r3, r3 + 596 0072 27F81430 strh r3, [r7, r4, lsl #1] @ movhi + 597 .L52: + 362:../src/configuration_handler.c **** + 598 .loc 1 362 0 + 599 0076 FFF7FEFF bl FLASH_GetBank1Status + 600 .LVL78: + 364:../src/configuration_handler.c **** ; + 601 .loc 1 364 0 + 602 007a 0128 cmp r0, #1 + 603 007c FBD0 beq .L52 + 372:../src/configuration_handler.c **** break; // exit from the loop in case of programming error + 604 .loc 1 372 0 + 605 007e 0428 cmp r0, #4 + 606 0080 01D1 bne .L53 + 354:../src/configuration_handler.c **** + 607 .loc 1 354 0 discriminator 2 + 608 0082 0134 adds r4, r4, #1 + 609 .LVL79: + 610 0084 EFE7 b .L51 + 611 .LVL80: + 612 .L53: + 379:../src/configuration_handler.c **** + 613 .loc 1 379 0 + 614 0086 4FEA4802 lsl r2, r8, #1 + 615 008a 3146 mov r1, r6 + 616 008c 3846 mov r0, r7 + 617 008e FFF7FEFF bl memcmp + 618 .LVL81: + 381:../src/configuration_handler.c **** // quit from the + 619 .loc 1 381 0 + 620 0092 0028 cmp r0, #0 + 621 0094 42D1 bne .L62 + 318:../src/configuration_handler.c **** + 622 .loc 1 318 0 discriminator 2 + 623 0096 09F10109 add r9, r9, #1 + 624 .LVL82: + 625 009a 4FFA89F9 sxtb r9, r9 + 626 .LVL83: + 627 .L42: + 318:../src/configuration_handler.c **** + 628 .loc 1 318 0 is_stmt 0 discriminator 1 + 629 009e B9F1040F cmp r9, #4 + 630 00a2 BDDC bgt .L43 + 321:../src/configuration_handler.c **** case 0: // mode + 631 .loc 1 321 0 is_stmt 1 + 632 00a4 B9F1040F cmp r9, #4 + 633 00a8 D7D8 bhi .L45 + 634 00aa 01A3 adr r3, .L46 + 635 00ac 53F829F0 ldr pc, [r3, r9, lsl #2] + 636 .p2align 2 + 637 .L46: + 638 00b0 55000000 .word .L61+1 + 639 00b4 35000000 .word .L47+1 + 640 00b8 3D000000 .word .L48+1 + 641 00bc 45000000 .word .L49+1 + 642 00c0 4D000000 .word .L50+1 + 643 .LVL84: + 644 .p2align 1 + 645 .L65: + 298:../src/configuration_handler.c **** + 646 .loc 1 298 0 + 647 00c4 0025 movs r5, #0 + 295:../src/configuration_handler.c **** + 648 .loc 1 295 0 + 649 00c6 2F46 mov r7, r5 + 292:../src/configuration_handler.c **** + 650 .loc 1 292 0 + 651 00c8 2E46 mov r6, r5 + 318:../src/configuration_handler.c **** + 652 .loc 1 318 0 + 653 00ca A946 mov r9, r5 + 654 00cc E7E7 b .L42 + 655 .LVL85: + 656 .L58: + 657 .LBB44: + 410:../src/configuration_handler.c **** // feed the data into CRC engine + 411:../src/configuration_handler.c **** LL_CRC_FeedData32(CRC, *(config_section_first_start + i)); + 658 .loc 1 411 0 discriminator 3 + 659 00ce 234A ldr r2, .L67+56 + 660 00d0 1268 ldr r2, [r2] + 661 00d2 52F82310 ldr r1, [r2, r3, lsl #2] + 662 .LVL86: + 663 .LBB42: + 664 .LBB43: + 665 .loc 2 327 0 discriminator 3 + 666 00d6 154A ldr r2, .L67+8 + 667 00d8 1160 str r1, [r2] + 668 .LVL87: + 669 .LBE43: + 670 .LBE42: + 409:../src/configuration_handler.c **** // feed the data into CRC engine + 671 .loc 1 409 0 discriminator 3 + 672 00da 0133 adds r3, r3, #1 + 673 .LVL88: + 674 .L57: + 409:../src/configuration_handler.c **** // feed the data into CRC engine + 675 .loc 1 409 0 is_stmt 0 discriminator 1 + 676 00dc B3F5FF7F cmp r3, #510 + 677 00e0 F5DB blt .L58 + 678 .LBE44: + 412:../src/configuration_handler.c **** } + 413:../src/configuration_handler.c **** + 414:../src/configuration_handler.c **** // placeholder for CRC value itself + 415:../src/configuration_handler.c **** CRC->DR = 0x00; + 679 .loc 1 415 0 is_stmt 1 + 680 00e2 124B ldr r3, .L67+8 + 681 .LVL89: + 682 00e4 0022 movs r2, #0 + 683 00e6 1A60 str r2, [r3] + 416:../src/configuration_handler.c **** + 417:../src/configuration_handler.c **** target_crc_value = CRC->DR; + 684 .loc 1 417 0 + 685 00e8 1B68 ldr r3, [r3] + 686 .LVL90: + 418:../src/configuration_handler.c **** #endif + 419:../src/configuration_handler.c **** + 420:../src/configuration_handler.c **** // program the CRC value + 421:../src/configuration_handler.c **** *(uint16_t*)((uint16_t *)config_section_second_start + CRC_16B_WORD_OFFSET) = (uint16_t)(target_cr + 687 .loc 1 421 0 + 688 00ea 0E4A ldr r2, .L67 + 689 00ec 1268 ldr r2, [r2] + 690 00ee A2F8FC37 strh r3, [r2, #2044] @ movhi + 422:../src/configuration_handler.c **** *(uint16_t*)((uint16_t *)config_section_second_start + CRC_16B_WORD_OFFSET + 1) = (uint16_t)((targ + 691 .loc 1 422 0 + 692 00f2 1B0C lsrs r3, r3, #16 + 693 .LVL91: + 694 00f4 A2F8FE37 strh r3, [r2, #2046] @ movhi + 423:../src/configuration_handler.c **** + 424:../src/configuration_handler.c **** flash_status = FLASH_GetBank1Status(); + 695 .loc 1 424 0 + 696 00f8 FFF7FEFF bl FLASH_GetBank1Status + 697 .LVL92: 425:../src/configuration_handler.c **** - 426:../src/configuration_handler.c **** #endif - 427:../src/configuration_handler.c **** } - 791 .loc 1 427 0 - 792 0004 7047 bx lr - 793 .L74: - 794 0006 00BF .align 2 - 795 .L73: - 796 0008 00280040 .word 1073752064 - 797 .cfi_endproc - 798 .LFE377: - 800 .section .text.configuration_set_bits_register,"ax",%progbits - 801 .align 1 - 802 .global configuration_set_bits_register - 803 .syntax unified - 804 .thumb - 805 .thumb_func - 806 .fpu fpv4-sp-d16 - 808 configuration_set_bits_register: - 809 .LFB378: - 428:../src/configuration_handler.c **** - 429:../src/configuration_handler.c **** void configuration_set_bits_register(uint32_t value) { - 810 .loc 1 429 0 - 811 .cfi_startproc - 812 @ args = 0, pretend = 0, frame = 0 - 813 @ frame_needed = 0, uses_anonymous_args = 0 - 814 @ link register save eliminated. - 815 .LVL83: - 430:../src/configuration_handler.c **** #ifdef STM32F10X_MD_VL - 431:../src/configuration_handler.c **** BKP->DR3 |= value; - 432:../src/configuration_handler.c **** #endif - 433:../src/configuration_handler.c **** - 434:../src/configuration_handler.c **** #ifdef STM32L471xx - 435:../src/configuration_handler.c **** RTC->BKP3R |= value; - 816 .loc 1 435 0 - 817 0000 024A ldr r2, .L76 - 818 0002 D36D ldr r3, [r2, #92] - 819 0004 1843 orrs r0, r0, r3 - 820 .LVL84: - 821 0006 D065 str r0, [r2, #92] - 436:../src/configuration_handler.c **** - 437:../src/configuration_handler.c **** #endif - 438:../src/configuration_handler.c **** } - 822 .loc 1 438 0 - 823 0008 7047 bx lr - 824 .L77: - 825 000a 00BF .align 2 - 826 .L76: - 827 000c 00280040 .word 1073752064 - 828 .cfi_endproc - 829 .LFE378: - 831 .section .text.configuration_clear_bits_register,"ax",%progbits - 832 .align 1 - 833 .global configuration_clear_bits_register - 834 .syntax unified - 835 .thumb - 836 .thumb_func - 837 .fpu fpv4-sp-d16 - 839 configuration_clear_bits_register: - 840 .LFB379: + 426:../src/configuration_handler.c **** if (flash_status != FLASH_COMPLETE) { + 698 .loc 1 426 0 + 699 00fc 0428 cmp r0, #4 + 700 00fe 0BD0 beq .L66 + 427:../src/configuration_handler.c **** out = -2; // exit from the loop in case of programming error + 701 .loc 1 427 0 + 702 0100 6FF00104 mvn r4, #1 + 703 .L59: + 704 .LVL93: + 428:../src/configuration_handler.c **** } + 429:../src/configuration_handler.c **** + 430:../src/configuration_handler.c **** // disable programming + 431:../src/configuration_handler.c **** FLASH->CR &= (0xFFFFFFFF ^ FLASH_CR_PG); + 705 .loc 1 431 0 + 706 0104 144A ldr r2, .L67+52 + 707 0106 5369 ldr r3, [r2, #20] + 708 0108 23F00103 bic r3, r3, #1 + 709 010c 5361 str r3, [r2, #20] + 432:../src/configuration_handler.c **** + 433:../src/configuration_handler.c **** // lock the memory back + 434:../src/configuration_handler.c **** FLASH_Lock(); + 710 .loc 1 434 0 + 711 010e FFF7FEFF bl FLASH_Lock + 712 .LVL94: + 713 .L41: + 435:../src/configuration_handler.c **** + 436:../src/configuration_handler.c **** return out;} + 714 .loc 1 436 0 + 715 0112 2046 mov r0, r4 + 716 0114 BDE8F883 pop {r3, r4, r5, r6, r7, r8, r9, pc} + 717 .LVL95: + 718 .L66: + 285:../src/configuration_handler.c **** + 719 .loc 1 285 0 + 720 0118 0024 movs r4, #0 + 721 011a F3E7 b .L59 + 722 .LVL96: + 723 .L62: + 385:../src/configuration_handler.c **** } + 724 .loc 1 385 0 + 725 011c 4FF0FF34 mov r4, #-1 + 726 .LVL97: + 727 0120 F7E7 b .L41 + 728 .L68: + 729 0122 00BF .align 2 + 730 .L67: + 731 0124 00000000 .word .LANCHOR1 + 732 0128 00000000 .word config_data_pgm_cntr_second + 733 012c 00300240 .word 1073885184 + 734 0130 00000000 .word config_data_basic_second + 735 0134 00000000 .word config_data_basic_default + 736 0138 00000000 .word config_data_wx_sources_second + 737 013c 00000000 .word config_data_wx_sources_default + 738 0140 00000000 .word config_data_umb_second + 739 0144 00000000 .word config_data_umb_default + 740 0148 00000000 .word config_data_rtu_second + 741 014c 00000000 .word config_data_rtu_default + 742 0150 00000000 .word config_data_mode_second + 743 0154 00000000 .word config_data_mode_default + 744 0158 00200240 .word 1073881088 + 745 015c 00000000 .word .LANCHOR0 + 746 .cfi_endproc + 747 .LFE373: + 749 .section .text.configuration_handler_load_configuration,"ax",%progbits + 750 .align 1 + 751 .global configuration_handler_load_configuration + 752 .syntax unified + 753 .thumb + 754 .thumb_func + 755 .fpu fpv4-sp-d16 + 757 configuration_handler_load_configuration: + 758 .LFB374: + 437:../src/configuration_handler.c **** + 438:../src/configuration_handler.c **** void configuration_handler_load_configuration(configuration_handler_region_t region) { + 759 .loc 1 438 0 + 760 .cfi_startproc + 761 @ args = 0, pretend = 0, frame = 0 + 762 @ frame_needed = 0, uses_anonymous_args = 0 + 763 @ link register save eliminated. + 764 .LVL98: 439:../src/configuration_handler.c **** - 440:../src/configuration_handler.c **** void configuration_clear_bits_register(uint32_t value) { - 841 .loc 1 440 0 - 842 .cfi_startproc - 843 @ args = 0, pretend = 0, frame = 0 - 844 @ frame_needed = 0, uses_anonymous_args = 0 - 845 @ link register save eliminated. - 846 .LVL85: - 441:../src/configuration_handler.c **** #ifdef STM32F10X_MD_VL - 442:../src/configuration_handler.c **** BKP->DR3 &= (0xFFFF ^ value); - 443:../src/configuration_handler.c **** #endif - 444:../src/configuration_handler.c **** - 445:../src/configuration_handler.c **** #ifdef STM32L471xx - 446:../src/configuration_handler.c **** RTC->BKP3R &= (0xFFFFFFFF ^ value); - 847 .loc 1 446 0 - 848 0000 024A ldr r2, .L79 - 849 0002 D36D ldr r3, [r2, #92] - 850 0004 23EA0000 bic r0, r3, r0 - 851 .LVL86: - 852 0008 D065 str r0, [r2, #92] - 447:../src/configuration_handler.c **** - 448:../src/configuration_handler.c **** #endif - 449:../src/configuration_handler.c **** } - 853 .loc 1 449 0 - 854 000a 7047 bx lr - 855 .L80: - 856 .align 2 - 857 .L79: - 858 000c 00280040 .word 1073752064 - 859 .cfi_endproc - 860 .LFE379: - 862 .global config_section_second_start - 863 .global config_section_first_start - 864 .section .data.config_section_first_start,"aw",%progbits - 865 .align 2 - 866 .set .LANCHOR0,. + 0 - 869 config_section_first_start: - 870 0000 00E80108 .word 134342656 - 871 .section .data.config_section_second_start,"aw",%progbits - 872 .align 2 - 873 .set .LANCHOR1,. + 0 - 876 config_section_second_start: - 877 0000 00F00108 .word 134344704 - 878 .text - 879 .Letext0: - 880 .file 2 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/lib/gcc/arm-none-eabi/7.3.1/include/std - 881 .file 3 "../include/configuration_handler.h" - 882 .file 4 "../include/config_data.h" - 883 .file 5 "../include/config_data_externs.h" - 884 .file 6 "../system/include/aprs/cfifo.h" - 885 .file 7 "../system/include/aprs/afsk.h" - 886 .file 8 "../system/include/aprs/ax25.h" - 887 .file 9 "../system/include/cmsis/stm32l4xx/core_cm4.h" - 888 .file 10 "../system/include/cmsis/stm32l4xx/device/system_stm32l4xx.h" - 889 .file 11 "../system/include/cmsis/stm32l4xx/device/stm32l471xx.h" - 890 .file 12 "../system/include/drivers/serial.h" - 891 .file 13 "../include/main.h" - 892 .file 14 "../system/include/./drivers/l4/flash_stm32l4x.h" - 893 .file 15 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/sys/lock.h" - 894 .file 16 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/sys/_types.h" - 895 .file 17 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/lib/gcc/arm-none-eabi/7.3.1/include/st - 896 .file 18 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/sys/reent.h" - 897 .file 19 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/string.h" + 440:../src/configuration_handler.c **** if (region == REGION_DEFAULT) { + 765 .loc 1 440 0 + 766 0000 20B1 cbz r0, .L73 + 441:../src/configuration_handler.c **** main_config_data_mode = &config_data_mode_default; + 442:../src/configuration_handler.c **** main_config_data_basic = &config_data_basic_default; + 443:../src/configuration_handler.c **** main_config_data_wx_sources = &config_data_wx_sources_default; + 444:../src/configuration_handler.c **** main_config_data_umb = &config_data_umb_default; + 445:../src/configuration_handler.c **** main_config_data_rtu = &config_data_rtu_default; + 446:../src/configuration_handler.c **** } + 447:../src/configuration_handler.c **** else if (region == REGION_FIRST) { + 767 .loc 1 447 0 + 768 0002 0128 cmp r0, #1 + 769 0004 12D0 beq .L74 + 448:../src/configuration_handler.c **** main_config_data_mode = &config_data_mode_first; + 449:../src/configuration_handler.c **** main_config_data_basic = &config_data_basic_first; + 450:../src/configuration_handler.c **** main_config_data_wx_sources = &config_data_wx_sources_first; + 451:../src/configuration_handler.c **** main_config_data_umb = &config_data_umb_first; + 452:../src/configuration_handler.c **** main_config_data_rtu = &config_data_rtu_first; + 453:../src/configuration_handler.c **** } + 454:../src/configuration_handler.c **** else if (region == REGION_SECOND) { + 770 .loc 1 454 0 + 771 0006 0228 cmp r0, #2 + 772 0008 20D0 beq .L75 + 773 .L69: + 455:../src/configuration_handler.c **** main_config_data_mode = &config_data_mode_second; + 456:../src/configuration_handler.c **** main_config_data_basic = &config_data_basic_second; + 457:../src/configuration_handler.c **** main_config_data_wx_sources = &config_data_wx_sources_second; + 458:../src/configuration_handler.c **** main_config_data_umb = &config_data_umb_second; + 459:../src/configuration_handler.c **** main_config_data_rtu = &config_data_rtu_second; + 460:../src/configuration_handler.c **** } + 461:../src/configuration_handler.c **** else { + 462:../src/configuration_handler.c **** ; + 463:../src/configuration_handler.c **** } + 464:../src/configuration_handler.c **** + 465:../src/configuration_handler.c **** } + 774 .loc 1 465 0 + 775 000a 7047 bx lr + 776 .L73: + 441:../src/configuration_handler.c **** main_config_data_basic = &config_data_basic_default; + 777 .loc 1 441 0 + 778 000c 174B ldr r3, .L76 + 779 000e 184A ldr r2, .L76+4 + 780 0010 1A60 str r2, [r3] + 442:../src/configuration_handler.c **** main_config_data_wx_sources = &config_data_wx_sources_default; + 781 .loc 1 442 0 + 782 0012 184B ldr r3, .L76+8 + 783 0014 184A ldr r2, .L76+12 + 784 0016 1A60 str r2, [r3] + 443:../src/configuration_handler.c **** main_config_data_umb = &config_data_umb_default; + 785 .loc 1 443 0 + 786 0018 184B ldr r3, .L76+16 + 787 001a 194A ldr r2, .L76+20 + 788 001c 1A60 str r2, [r3] + 444:../src/configuration_handler.c **** main_config_data_rtu = &config_data_rtu_default; + 789 .loc 1 444 0 + 790 001e 194B ldr r3, .L76+24 + 791 0020 194A ldr r2, .L76+28 + 792 0022 1A60 str r2, [r3] + 445:../src/configuration_handler.c **** } + 793 .loc 1 445 0 + 794 0024 194B ldr r3, .L76+32 + 795 0026 1A4A ldr r2, .L76+36 + 796 0028 1A60 str r2, [r3] + 797 002a 7047 bx lr + 798 .L74: + 448:../src/configuration_handler.c **** main_config_data_basic = &config_data_basic_first; + 799 .loc 1 448 0 + 800 002c 0F4B ldr r3, .L76 + 801 002e 194A ldr r2, .L76+40 + 802 0030 1A60 str r2, [r3] + 449:../src/configuration_handler.c **** main_config_data_wx_sources = &config_data_wx_sources_first; + 803 .loc 1 449 0 + 804 0032 104B ldr r3, .L76+8 + 805 0034 184A ldr r2, .L76+44 + 806 0036 1A60 str r2, [r3] + 450:../src/configuration_handler.c **** main_config_data_umb = &config_data_umb_first; + 807 .loc 1 450 0 + 808 0038 104B ldr r3, .L76+16 + 809 003a 184A ldr r2, .L76+48 + 810 003c 1A60 str r2, [r3] + 451:../src/configuration_handler.c **** main_config_data_rtu = &config_data_rtu_first; + 811 .loc 1 451 0 + 812 003e 114B ldr r3, .L76+24 + 813 0040 174A ldr r2, .L76+52 + 814 0042 1A60 str r2, [r3] + 452:../src/configuration_handler.c **** } + 815 .loc 1 452 0 + 816 0044 114B ldr r3, .L76+32 + 817 0046 174A ldr r2, .L76+56 + 818 0048 1A60 str r2, [r3] + 819 004a 7047 bx lr + 820 .L75: + 455:../src/configuration_handler.c **** main_config_data_basic = &config_data_basic_second; + 821 .loc 1 455 0 + 822 004c 074B ldr r3, .L76 + 823 004e 164A ldr r2, .L76+60 + 824 0050 1A60 str r2, [r3] + 456:../src/configuration_handler.c **** main_config_data_wx_sources = &config_data_wx_sources_second; + 825 .loc 1 456 0 + 826 0052 084B ldr r3, .L76+8 + 827 0054 154A ldr r2, .L76+64 + 828 0056 1A60 str r2, [r3] + 457:../src/configuration_handler.c **** main_config_data_umb = &config_data_umb_second; + 829 .loc 1 457 0 + 830 0058 084B ldr r3, .L76+16 + 831 005a 154A ldr r2, .L76+68 + 832 005c 1A60 str r2, [r3] + 458:../src/configuration_handler.c **** main_config_data_rtu = &config_data_rtu_second; + 833 .loc 1 458 0 + 834 005e 094B ldr r3, .L76+24 + 835 0060 144A ldr r2, .L76+72 + 836 0062 1A60 str r2, [r3] + 459:../src/configuration_handler.c **** } + 837 .loc 1 459 0 + 838 0064 094B ldr r3, .L76+32 + 839 0066 144A ldr r2, .L76+76 + 840 0068 1A60 str r2, [r3] + 841 .loc 1 465 0 + 842 006a CEE7 b .L69 + 843 .L77: + 844 .align 2 + 845 .L76: + 846 006c 00000000 .word main_config_data_mode + 847 0070 00000000 .word config_data_mode_default + 848 0074 00000000 .word main_config_data_basic + 849 0078 00000000 .word config_data_basic_default + 850 007c 00000000 .word main_config_data_wx_sources + 851 0080 00000000 .word config_data_wx_sources_default + 852 0084 00000000 .word main_config_data_umb + 853 0088 00000000 .word config_data_umb_default + 854 008c 00000000 .word main_config_data_rtu + 855 0090 00000000 .word config_data_rtu_default + 856 0094 00000000 .word config_data_mode_first + 857 0098 00000000 .word config_data_basic_first + 858 009c 00000000 .word config_data_wx_sources_first + 859 00a0 00000000 .word config_data_umb_first + 860 00a4 00000000 .word config_data_rtu_first + 861 00a8 00000000 .word config_data_mode_second + 862 00ac 00000000 .word config_data_basic_second + 863 00b0 00000000 .word config_data_wx_sources_second + 864 00b4 00000000 .word config_data_umb_second + 865 00b8 00000000 .word config_data_rtu_second + 866 .cfi_endproc + 867 .LFE374: + 869 .section .text.configuration_handler_program,"ax",%progbits + 870 .align 1 + 871 .global configuration_handler_program + 872 .syntax unified + 873 .thumb + 874 .thumb_func + 875 .fpu fpv4-sp-d16 + 877 configuration_handler_program: + 878 .LFB375: + 466:../src/configuration_handler.c **** + 467:../src/configuration_handler.c **** uint32_t configuration_handler_program(uint8_t* data, uint16_t data_ln, uint8_t config_idx) { + 879 .loc 1 467 0 + 880 .cfi_startproc + 881 @ args = 0, pretend = 0, frame = 0 + 882 @ frame_needed = 0, uses_anonymous_args = 0 + 883 @ link register save eliminated. + 884 .LVL99: + 468:../src/configuration_handler.c **** return -1; + 469:../src/configuration_handler.c **** } + 885 .loc 1 469 0 + 886 0000 4FF0FF30 mov r0, #-1 + 887 .LVL100: + 888 0004 7047 bx lr + 889 .cfi_endproc + 890 .LFE375: + 892 .section .text.configuration_get_register,"ax",%progbits + 893 .align 1 + 894 .global configuration_get_register + 895 .syntax unified + 896 .thumb + 897 .thumb_func + 898 .fpu fpv4-sp-d16 + 900 configuration_get_register: + 901 .LFB376: + 470:../src/configuration_handler.c **** + 471:../src/configuration_handler.c **** uint32_t configuration_get_register(void) { + 902 .loc 1 471 0 + 903 .cfi_startproc + 904 @ args = 0, pretend = 0, frame = 0 + 905 @ frame_needed = 0, uses_anonymous_args = 0 + 906 @ link register save eliminated. + 907 .LVL101: + 472:../src/configuration_handler.c **** + 473:../src/configuration_handler.c **** uint32_t out = 0; + 474:../src/configuration_handler.c **** + 475:../src/configuration_handler.c **** #ifdef STM32F10X_MD_VL + 476:../src/configuration_handler.c **** out = BKP->DR3; + 477:../src/configuration_handler.c **** #endif + 478:../src/configuration_handler.c **** + 479:../src/configuration_handler.c **** #ifdef STM32L471xx + 480:../src/configuration_handler.c **** out = RTC->BKP3R; + 908 .loc 1 480 0 + 909 0000 014B ldr r3, .L80 + 910 0002 D86D ldr r0, [r3, #92] + 911 .LVL102: + 481:../src/configuration_handler.c **** + 482:../src/configuration_handler.c **** #endif + 483:../src/configuration_handler.c **** + 484:../src/configuration_handler.c **** return out; + 485:../src/configuration_handler.c **** } + 912 .loc 1 485 0 + 913 0004 7047 bx lr + 914 .L81: + 915 0006 00BF .align 2 + 916 .L80: + 917 0008 00280040 .word 1073752064 + 918 .cfi_endproc + 919 .LFE376: + 921 .section .text.configuration_set_register,"ax",%progbits + 922 .align 1 + 923 .global configuration_set_register + 924 .syntax unified + 925 .thumb + 926 .thumb_func + 927 .fpu fpv4-sp-d16 + 929 configuration_set_register: + 930 .LFB377: + 486:../src/configuration_handler.c **** + 487:../src/configuration_handler.c **** void configuration_set_register(uint32_t value) { + 931 .loc 1 487 0 + 932 .cfi_startproc + 933 @ args = 0, pretend = 0, frame = 0 + 934 @ frame_needed = 0, uses_anonymous_args = 0 + 935 @ link register save eliminated. + 936 .LVL103: + 488:../src/configuration_handler.c **** #ifdef STM32F10X_MD_VL + 489:../src/configuration_handler.c **** BKP->DR3 = value; + 490:../src/configuration_handler.c **** #endif + 491:../src/configuration_handler.c **** + 492:../src/configuration_handler.c **** #ifdef STM32L471xx + 493:../src/configuration_handler.c **** RTC->BKP3R = value; + 937 .loc 1 493 0 + 938 0000 014B ldr r3, .L83 + 939 0002 D865 str r0, [r3, #92] + 494:../src/configuration_handler.c **** + 495:../src/configuration_handler.c **** #endif + 496:../src/configuration_handler.c **** } + 940 .loc 1 496 0 + 941 0004 7047 bx lr + 942 .L84: + 943 0006 00BF .align 2 + 944 .L83: + 945 0008 00280040 .word 1073752064 + 946 .cfi_endproc + 947 .LFE377: + 949 .section .text.configuration_set_bits_register,"ax",%progbits + 950 .align 1 + 951 .global configuration_set_bits_register + 952 .syntax unified + 953 .thumb + 954 .thumb_func + 955 .fpu fpv4-sp-d16 + 957 configuration_set_bits_register: + 958 .LFB378: + 497:../src/configuration_handler.c **** + 498:../src/configuration_handler.c **** void configuration_set_bits_register(uint32_t value) { + 959 .loc 1 498 0 + 960 .cfi_startproc + 961 @ args = 0, pretend = 0, frame = 0 + 962 @ frame_needed = 0, uses_anonymous_args = 0 + 963 @ link register save eliminated. + 964 .LVL104: + 499:../src/configuration_handler.c **** #ifdef STM32F10X_MD_VL + 500:../src/configuration_handler.c **** BKP->DR3 |= value; + 501:../src/configuration_handler.c **** #endif + 502:../src/configuration_handler.c **** + 503:../src/configuration_handler.c **** #ifdef STM32L471xx + 504:../src/configuration_handler.c **** RTC->BKP3R |= value; + 965 .loc 1 504 0 + 966 0000 024A ldr r2, .L86 + 967 0002 D36D ldr r3, [r2, #92] + 968 0004 1843 orrs r0, r0, r3 + 969 .LVL105: + 970 0006 D065 str r0, [r2, #92] + 505:../src/configuration_handler.c **** + 506:../src/configuration_handler.c **** #endif + 507:../src/configuration_handler.c **** } + 971 .loc 1 507 0 + 972 0008 7047 bx lr + 973 .L87: + 974 000a 00BF .align 2 + 975 .L86: + 976 000c 00280040 .word 1073752064 + 977 .cfi_endproc + 978 .LFE378: + 980 .section .text.configuration_clear_bits_register,"ax",%progbits + 981 .align 1 + 982 .global configuration_clear_bits_register + 983 .syntax unified + 984 .thumb + 985 .thumb_func + 986 .fpu fpv4-sp-d16 + 988 configuration_clear_bits_register: + 989 .LFB379: + 508:../src/configuration_handler.c **** + 509:../src/configuration_handler.c **** void configuration_clear_bits_register(uint32_t value) { + 990 .loc 1 509 0 + 991 .cfi_startproc + 992 @ args = 0, pretend = 0, frame = 0 + 993 @ frame_needed = 0, uses_anonymous_args = 0 + 994 @ link register save eliminated. + 995 .LVL106: + 510:../src/configuration_handler.c **** #ifdef STM32F10X_MD_VL + 511:../src/configuration_handler.c **** BKP->DR3 &= (0xFFFF ^ value); + 512:../src/configuration_handler.c **** #endif + 513:../src/configuration_handler.c **** + 514:../src/configuration_handler.c **** #ifdef STM32L471xx + 515:../src/configuration_handler.c **** RTC->BKP3R &= (0xFFFFFFFF ^ value); + 996 .loc 1 515 0 + 997 0000 024A ldr r2, .L89 + 998 0002 D36D ldr r3, [r2, #92] + 999 0004 23EA0000 bic r0, r3, r0 + 1000 .LVL107: + 1001 0008 D065 str r0, [r2, #92] + 516:../src/configuration_handler.c **** + 517:../src/configuration_handler.c **** #endif + 518:../src/configuration_handler.c **** } + 1002 .loc 1 518 0 + 1003 000a 7047 bx lr + 1004 .L90: + 1005 .align 2 + 1006 .L89: + 1007 000c 00280040 .word 1073752064 + 1008 .cfi_endproc + 1009 .LFE379: + 1011 .global config_section_second_start + 1012 .global config_section_first_start + 1013 .section .data.config_section_first_start,"aw",%progbits + 1014 .align 2 + 1015 .set .LANCHOR0,. + 0 + 1018 config_section_first_start: + 1019 0000 00E80108 .word 134342656 + 1020 .section .data.config_section_second_start,"aw",%progbits + 1021 .align 2 + 1022 .set .LANCHOR1,. + 0 + 1025 config_section_second_start: + 1026 0000 00F00108 .word 134344704 + 1027 .text + 1028 .Letext0: + 1029 .file 3 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/lib/gcc/arm-none-eabi/7.3.1/include/std + 1030 .file 4 "../include/configuration_handler.h" + 1031 .file 5 "../include/config_data.h" + 1032 .file 6 "../include/config_data_externs.h" + 1033 .file 7 "../system/include/aprs/cfifo.h" + 1034 .file 8 "../system/include/aprs/afsk.h" + 1035 .file 9 "../system/include/aprs/ax25.h" + 1036 .file 10 "../system/include/cmsis/stm32l4xx/core_cm4.h" + 1037 .file 11 "../system/include/cmsis/stm32l4xx/device/system_stm32l4xx.h" + 1038 .file 12 "../system/include/cmsis/stm32l4xx/device/stm32l471xx.h" + 1039 .file 13 "../system/include/drivers/serial.h" + 1040 .file 14 "../include/main.h" + 1041 .file 15 "../system/include/./drivers/l4/flash_stm32l4x.h" + 1042 .file 16 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/sys/lock.h" + 1043 .file 17 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/sys/_types.h" + 1044 .file 18 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/lib/gcc/arm-none-eabi/7.3.1/include/st + 1045 .file 19 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/sys/reent.h" + 1046 .file 20 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/string.h" DEFINED SYMBOLS *ABS*:0000000000000000 configuration_handler.c - /tmp/ccdeFzFg.s:18 .text.configuration_handler_check_crc:0000000000000000 $t - /tmp/ccdeFzFg.s:25 .text.configuration_handler_check_crc:0000000000000000 configuration_handler_check_crc - /tmp/ccdeFzFg.s:99 .text.configuration_handler_check_crc:000000000000004c $d - /tmp/ccdeFzFg.s:105 .text.configuration_handler_restore_default_first:0000000000000000 $t - /tmp/ccdeFzFg.s:112 .text.configuration_handler_restore_default_first:0000000000000000 configuration_handler_restore_default_first - /tmp/ccdeFzFg.s:267 .text.configuration_handler_restore_default_first:00000000000000a8 $d - /tmp/ccdeFzFg.s:273 .text.configuration_handler_restore_default_first:00000000000000bc $t - /tmp/ccdeFzFg.s:335 .text.configuration_handler_restore_default_first:0000000000000110 $d - /tmp/ccdeFzFg.s:352 .text.configuration_handler_restore_default_second:0000000000000000 $t - /tmp/ccdeFzFg.s:359 .text.configuration_handler_restore_default_second:0000000000000000 configuration_handler_restore_default_second - /tmp/ccdeFzFg.s:514 .text.configuration_handler_restore_default_second:00000000000000a8 $d - /tmp/ccdeFzFg.s:520 .text.configuration_handler_restore_default_second:00000000000000bc $t - /tmp/ccdeFzFg.s:583 .text.configuration_handler_restore_default_second:0000000000000110 $d - /tmp/ccdeFzFg.s:601 .text.configuration_handler_load_configuration:0000000000000000 $t - /tmp/ccdeFzFg.s:608 .text.configuration_handler_load_configuration:0000000000000000 configuration_handler_load_configuration - /tmp/ccdeFzFg.s:697 .text.configuration_handler_load_configuration:000000000000006c $d - /tmp/ccdeFzFg.s:721 .text.configuration_handler_program:0000000000000000 $t - /tmp/ccdeFzFg.s:728 .text.configuration_handler_program:0000000000000000 configuration_handler_program - /tmp/ccdeFzFg.s:744 .text.configuration_get_register:0000000000000000 $t - /tmp/ccdeFzFg.s:751 .text.configuration_get_register:0000000000000000 configuration_get_register - /tmp/ccdeFzFg.s:768 .text.configuration_get_register:0000000000000008 $d - /tmp/ccdeFzFg.s:773 .text.configuration_set_register:0000000000000000 $t - /tmp/ccdeFzFg.s:780 .text.configuration_set_register:0000000000000000 configuration_set_register - /tmp/ccdeFzFg.s:796 .text.configuration_set_register:0000000000000008 $d - /tmp/ccdeFzFg.s:801 .text.configuration_set_bits_register:0000000000000000 $t - /tmp/ccdeFzFg.s:808 .text.configuration_set_bits_register:0000000000000000 configuration_set_bits_register - /tmp/ccdeFzFg.s:827 .text.configuration_set_bits_register:000000000000000c $d - /tmp/ccdeFzFg.s:832 .text.configuration_clear_bits_register:0000000000000000 $t - /tmp/ccdeFzFg.s:839 .text.configuration_clear_bits_register:0000000000000000 configuration_clear_bits_register - /tmp/ccdeFzFg.s:858 .text.configuration_clear_bits_register:000000000000000c $d - /tmp/ccdeFzFg.s:876 .data.config_section_second_start:0000000000000000 config_section_second_start - /tmp/ccdeFzFg.s:869 .data.config_section_first_start:0000000000000000 config_section_first_start - /tmp/ccdeFzFg.s:865 .data.config_section_first_start:0000000000000000 $d - /tmp/ccdeFzFg.s:872 .data.config_section_second_start:0000000000000000 $d + /tmp/ccUqkXx7.s:18 .text.configuration_handler_check_crc:0000000000000000 $t + /tmp/ccUqkXx7.s:25 .text.configuration_handler_check_crc:0000000000000000 configuration_handler_check_crc + /tmp/ccUqkXx7.s:170 .text.configuration_handler_check_crc:0000000000000078 $d + /tmp/ccUqkXx7.s:177 .text.configuration_handler_restore_default_first:0000000000000000 $t + /tmp/ccUqkXx7.s:184 .text.configuration_handler_restore_default_first:0000000000000000 configuration_handler_restore_default_first + /tmp/ccUqkXx7.s:352 .text.configuration_handler_restore_default_first:00000000000000b0 $d + /tmp/ccUqkXx7.s:358 .text.configuration_handler_restore_default_first:00000000000000c4 $t + /tmp/ccUqkXx7.s:445 .text.configuration_handler_restore_default_first:0000000000000124 $d + /tmp/ccUqkXx7.s:463 .text.configuration_handler_restore_default_second:0000000000000000 $t + /tmp/ccUqkXx7.s:470 .text.configuration_handler_restore_default_second:0000000000000000 configuration_handler_restore_default_second + /tmp/ccUqkXx7.s:638 .text.configuration_handler_restore_default_second:00000000000000b0 $d + /tmp/ccUqkXx7.s:644 .text.configuration_handler_restore_default_second:00000000000000c4 $t + /tmp/ccUqkXx7.s:731 .text.configuration_handler_restore_default_second:0000000000000124 $d + /tmp/ccUqkXx7.s:750 .text.configuration_handler_load_configuration:0000000000000000 $t + /tmp/ccUqkXx7.s:757 .text.configuration_handler_load_configuration:0000000000000000 configuration_handler_load_configuration + /tmp/ccUqkXx7.s:846 .text.configuration_handler_load_configuration:000000000000006c $d + /tmp/ccUqkXx7.s:870 .text.configuration_handler_program:0000000000000000 $t + /tmp/ccUqkXx7.s:877 .text.configuration_handler_program:0000000000000000 configuration_handler_program + /tmp/ccUqkXx7.s:893 .text.configuration_get_register:0000000000000000 $t + /tmp/ccUqkXx7.s:900 .text.configuration_get_register:0000000000000000 configuration_get_register + /tmp/ccUqkXx7.s:917 .text.configuration_get_register:0000000000000008 $d + /tmp/ccUqkXx7.s:922 .text.configuration_set_register:0000000000000000 $t + /tmp/ccUqkXx7.s:929 .text.configuration_set_register:0000000000000000 configuration_set_register + /tmp/ccUqkXx7.s:945 .text.configuration_set_register:0000000000000008 $d + /tmp/ccUqkXx7.s:950 .text.configuration_set_bits_register:0000000000000000 $t + /tmp/ccUqkXx7.s:957 .text.configuration_set_bits_register:0000000000000000 configuration_set_bits_register + /tmp/ccUqkXx7.s:976 .text.configuration_set_bits_register:000000000000000c $d + /tmp/ccUqkXx7.s:981 .text.configuration_clear_bits_register:0000000000000000 $t + /tmp/ccUqkXx7.s:988 .text.configuration_clear_bits_register:0000000000000000 configuration_clear_bits_register + /tmp/ccUqkXx7.s:1007 .text.configuration_clear_bits_register:000000000000000c $d + /tmp/ccUqkXx7.s:1025 .data.config_section_second_start:0000000000000000 config_section_second_start + /tmp/ccUqkXx7.s:1018 .data.config_section_first_start:0000000000000000 config_section_first_start + /tmp/ccUqkXx7.s:1014 .data.config_section_first_start:0000000000000000 $d + /tmp/ccUqkXx7.s:1021 .data.config_section_second_start:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.station_config_target_hw.h.9.a20e080416e80d1cff9c1b51cc464832 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f @@ -1437,7 +2029,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.stm32l4xx.h.197.fb1c68184133668ca24c44c29ba4361f .group:0000000000000000 wm4.stm32l4xx_ll_usart.h.22.ec2beebcd146668c5b35749264d7b58a .group:0000000000000000 wm4.serial.h.14.eed7cf013c382ed4598746b40f0e2523 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 .group:0000000000000000 wm4.flash_stm32l4x.h.9.d98ec960a9020dd2488be934bb85fbe7 .group:0000000000000000 wm4.stm32l4xx_ll_crc.h.22.393a687db3373607c16ab424ae15fcf7 .group:0000000000000000 wm4._newlib_version.h.4.875b979a44719054cd750d0952ad3fd6 @@ -1458,14 +2050,12 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.stddef.h.161.19e15733342b50ead2919490b095303e UNDEFINED SYMBOLS -CRC_ResetDR -CRC_CalcBlockCRC -CRC_CalcCRC FLASH_Unlock FLASH_ErasePage FLASH_GetBank1Status memcmp FLASH_Lock +config_data_pgm_cntr_first config_data_basic_first config_data_basic_default config_data_wx_sources_first @@ -1476,13 +2066,12 @@ config_data_rtu_first config_data_rtu_default config_data_mode_first config_data_mode_default -config_data_pgm_cntr_first +config_data_pgm_cntr_second config_data_basic_second config_data_wx_sources_second config_data_umb_second config_data_rtu_second config_data_mode_second -config_data_pgm_cntr_second main_config_data_mode main_config_data_basic main_config_data_wx_sources diff --git a/STM32L476_ParaMETEO/src/delay.o.lst b/STM32L476_ParaMETEO/src/delay.o.lst index 096db37..06678f6 100644 --- a/STM32L476_ParaMETEO/src/delay.o.lst +++ b/STM32L476_ParaMETEO/src/delay.o.lst @@ -336,27 +336,27 @@ 278 .file 11 "../include/main.h" DEFINED SYMBOLS *ABS*:0000000000000000 delay.c - /tmp/ccDPN1z3.s:18 .text.delay_fixed:0000000000000000 $t - /tmp/ccDPN1z3.s:25 .text.delay_fixed:0000000000000000 delay_fixed - /tmp/ccDPN1z3.s:49 .text.delay_fixed:0000000000000010 $d - /tmp/ccDPN1z3.s:54 .text.delay_fixed_with_count:0000000000000000 $t - /tmp/ccDPN1z3.s:61 .text.delay_fixed_with_count:0000000000000000 delay_fixed_with_count - /tmp/ccDPN1z3.s:94 .text.delay_fixed_with_count:0000000000000018 $d - /tmp/ccDPN1z3.s:99 .text.delay_random:0000000000000000 $t - /tmp/ccDPN1z3.s:106 .text.delay_random:0000000000000000 delay_random - /tmp/ccDPN1z3.s:139 .text.delay_random:000000000000002c $d - /tmp/ccDPN1z3.s:146 .text.delay_set:0000000000000000 $t - /tmp/ccDPN1z3.s:153 .text.delay_set:0000000000000000 delay_set - /tmp/ccDPN1z3.s:186 .text.delay_set:0000000000000024 $d - /tmp/ccDPN1z3.s:192 .text.delay_from_preset:0000000000000000 $t - /tmp/ccDPN1z3.s:199 .text.delay_from_preset:0000000000000000 delay_from_preset - /tmp/ccDPN1z3.s:238 .text.delay_from_preset:0000000000000024 $d - /tmp/ccDPN1z3.s:252 .bss.delay_cnt:0000000000000000 delay_cnt - /tmp/ccDPN1z3.s:265 .bss.preset_use_random:0000000000000000 preset_use_random - /tmp/ccDPN1z3.s:259 .bss.preset_delay_msecs:0000000000000000 preset_delay_msecs - /tmp/ccDPN1z3.s:248 .bss.delay_cnt:0000000000000000 $d - /tmp/ccDPN1z3.s:255 .bss.preset_delay_msecs:0000000000000000 $d - /tmp/ccDPN1z3.s:266 .bss.preset_use_random:0000000000000000 $d + /tmp/ccAQ0FTy.s:18 .text.delay_fixed:0000000000000000 $t + /tmp/ccAQ0FTy.s:25 .text.delay_fixed:0000000000000000 delay_fixed + /tmp/ccAQ0FTy.s:49 .text.delay_fixed:0000000000000010 $d + /tmp/ccAQ0FTy.s:54 .text.delay_fixed_with_count:0000000000000000 $t + /tmp/ccAQ0FTy.s:61 .text.delay_fixed_with_count:0000000000000000 delay_fixed_with_count + /tmp/ccAQ0FTy.s:94 .text.delay_fixed_with_count:0000000000000018 $d + /tmp/ccAQ0FTy.s:99 .text.delay_random:0000000000000000 $t + /tmp/ccAQ0FTy.s:106 .text.delay_random:0000000000000000 delay_random + /tmp/ccAQ0FTy.s:139 .text.delay_random:000000000000002c $d + /tmp/ccAQ0FTy.s:146 .text.delay_set:0000000000000000 $t + /tmp/ccAQ0FTy.s:153 .text.delay_set:0000000000000000 delay_set + /tmp/ccAQ0FTy.s:186 .text.delay_set:0000000000000024 $d + /tmp/ccAQ0FTy.s:192 .text.delay_from_preset:0000000000000000 $t + /tmp/ccAQ0FTy.s:199 .text.delay_from_preset:0000000000000000 delay_from_preset + /tmp/ccAQ0FTy.s:238 .text.delay_from_preset:0000000000000024 $d + /tmp/ccAQ0FTy.s:252 .bss.delay_cnt:0000000000000000 delay_cnt + /tmp/ccAQ0FTy.s:265 .bss.preset_use_random:0000000000000000 preset_use_random + /tmp/ccAQ0FTy.s:259 .bss.preset_delay_msecs:0000000000000000 preset_delay_msecs + /tmp/ccAQ0FTy.s:248 .bss.delay_cnt:0000000000000000 $d + /tmp/ccAQ0FTy.s:255 .bss.preset_delay_msecs:0000000000000000 $d + /tmp/ccAQ0FTy.s:266 .bss.preset_use_random:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdbool.h.29.07dce69c3b78884144b7f7bd19483461 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f @@ -376,7 +376,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.stm32l4xx_ll_usart.h.22.ec2beebcd146668c5b35749264d7b58a .group:0000000000000000 wm4.serial.h.14.eed7cf013c382ed4598746b40f0e2523 .group:0000000000000000 wm4.config_data.h.9.6026a38cd2f39158a8fd50707ba1bf90 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 UNDEFINED SYMBOLS main_get_adc_sample diff --git a/STM32L476_ParaMETEO/src/dummy.o.lst b/STM32L476_ParaMETEO/src/dummy.o.lst index 01301db..6751e01 100644 --- a/STM32L476_ParaMETEO/src/dummy.o.lst +++ b/STM32L476_ParaMETEO/src/dummy.o.lst @@ -24,8 +24,8 @@ 26 .file 1 "../src/dummy.c" DEFINED SYMBOLS *ABS*:0000000000000000 dummy.c - /tmp/cc1ClUEE.s:22 .config_section_third:0000000000000000 dummy - /tmp/cc1ClUEE.s:19 .config_section_third:0000000000000000 $d + /tmp/cc8KVfed.s:22 .config_section_third:0000000000000000 dummy + /tmp/cc8KVfed.s:19 .config_section_third:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 NO UNDEFINED SYMBOLS diff --git a/STM32L476_ParaMETEO/src/float_to_string.o.lst b/STM32L476_ParaMETEO/src/float_to_string.o.lst index e79e36d..0490710 100644 --- a/STM32L476_ParaMETEO/src/float_to_string.o.lst +++ b/STM32L476_ParaMETEO/src/float_to_string.o.lst @@ -242,10 +242,10 @@ 182 .file 9 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/stdio.h" DEFINED SYMBOLS *ABS*:0000000000000000 float_to_string.c - /tmp/ccvxzl8d.s:20 .text.float_to_string:0000000000000000 $t - /tmp/ccvxzl8d.s:27 .text.float_to_string:0000000000000000 float_to_string - /tmp/ccvxzl8d.s:151 .text.float_to_string:00000000000000b8 $d - /tmp/ccvxzl8d.s:161 .rodata.float_to_string.str1.4:0000000000000000 $d + /tmp/ccwOFkqM.s:20 .text.float_to_string:0000000000000000 $t + /tmp/ccwOFkqM.s:27 .text.float_to_string:0000000000000000 float_to_string + /tmp/ccwOFkqM.s:151 .text.float_to_string:00000000000000b8 $d + /tmp/ccwOFkqM.s:161 .rodata.float_to_string.str1.4:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4._newlib_version.h.4.875b979a44719054cd750d0952ad3fd6 diff --git a/STM32L476_ParaMETEO/src/io.o.lst b/STM32L476_ParaMETEO/src/io.o.lst index 35dba49..4497ee2 100644 --- a/STM32L476_ParaMETEO/src/io.o.lst +++ b/STM32L476_ParaMETEO/src/io.o.lst @@ -220,18 +220,18 @@ 153 .file 6 "../system/include/stm32l4-hal-driver/stm32l4xx_ll_gpio.h" DEFINED SYMBOLS *ABS*:0000000000000000 io.c - /tmp/ccWqraSS.s:18 .text.io_oc_init:0000000000000000 $t - /tmp/ccWqraSS.s:25 .text.io_oc_init:0000000000000000 io_oc_init - /tmp/ccWqraSS.s:39 .text.io_oc_output_low:0000000000000000 $t - /tmp/ccWqraSS.s:46 .text.io_oc_output_low:0000000000000000 io_oc_output_low - /tmp/ccWqraSS.s:59 .text.io_oc_output_hiz:0000000000000000 $t - /tmp/ccWqraSS.s:66 .text.io_oc_output_hiz:0000000000000000 io_oc_output_hiz - /tmp/ccWqraSS.s:79 .text.io_ext_watchdog_config:0000000000000000 $t - /tmp/ccWqraSS.s:86 .text.io_ext_watchdog_config:0000000000000000 io_ext_watchdog_config - /tmp/ccWqraSS.s:122 .text.io_ext_watchdog_config:0000000000000024 $d + /tmp/ccCsZndo.s:18 .text.io_oc_init:0000000000000000 $t + /tmp/ccCsZndo.s:25 .text.io_oc_init:0000000000000000 io_oc_init + /tmp/ccCsZndo.s:39 .text.io_oc_output_low:0000000000000000 $t + /tmp/ccCsZndo.s:46 .text.io_oc_output_low:0000000000000000 io_oc_output_low + /tmp/ccCsZndo.s:59 .text.io_oc_output_hiz:0000000000000000 $t + /tmp/ccCsZndo.s:66 .text.io_oc_output_hiz:0000000000000000 io_oc_output_hiz + /tmp/ccCsZndo.s:79 .text.io_ext_watchdog_config:0000000000000000 $t + /tmp/ccCsZndo.s:86 .text.io_ext_watchdog_config:0000000000000000 io_ext_watchdog_config + /tmp/ccCsZndo.s:122 .text.io_ext_watchdog_config:0000000000000024 $d *COM*:0000000000000018 GPIO_InitTypeDef - /tmp/ccWqraSS.s:127 .text.io_ext_watchdog_service:0000000000000000 $t - /tmp/ccWqraSS.s:134 .text.io_ext_watchdog_service:0000000000000000 io_ext_watchdog_service + /tmp/ccCsZndo.s:127 .text.io_ext_watchdog_service:0000000000000000 $t + /tmp/ccCsZndo.s:134 .text.io_ext_watchdog_service:0000000000000000 io_ext_watchdog_service .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.station_config_target_hw.h.9.a20e080416e80d1cff9c1b51cc464832 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/src/it_handlers.o.lst b/STM32L476_ParaMETEO/src/it_handlers.o.lst index f52898d..e232d04 100644 --- a/STM32L476_ParaMETEO/src/it_handlers.o.lst +++ b/STM32L476_ParaMETEO/src/it_handlers.o.lst @@ -2051,12 +2051,12 @@ 6:../include/main.h **** #include "config_data.h" 7:../include/main.h **** 8:../include/main.h **** #define SW_VER "EA00" - 9:../include/main.h **** #define SW_DATE "23052021" + 9:../include/main.h **** #define SW_DATE "30062021" 10:../include/main.h **** 11:../include/main.h **** #define SYSTICK_TICKS_PER_SECONDS 100 12:../include/main.h **** #define SYSTICK_TICKS_PERIOD 10 13:../include/main.h **** - 14:../include/main.h **** #define INTERNAL_WATCHDOG + 14:../include/main.h **** //#define INTERNAL_WATCHDOG 15:../include/main.h **** #define EXTERNAL_WATCHDOG 16:../include/main.h **** 17:../include/main.h **** #define PWR_SWITCH_BOTH @@ -5064,46 +5064,46 @@ 809 .file 25 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/sys/unistd.h" DEFINED SYMBOLS *ABS*:0000000000000000 it_handlers.c - /tmp/ccBjuKfu.s:18 .text.it_handlers_set_priorities:0000000000000000 $t - /tmp/ccBjuKfu.s:25 .text.it_handlers_set_priorities:0000000000000000 it_handlers_set_priorities - /tmp/ccBjuKfu.s:112 .text.it_handlers_set_priorities:0000000000000040 $d - /tmp/ccBjuKfu.s:117 .text.SysTick_Handler:0000000000000000 $t - /tmp/ccBjuKfu.s:124 .text.SysTick_Handler:0000000000000000 SysTick_Handler - /tmp/ccBjuKfu.s:264 .text.SysTick_Handler:0000000000000094 $d - /tmp/ccBjuKfu.s:278 .text.USART1_IRQHandler:0000000000000000 $t - /tmp/ccBjuKfu.s:285 .text.USART1_IRQHandler:0000000000000000 USART1_IRQHandler - /tmp/ccBjuKfu.s:315 .text.USART1_IRQHandler:0000000000000014 $d - /tmp/ccBjuKfu.s:321 .text.USART2_IRQHandler:0000000000000000 $t - /tmp/ccBjuKfu.s:328 .text.USART2_IRQHandler:0000000000000000 USART2_IRQHandler - /tmp/ccBjuKfu.s:358 .text.USART2_IRQHandler:0000000000000014 $d - /tmp/ccBjuKfu.s:364 .text.I2C1_EV_IRQHandler:0000000000000000 $t - /tmp/ccBjuKfu.s:371 .text.I2C1_EV_IRQHandler:0000000000000000 I2C1_EV_IRQHandler - /tmp/ccBjuKfu.s:399 .text.I2C1_EV_IRQHandler:0000000000000014 $d - /tmp/ccBjuKfu.s:404 .text.I2C1_ER_IRQHandler:0000000000000000 $t - /tmp/ccBjuKfu.s:411 .text.I2C1_ER_IRQHandler:0000000000000000 I2C1_ER_IRQHandler - /tmp/ccBjuKfu.s:430 .text.TIM2_IRQHandler:0000000000000000 $t - /tmp/ccBjuKfu.s:437 .text.TIM2_IRQHandler:0000000000000000 TIM2_IRQHandler - /tmp/ccBjuKfu.s:465 .text.TIM2_IRQHandler:0000000000000020 $d - /tmp/ccBjuKfu.s:470 .text.TIM1_TRG_COM_TIM17_IRQHandler:0000000000000000 $t - /tmp/ccBjuKfu.s:477 .text.TIM1_TRG_COM_TIM17_IRQHandler:0000000000000000 TIM1_TRG_COM_TIM17_IRQHandler - /tmp/ccBjuKfu.s:510 .text.TIM1_TRG_COM_TIM17_IRQHandler:000000000000001c $d - /tmp/ccBjuKfu.s:516 .text.DMA1_Channel7_IRQHandler:0000000000000000 $t - /tmp/ccBjuKfu.s:523 .text.DMA1_Channel7_IRQHandler:0000000000000000 DMA1_Channel7_IRQHandler - /tmp/ccBjuKfu.s:552 .text.DMA1_Channel7_IRQHandler:0000000000000010 $d - /tmp/ccBjuKfu.s:557 .text.TIM4_IRQHandler:0000000000000000 $t - /tmp/ccBjuKfu.s:564 .text.TIM4_IRQHandler:0000000000000000 TIM4_IRQHandler - /tmp/ccBjuKfu.s:629 .text.TIM4_IRQHandler:000000000000004c $d - /tmp/ccBjuKfu.s:640 .text.TIM7_IRQHandler:0000000000000000 $t - /tmp/ccBjuKfu.s:647 .text.TIM7_IRQHandler:0000000000000000 TIM7_IRQHandler - /tmp/ccBjuKfu.s:750 .text.TIM7_IRQHandler:0000000000000088 $d + /tmp/ccumeSy1.s:18 .text.it_handlers_set_priorities:0000000000000000 $t + /tmp/ccumeSy1.s:25 .text.it_handlers_set_priorities:0000000000000000 it_handlers_set_priorities + /tmp/ccumeSy1.s:112 .text.it_handlers_set_priorities:0000000000000040 $d + /tmp/ccumeSy1.s:117 .text.SysTick_Handler:0000000000000000 $t + /tmp/ccumeSy1.s:124 .text.SysTick_Handler:0000000000000000 SysTick_Handler + /tmp/ccumeSy1.s:264 .text.SysTick_Handler:0000000000000094 $d + /tmp/ccumeSy1.s:278 .text.USART1_IRQHandler:0000000000000000 $t + /tmp/ccumeSy1.s:285 .text.USART1_IRQHandler:0000000000000000 USART1_IRQHandler + /tmp/ccumeSy1.s:315 .text.USART1_IRQHandler:0000000000000014 $d + /tmp/ccumeSy1.s:321 .text.USART2_IRQHandler:0000000000000000 $t + /tmp/ccumeSy1.s:328 .text.USART2_IRQHandler:0000000000000000 USART2_IRQHandler + /tmp/ccumeSy1.s:358 .text.USART2_IRQHandler:0000000000000014 $d + /tmp/ccumeSy1.s:364 .text.I2C1_EV_IRQHandler:0000000000000000 $t + /tmp/ccumeSy1.s:371 .text.I2C1_EV_IRQHandler:0000000000000000 I2C1_EV_IRQHandler + /tmp/ccumeSy1.s:399 .text.I2C1_EV_IRQHandler:0000000000000014 $d + /tmp/ccumeSy1.s:404 .text.I2C1_ER_IRQHandler:0000000000000000 $t + /tmp/ccumeSy1.s:411 .text.I2C1_ER_IRQHandler:0000000000000000 I2C1_ER_IRQHandler + /tmp/ccumeSy1.s:430 .text.TIM2_IRQHandler:0000000000000000 $t + /tmp/ccumeSy1.s:437 .text.TIM2_IRQHandler:0000000000000000 TIM2_IRQHandler + /tmp/ccumeSy1.s:465 .text.TIM2_IRQHandler:0000000000000020 $d + /tmp/ccumeSy1.s:470 .text.TIM1_TRG_COM_TIM17_IRQHandler:0000000000000000 $t + /tmp/ccumeSy1.s:477 .text.TIM1_TRG_COM_TIM17_IRQHandler:0000000000000000 TIM1_TRG_COM_TIM17_IRQHandler + /tmp/ccumeSy1.s:510 .text.TIM1_TRG_COM_TIM17_IRQHandler:000000000000001c $d + /tmp/ccumeSy1.s:516 .text.DMA1_Channel7_IRQHandler:0000000000000000 $t + /tmp/ccumeSy1.s:523 .text.DMA1_Channel7_IRQHandler:0000000000000000 DMA1_Channel7_IRQHandler + /tmp/ccumeSy1.s:552 .text.DMA1_Channel7_IRQHandler:0000000000000010 $d + /tmp/ccumeSy1.s:557 .text.TIM4_IRQHandler:0000000000000000 $t + /tmp/ccumeSy1.s:564 .text.TIM4_IRQHandler:0000000000000000 TIM4_IRQHandler + /tmp/ccumeSy1.s:629 .text.TIM4_IRQHandler:000000000000004c $d + /tmp/ccumeSy1.s:640 .text.TIM7_IRQHandler:0000000000000000 $t + /tmp/ccumeSy1.s:647 .text.TIM7_IRQHandler:0000000000000000 TIM7_IRQHandler + /tmp/ccumeSy1.s:750 .text.TIM7_IRQHandler:0000000000000088 $d *COM*:0000000000000008 AdcBuffer *COM*:0000000000000002 AdcValue - /tmp/ccBjuKfu.s:787 .bss.it_handlers_cpu_load_pool:0000000000000000 it_handlers_cpu_load_pool - /tmp/ccBjuKfu.s:774 .bss.adc_sample_c2:0000000000000000 adc_sample_c2 - /tmp/ccBjuKfu.s:781 .bss.adc_sample_count:0000000000000000 adc_sample_count - /tmp/ccBjuKfu.s:770 .bss.adc_sample_c2:0000000000000000 $d - /tmp/ccBjuKfu.s:777 .bss.adc_sample_count:0000000000000000 $d - /tmp/ccBjuKfu.s:788 .bss.it_handlers_cpu_load_pool:0000000000000000 $d + /tmp/ccumeSy1.s:787 .bss.it_handlers_cpu_load_pool:0000000000000000 it_handlers_cpu_load_pool + /tmp/ccumeSy1.s:774 .bss.adc_sample_c2:0000000000000000 adc_sample_c2 + /tmp/ccumeSy1.s:781 .bss.adc_sample_count:0000000000000000 adc_sample_count + /tmp/ccumeSy1.s:770 .bss.adc_sample_c2:0000000000000000 $d + /tmp/ccumeSy1.s:777 .bss.adc_sample_count:0000000000000000 $d + /tmp/ccumeSy1.s:788 .bss.it_handlers_cpu_load_pool:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.station_config_target_hw.h.9.a20e080416e80d1cff9c1b51cc464832 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f @@ -5124,7 +5124,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.stm32l4xx_ll_usart.h.22.ec2beebcd146668c5b35749264d7b58a .group:0000000000000000 wm4.serial.h.14.eed7cf013c382ed4598746b40f0e2523 .group:0000000000000000 wm4.config_data.h.9.6026a38cd2f39158a8fd50707ba1bf90 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 .group:0000000000000000 wm4.stm32l4xx_ll_tim.h.22.3ad11d579f6c3053b8c8daba8bebe46e .group:0000000000000000 wm4.stm32l4xx_ll_dma.h.22.7288ce0691bde44ea1017c58de45d400 .group:0000000000000000 wm4.stm32l4xx_ll_gpio.h.22.6ea69d1eee1200f6e0d48e871aaa811d diff --git a/STM32L476_ParaMETEO/src/main.o.lst b/STM32L476_ParaMETEO/src/main.o.lst index 740dda1..a8fd294 100644 --- a/STM32L476_ParaMETEO/src/main.o.lst +++ b/STM32L476_ParaMETEO/src/main.o.lst @@ -672,13 +672,13 @@ 299 0190 2368 ldr r3, [r4] 300 0192 93F89530 ldrb r3, [r3, #149] @ zero_extendqisi2 301 0196 032B cmp r3, #3 - 302 0198 00F24D81 bhi .L14 + 302 0198 00F24B81 bhi .L14 303 019c DFE813F0 tbh [pc, r3, lsl #1] 304 .L16: 305 01a0 1800 .2byte (.L15-.L16)/2 - 306 01a2 3601 .2byte (.L17-.L16)/2 - 307 01a4 3D01 .2byte (.L18-.L16)/2 - 308 01a6 4401 .2byte (.L19-.L16)/2 + 306 01a2 3401 .2byte (.L17-.L16)/2 + 307 01a4 3B01 .2byte (.L18-.L16)/2 + 308 01a6 4201 .2byte (.L19-.L16)/2 309 .p2align 1 310 .L117: 311 .align 2 @@ -697,12 +697,12 @@ 375:../src/main.c **** case 0: // _SYMBOL_DIGI 376:../src/main.c **** main_symbol_f = '/'; 324 .loc 1 376 0 - 325 01d0 A04B ldr r3, .L118 + 325 01d0 9F4B ldr r3, .L118 326 01d2 2F22 movs r2, #47 327 01d4 1A70 strb r2, [r3] 377:../src/main.c **** main_symbol_s = '#'; 328 .loc 1 377 0 - 329 01d6 A04B ldr r3, .L118+4 + 329 01d6 9F4B ldr r3, .L118+4 330 01d8 2322 movs r2, #35 331 01da 1A70 strb r2, [r3] 332 .L20: @@ -763,7 +763,7 @@ 348 01f0 4FF47A70 mov r0, #1000 349 01f4 FFF7FEFF bl delay_fixed_with_count 350 .LVL43: - 351 01f8 984B ldr r3, .L118+8 + 351 01f8 974B ldr r3, .L118+8 352 01fa 1860 str r0, [r3] 417:../src/main.c **** 418:../src/main.c **** #if defined(PARATNC_HWREV_A) || defined(PARATNC_HWREV_B) || defined(PARATNC_HWREV_C) @@ -782,7 +782,7 @@ 431:../src/main.c **** // USART1 - KISS 432:../src/main.c **** GPIO_InitTypeDef.Mode = LL_GPIO_MODE_INPUT; 353 .loc 1 432 0 - 354 01fc 984C ldr r4, .L118+12 + 354 01fc 974C ldr r4, .L118+12 355 01fe 0025 movs r5, #0 356 0200 6560 str r5, [r4, #4] 433:../src/main.c **** GPIO_InitTypeDef.Pin = LL_GPIO_PIN_10; @@ -924,34 +924,34 @@ 487:../src/main.c **** 488:../src/main.c **** main_kiss_srl_ctx_ptr = &main_kiss_srl_ctx; 439 .loc 1 488 0 - 440 0284 774B ldr r3, .L118+16 - 441 0286 784A ldr r2, .L118+20 + 440 0284 764B ldr r3, .L118+16 + 441 0286 774A ldr r2, .L118+20 442 0288 1A60 str r2, [r3] 489:../src/main.c **** main_wx_srl_ctx_ptr = &main_wx_srl_ctx; 443 .loc 1 489 0 - 444 028a 784B ldr r3, .L118+24 - 445 028c 784A ldr r2, .L118+28 + 444 028a 774B ldr r3, .L118+24 + 445 028c 774A ldr r2, .L118+28 446 028e 1A60 str r2, [r3] 490:../src/main.c **** 491:../src/main.c **** main_target_kiss_baudrate = 9600u; 447 .loc 1 491 0 - 448 0290 784B ldr r3, .L118+32 + 448 0290 774B ldr r3, .L118+32 449 0292 4FF41652 mov r2, #9600 450 0296 1A60 str r2, [r3] 492:../src/main.c **** main_target_wx_baudrate = _SERIAL_BAUDRATE; 451 .loc 1 492 0 - 452 0298 774B ldr r3, .L118+36 + 452 0298 764B ldr r3, .L118+36 453 029a 4FF49642 mov r2, #19200 454 029e 1A60 str r2, [r3] 493:../src/main.c **** 494:../src/main.c **** // if Victron VE-direct protocol is enabled set the baudrate to the 19200u 495:../src/main.c **** if (main_config_data_mode->victron == 1) { 455 .loc 1 495 0 - 456 02a0 764B ldr r3, .L118+40 + 456 02a0 754B ldr r3, .L118+40 457 02a2 1B68 ldr r3, [r3] 458 02a4 9A79 ldrb r2, [r3, #6] @ zero_extendqisi2 459 02a6 B242 cmp r2, r6 - 460 02a8 00F0CC80 beq .L81 + 460 02a8 00F0CA80 beq .L81 461 .L21: 496:../src/main.c **** main_target_kiss_baudrate = 19200u; 497:../src/main.c **** @@ -964,7 +964,7 @@ 462 .loc 1 503 0 463 02ac 1A79 ldrb r2, [r3, #4] @ zero_extendqisi2 464 02ae 012A cmp r2, #1 - 465 02b0 00F00281 beq .L82 + 465 02b0 00F00081 beq .L82 504:../src/main.c **** // reinitialize the KISS serial port temporary to davis baudrate 505:../src/main.c **** main_target_kiss_baudrate = DAVIS_DEFAULT_BAUDRATE; 506:../src/main.c **** @@ -1019,7 +1019,7 @@ 466 .loc 1 554 0 467 02b4 DB78 ldrb r3, [r3, #3] @ zero_extendqisi2 468 02b6 012B cmp r3, #1 - 469 02b8 00F05B81 beq .L83 + 469 02b8 00F05981 beq .L83 555:../src/main.c **** 556:../src/main.c **** rtu_serial_init(&rte_rtu_pool_queue, 1, main_wx_srl_ctx_ptr, main_config_data_rtu); 557:../src/main.c **** @@ -1041,32 +1041,32 @@ 470 .loc 1 572 0 471 02bc 0124 movs r4, #1 472 02be 0394 str r4, [sp, #12] - 473 02c0 6C4B ldr r3, .L118+32 + 473 02c0 6B4B ldr r3, .L118+32 474 02c2 1B68 ldr r3, [r3] 475 02c4 0293 str r3, [sp, #8] 476 02c6 4FF40073 mov r3, #512 477 02ca 0193 str r3, [sp, #4] - 478 02cc 6C4A ldr r2, .L118+44 + 478 02cc 6B4A ldr r2, .L118+44 479 02ce 0092 str r2, [sp] - 480 02d0 6C4A ldr r2, .L118+48 - 481 02d2 6D49 ldr r1, .L118+52 - 482 02d4 6448 ldr r0, .L118+20 + 480 02d0 6B4A ldr r2, .L118+48 + 481 02d2 6C49 ldr r1, .L118+52 + 482 02d4 6348 ldr r0, .L118+20 483 02d6 FFF7FEFF bl srl_init 484 .LVL49: 573:../src/main.c **** srl_init(main_wx_srl_ctx_ptr, USART2, srl_usart2_rx_buffer, RX_BUFFER_2_LN, srl_usart2_tx_buffer 485 .loc 1 573 0 - 486 02da 644B ldr r3, .L118+24 + 486 02da 634B ldr r3, .L118+24 487 02dc 1868 ldr r0, [r3] 488 02de 0394 str r4, [sp, #12] - 489 02e0 654B ldr r3, .L118+36 + 489 02e0 644B ldr r3, .L118+36 490 02e2 1B68 ldr r3, [r3] 491 02e4 0293 str r3, [sp, #8] 492 02e6 6023 movs r3, #96 493 02e8 0193 str r3, [sp, #4] - 494 02ea 684A ldr r2, .L118+56 + 494 02ea 674A ldr r2, .L118+56 495 02ec 0092 str r2, [sp] - 496 02ee 684A ldr r2, .L118+60 - 497 02f0 6849 ldr r1, .L118+64 + 496 02ee 674A ldr r2, .L118+60 + 497 02f0 6749 ldr r1, .L118+64 498 02f2 FFF7FEFF bl srl_init 499 .LVL50: 500 .L24: @@ -1083,7 +1083,7 @@ 584:../src/main.c **** #if defined(PARAMETEO) 585:../src/main.c **** main_wx_srl_ctx_ptr->te_pin = LL_GPIO_PIN_8; 501 .loc 1 585 0 - 502 02f6 5D4B ldr r3, .L118+24 + 502 02f6 5C4B ldr r3, .L118+24 503 02f8 1B68 ldr r3, [r3] 504 02fa 4FF48072 mov r2, #256 505 02fe 1A82 strh r2, [r3, #16] @ movhi @@ -1096,7 +1096,7 @@ 589:../src/main.c **** // initialize APRS path with zeros 590:../src/main.c **** memset (main_own_path, 0x00, sizeof(main_own_path)); 509 .loc 1 590 0 - 510 0306 644C ldr r4, .L118+68 + 510 0306 634C ldr r4, .L118+68 511 0308 1522 movs r2, #21 512 030a 0021 movs r1, #0 513 030c 2046 mov r0, r4 @@ -1106,12 +1106,12 @@ 592:../src/main.c **** // configuring an APRS path used to transmit own packets (telemetry, wx, beacons) 593:../src/main.c **** main_own_path_ln = ConfigPath(main_own_path, main_config_data_basic); 516 .loc 1 593 0 - 517 0312 624B ldr r3, .L118+72 + 517 0312 614B ldr r3, .L118+72 518 0314 1968 ldr r1, [r3] 519 0316 2046 mov r0, r4 520 0318 FFF7FEFF bl ConfigPath 521 .LVL52: - 522 031c 604B ldr r3, .L118+76 + 522 031c 5F4B ldr r3, .L118+76 523 031e 1870 strb r0, [r3] 594:../src/main.c **** 595:../src/main.c **** #ifdef INTERNAL_WATCHDOG @@ -1153,7 +1153,7 @@ 625:../src/main.c **** // initialize AX25 & APRS stuff 626:../src/main.c **** AFSK_Init(&main_afsk); 530 .loc 1 626 0 - 531 0328 5E4C ldr r4, .L118+80 + 531 0328 5D4C ldr r4, .L118+80 532 032a 2046 mov r0, r4 533 032c FFF7FEFF bl AFSK_Init 534 .LVL55: @@ -1162,7 +1162,7 @@ 536 0330 0023 movs r3, #0 537 0332 1A46 mov r2, r3 538 0334 2146 mov r1, r4 - 539 0336 5C48 ldr r0, .L118+84 + 539 0336 5B48 ldr r0, .L118+84 540 0338 FFF7FEFF bl ax25_init 541 .LVL56: 628:../src/main.c **** DA_Init(); @@ -1179,7 +1179,7 @@ 633:../src/main.c **** // initializing the digipeater configuration 634:../src/main.c **** digi_init(main_config_data_mode); 548 .loc 1 634 0 - 549 0344 4D4C ldr r4, .L118+40 + 549 0344 4C4C ldr r4, .L118+40 550 0346 2068 ldr r0, [r4] 551 0348 FFF7FEFF bl digi_init 552 .LVL59: @@ -1189,7 +1189,7 @@ 554 034c 2368 ldr r3, [r4] 555 034e 5B78 ldrb r3, [r3, #1] @ zero_extendqisi2 556 0350 13F0010F tst r3, #1 - 557 0354 40F04081 bne .L84 + 557 0354 40F03E81 bne .L84 558 .L26: 637:../src/main.c **** #if defined(PARATNC_HWREV_A) || defined(PARATNC_HWREV_B) || defined(PARATNC_HWREV_C) 638:../src/main.c **** dallas_init(GPIOC, GPIO_Pin_11, GPIO_PinSource11, &rte_wx_dallas_average); @@ -1220,11 +1220,11 @@ 660:../src/main.c **** 661:../src/main.c **** if (main_config_data_mode->wx_ms5611_or_bme == 0) { 562 .loc 1 661 0 - 563 035c 474B ldr r3, .L118+40 + 563 035c 464B ldr r3, .L118+40 564 035e 1B68 ldr r3, [r3] 565 0360 5B79 ldrb r3, [r3, #5] @ zero_extendqisi2 566 0362 002B cmp r3, #0 - 567 0364 00F05E81 beq .L85 + 567 0364 00F05C81 beq .L85 662:../src/main.c **** ms5611_reset(&rte_wx_ms5611_qf); 663:../src/main.c **** ms5611_read_calibration(SensorCalData, &rte_wx_ms5611_qf); 664:../src/main.c **** ms5611_trigger_measure(0, 0); @@ -1232,7 +1232,7 @@ 666:../src/main.c **** else if (main_config_data_mode->wx_ms5611_or_bme == 1) { 568 .loc 1 666 0 569 0368 012B cmp r3, #1 - 570 036a 00F06881 beq .L86 + 570 036a 00F06681 beq .L86 571 .L30: 667:../src/main.c **** bme280_reset(&rte_wx_bme280_qf); 668:../src/main.c **** bme280_setup(); @@ -1241,10 +1241,10 @@ 671:../src/main.c **** 672:../src/main.c **** if (main_kiss_enabled == 1) { 572 .loc 1 672 0 - 573 036e 4F4B ldr r3, .L118+88 + 573 036e 4E4B ldr r3, .L118+88 574 0370 1B78 ldrb r3, [r3] @ zero_extendqisi2 575 0372 012B cmp r3, #1 - 576 0374 00F06C81 beq .L87 + 576 0374 00F06A81 beq .L87 577 .L31: 673:../src/main.c **** // preparing initial beacon which will be sent to host PC using KISS protocol via UART 674:../src/main.c **** main_own_aprs_msg_len = sprintf(main_own_aprs_msg, "=%s%c%c%s%c%c %s", main_string_latitude, mai @@ -1294,7 +1294,7 @@ 718:../src/main.c **** // reinitializing AFSK and AX25 driver 719:../src/main.c **** AFSK_Init(&main_afsk); 578 .loc 1 719 0 - 579 0378 4A4C ldr r4, .L118+80 + 579 0378 494C ldr r4, .L118+80 580 037a 2046 mov r0, r4 581 037c FFF7FEFF bl AFSK_Init 582 .LVL61: @@ -1314,20 +1314,20 @@ 592 .LVL64: 724:../src/main.c **** ax25_init(&main_ax25, &main_afsk, 0, message_callback); 593 .loc 1 724 0 - 594 038e 484B ldr r3, .L118+92 + 594 038e 474B ldr r3, .L118+92 595 0390 0022 movs r2, #0 596 0392 2146 mov r1, r4 - 597 0394 4448 ldr r0, .L118+84 + 597 0394 4348 ldr r0, .L118+84 598 0396 FFF7FEFF bl ax25_init 599 .LVL65: 725:../src/main.c **** 726:../src/main.c **** if ((main_config_data_mode->wx & WX_ENABLED) == 1) { 600 .loc 1 726 0 - 601 039a 384B ldr r3, .L118+40 + 601 039a 374B ldr r3, .L118+40 602 039c 1968 ldr r1, [r3] 603 039e 4B78 ldrb r3, [r1, #1] @ zero_extendqisi2 604 03a0 13F0010F tst r3, #1 - 605 03a4 40F08D81 bne .L88 + 605 03a4 40F08B81 bne .L88 606 .L34: 727:../src/main.c **** // getting all meteo measuremenets to be sure that WX frames want be sent with zeros 728:../src/main.c **** wx_get_all_measurements(main_config_data_wx_sources, main_config_data_mode, main_config_data_umb @@ -1336,11 +1336,11 @@ 731:../src/main.c **** // start serial port i/o transaction depending on station configuration 732:../src/main.c **** if (main_config_data_mode->victron == 1) { 607 .loc 1 732 0 - 608 03a8 344B ldr r3, .L118+40 + 608 03a8 334B ldr r3, .L118+40 609 03aa 1B68 ldr r3, [r3] 610 03ac 9B79 ldrb r3, [r3, #6] @ zero_extendqisi2 611 03ae 012B cmp r3, #1 - 612 03b0 00F09081 beq .L89 + 612 03b0 00F08E81 beq .L89 733:../src/main.c **** // initializing protocol parser 734:../src/main.c **** ve_direct_parser_init(&rte_pv_struct, &rte_pv_average); 735:../src/main.c **** @@ -1356,7 +1356,7 @@ 745:../src/main.c **** // switching UART to receive mode to be ready for KISS frames from host 746:../src/main.c **** srl_receive_data(main_kiss_srl_ctx_ptr, 100, FEND, FEND, 0, 0, 0); 613 .loc 1 746 0 - 614 03b4 2B4B ldr r3, .L118+16 + 614 03b4 2A4B ldr r3, .L118+16 615 03b6 1868 ldr r0, [r3] 616 03b8 0023 movs r3, #0 617 03ba 0293 str r3, [sp, #8] @@ -1475,7 +1475,7 @@ 96:../include/LedConfig.h **** else { 97:../include/LedConfig.h **** GPIOC->BSRR |= GPIO_BSRR_BR8; 632 .loc 2 97 0 - 633 03d0 384B ldr r3, .L118+96 + 633 03d0 374B ldr r3, .L118+96 634 03d2 9A69 ldr r2, [r3, #24] 635 03d4 42F08072 orr r2, r2, #16777216 636 03d8 9A61 str r2, [r3, #24] @@ -1512,22 +1512,22 @@ 756:../src/main.c **** 757:../src/main.c **** if (main_config_data_basic-> beacon_at_bootup == 1) 652 .loc 1 757 0 - 653 03e6 2D4B ldr r3, .L118+72 + 653 03e6 2C4B ldr r3, .L118+72 654 03e8 1B68 ldr r3, [r3] 655 03ea 93F89730 ldrb r3, [r3, #151] @ zero_extendqisi2 656 03ee 012B cmp r3, #1 - 657 03f0 00F08481 beq .L90 + 657 03f0 00F08281 beq .L90 658 .L37: 758:../src/main.c **** beacon_send_own(); 759:../src/main.c **** 760:../src/main.c **** // initialize UMB transaction 761:../src/main.c **** if (main_config_data_mode->wx_umb == 1) { 659 .loc 1 761 0 - 660 03f4 214B ldr r3, .L118+40 + 660 03f4 204B ldr r3, .L118+40 661 03f6 1B68 ldr r3, [r3] 662 03f8 9B78 ldrb r3, [r3, #2] @ zero_extendqisi2 663 03fa 012B cmp r3, #1 - 664 03fc 00F08181 beq .L91 + 664 03fc 00F07F81 beq .L91 665 .L38: 762:../src/main.c **** umb_0x26_status_request(&rte_wx_umb, &rte_wx_umb_context, main_config_data_umb); 763:../src/main.c **** } @@ -1535,514 +1535,511 @@ 765:../src/main.c **** #ifdef INTERNAL_WATCHDOG 766:../src/main.c **** // reload watchdog counter 767:../src/main.c **** IWDG_ReloadCounter(); - 666 .loc 1 767 0 - 667 0400 FFF7FEFF bl IWDG_ReloadCounter - 668 .LVL71: 768:../src/main.c **** #endif 769:../src/main.c **** 770:../src/main.c **** io_ext_watchdog_service(); - 669 .loc 1 770 0 - 670 0404 FFF7FEFF bl io_ext_watchdog_service - 671 .LVL72: + 666 .loc 1 770 0 + 667 0400 FFF7FEFF bl io_ext_watchdog_service + 668 .LVL71: 211:../src/main.c **** - 672 .loc 1 211 0 - 673 0408 0024 movs r4, #0 - 674 040a E1E2 b .L39 - 675 .L17: + 669 .loc 1 211 0 + 670 0404 0024 movs r4, #0 + 671 0406 E1E2 b .L39 + 672 .L17: 380:../src/main.c **** main_symbol_s = '#'; - 676 .loc 1 380 0 - 677 040c 114B ldr r3, .L118 - 678 040e 3122 movs r2, #49 - 679 0410 1A70 strb r2, [r3] + 673 .loc 1 380 0 + 674 0408 114B ldr r3, .L118 + 675 040a 3122 movs r2, #49 + 676 040c 1A70 strb r2, [r3] 381:../src/main.c **** break; - 680 .loc 1 381 0 - 681 0412 114B ldr r3, .L118+4 - 682 0414 2322 movs r2, #35 - 683 0416 1A70 strb r2, [r3] + 677 .loc 1 381 0 + 678 040e 114B ldr r3, .L118+4 + 679 0410 2322 movs r2, #35 + 680 0412 1A70 strb r2, [r3] 382:../src/main.c **** case 2: // _SYMBOL_HOUSE - 684 .loc 1 382 0 - 685 0418 E0E6 b .L20 - 686 .L18: + 681 .loc 1 382 0 + 682 0414 E2E6 b .L20 + 683 .L18: 384:../src/main.c **** main_symbol_s = '-'; - 687 .loc 1 384 0 - 688 041a 0E4B ldr r3, .L118 - 689 041c 2F22 movs r2, #47 - 690 041e 1A70 strb r2, [r3] + 684 .loc 1 384 0 + 685 0416 0E4B ldr r3, .L118 + 686 0418 2F22 movs r2, #47 + 687 041a 1A70 strb r2, [r3] 385:../src/main.c **** break; - 691 .loc 1 385 0 - 692 0420 0D4B ldr r3, .L118+4 - 693 0422 2D22 movs r2, #45 - 694 0424 1A70 strb r2, [r3] + 688 .loc 1 385 0 + 689 041c 0D4B ldr r3, .L118+4 + 690 041e 2D22 movs r2, #45 + 691 0420 1A70 strb r2, [r3] 386:../src/main.c **** case 3: // _SYMBOL_RXIGATE - 695 .loc 1 386 0 - 696 0426 D9E6 b .L20 - 697 .L19: + 692 .loc 1 386 0 + 693 0422 DBE6 b .L20 + 694 .L19: 388:../src/main.c **** main_symbol_s = '&'; - 698 .loc 1 388 0 - 699 0428 0A4B ldr r3, .L118 - 700 042a 4922 movs r2, #73 - 701 042c 1A70 strb r2, [r3] + 695 .loc 1 388 0 + 696 0424 0A4B ldr r3, .L118 + 697 0426 4922 movs r2, #73 + 698 0428 1A70 strb r2, [r3] 389:../src/main.c **** break; - 702 .loc 1 389 0 - 703 042e 0A4B ldr r3, .L118+4 - 704 0430 2622 movs r2, #38 - 705 0432 1A70 strb r2, [r3] + 699 .loc 1 389 0 + 700 042a 0A4B ldr r3, .L118+4 + 701 042c 2622 movs r2, #38 + 702 042e 1A70 strb r2, [r3] 390:../src/main.c **** default: // _SYMBOL_IGATE - 706 .loc 1 390 0 - 707 0434 D2E6 b .L20 - 708 .L14: + 703 .loc 1 390 0 + 704 0430 D4E6 b .L20 + 705 .L14: 392:../src/main.c **** main_symbol_s = '&'; - 709 .loc 1 392 0 - 710 0436 074B ldr r3, .L118 - 711 0438 5222 movs r2, #82 - 712 043a 1A70 strb r2, [r3] + 706 .loc 1 392 0 + 707 0432 074B ldr r3, .L118 + 708 0434 5222 movs r2, #82 + 709 0436 1A70 strb r2, [r3] 393:../src/main.c **** break; - 713 .loc 1 393 0 - 714 043c 064B ldr r3, .L118+4 - 715 043e 2622 movs r2, #38 - 716 0440 1A70 strb r2, [r3] + 710 .loc 1 393 0 + 711 0438 064B ldr r3, .L118+4 + 712 043a 2622 movs r2, #38 + 713 043c 1A70 strb r2, [r3] 394:../src/main.c **** - 717 .loc 1 394 0 - 718 0442 CBE6 b .L20 - 719 .L81: + 714 .loc 1 394 0 + 715 043e CDE6 b .L20 + 716 .L81: 496:../src/main.c **** - 720 .loc 1 496 0 - 721 0444 0B4A ldr r2, .L118+32 - 722 0446 4FF49641 mov r1, #19200 - 723 044a 1160 str r1, [r2] + 717 .loc 1 496 0 + 718 0440 0B4A ldr r2, .L118+32 + 719 0442 4FF49641 mov r1, #19200 + 720 0446 1160 str r1, [r2] 499:../src/main.c **** } - 724 .loc 1 499 0 - 725 044c 174A ldr r2, .L118+88 - 726 044e 1570 strb r5, [r2] - 727 0450 2CE7 b .L21 - 728 .L119: - 729 0452 00BF .align 2 - 730 .L118: - 731 0454 00000000 .word .LANCHOR2 - 732 0458 00000000 .word .LANCHOR3 - 733 045c 00000000 .word .LANCHOR4 - 734 0460 00000000 .word GPIO_InitTypeDef - 735 0464 00000000 .word main_kiss_srl_ctx_ptr - 736 0468 00000000 .word main_kiss_srl_ctx - 737 046c 00000000 .word main_wx_srl_ctx_ptr - 738 0470 00000000 .word main_wx_srl_ctx - 739 0474 00000000 .word main_target_kiss_baudrate - 740 0478 00000000 .word main_target_wx_baudrate - 741 047c 00000000 .word .LANCHOR5 - 742 0480 00000000 .word srl_usart1_tx_buffer - 743 0484 00000000 .word srl_usart1_rx_buffer - 744 0488 00380140 .word 1073821696 - 745 048c 00000000 .word srl_usart2_tx_buffer - 746 0490 00000000 .word srl_usart2_rx_buffer - 747 0494 00440040 .word 1073759232 - 748 0498 00000000 .word main_own_path - 749 049c 00000000 .word .LANCHOR1 - 750 04a0 00000000 .word .LANCHOR10 - 751 04a4 00000000 .word main_afsk - 752 04a8 00000000 .word main_ax25 - 753 04ac 00000000 .word .LANCHOR6 - 754 04b0 00000000 .word message_callback - 755 04b4 00080048 .word 1207961600 - 756 .L82: + 721 .loc 1 499 0 + 722 0448 174A ldr r2, .L118+88 + 723 044a 1570 strb r5, [r2] + 724 044c 2EE7 b .L21 + 725 .L119: + 726 044e 00BF .align 2 + 727 .L118: + 728 0450 00000000 .word .LANCHOR2 + 729 0454 00000000 .word .LANCHOR3 + 730 0458 00000000 .word .LANCHOR4 + 731 045c 00000000 .word GPIO_InitTypeDef + 732 0460 00000000 .word main_kiss_srl_ctx_ptr + 733 0464 00000000 .word main_kiss_srl_ctx + 734 0468 00000000 .word main_wx_srl_ctx_ptr + 735 046c 00000000 .word main_wx_srl_ctx + 736 0470 00000000 .word main_target_kiss_baudrate + 737 0474 00000000 .word main_target_wx_baudrate + 738 0478 00000000 .word .LANCHOR5 + 739 047c 00000000 .word srl_usart1_tx_buffer + 740 0480 00000000 .word srl_usart1_rx_buffer + 741 0484 00380140 .word 1073821696 + 742 0488 00000000 .word srl_usart2_tx_buffer + 743 048c 00000000 .word srl_usart2_rx_buffer + 744 0490 00440040 .word 1073759232 + 745 0494 00000000 .word main_own_path + 746 0498 00000000 .word .LANCHOR1 + 747 049c 00000000 .word .LANCHOR10 + 748 04a0 00000000 .word main_afsk + 749 04a4 00000000 .word main_ax25 + 750 04a8 00000000 .word .LANCHOR6 + 751 04ac 00000000 .word message_callback + 752 04b0 00080048 .word 1207961600 + 753 .L82: 505:../src/main.c **** - 757 .loc 1 505 0 - 758 04b8 4FF49643 mov r3, #19200 - 759 04bc 9B4A ldr r2, .L120 - 760 04be 1360 str r3, [r2] + 754 .loc 1 505 0 + 755 04b4 4FF49643 mov r3, #19200 + 756 04b8 9B4A ldr r2, .L120 + 757 04ba 1360 str r3, [r2] 508:../src/main.c **** - 761 .loc 1 508 0 - 762 04c0 9B48 ldr r0, .L120+4 - 763 04c2 0022 movs r2, #0 - 764 04c4 80F84420 strb r2, [r0, #68] + 758 .loc 1 508 0 + 759 04bc 9B48 ldr r0, .L120+4 + 760 04be 0022 movs r2, #0 + 761 04c0 80F84420 strb r2, [r0, #68] 511:../src/main.c **** - 765 .loc 1 511 0 - 766 04c8 0124 movs r4, #1 - 767 04ca 0394 str r4, [sp, #12] - 768 04cc 0293 str r3, [sp, #8] - 769 04ce 4FF40073 mov r3, #512 - 770 04d2 0193 str r3, [sp, #4] - 771 04d4 974A ldr r2, .L120+8 - 772 04d6 0092 str r2, [sp] - 773 04d8 974A ldr r2, .L120+12 - 774 04da 9849 ldr r1, .L120+16 - 775 04dc FFF7FEFF bl srl_init - 776 .LVL73: + 762 .loc 1 511 0 + 763 04c4 0124 movs r4, #1 + 764 04c6 0394 str r4, [sp, #12] + 765 04c8 0293 str r3, [sp, #8] + 766 04ca 4FF40073 mov r3, #512 + 767 04ce 0193 str r3, [sp, #4] + 768 04d0 974A ldr r2, .L120+8 + 769 04d2 0092 str r2, [sp] + 770 04d4 974A ldr r2, .L120+12 + 771 04d6 9849 ldr r1, .L120+16 + 772 04d8 FFF7FEFF bl srl_init + 773 .LVL72: 513:../src/main.c **** - 777 .loc 1 513 0 - 778 04e0 974D ldr r5, .L120+20 - 779 04e2 40F6B832 movw r2, #3000 - 780 04e6 2146 mov r1, r4 - 781 04e8 2868 ldr r0, [r5] - 782 04ea FFF7FEFF bl srl_switch_timeout - 783 .LVL74: + 774 .loc 1 513 0 + 775 04dc 974D ldr r5, .L120+20 + 776 04de 40F6B832 movw r2, #3000 + 777 04e2 2146 mov r1, r4 + 778 04e4 2868 ldr r0, [r5] + 779 04e6 FFF7FEFF bl srl_switch_timeout + 780 .LVL73: 515:../src/main.c **** - 784 .loc 1 515 0 - 785 04ee 2868 ldr r0, [r5] - 786 04f0 FFF7FEFF bl davis_init - 787 .LVL75: + 781 .loc 1 515 0 + 782 04ea 2868 ldr r0, [r5] + 783 04ec FFF7FEFF bl davis_init + 784 .LVL74: 518:../src/main.c **** - 788 .loc 1 518 0 - 789 04f4 2046 mov r0, r4 - 790 04f6 FFF7FEFF bl davis_wake_up - 791 .LVL76: - 792 04fa B0FA80F0 clz r0, r0 - 793 04fe 4009 lsrs r0, r0, #5 - 794 0500 904B ldr r3, .L120+24 - 795 0502 1870 strb r0, [r3] + 785 .loc 1 518 0 + 786 04f0 2046 mov r0, r4 + 787 04f2 FFF7FEFF bl davis_wake_up + 788 .LVL75: + 789 04f6 B0FA80F0 clz r0, r0 + 790 04fa 4009 lsrs r0, r0, #5 + 791 04fc 904B ldr r3, .L120+24 + 792 04fe 1870 strb r0, [r3] 521:../src/main.c **** // turn LCD backlight on.. - 796 .loc 1 521 0 - 797 0504 88B1 cbz r0, .L23 + 793 .loc 1 521 0 + 794 0500 88B1 cbz r0, .L23 523:../src/main.c **** - 798 .loc 1 523 0 - 799 0506 2046 mov r0, r4 - 800 0508 FFF7FEFF bl davis_control_backlight - 801 .LVL77: + 795 .loc 1 523 0 + 796 0502 2046 mov r0, r4 + 797 0504 FFF7FEFF bl davis_control_backlight + 798 .LVL76: 526:../src/main.c **** - 802 .loc 1 526 0 - 803 050c 4FF47A70 mov r0, #1000 - 804 0510 FFF7FEFF bl delay_fixed - 805 .LVL78: + 799 .loc 1 526 0 + 800 0508 4FF47A70 mov r0, #1000 + 801 050c FFF7FEFF bl delay_fixed + 802 .LVL77: 529:../src/main.c **** - 806 .loc 1 529 0 - 807 0514 0020 movs r0, #0 - 808 0516 FFF7FEFF bl davis_control_backlight - 809 .LVL79: + 803 .loc 1 529 0 + 804 0510 0020 movs r0, #0 + 805 0512 FFF7FEFF bl davis_control_backlight + 806 .LVL78: 532:../src/main.c **** - 810 .loc 1 532 0 - 811 051a 8B4B ldr r3, .L120+28 - 812 051c 0022 movs r2, #0 - 813 051e 1A70 strb r2, [r3] + 807 .loc 1 532 0 + 808 0516 8B4B ldr r3, .L120+28 + 809 0518 0022 movs r2, #0 + 810 051a 1A70 strb r2, [r3] 537:../src/main.c **** - 814 .loc 1 537 0 - 815 0520 8A4B ldr r3, .L120+32 - 816 0522 1C70 strb r4, [r3] + 811 .loc 1 537 0 + 812 051c 8A4B ldr r3, .L120+32 + 813 051e 1C70 strb r4, [r3] 540:../src/main.c **** - 817 .loc 1 540 0 - 818 0524 FFF7FEFF bl davis_trigger_rxcheck_packet - 819 .LVL80: - 820 0528 E5E6 b .L24 - 821 .L23: + 814 .loc 1 540 0 + 815 0520 FFF7FEFF bl davis_trigger_rxcheck_packet + 816 .LVL79: + 817 0524 E7E6 b .L24 + 818 .L23: 545:../src/main.c **** main_kiss_srl_ctx_ptr->srl_rx_state = SRL_RX_NOT_CONFIG; - 822 .loc 1 545 0 - 823 052a 4FF41653 mov r3, #9600 - 824 052e 7F4A ldr r2, .L120 - 825 0530 1360 str r3, [r2] + 819 .loc 1 545 0 + 820 0526 4FF41653 mov r3, #9600 + 821 052a 7F4A ldr r2, .L120 + 822 052c 1360 str r3, [r2] 546:../src/main.c **** - 826 .loc 1 546 0 - 827 0532 834A ldr r2, .L120+20 - 828 0534 1068 ldr r0, [r2] - 829 0536 0022 movs r2, #0 - 830 0538 80F84420 strb r2, [r0, #68] + 823 .loc 1 546 0 + 824 052e 834A ldr r2, .L120+20 + 825 0530 1068 ldr r0, [r2] + 826 0532 0022 movs r2, #0 + 827 0534 80F84420 strb r2, [r0, #68] 549:../src/main.c **** srl_init(main_wx_srl_ctx_ptr, USART2, srl_usart2_rx_buffer, RX_BUFFER_2_LN, srl_usart2_tx_buffe - 831 .loc 1 549 0 - 832 053c 0124 movs r4, #1 - 833 053e 0394 str r4, [sp, #12] - 834 0540 0293 str r3, [sp, #8] - 835 0542 4FF40073 mov r3, #512 - 836 0546 0193 str r3, [sp, #4] - 837 0548 7A4A ldr r2, .L120+8 - 838 054a 0092 str r2, [sp] - 839 054c 7A4A ldr r2, .L120+12 - 840 054e 7B49 ldr r1, .L120+16 - 841 0550 FFF7FEFF bl srl_init - 842 .LVL81: + 828 .loc 1 549 0 + 829 0538 0124 movs r4, #1 + 830 053a 0394 str r4, [sp, #12] + 831 053c 0293 str r3, [sp, #8] + 832 053e 4FF40073 mov r3, #512 + 833 0542 0193 str r3, [sp, #4] + 834 0544 7A4A ldr r2, .L120+8 + 835 0546 0092 str r2, [sp] + 836 0548 7A4A ldr r2, .L120+12 + 837 054a 7B49 ldr r1, .L120+16 + 838 054c FFF7FEFF bl srl_init + 839 .LVL80: 550:../src/main.c **** - 843 .loc 1 550 0 - 844 0554 0394 str r4, [sp, #12] - 845 0556 7E4B ldr r3, .L120+36 - 846 0558 1B68 ldr r3, [r3] - 847 055a 0293 str r3, [sp, #8] - 848 055c 6023 movs r3, #96 - 849 055e 0193 str r3, [sp, #4] - 850 0560 7C4A ldr r2, .L120+40 - 851 0562 0092 str r2, [sp] - 852 0564 7C4A ldr r2, .L120+44 - 853 0566 7D49 ldr r1, .L120+48 - 854 0568 7D48 ldr r0, .L120+52 - 855 056a 0068 ldr r0, [r0] - 856 056c FFF7FEFF bl srl_init - 857 .LVL82: - 858 0570 C1E6 b .L24 - 859 .L83: + 840 .loc 1 550 0 + 841 0550 0394 str r4, [sp, #12] + 842 0552 7E4B ldr r3, .L120+36 + 843 0554 1B68 ldr r3, [r3] + 844 0556 0293 str r3, [sp, #8] + 845 0558 6023 movs r3, #96 + 846 055a 0193 str r3, [sp, #4] + 847 055c 7C4A ldr r2, .L120+40 + 848 055e 0092 str r2, [sp] + 849 0560 7C4A ldr r2, .L120+44 + 850 0562 7D49 ldr r1, .L120+48 + 851 0564 7D48 ldr r0, .L120+52 + 852 0566 0068 ldr r0, [r0] + 853 0568 FFF7FEFF bl srl_init + 854 .LVL81: + 855 056c C3E6 b .L24 + 856 .L83: 556:../src/main.c **** - 860 .loc 1 556 0 - 861 0572 7C4D ldr r5, .L120+56 - 862 0574 2B68 ldr r3, [r5] - 863 0576 7C4A ldr r2, .L120+60 - 864 0578 0121 movs r1, #1 - 865 057a 7C48 ldr r0, .L120+64 - 866 057c FFF7FEFF bl rtu_serial_init - 867 .LVL83: + 857 .loc 1 556 0 + 858 056e 7C4D ldr r5, .L120+56 + 859 0570 2B68 ldr r3, [r5] + 860 0572 7C4A ldr r2, .L120+60 + 861 0574 0121 movs r1, #1 + 862 0576 7C48 ldr r0, .L120+64 + 863 0578 FFF7FEFF bl rtu_serial_init + 864 .LVL82: 558:../src/main.c **** - 868 .loc 1 558 0 - 869 0580 2B68 ldr r3, [r5] - 870 0582 1B88 ldrh r3, [r3] - 871 0584 724E ldr r6, .L120+36 - 872 0586 3360 str r3, [r6] + 865 .loc 1 558 0 + 866 057c 2B68 ldr r3, [r5] + 867 057e 1B88 ldrh r3, [r3] + 868 0580 724E ldr r6, .L120+36 + 869 0582 3360 str r3, [r6] 561:../src/main.c **** srl_init(main_wx_srl_ctx_ptr, USART2, srl_usart2_rx_buffer, RX_BUFFER_2_LN, srl_usart2_tx_buffer - 873 .loc 1 561 0 - 874 0588 0124 movs r4, #1 - 875 058a 0394 str r4, [sp, #12] - 876 058c 674B ldr r3, .L120 - 877 058e 1B68 ldr r3, [r3] - 878 0590 0293 str r3, [sp, #8] - 879 0592 4FF40073 mov r3, #512 - 880 0596 0193 str r3, [sp, #4] - 881 0598 664A ldr r2, .L120+8 - 882 059a 0092 str r2, [sp] - 883 059c 664A ldr r2, .L120+12 - 884 059e 6749 ldr r1, .L120+16 - 885 05a0 6748 ldr r0, .L120+20 - 886 05a2 0068 ldr r0, [r0] - 887 05a4 FFF7FEFF bl srl_init - 888 .LVL84: + 870 .loc 1 561 0 + 871 0584 0124 movs r4, #1 + 872 0586 0394 str r4, [sp, #12] + 873 0588 674B ldr r3, .L120 + 874 058a 1B68 ldr r3, [r3] + 875 058c 0293 str r3, [sp, #8] + 876 058e 4FF40073 mov r3, #512 + 877 0592 0193 str r3, [sp, #4] + 878 0594 664A ldr r2, .L120+8 + 879 0596 0092 str r2, [sp] + 880 0598 664A ldr r2, .L120+12 + 881 059a 6749 ldr r1, .L120+16 + 882 059c 6748 ldr r0, .L120+20 + 883 059e 0068 ldr r0, [r0] + 884 05a0 FFF7FEFF bl srl_init + 885 .LVL83: 562:../src/main.c **** srl_switch_tx_delay(main_wx_srl_ctx_ptr, 1); - 889 .loc 1 562 0 - 890 05a8 2B68 ldr r3, [r5] - 891 05aa 6D4D ldr r5, .L120+52 - 892 05ac DB78 ldrb r3, [r3, #3] @ zero_extendqisi2 - 893 05ae 0393 str r3, [sp, #12] - 894 05b0 3368 ldr r3, [r6] - 895 05b2 0293 str r3, [sp, #8] - 896 05b4 6023 movs r3, #96 - 897 05b6 0193 str r3, [sp, #4] - 898 05b8 664A ldr r2, .L120+40 - 899 05ba 0092 str r2, [sp] - 900 05bc 664A ldr r2, .L120+44 - 901 05be 6749 ldr r1, .L120+48 - 902 05c0 2868 ldr r0, [r5] - 903 05c2 FFF7FEFF bl srl_init - 904 .LVL85: + 886 .loc 1 562 0 + 887 05a4 2B68 ldr r3, [r5] + 888 05a6 6D4D ldr r5, .L120+52 + 889 05a8 DB78 ldrb r3, [r3, #3] @ zero_extendqisi2 + 890 05aa 0393 str r3, [sp, #12] + 891 05ac 3368 ldr r3, [r6] + 892 05ae 0293 str r3, [sp, #8] + 893 05b0 6023 movs r3, #96 + 894 05b2 0193 str r3, [sp, #4] + 895 05b4 664A ldr r2, .L120+40 + 896 05b6 0092 str r2, [sp] + 897 05b8 664A ldr r2, .L120+44 + 898 05ba 6749 ldr r1, .L120+48 + 899 05bc 2868 ldr r0, [r5] + 900 05be FFF7FEFF bl srl_init + 901 .LVL84: 563:../src/main.c **** - 905 .loc 1 563 0 - 906 05c6 2146 mov r1, r4 - 907 05c8 2868 ldr r0, [r5] - 908 05ca FFF7FEFF bl srl_switch_tx_delay - 909 .LVL86: + 902 .loc 1 563 0 + 903 05c2 2146 mov r1, r4 + 904 05c4 2868 ldr r0, [r5] + 905 05c6 FFF7FEFF bl srl_switch_tx_delay + 906 .LVL85: 566:../src/main.c **** - 910 .loc 1 566 0 - 911 05ce 684B ldr r3, .L120+68 - 912 05d0 1C70 strb r4, [r3] + 907 .loc 1 566 0 + 908 05ca 684B ldr r3, .L120+68 + 909 05cc 1C70 strb r4, [r3] 568:../src/main.c **** } - 913 .loc 1 568 0 - 914 05d2 FFF7FEFF bl rtu_serial_start - 915 .LVL87: - 916 05d6 8EE6 b .L24 - 917 .L84: + 910 .loc 1 568 0 + 911 05ce FFF7FEFF bl rtu_serial_start + 912 .LVL86: + 913 05d2 90E6 b .L24 + 914 .L84: 642:../src/main.c **** #endif - 918 .loc 1 642 0 - 919 05d8 664B ldr r3, .L120+72 - 920 05da 0022 movs r2, #0 - 921 05dc 4FF40061 mov r1, #2048 - 922 05e0 6548 ldr r0, .L120+76 - 923 05e2 FFF7FEFF bl dallas_init - 924 .LVL88: + 915 .loc 1 642 0 + 916 05d4 664B ldr r3, .L120+72 + 917 05d6 0022 movs r2, #0 + 918 05d8 4FF40061 mov r1, #2048 + 919 05dc 6548 ldr r0, .L120+76 + 920 05de FFF7FEFF bl dallas_init + 921 .LVL87: 645:../src/main.c **** // client initialization - 925 .loc 1 645 0 - 926 05e6 2368 ldr r3, [r4] - 927 05e8 9B78 ldrb r3, [r3, #2] @ zero_extendqisi2 - 928 05ea 012B cmp r3, #1 - 929 05ec 06D1 bne .L27 + 922 .loc 1 645 0 + 923 05e2 2368 ldr r3, [r4] + 924 05e4 9B78 ldrb r3, [r3, #2] @ zero_extendqisi2 + 925 05e6 012B cmp r3, #1 + 926 05e8 06D1 bne .L27 647:../src/main.c **** } - 930 .loc 1 647 0 - 931 05ee 634B ldr r3, .L120+80 - 932 05f0 1A68 ldr r2, [r3] - 933 05f2 5B4B ldr r3, .L120+52 - 934 05f4 1968 ldr r1, [r3] - 935 05f6 6248 ldr r0, .L120+84 - 936 05f8 FFF7FEFF bl umb_master_init - 937 .LVL89: - 938 .L27: + 927 .loc 1 647 0 + 928 05ea 634B ldr r3, .L120+80 + 929 05ec 1A68 ldr r2, [r3] + 930 05ee 5B4B ldr r3, .L120+52 + 931 05f0 1968 ldr r1, [r3] + 932 05f2 6248 ldr r0, .L120+84 + 933 05f4 FFF7FEFF bl umb_master_init + 934 .LVL88: + 935 .L27: 650:../src/main.c **** analog_anemometer_init(_ANEMOMETER_PULSES_IN_10SEC_PER_ONE_MS_OF_WINDSPEED, 38, 100, 1); - 939 .loc 1 650 0 - 940 05fc 614B ldr r3, .L120+88 - 941 05fe 1B68 ldr r3, [r3] - 942 0600 5B78 ldrb r3, [r3, #1] @ zero_extendqisi2 - 943 0602 13F0040F tst r3, #4 - 944 0606 06D1 bne .L28 + 936 .loc 1 650 0 + 937 05f8 614B ldr r3, .L120+88 + 938 05fa 1B68 ldr r3, [r3] + 939 05fc 5B78 ldrb r3, [r3, #1] @ zero_extendqisi2 + 940 05fe 13F0040F tst r3, #4 + 941 0602 06D1 bne .L28 651:../src/main.c **** } - 945 .loc 1 651 0 - 946 0608 0123 movs r3, #1 - 947 060a 6422 movs r2, #100 - 948 060c 2621 movs r1, #38 - 949 060e 0A20 movs r0, #10 - 950 0610 FFF7FEFF bl analog_anemometer_init - 951 .LVL90: - 952 0614 A0E6 b .L26 - 953 .L28: + 942 .loc 1 651 0 + 943 0604 0123 movs r3, #1 + 944 0606 6422 movs r2, #100 + 945 0608 2621 movs r1, #38 + 946 060a 0A20 movs r0, #10 + 947 060c FFF7FEFF bl analog_anemometer_init + 948 .LVL89: + 949 0610 A2E6 b .L26 + 950 .L28: 654:../src/main.c **** } - 954 .loc 1 654 0 - 955 0616 0123 movs r3, #1 - 956 0618 6422 movs r2, #100 - 957 061a 2621 movs r1, #38 - 958 061c 0A20 movs r0, #10 - 959 061e FFF7FEFF bl analog_anemometer_init - 960 .LVL91: - 961 0622 99E6 b .L26 - 962 .L85: + 951 .loc 1 654 0 + 952 0612 0123 movs r3, #1 + 953 0614 6422 movs r2, #100 + 954 0616 2621 movs r1, #38 + 955 0618 0A20 movs r0, #10 + 956 061a FFF7FEFF bl analog_anemometer_init + 957 .LVL90: + 958 061e 9BE6 b .L26 + 959 .L85: 662:../src/main.c **** ms5611_read_calibration(SensorCalData, &rte_wx_ms5611_qf); - 963 .loc 1 662 0 - 964 0624 584C ldr r4, .L120+92 - 965 0626 2046 mov r0, r4 - 966 0628 FFF7FEFF bl ms5611_reset - 967 .LVL92: + 960 .loc 1 662 0 + 961 0620 584C ldr r4, .L120+92 + 962 0622 2046 mov r0, r4 + 963 0624 FFF7FEFF bl ms5611_reset + 964 .LVL91: 663:../src/main.c **** ms5611_trigger_measure(0, 0); - 968 .loc 1 663 0 - 969 062c 2146 mov r1, r4 - 970 062e 5748 ldr r0, .L120+96 - 971 0630 FFF7FEFF bl ms5611_read_calibration - 972 .LVL93: + 965 .loc 1 663 0 + 966 0628 2146 mov r1, r4 + 967 062a 5748 ldr r0, .L120+96 + 968 062c FFF7FEFF bl ms5611_read_calibration + 969 .LVL92: 664:../src/main.c **** } - 973 .loc 1 664 0 - 974 0634 0021 movs r1, #0 - 975 0636 0846 mov r0, r1 - 976 0638 FFF7FEFF bl ms5611_trigger_measure - 977 .LVL94: - 978 063c 97E6 b .L30 - 979 .L86: + 970 .loc 1 664 0 + 971 0630 0021 movs r1, #0 + 972 0632 0846 mov r0, r1 + 973 0634 FFF7FEFF bl ms5611_trigger_measure + 974 .LVL93: + 975 0638 99E6 b .L30 + 976 .L86: 667:../src/main.c **** bme280_setup(); - 980 .loc 1 667 0 - 981 063e 5448 ldr r0, .L120+100 - 982 0640 FFF7FEFF bl bme280_reset - 983 .LVL95: + 977 .loc 1 667 0 + 978 063a 5448 ldr r0, .L120+100 + 979 063c FFF7FEFF bl bme280_reset + 980 .LVL94: 668:../src/main.c **** bme280_read_calibration(bme280_calibration_data); - 984 .loc 1 668 0 - 985 0644 FFF7FEFF bl bme280_setup - 986 .LVL96: + 981 .loc 1 668 0 + 982 0640 FFF7FEFF bl bme280_setup + 983 .LVL95: 669:../src/main.c **** } - 987 .loc 1 669 0 - 988 0648 5248 ldr r0, .L120+104 - 989 064a FFF7FEFF bl bme280_read_calibration - 990 .LVL97: - 991 064e 8EE6 b .L30 - 992 .L87: + 984 .loc 1 669 0 + 985 0644 5248 ldr r0, .L120+104 + 986 0646 FFF7FEFF bl bme280_read_calibration + 987 .LVL96: + 988 064a 90E6 b .L30 + 989 .L87: 674:../src/main.c **** - 993 .loc 1 674 0 - 994 0650 514B ldr r3, .L120+108 - 995 0652 1A68 ldr r2, [r3] - 996 0654 92F90C30 ldrsb r3, [r2, #12] - 997 0658 92F91410 ldrsb r1, [r2, #20] - 998 065c 1532 adds r2, r2, #21 - 999 065e 4F4C ldr r4, .L120+112 - 1000 0660 0492 str r2, [sp, #16] - 1001 0662 4F4A ldr r2, .L120+116 - 1002 0664 92F90020 ldrsb r2, [r2] - 1003 0668 0392 str r2, [sp, #12] - 1004 066a 0291 str r1, [sp, #8] - 1005 066c 4D4A ldr r2, .L120+120 - 1006 066e 0192 str r2, [sp, #4] - 1007 0670 4D4A ldr r2, .L120+124 - 1008 0672 92F90020 ldrsb r2, [r2] - 1009 0676 0092 str r2, [sp] - 1010 0678 4C4A ldr r2, .L120+128 - 1011 067a 4D49 ldr r1, .L120+132 - 1012 067c 2046 mov r0, r4 - 1013 067e FFF7FEFF bl sprintf - 1014 .LVL98: - 1015 0682 C3B2 uxtb r3, r0 - 1016 0684 4B4A ldr r2, .L120+136 - 1017 0686 1370 strb r3, [r2] + 990 .loc 1 674 0 + 991 064c 514B ldr r3, .L120+108 + 992 064e 1A68 ldr r2, [r3] + 993 0650 92F90C30 ldrsb r3, [r2, #12] + 994 0654 92F91410 ldrsb r1, [r2, #20] + 995 0658 1532 adds r2, r2, #21 + 996 065a 4F4C ldr r4, .L120+112 + 997 065c 0492 str r2, [sp, #16] + 998 065e 4F4A ldr r2, .L120+116 + 999 0660 92F90020 ldrsb r2, [r2] + 1000 0664 0392 str r2, [sp, #12] + 1001 0666 0291 str r1, [sp, #8] + 1002 0668 4D4A ldr r2, .L120+120 + 1003 066a 0192 str r2, [sp, #4] + 1004 066c 4D4A ldr r2, .L120+124 + 1005 066e 92F90020 ldrsb r2, [r2] + 1006 0672 0092 str r2, [sp] + 1007 0674 4C4A ldr r2, .L120+128 + 1008 0676 4D49 ldr r1, .L120+132 + 1009 0678 2046 mov r0, r4 + 1010 067a FFF7FEFF bl sprintf + 1011 .LVL97: + 1012 067e C3B2 uxtb r3, r0 + 1013 0680 4B4A ldr r2, .L120+136 + 1014 0682 1370 strb r3, [r2] 677:../src/main.c **** - 1018 .loc 1 677 0 - 1019 0688 0022 movs r2, #0 - 1020 068a E254 strb r2, [r4, r3] + 1015 .loc 1 677 0 + 1016 0684 0022 movs r2, #0 + 1017 0686 E254 strb r2, [r4, r3] 681:../src/main.c **** - 1021 .loc 1 681 0 - 1022 068c 4FF40072 mov r2, #512 - 1023 0690 0192 str r2, [sp, #4] - 1024 0692 274A ldr r2, .L120+4 - 1025 0694 5269 ldr r2, [r2, #20] - 1026 0696 0092 str r2, [sp] - 1027 0698 2246 mov r2, r4 - 1028 069a 0321 movs r1, #3 - 1029 069c 4648 ldr r0, .L120+140 - 1030 069e FFF7FEFF bl ax25_sendVia_toBuffer - 1031 .LVL99: - 1032 06a2 0790 str r0, [sp, #28] - 1033 .L33: + 1018 .loc 1 681 0 + 1019 0688 4FF40072 mov r2, #512 + 1020 068c 0192 str r2, [sp, #4] + 1021 068e 274A ldr r2, .L120+4 + 1022 0690 5269 ldr r2, [r2, #20] + 1023 0692 0092 str r2, [sp] + 1024 0694 2246 mov r2, r4 + 1025 0696 0321 movs r1, #3 + 1026 0698 4648 ldr r0, .L120+140 + 1027 069a FFF7FEFF bl ax25_sendVia_toBuffer + 1028 .LVL98: + 1029 069e 0790 str r0, [sp, #28] + 1030 .L33: 685:../src/main.c **** - 1034 .loc 1 685 0 discriminator 2 - 1035 06a4 224B ldr r3, .L120+4 - 1036 06a6 93F84530 ldrb r3, [r3, #69] @ zero_extendqisi2 - 1037 06aa 012B cmp r3, #1 - 1038 06ac 01D0 beq .L32 + 1031 .loc 1 685 0 discriminator 2 + 1032 06a0 224B ldr r3, .L120+4 + 1033 06a2 93F84530 ldrb r3, [r3, #69] @ zero_extendqisi2 + 1034 06a6 012B cmp r3, #1 + 1035 06a8 01D0 beq .L32 685:../src/main.c **** - 1039 .loc 1 685 0 is_stmt 0 discriminator 1 - 1040 06ae 042B cmp r3, #4 - 1041 06b0 F8D1 bne .L33 - 1042 .L32: + 1036 .loc 1 685 0 is_stmt 0 discriminator 1 + 1037 06aa 042B cmp r3, #4 + 1038 06ac F8D1 bne .L33 + 1039 .L32: 699:../src/main.c **** - 1043 .loc 1 699 0 is_stmt 1 - 1044 06b2 01B2 sxth r1, r0 - 1045 06b4 224B ldr r3, .L120+20 - 1046 06b6 1868 ldr r0, [r3] - 1047 06b8 FFF7FEFF bl srl_start_tx - 1048 .LVL100: - 1049 06bc 3F4B ldr r3, .L120+144 - 1050 06be 1870 strb r0, [r3] - 1051 06c0 5AE6 b .L31 - 1052 .L88: + 1040 .loc 1 699 0 is_stmt 1 + 1041 06ae 01B2 sxth r1, r0 + 1042 06b0 224B ldr r3, .L120+20 + 1043 06b2 1868 ldr r0, [r3] + 1044 06b4 FFF7FEFF bl srl_start_tx + 1045 .LVL99: + 1046 06b8 3F4B ldr r3, .L120+144 + 1047 06ba 1870 strb r0, [r3] + 1048 06bc 5CE6 b .L31 + 1049 .L88: 728:../src/main.c **** } - 1053 .loc 1 728 0 - 1054 06c2 284B ldr r3, .L120+56 - 1055 06c4 1B68 ldr r3, [r3] - 1056 06c6 2D4A ldr r2, .L120+80 - 1057 06c8 1268 ldr r2, [r2] - 1058 06ca 3D48 ldr r0, .L120+148 - 1059 06cc 0068 ldr r0, [r0] - 1060 06ce FFF7FEFF bl wx_get_all_measurements - 1061 .LVL101: - 1062 06d2 69E6 b .L34 - 1063 .L89: + 1050 .loc 1 728 0 + 1051 06be 284B ldr r3, .L120+56 + 1052 06c0 1B68 ldr r3, [r3] + 1053 06c2 2D4A ldr r2, .L120+80 + 1054 06c4 1268 ldr r2, [r2] + 1055 06c6 3D48 ldr r0, .L120+148 + 1056 06c8 0068 ldr r0, [r0] + 1057 06ca FFF7FEFF bl wx_get_all_measurements + 1058 .LVL100: + 1059 06ce 6BE6 b .L34 + 1060 .L89: 734:../src/main.c **** - 1064 .loc 1 734 0 - 1065 06d4 3B49 ldr r1, .L120+152 - 1066 06d6 3C48 ldr r0, .L120+156 - 1067 06d8 FFF7FEFF bl ve_direct_parser_init - 1068 .LVL102: + 1061 .loc 1 734 0 + 1062 06d0 3B49 ldr r1, .L120+152 + 1063 06d2 3C48 ldr r0, .L120+156 + 1064 06d4 FFF7FEFF bl ve_direct_parser_init + 1065 .LVL101: 739:../src/main.c **** - 1069 .loc 1 739 0 - 1070 06dc 184C ldr r4, .L120+20 - 1071 06de 3222 movs r2, #50 - 1072 06e0 0121 movs r1, #1 - 1073 06e2 2068 ldr r0, [r4] - 1074 06e4 FFF7FEFF bl srl_switch_timeout - 1075 .LVL103: + 1066 .loc 1 739 0 + 1067 06d8 184C ldr r4, .L120+20 + 1068 06da 3222 movs r2, #50 + 1069 06dc 0121 movs r1, #1 + 1070 06de 2068 ldr r0, [r4] + 1071 06e0 FFF7FEFF bl srl_switch_timeout + 1072 .LVL102: 742:../src/main.c **** } - 1076 .loc 1 742 0 - 1077 06e8 0022 movs r2, #0 - 1078 06ea 0292 str r2, [sp, #8] - 1079 06ec 0192 str r2, [sp, #4] - 1080 06ee 0092 str r2, [sp] - 1081 06f0 1346 mov r3, r2 - 1082 06f2 BA21 movs r1, #186 - 1083 06f4 2068 ldr r0, [r4] - 1084 06f6 FFF7FEFF bl srl_receive_data - 1085 .LVL104: - 1086 06fa 67E6 b .L36 - 1087 .L90: + 1073 .loc 1 742 0 + 1074 06e4 0022 movs r2, #0 + 1075 06e6 0292 str r2, [sp, #8] + 1076 06e8 0192 str r2, [sp, #4] + 1077 06ea 0092 str r2, [sp] + 1078 06ec 1346 mov r3, r2 + 1079 06ee BA21 movs r1, #186 + 1080 06f0 2068 ldr r0, [r4] + 1081 06f2 FFF7FEFF bl srl_receive_data + 1082 .LVL103: + 1083 06f6 69E6 b .L36 + 1084 .L90: 758:../src/main.c **** - 1088 .loc 1 758 0 - 1089 06fc FFF7FEFF bl beacon_send_own - 1090 .LVL105: - 1091 0700 78E6 b .L37 - 1092 .L91: + 1085 .loc 1 758 0 + 1086 06f8 FFF7FEFF bl beacon_send_own + 1087 .LVL104: + 1088 06fc 7AE6 b .L37 + 1089 .L91: 762:../src/main.c **** } - 1093 .loc 1 762 0 - 1094 0702 1E4B ldr r3, .L120+80 - 1095 0704 1A68 ldr r2, [r3] - 1096 0706 1E49 ldr r1, .L120+84 - 1097 0708 3048 ldr r0, .L120+160 - 1098 070a FFF7FEFF bl umb_0x26_status_request - 1099 .LVL106: - 1100 070e 77E6 b .L38 - 1101 .LVL107: - 1102 .L111: - 1103 .LBB30: - 1104 .LBB31: - 1105 .LBB32: - 1106 .LBB33: - 1107 .file 3 "../system/include/cmsis/stm32l4xx/cmsis_gcc.h" + 1090 .loc 1 762 0 + 1091 06fe 1E4B ldr r3, .L120+80 + 1092 0700 1A68 ldr r2, [r3] + 1093 0702 1E49 ldr r1, .L120+84 + 1094 0704 3048 ldr r0, .L120+160 + 1095 0706 FFF7FEFF bl umb_0x26_status_request + 1096 .LVL105: + 1097 070a 79E6 b .L38 + 1098 .LVL106: + 1099 .L111: + 1100 .LBB30: + 1101 .LBB31: + 1102 .LBB32: + 1103 .LBB33: + 1104 .file 3 "../system/include/cmsis/stm32l4xx/cmsis_gcc.h" 1:../system/include/cmsis/stm32l4xx/cmsis_gcc.h **** /**************************************************************************//** 2:../system/include/cmsis/stm32l4xx/cmsis_gcc.h **** * @file cmsis_gcc.h 3:../system/include/cmsis/stm32l4xx/cmsis_gcc.h **** * @brief CMSIS compiler GCC header file @@ -2989,16 +2986,16 @@ 944:../system/include/cmsis/stm32l4xx/cmsis_gcc.h **** __STATIC_FORCEINLINE void __DSB(void) 945:../system/include/cmsis/stm32l4xx/cmsis_gcc.h **** { 946:../system/include/cmsis/stm32l4xx/cmsis_gcc.h **** __ASM volatile ("dsb 0xF":::"memory"); - 1108 .loc 3 946 0 - 1109 .syntax unified - 1110 @ 946 "../system/include/cmsis/stm32l4xx/cmsis_gcc.h" 1 - 1111 0710 BFF34F8F dsb 0xF - 1112 @ 0 "" 2 - 1113 .thumb - 1114 .syntax unified - 1115 .LBE33: - 1116 .LBE32: - 1117 .file 4 "../system/include/cmsis/stm32l4xx/core_cm4.h" + 1105 .loc 3 946 0 + 1106 .syntax unified + 1107 @ 946 "../system/include/cmsis/stm32l4xx/cmsis_gcc.h" 1 + 1108 070c BFF34F8F dsb 0xF + 1109 @ 0 "" 2 + 1110 .thumb + 1111 .syntax unified + 1112 .LBE33: + 1113 .LBE32: + 1114 .file 4 "../system/include/cmsis/stm32l4xx/core_cm4.h" 1:../system/include/cmsis/stm32l4xx/core_cm4.h **** /**************************************************************************//** 2:../system/include/cmsis/stm32l4xx/core_cm4.h **** * @file core_cm4.h 3:../system/include/cmsis/stm32l4xx/core_cm4.h **** * @brief CMSIS Cortex-M4 Core Peripheral Access Layer Header File @@ -4937,90 +4934,90 @@ 1936:../system/include/cmsis/stm32l4xx/core_cm4.h **** buffered write are completed 1937:../system/include/cmsis/stm32l4xx/core_cm4.h **** SCB->AIRCR = (uint32_t)((0x5FAUL << SCB_AIRCR_VECTKEY_Pos) | 1938:../system/include/cmsis/stm32l4xx/core_cm4.h **** (SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) | - 1118 .loc 4 1938 0 - 1119 0714 2E49 ldr r1, .L120+164 - 1120 0716 CA68 ldr r2, [r1, #12] - 1121 0718 02F4E062 and r2, r2, #1792 + 1115 .loc 4 1938 0 + 1116 0710 2E49 ldr r1, .L120+164 + 1117 0712 CA68 ldr r2, [r1, #12] + 1118 0714 02F4E062 and r2, r2, #1792 1937:../system/include/cmsis/stm32l4xx/core_cm4.h **** (SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) | - 1122 .loc 4 1937 0 - 1123 071c 2D4B ldr r3, .L120+168 - 1124 071e 1343 orrs r3, r3, r2 - 1125 0720 CB60 str r3, [r1, #12] - 1126 .LBB34: - 1127 .LBB35: - 1128 .loc 3 946 0 - 1129 .syntax unified - 1130 @ 946 "../system/include/cmsis/stm32l4xx/cmsis_gcc.h" 1 - 1131 0722 BFF34F8F dsb 0xF - 1132 @ 0 "" 2 - 1133 .thumb - 1134 .syntax unified - 1135 .L41: - 1136 .LBE35: - 1137 .LBE34: + 1119 .loc 4 1937 0 + 1120 0718 2D4B ldr r3, .L120+168 + 1121 071a 1343 orrs r3, r3, r2 + 1122 071c CB60 str r3, [r1, #12] + 1123 .LBB34: + 1124 .LBB35: + 1125 .loc 3 946 0 + 1126 .syntax unified + 1127 @ 946 "../system/include/cmsis/stm32l4xx/cmsis_gcc.h" 1 + 1128 071e BFF34F8F dsb 0xF + 1129 @ 0 "" 2 + 1130 .thumb + 1131 .syntax unified + 1132 .L41: + 1133 .LBE35: + 1134 .LBE34: 1939:../system/include/cmsis/stm32l4xx/core_cm4.h **** SCB_AIRCR_SYSRESETREQ_Msk ); /* Keep priority group unchange 1940:../system/include/cmsis/stm32l4xx/core_cm4.h **** __DSB(); /* Ensure completion of memory 1941:../system/include/cmsis/stm32l4xx/core_cm4.h **** 1942:../system/include/cmsis/stm32l4xx/core_cm4.h **** for(;;) /* wait until reset */ 1943:../system/include/cmsis/stm32l4xx/core_cm4.h **** { 1944:../system/include/cmsis/stm32l4xx/core_cm4.h **** __NOP(); - 1138 .loc 4 1944 0 - 1139 .syntax unified - 1140 @ 1944 "../system/include/cmsis/stm32l4xx/core_cm4.h" 1 - 1141 0726 00BF nop - 1142 @ 0 "" 2 - 1143 .thumb - 1144 .syntax unified - 1145 0728 FDE7 b .L41 - 1146 .L121: - 1147 072a 00BF .align 2 - 1148 .L120: - 1149 072c 00000000 .word main_target_kiss_baudrate - 1150 0730 00000000 .word main_kiss_srl_ctx - 1151 0734 00000000 .word srl_usart1_tx_buffer - 1152 0738 00000000 .word srl_usart1_rx_buffer - 1153 073c 00380140 .word 1073821696 - 1154 0740 00000000 .word main_kiss_srl_ctx_ptr - 1155 0744 00000000 .word rte_wx_davis_station_avaliable - 1156 0748 00000000 .word .LANCHOR6 - 1157 074c 00000000 .word .LANCHOR7 - 1158 0750 00000000 .word main_target_wx_baudrate - 1159 0754 00000000 .word srl_usart2_tx_buffer - 1160 0758 00000000 .word srl_usart2_rx_buffer - 1161 075c 00440040 .word 1073759232 - 1162 0760 00000000 .word main_wx_srl_ctx_ptr - 1163 0764 00000000 .word .LANCHOR8 - 1164 0768 00000000 .word main_wx_srl_ctx - 1165 076c 00000000 .word rte_rtu_pool_queue - 1166 0770 00000000 .word .LANCHOR9 - 1167 0774 00000000 .word rte_wx_dallas_average - 1168 0778 00080048 .word 1207961600 - 1169 077c 00000000 .word .LANCHOR11 - 1170 0780 00000000 .word rte_wx_umb_context - 1171 0784 00000000 .word .LANCHOR5 - 1172 0788 00000000 .word rte_wx_ms5611_qf - 1173 078c 00000000 .word SensorCalData - 1174 0790 00000000 .word rte_wx_bme280_qf - 1175 0794 00000000 .word bme280_calibration_data - 1176 0798 00000000 .word .LANCHOR1 - 1177 079c 00000000 .word main_own_aprs_msg - 1178 07a0 00000000 .word .LANCHOR3 - 1179 07a4 00000000 .word main_string_longitude - 1180 07a8 00000000 .word .LANCHOR2 - 1181 07ac 00000000 .word main_string_latitude - 1182 07b0 00000000 .word .LC0 - 1183 07b4 00000000 .word main_own_aprs_msg_len - 1184 07b8 00000000 .word main_own_path - 1185 07bc 00000000 .word .LANCHOR12 - 1186 07c0 00000000 .word .LANCHOR13 - 1187 07c4 00000000 .word rte_pv_average - 1188 07c8 00000000 .word rte_pv_struct - 1189 07cc 00000000 .word rte_wx_umb - 1190 07d0 00ED00E0 .word -536810240 - 1191 07d4 0400FA05 .word 100270084 - 1192 .L44: - 1193 .LBE31: - 1194 .LBE30: + 1135 .loc 4 1944 0 + 1136 .syntax unified + 1137 @ 1944 "../system/include/cmsis/stm32l4xx/core_cm4.h" 1 + 1138 0722 00BF nop + 1139 @ 0 "" 2 + 1140 .thumb + 1141 .syntax unified + 1142 0724 FDE7 b .L41 + 1143 .L121: + 1144 0726 00BF .align 2 + 1145 .L120: + 1146 0728 00000000 .word main_target_kiss_baudrate + 1147 072c 00000000 .word main_kiss_srl_ctx + 1148 0730 00000000 .word srl_usart1_tx_buffer + 1149 0734 00000000 .word srl_usart1_rx_buffer + 1150 0738 00380140 .word 1073821696 + 1151 073c 00000000 .word main_kiss_srl_ctx_ptr + 1152 0740 00000000 .word rte_wx_davis_station_avaliable + 1153 0744 00000000 .word .LANCHOR6 + 1154 0748 00000000 .word .LANCHOR7 + 1155 074c 00000000 .word main_target_wx_baudrate + 1156 0750 00000000 .word srl_usart2_tx_buffer + 1157 0754 00000000 .word srl_usart2_rx_buffer + 1158 0758 00440040 .word 1073759232 + 1159 075c 00000000 .word main_wx_srl_ctx_ptr + 1160 0760 00000000 .word .LANCHOR8 + 1161 0764 00000000 .word main_wx_srl_ctx + 1162 0768 00000000 .word rte_rtu_pool_queue + 1163 076c 00000000 .word .LANCHOR9 + 1164 0770 00000000 .word rte_wx_dallas_average + 1165 0774 00080048 .word 1207961600 + 1166 0778 00000000 .word .LANCHOR11 + 1167 077c 00000000 .word rte_wx_umb_context + 1168 0780 00000000 .word .LANCHOR5 + 1169 0784 00000000 .word rte_wx_ms5611_qf + 1170 0788 00000000 .word SensorCalData + 1171 078c 00000000 .word rte_wx_bme280_qf + 1172 0790 00000000 .word bme280_calibration_data + 1173 0794 00000000 .word .LANCHOR1 + 1174 0798 00000000 .word main_own_aprs_msg + 1175 079c 00000000 .word .LANCHOR3 + 1176 07a0 00000000 .word main_string_longitude + 1177 07a4 00000000 .word .LANCHOR2 + 1178 07a8 00000000 .word main_string_latitude + 1179 07ac 00000000 .word .LC0 + 1180 07b0 00000000 .word main_own_aprs_msg_len + 1181 07b4 00000000 .word main_own_path + 1182 07b8 00000000 .word .LANCHOR12 + 1183 07bc 00000000 .word .LANCHOR13 + 1184 07c0 00000000 .word rte_pv_average + 1185 07c4 00000000 .word rte_pv_struct + 1186 07c8 00000000 .word rte_wx_umb + 1187 07cc 00ED00E0 .word -536810240 + 1188 07d0 0400FA05 .word 100270084 + 1189 .L44: + 1190 .LBE31: + 1191 .LBE30: 771:../src/main.c **** 772:../src/main.c **** // Infinite loop 773:../src/main.c **** while (1) @@ -5058,53 +5055,53 @@ 805:../src/main.c **** else { 806:../src/main.c **** 807:../src/main.c **** srl_wait_for_tx_completion(main_kiss_srl_ctx_ptr); - 1195 .loc 1 807 0 - 1196 07d8 924B ldr r3, .L122 - 1197 07da 1868 ldr r0, [r3] - 1198 07dc FFF7FEFF bl srl_wait_for_tx_completion - 1199 .LVL108: + 1192 .loc 1 807 0 + 1193 07d4 924B ldr r3, .L122 + 1194 07d6 1868 ldr r0, [r3] + 1195 07d8 FFF7FEFF bl srl_wait_for_tx_completion + 1196 .LVL107: 808:../src/main.c **** 809:../src/main.c **** SendWXFrameToBuffer(rte_wx_average_windspeed, rte_wx_max_windspeed, rte_wx_average_winddirecti - 1200 .loc 1 809 0 - 1201 07e0 914B ldr r3, .L122+4 - 1202 07e2 1B78 ldrb r3, [r3] @ zero_extendqisi2 - 1203 07e4 914A ldr r2, .L122+8 - 1204 07e6 1288 ldrh r2, [r2] - 1205 07e8 9149 ldr r1, .L122+12 - 1206 07ea 0988 ldrh r1, [r1] - 1207 07ec 9148 ldr r0, .L122+16 - 1208 07ee 0088 ldrh r0, [r0] - 1209 07f0 07AC add r4, sp, #28 - 1210 .LVL109: - 1211 07f2 0294 str r4, [sp, #8] - 1212 07f4 4FF40074 mov r4, #512 - 1213 07f8 0194 str r4, [sp, #4] - 1214 07fa 8F4C ldr r4, .L122+20 - 1215 07fc 6469 ldr r4, [r4, #20] - 1216 07fe 0094 str r4, [sp] - 1217 0800 8E4C ldr r4, .L122+24 - 1218 0802 D4ED000A vldr.32 s1, [r4] - 1219 0806 8E4C ldr r4, .L122+28 - 1220 0808 94ED000A vldr.32 s0, [r4] - 1221 080c FFF7FEFF bl SendWXFrameToBuffer - 1222 .LVL110: + 1197 .loc 1 809 0 + 1198 07dc 914B ldr r3, .L122+4 + 1199 07de 1B78 ldrb r3, [r3] @ zero_extendqisi2 + 1200 07e0 914A ldr r2, .L122+8 + 1201 07e2 1288 ldrh r2, [r2] + 1202 07e4 9149 ldr r1, .L122+12 + 1203 07e6 0988 ldrh r1, [r1] + 1204 07e8 9148 ldr r0, .L122+16 + 1205 07ea 0088 ldrh r0, [r0] + 1206 07ec 07AC add r4, sp, #28 + 1207 .LVL108: + 1208 07ee 0294 str r4, [sp, #8] + 1209 07f0 4FF40074 mov r4, #512 + 1210 07f4 0194 str r4, [sp, #4] + 1211 07f6 8F4C ldr r4, .L122+20 + 1212 07f8 6469 ldr r4, [r4, #20] + 1213 07fa 0094 str r4, [sp] + 1214 07fc 8E4C ldr r4, .L122+24 + 1215 07fe D4ED000A vldr.32 s1, [r4] + 1216 0802 8E4C ldr r4, .L122+28 + 1217 0804 94ED000A vldr.32 s0, [r4] + 1218 0808 FFF7FEFF bl SendWXFrameToBuffer + 1219 .LVL109: 810:../src/main.c **** 811:../src/main.c **** if (main_kiss_enabled == 1) { - 1223 .loc 1 811 0 - 1224 0810 8C4B ldr r3, .L122+32 - 1225 0812 1C78 ldrb r4, [r3] @ zero_extendqisi2 - 1226 0814 012C cmp r4, #1 - 1227 0816 37D1 bne .L77 + 1220 .loc 1 811 0 + 1221 080c 8C4B ldr r3, .L122+32 + 1222 080e 1C78 ldrb r4, [r3] @ zero_extendqisi2 + 1223 0810 012C cmp r4, #1 + 1224 0812 37D1 bne .L77 812:../src/main.c **** srl_start_tx(main_kiss_srl_ctx_ptr, ln); - 1228 .loc 1 812 0 - 1229 0818 BDF91C10 ldrsh r1, [sp, #28] - 1230 081c 814B ldr r3, .L122 - 1231 081e 1868 ldr r0, [r3] - 1232 0820 FFF7FEFF bl srl_start_tx - 1233 .LVL111: - 1234 0824 00E0 b .L42 - 1235 .LVL112: - 1236 .L75: + 1225 .loc 1 812 0 + 1226 0814 BDF91C10 ldrsh r1, [sp, #28] + 1227 0818 814B ldr r3, .L122 + 1228 081a 1868 ldr r0, [r3] + 1229 081c FFF7FEFF bl srl_start_tx + 1230 .LVL110: + 1231 0820 00E0 b .L42 + 1232 .LVL111: + 1233 .L75: 813:../src/main.c **** } 814:../src/main.c **** } 815:../src/main.c **** } @@ -5113,20 +5110,20 @@ 818:../src/main.c **** } 819:../src/main.c **** else { 820:../src/main.c **** button_inhibit = 0; - 1237 .loc 1 820 0 - 1238 0826 0024 movs r4, #0 - 1239 .LVL113: - 1240 .L42: + 1234 .loc 1 820 0 + 1235 0822 0024 movs r4, #0 + 1236 .LVL112: + 1237 .L42: 821:../src/main.c **** } 822:../src/main.c **** 823:../src/main.c **** // if new packet has been received from radio channel 824:../src/main.c **** if(ax25_new_msg_rx_flag == 1) { - 1241 .loc 1 824 0 - 1242 0828 874B ldr r3, .L122+36 - 1243 082a 93F90030 ldrsb r3, [r3] - 1244 082e 012B cmp r3, #1 - 1245 0830 2CD0 beq .L92 - 1246 .L45: + 1238 .loc 1 824 0 + 1239 0824 874B ldr r3, .L122+36 + 1240 0826 93F90030 ldrsb r3, [r3] + 1241 082a 012B cmp r3, #1 + 1242 082c 2CD0 beq .L92 + 1243 .L45: 825:../src/main.c **** memset(main_kiss_srl_ctx.srl_tx_buf_pointer, 0x00, main_kiss_srl_ctx.srl_tx_buf_ln); 826:../src/main.c **** 827:../src/main.c **** if (main_kiss_enabled == 1) { @@ -5150,12 +5147,12 @@ 845:../src/main.c **** 846:../src/main.c **** // if Victron VE.direct client is enabled 847:../src/main.c **** if (main_config_data_mode->victron == 1) { - 1247 .loc 1 847 0 - 1248 0832 864B ldr r3, .L122+40 - 1249 0834 1B68 ldr r3, [r3] - 1250 0836 9A79 ldrb r2, [r3, #6] @ zero_extendqisi2 - 1251 0838 012A cmp r2, #1 - 1252 083a 55D0 beq .L93 + 1244 .loc 1 847 0 + 1245 082e 864B ldr r3, .L122+40 + 1246 0830 1B68 ldr r3, [r3] + 1247 0832 9A79 ldrb r2, [r3, #6] @ zero_extendqisi2 + 1248 0834 012A cmp r2, #1 + 1249 0836 55D0 beq .L93 848:../src/main.c **** 849:../src/main.c **** // if new KISS message has been received from the host 850:../src/main.c **** if (main_kiss_srl_ctx_ptr->srl_rx_state == SRL_RX_DONE || main_kiss_srl_ctx_ptr->srl_rx_state == @@ -5192,10 +5189,10 @@ 881:../src/main.c **** } 882:../src/main.c **** } 883:../src/main.c **** else if (main_config_data_mode->wx_umb == 1) { - 1253 .loc 1 883 0 - 1254 083c 9B78 ldrb r3, [r3, #2] @ zero_extendqisi2 - 1255 083e 012B cmp r3, #1 - 1256 0840 00F07581 beq .L94 + 1250 .loc 1 883 0 + 1251 0838 9B78 ldrb r3, [r3, #2] @ zero_extendqisi2 + 1252 083a 012B cmp r3, #1 + 1253 083c 00F07581 beq .L94 884:../src/main.c **** // if some UMB data have been received 885:../src/main.c **** if (main_wx_srl_ctx_ptr->srl_rx_state == SRL_RX_DONE) { 886:../src/main.c **** umb_pooling_handler(&rte_wx_umb_context, REASON_RECEIVE_IDLE, master_time, main_config_data_umb @@ -5213,18 +5210,18 @@ 898:../src/main.c **** else { 899:../src/main.c **** // if new KISS message has been received from the host 900:../src/main.c **** if (main_kiss_srl_ctx_ptr->srl_rx_state == SRL_RX_DONE && main_kiss_enabled == 1) { - 1257 .loc 1 900 0 - 1258 0844 774B ldr r3, .L122 - 1259 0846 1868 ldr r0, [r3] - 1260 0848 90F84430 ldrb r3, [r0, #68] @ zero_extendqisi2 - 1261 084c 042B cmp r3, #4 - 1262 084e 04D1 bne .L54 - 1263 .loc 1 900 0 is_stmt 0 discriminator 1 - 1264 0850 7C4B ldr r3, .L122+32 - 1265 0852 1B78 ldrb r3, [r3] @ zero_extendqisi2 - 1266 0854 012B cmp r3, #1 - 1267 0856 00F09881 beq .L95 - 1268 .L54: + 1254 .loc 1 900 0 + 1255 0840 774B ldr r3, .L122 + 1256 0842 1868 ldr r0, [r3] + 1257 0844 90F84430 ldrb r3, [r0, #68] @ zero_extendqisi2 + 1258 0848 042B cmp r3, #4 + 1259 084a 04D1 bne .L54 + 1260 .loc 1 900 0 is_stmt 0 discriminator 1 + 1261 084c 7C4B ldr r3, .L122+32 + 1262 084e 1B78 ldrb r3, [r3] @ zero_extendqisi2 + 1263 0850 012B cmp r3, #1 + 1264 0852 00F09881 beq .L95 + 1265 .L54: 901:../src/main.c **** // parse incoming data and then transmit on radio freq 902:../src/main.c **** short res = ParseReceivedKISS(srl_get_rx_buffer(main_kiss_srl_ctx_ptr), srl_get_num_bytes_rxed( 903:../src/main.c **** if (res == 0) @@ -5236,122 +5233,122 @@ 909:../src/main.c **** 910:../src/main.c **** // if there were an error during receiving frame from host, restart rxing once again 911:../src/main.c **** if (main_kiss_srl_ctx_ptr->srl_rx_state == SRL_RX_ERROR && main_kiss_enabled == 1) { - 1269 .loc 1 911 0 is_stmt 1 - 1270 085a 724B ldr r3, .L122 - 1271 085c 1868 ldr r0, [r3] - 1272 085e 90F84430 ldrb r3, [r0, #68] @ zero_extendqisi2 - 1273 0862 052B cmp r3, #5 - 1274 0864 49D1 bne .L48 - 1275 .loc 1 911 0 is_stmt 0 discriminator 1 - 1276 0866 774B ldr r3, .L122+32 - 1277 0868 1B78 ldrb r3, [r3] @ zero_extendqisi2 - 1278 086a 012B cmp r3, #1 - 1279 086c 45D1 bne .L48 + 1266 .loc 1 911 0 is_stmt 1 + 1267 0856 724B ldr r3, .L122 + 1268 0858 1868 ldr r0, [r3] + 1269 085a 90F84430 ldrb r3, [r0, #68] @ zero_extendqisi2 + 1270 085e 052B cmp r3, #5 + 1271 0860 49D1 bne .L48 + 1272 .loc 1 911 0 is_stmt 0 discriminator 1 + 1273 0862 774B ldr r3, .L122+32 + 1274 0864 1B78 ldrb r3, [r3] @ zero_extendqisi2 + 1275 0866 012B cmp r3, #1 + 1276 0868 45D1 bne .L48 912:../src/main.c **** srl_receive_data(main_kiss_srl_ctx_ptr, 120, FEND, FEND, 0, 0, 0); - 1280 .loc 1 912 0 is_stmt 1 - 1281 086e 0023 movs r3, #0 - 1282 0870 0293 str r3, [sp, #8] - 1283 0872 0193 str r3, [sp, #4] - 1284 0874 0093 str r3, [sp] - 1285 0876 6FF03F03 mvn r3, #63 - 1286 087a 1A46 mov r2, r3 - 1287 087c 7821 movs r1, #120 - 1288 087e FFF7FEFF bl srl_receive_data - 1289 .LVL114: - 1290 0882 3AE0 b .L48 - 1291 .L76: + 1277 .loc 1 912 0 is_stmt 1 + 1278 086a 0023 movs r3, #0 + 1279 086c 0293 str r3, [sp, #8] + 1280 086e 0193 str r3, [sp, #4] + 1281 0870 0093 str r3, [sp] + 1282 0872 6FF03F03 mvn r3, #63 + 1283 0876 1A46 mov r2, r3 + 1284 0878 7821 movs r1, #120 + 1285 087a FFF7FEFF bl srl_receive_data + 1286 .LVL113: + 1287 087e 3AE0 b .L48 + 1288 .L76: 817:../src/main.c **** } - 1292 .loc 1 817 0 - 1293 0884 0124 movs r4, #1 - 1294 .LVL115: - 1295 0886 CFE7 b .L42 - 1296 .L77: - 1297 0888 0124 movs r4, #1 - 1298 088a CDE7 b .L42 - 1299 .LVL116: - 1300 .L92: + 1289 .loc 1 817 0 + 1290 0880 0124 movs r4, #1 + 1291 .LVL114: + 1292 0882 CFE7 b .L42 + 1293 .L77: + 1294 0884 0124 movs r4, #1 + 1295 0886 CDE7 b .L42 + 1296 .LVL115: + 1297 .L92: 825:../src/main.c **** - 1301 .loc 1 825 0 - 1302 088c 6A4B ldr r3, .L122+20 - 1303 088e DA8B ldrh r2, [r3, #30] - 1304 0890 0021 movs r1, #0 - 1305 0892 5869 ldr r0, [r3, #20] - 1306 0894 FFF7FEFF bl memset - 1307 .LVL117: + 1298 .loc 1 825 0 + 1299 0888 6A4B ldr r3, .L122+20 + 1300 088a DA8B ldrh r2, [r3, #30] + 1301 088c 0021 movs r1, #0 + 1302 088e 5869 ldr r0, [r3, #20] + 1303 0890 FFF7FEFF bl memset + 1304 .LVL116: 827:../src/main.c **** // convert message to kiss format and send it to host - 1308 .loc 1 827 0 - 1309 0898 6A4B ldr r3, .L122+32 - 1310 089a 1B78 ldrb r3, [r3] @ zero_extendqisi2 - 1311 089c 012B cmp r3, #1 - 1312 089e 11D0 beq .L96 - 1313 .L46: + 1305 .loc 1 827 0 + 1306 0894 6A4B ldr r3, .L122+32 + 1307 0896 1B78 ldrb r3, [r3] @ zero_extendqisi2 + 1308 0898 012B cmp r3, #1 + 1309 089a 11D0 beq .L96 + 1310 .L46: 832:../src/main.c **** - 1314 .loc 1 832 0 - 1315 08a0 0025 movs r5, #0 - 1316 08a2 6B4B ldr r3, .L122+44 - 1317 08a4 83F81452 strb r5, [r3, #532] + 1311 .loc 1 832 0 + 1312 089c 0025 movs r5, #0 + 1313 089e 6B4B ldr r3, .L122+44 + 1314 08a0 83F81452 strb r5, [r3, #532] 839:../src/main.c **** #endif - 1318 .loc 1 839 0 - 1319 08a8 684B ldr r3, .L122+40 - 1320 08aa 1A68 ldr r2, [r3] - 1321 08ac 694B ldr r3, .L122+48 - 1322 08ae 1968 ldr r1, [r3] - 1323 08b0 6948 ldr r0, .L122+52 - 1324 08b2 FFF7FEFF bl digi_process - 1325 .LVL118: + 1315 .loc 1 839 0 + 1316 08a4 684B ldr r3, .L122+40 + 1317 08a6 1A68 ldr r2, [r3] + 1318 08a8 694B ldr r3, .L122+48 + 1319 08aa 1968 ldr r1, [r3] + 1320 08ac 6948 ldr r0, .L122+52 + 1321 08ae FFF7FEFF bl digi_process + 1322 .LVL117: 842:../src/main.c **** rx10m++; - 1326 .loc 1 842 0 - 1327 08b6 644B ldr r3, .L122+36 - 1328 08b8 1D70 strb r5, [r3] + 1323 .loc 1 842 0 + 1324 08b2 644B ldr r3, .L122+36 + 1325 08b4 1D70 strb r5, [r3] 843:../src/main.c **** } - 1329 .loc 1 843 0 - 1330 08ba 684A ldr r2, .L122+56 - 1331 08bc 1388 ldrh r3, [r2] - 1332 08be 0133 adds r3, r3, #1 - 1333 08c0 1380 strh r3, [r2] @ movhi - 1334 08c2 B6E7 b .L45 - 1335 .L96: + 1326 .loc 1 843 0 + 1327 08b6 684A ldr r2, .L122+56 + 1328 08b8 1388 ldrh r3, [r2] + 1329 08ba 0133 adds r3, r3, #1 + 1330 08bc 1380 strh r3, [r2] @ movhi + 1331 08be B6E7 b .L45 + 1332 .L96: 829:../src/main.c **** } - 1336 .loc 1 829 0 - 1337 08c4 574B ldr r3, .L122 - 1338 08c6 1D68 ldr r5, [r3] - 1339 08c8 6348 ldr r0, .L122+52 - 1340 08ca B0F85212 ldrh r1, [r0, #594] - 1341 08ce 5A4A ldr r2, .L122+20 - 1342 08d0 0239 subs r1, r1, #2 - 1343 08d2 D38B ldrh r3, [r2, #30] - 1344 08d4 5269 ldr r2, [r2, #20] - 1345 08d6 89B2 uxth r1, r1 - 1346 08d8 5230 adds r0, r0, #82 - 1347 08da FFF7FEFF bl SendKISSToHost - 1348 .LVL119: - 1349 08de 01B2 sxth r1, r0 - 1350 08e0 2846 mov r0, r5 - 1351 08e2 FFF7FEFF bl srl_start_tx - 1352 .LVL120: - 1353 08e6 DBE7 b .L46 - 1354 .L93: + 1333 .loc 1 829 0 + 1334 08c0 574B ldr r3, .L122 + 1335 08c2 1D68 ldr r5, [r3] + 1336 08c4 6348 ldr r0, .L122+52 + 1337 08c6 B0F85212 ldrh r1, [r0, #594] + 1338 08ca 5A4A ldr r2, .L122+20 + 1339 08cc 0239 subs r1, r1, #2 + 1340 08ce D38B ldrh r3, [r2, #30] + 1341 08d0 5269 ldr r2, [r2, #20] + 1342 08d2 89B2 uxth r1, r1 + 1343 08d4 5230 adds r0, r0, #82 + 1344 08d6 FFF7FEFF bl SendKISSToHost + 1345 .LVL118: + 1346 08da 01B2 sxth r1, r0 + 1347 08dc 2846 mov r0, r5 + 1348 08de FFF7FEFF bl srl_start_tx + 1349 .LVL119: + 1350 08e2 DBE7 b .L46 + 1351 .L93: 850:../src/main.c **** - 1355 .loc 1 850 0 - 1356 08e8 4E4B ldr r3, .L122 - 1357 08ea 1868 ldr r0, [r3] - 1358 08ec 90F84430 ldrb r3, [r0, #68] @ zero_extendqisi2 - 1359 08f0 043B subs r3, r3, #4 - 1360 08f2 DBB2 uxtb r3, r3 - 1361 08f4 012B cmp r3, #1 - 1362 08f6 40F2CF80 bls .L97 - 1363 .L48: + 1352 .loc 1 850 0 + 1353 08e4 4E4B ldr r3, .L122 + 1354 08e6 1868 ldr r0, [r3] + 1355 08e8 90F84430 ldrb r3, [r0, #68] @ zero_extendqisi2 + 1356 08ec 043B subs r3, r3, #4 + 1357 08ee DBB2 uxtb r3, r3 + 1358 08f0 012B cmp r3, #1 + 1359 08f2 40F2CF80 bls .L97 + 1360 .L48: 913:../src/main.c **** } 914:../src/main.c **** } 915:../src/main.c **** 916:../src/main.c **** // if Davis wx station is enabled and it is alive 917:../src/main.c **** if (main_davis_serial_enabled == 1) { - 1364 .loc 1 917 0 - 1365 08fa 594B ldr r3, .L122+60 - 1366 08fc 1B78 ldrb r3, [r3] @ zero_extendqisi2 - 1367 08fe 012B cmp r3, #1 - 1368 0900 00F06281 beq .L98 - 1369 .L56: + 1361 .loc 1 917 0 + 1362 08f6 594B ldr r3, .L122+60 + 1363 08f8 1B78 ldrb r3, [r3] @ zero_extendqisi2 + 1364 08fa 012B cmp r3, #1 + 1365 08fc 00F06281 beq .L98 + 1366 .L56: 918:../src/main.c **** 919:../src/main.c **** // pool the Davis wx station driver for LOOP packet 920:../src/main.c **** davis_loop_packet_pooler(&rte_wx_davis_loop_packet_avaliable); @@ -5361,75 +5358,75 @@ 924:../src/main.c **** 925:../src/main.c **** // if modbus rtu master is enabled 926:../src/main.c **** if (main_modbus_rtu_master_enabled == 1) { - 1370 .loc 1 926 0 - 1371 0904 574B ldr r3, .L122+64 - 1372 0906 1B78 ldrb r3, [r3] @ zero_extendqisi2 - 1373 0908 012B cmp r3, #1 - 1374 090a 00F06381 beq .L99 - 1375 .L57: + 1367 .loc 1 926 0 + 1368 0900 574B ldr r3, .L122+64 + 1369 0902 1B78 ldrb r3, [r3] @ zero_extendqisi2 + 1370 0904 012B cmp r3, #1 + 1371 0906 00F06381 beq .L99 + 1372 .L57: 927:../src/main.c **** rtu_serial_pool(); 928:../src/main.c **** } 929:../src/main.c **** 930:../src/main.c **** // get all meteo measuremenets each 65 seconds. some values may not be 931:../src/main.c **** // downloaded from sensors if _METEO and/or _DALLAS_AS_TELEM aren't defined 932:../src/main.c **** if (main_wx_sensors_pool_timer < 10) { - 1376 .loc 1 932 0 - 1377 090e 564B ldr r3, .L122+68 - 1378 0910 1B68 ldr r3, [r3] - 1379 0912 092B cmp r3, #9 - 1380 0914 1FDC bgt .L58 + 1373 .loc 1 932 0 + 1374 090a 564B ldr r3, .L122+68 + 1375 090c 1B68 ldr r3, [r3] + 1376 090e 092B cmp r3, #9 + 1377 0910 1FDC bgt .L58 933:../src/main.c **** 934:../src/main.c **** if (main_modbus_rtu_master_enabled == 1) { - 1381 .loc 1 934 0 - 1382 0916 534B ldr r3, .L122+64 - 1383 0918 1B78 ldrb r3, [r3] @ zero_extendqisi2 - 1384 091a 012B cmp r3, #1 - 1385 091c 00F05D81 beq .L100 - 1386 .L59: + 1378 .loc 1 934 0 + 1379 0912 534B ldr r3, .L122+64 + 1380 0914 1B78 ldrb r3, [r3] @ zero_extendqisi2 + 1381 0916 012B cmp r3, #1 + 1382 0918 00F05D81 beq .L100 + 1383 .L59: 935:../src/main.c **** rtu_serial_start(); 936:../src/main.c **** } 937:../src/main.c **** 938:../src/main.c **** if ((main_config_data_mode->wx & WX_ENABLED) == 1) { - 1387 .loc 1 938 0 - 1388 0920 4A4B ldr r3, .L122+40 - 1389 0922 1968 ldr r1, [r3] - 1390 0924 4B78 ldrb r3, [r1, #1] @ zero_extendqisi2 - 1391 0926 13F0010F tst r3, #1 - 1392 092a 40F05981 bne .L101 - 1393 .L60: + 1384 .loc 1 938 0 + 1385 091c 4A4B ldr r3, .L122+40 + 1386 091e 1968 ldr r1, [r3] + 1387 0920 4B78 ldrb r3, [r1, #1] @ zero_extendqisi2 + 1388 0922 13F0010F tst r3, #1 + 1389 0926 40F05981 bne .L101 + 1390 .L60: 939:../src/main.c **** wx_get_all_measurements(main_config_data_wx_sources, main_config_data_mode, main_config_data_um 940:../src/main.c **** } 941:../src/main.c **** 942:../src/main.c **** 943:../src/main.c **** if (main_config_data_mode->wx_umb == 1) { - 1394 .loc 1 943 0 - 1395 092e 474B ldr r3, .L122+40 - 1396 0930 1B68 ldr r3, [r3] - 1397 0932 9B78 ldrb r3, [r3, #2] @ zero_extendqisi2 - 1398 0934 012B cmp r3, #1 - 1399 0936 00F05C81 beq .L102 - 1400 .L61: + 1391 .loc 1 943 0 + 1392 092a 474B ldr r3, .L122+40 + 1393 092c 1B68 ldr r3, [r3] + 1394 092e 9B78 ldrb r3, [r3, #2] @ zero_extendqisi2 + 1395 0930 012B cmp r3, #1 + 1396 0932 00F05C81 beq .L102 + 1397 .L61: 944:../src/main.c **** // 945:../src/main.c **** umb_0x26_status_request(&rte_wx_umb, &rte_wx_umb_context, main_config_data_umb); 946:../src/main.c **** } 947:../src/main.c **** 948:../src/main.c **** if (main_davis_serial_enabled == 1) { - 1401 .loc 1 948 0 - 1402 093a 494B ldr r3, .L122+60 - 1403 093c 1B78 ldrb r3, [r3] @ zero_extendqisi2 - 1404 093e 012B cmp r3, #1 - 1405 0940 00F05E81 beq .L103 - 1406 .L62: + 1398 .loc 1 948 0 + 1399 0936 494B ldr r3, .L122+60 + 1400 0938 1B78 ldrb r3, [r3] @ zero_extendqisi2 + 1401 093a 012B cmp r3, #1 + 1402 093c 00F05E81 beq .L103 + 1403 .L62: 949:../src/main.c **** davis_trigger_rxcheck_packet(); 950:../src/main.c **** } 951:../src/main.c **** 952:../src/main.c **** if (rte_main_trigger_modbus_status == 1 && main_modbus_rtu_master_enabled == 1) { - 1407 .loc 1 952 0 - 1408 0944 494B ldr r3, .L122+72 - 1409 0946 1B78 ldrb r3, [r3] @ zero_extendqisi2 - 1410 0948 012B cmp r3, #1 - 1411 094a 00F05C81 beq .L104 - 1412 .L63: + 1404 .loc 1 952 0 + 1405 0940 494B ldr r3, .L122+72 + 1406 0942 1B78 ldrb r3, [r3] @ zero_extendqisi2 + 1407 0944 012B cmp r3, #1 + 1408 0946 00F05C81 beq .L104 + 1409 .L63: 953:../src/main.c **** rtu_serial_get_status_string(&rte_rtu_pool_queue, main_wx_srl_ctx_ptr, main_own_aprs_msg, OWN_A 954:../src/main.c **** 955:../src/main.c **** ax25_sendVia(&main_ax25, main_own_path, main_own_path_ln, main_own_aprs_msg, main_own_aprs_msg @@ -5442,20 +5439,20 @@ 962:../src/main.c **** } 963:../src/main.c **** 964:../src/main.c **** main_wx_sensors_pool_timer = 65500; - 1413 .loc 1 964 0 - 1414 094e 464B ldr r3, .L122+68 - 1415 0950 4FF6DC72 movw r2, #65500 - 1416 0954 1A60 str r2, [r3] - 1417 .L58: + 1410 .loc 1 964 0 + 1411 094a 464B ldr r3, .L122+68 + 1412 094c 4FF6DC72 movw r2, #65500 + 1413 0950 1A60 str r2, [r3] + 1414 .L58: 965:../src/main.c **** } 966:../src/main.c **** 967:../src/main.c **** if (main_one_minute_pool_timer < 10) { - 1418 .loc 1 967 0 - 1419 0956 464B ldr r3, .L122+76 - 1420 0958 1B68 ldr r3, [r3] - 1421 095a 092B cmp r3, #9 - 1422 095c 40F37281 ble .L105 - 1423 .L64: + 1415 .loc 1 967 0 + 1416 0952 464B ldr r3, .L122+76 + 1417 0954 1B68 ldr r3, [r3] + 1418 0956 092B cmp r3, #9 + 1419 0958 40F37281 ble .L105 + 1420 .L64: 968:../src/main.c **** 969:../src/main.c **** #ifndef _MUTE_OWN 970:../src/main.c **** packet_tx_handler(main_config_data_basic, main_config_data_mode); @@ -5465,31 +5462,31 @@ 974:../src/main.c **** } 975:../src/main.c **** 976:../src/main.c **** if (main_one_second_pool_timer < 10) { - 1424 .loc 1 976 0 - 1425 0960 444B ldr r3, .L122+80 - 1426 0962 1B68 ldr r3, [r3] - 1427 0964 092B cmp r3, #9 - 1428 0966 00F37B81 bgt .L65 + 1421 .loc 1 976 0 + 1422 095c 444B ldr r3, .L122+80 + 1423 095e 1B68 ldr r3, [r3] + 1424 0960 092B cmp r3, #9 + 1425 0962 00F37B81 bgt .L65 977:../src/main.c **** 978:../src/main.c **** //digi_pool_viscous(); 979:../src/main.c **** 980:../src/main.c **** if ((main_config_data_mode->wx & WX_ENABLED) == 1) { - 1429 .loc 1 980 0 - 1430 096a 384B ldr r3, .L122+40 - 1431 096c 1B68 ldr r3, [r3] - 1432 096e 5B78 ldrb r3, [r3, #1] @ zero_extendqisi2 - 1433 0970 13F0010F tst r3, #1 - 1434 0974 40F07181 bne .L106 - 1435 .L66: + 1426 .loc 1 980 0 + 1427 0966 384B ldr r3, .L122+40 + 1428 0968 1B68 ldr r3, [r3] + 1429 096a 5B78 ldrb r3, [r3, #1] @ zero_extendqisi2 + 1430 096c 13F0010F tst r3, #1 + 1431 0970 40F07181 bne .L106 + 1432 .L66: 981:../src/main.c **** analog_anemometer_direction_handler(); 982:../src/main.c **** } 983:../src/main.c **** 984:../src/main.c **** main_one_second_pool_timer = 1000; - 1436 .loc 1 984 0 - 1437 0978 3E4B ldr r3, .L122+80 - 1438 097a 4FF47A72 mov r2, #1000 - 1439 097e 1A60 str r2, [r3] - 1440 .L67: + 1433 .loc 1 984 0 + 1434 0974 3E4B ldr r3, .L122+80 + 1435 0976 4FF47A72 mov r2, #1000 + 1436 097a 1A60 str r2, [r3] + 1437 .L67: 985:../src/main.c **** } 986:../src/main.c **** else if (main_one_second_pool_timer < -10) { 987:../src/main.c **** @@ -5501,12 +5498,12 @@ 993:../src/main.c **** } 994:../src/main.c **** 995:../src/main.c **** if (main_two_second_pool_timer < 10) { - 1441 .loc 1 995 0 - 1442 0980 3D4B ldr r3, .L122+84 - 1443 0982 1B68 ldr r3, [r3] - 1444 0984 092B cmp r3, #9 - 1445 0986 40F37D81 ble .L107 - 1446 .L69: + 1438 .loc 1 995 0 + 1439 097c 3D4B ldr r3, .L122+84 + 1440 097e 1B68 ldr r3, [r3] + 1441 0980 092B cmp r3, #9 + 1442 0982 40F37D81 ble .L107 + 1443 .L69: 996:../src/main.c **** 997:../src/main.c **** wx_check_force_i2c_reset(); 998:../src/main.c **** @@ -5520,54 +5517,54 @@ 1006:../src/main.c **** } 1007:../src/main.c **** 1008:../src/main.c **** if (main_ten_second_pool_timer < 10) { - 1447 .loc 1 1008 0 - 1448 098a 3C4B ldr r3, .L122+88 - 1449 098c 1B68 ldr r3, [r3] - 1450 098e 092B cmp r3, #9 - 1451 0990 1EDC bgt .L39 + 1444 .loc 1 1008 0 + 1445 0986 3C4B ldr r3, .L122+88 + 1446 0988 1B68 ldr r3, [r3] + 1447 098a 092B cmp r3, #9 + 1448 098c 1EDC bgt .L39 1009:../src/main.c **** 1010:../src/main.c **** if (main_config_data_mode->wx_umb == 1) { - 1452 .loc 1 1010 0 - 1453 0992 2E4B ldr r3, .L122+40 - 1454 0994 1B68 ldr r3, [r3] - 1455 0996 9B78 ldrb r3, [r3, #2] @ zero_extendqisi2 - 1456 0998 012B cmp r3, #1 - 1457 099a 00F07E81 beq .L108 - 1458 .L71: + 1449 .loc 1 1010 0 + 1450 098e 2E4B ldr r3, .L122+40 + 1451 0990 1B68 ldr r3, [r3] + 1452 0992 9B78 ldrb r3, [r3, #2] @ zero_extendqisi2 + 1453 0994 012B cmp r3, #1 + 1454 0996 00F07C81 beq .L108 + 1455 .L71: 1011:../src/main.c **** umb_channel_pool(&rte_wx_umb, &rte_wx_umb_context, main_config_data_umb); 1012:../src/main.c **** } 1013:../src/main.c **** 1014:../src/main.c **** if (main_config_data_mode->wx_umb == 1) { - 1459 .loc 1 1014 0 - 1460 099e 2B4B ldr r3, .L122+40 - 1461 09a0 1B68 ldr r3, [r3] - 1462 09a2 9B78 ldrb r3, [r3, #2] @ zero_extendqisi2 - 1463 09a4 012B cmp r3, #1 - 1464 09a6 00F07F81 beq .L109 - 1465 .L72: + 1456 .loc 1 1014 0 + 1457 099a 2B4B ldr r3, .L122+40 + 1458 099c 1B68 ldr r3, [r3] + 1459 099e 9B78 ldrb r3, [r3, #2] @ zero_extendqisi2 + 1460 09a0 012B cmp r3, #1 + 1461 09a2 00F07D81 beq .L109 + 1462 .L72: 1015:../src/main.c **** rte_wx_umb_qf = umb_get_current_qf(&rte_wx_umb_context, master_time); 1016:../src/main.c **** } 1017:../src/main.c **** 1018:../src/main.c **** wx_pool_anemometer(main_config_data_wx_sources, main_config_data_mode, main_config_data_umb, mai - 1466 .loc 1 1018 0 - 1467 09aa 354B ldr r3, .L122+92 - 1468 09ac 1B68 ldr r3, [r3] - 1469 09ae 354A ldr r2, .L122+96 - 1470 09b0 1268 ldr r2, [r2] - 1471 09b2 2649 ldr r1, .L122+40 - 1472 09b4 0968 ldr r1, [r1] - 1473 09b6 3448 ldr r0, .L122+100 - 1474 09b8 0068 ldr r0, [r0] - 1475 09ba FFF7FEFF bl wx_pool_anemometer - 1476 .LVL121: + 1463 .loc 1 1018 0 + 1464 09a6 354B ldr r3, .L122+92 + 1465 09a8 1B68 ldr r3, [r3] + 1466 09aa 354A ldr r2, .L122+96 + 1467 09ac 1268 ldr r2, [r2] + 1468 09ae 2649 ldr r1, .L122+40 + 1469 09b0 0968 ldr r1, [r1] + 1470 09b2 3448 ldr r0, .L122+100 + 1471 09b4 0068 ldr r0, [r0] + 1472 09b6 FFF7FEFF bl wx_pool_anemometer + 1473 .LVL120: 1019:../src/main.c **** 1020:../src/main.c **** if (main_davis_serial_enabled == 1) { - 1477 .loc 1 1020 0 - 1478 09be 284B ldr r3, .L122+60 - 1479 09c0 1B78 ldrb r3, [r3] @ zero_extendqisi2 - 1480 09c2 012B cmp r3, #1 - 1481 09c4 00F07881 beq .L110 - 1482 .L73: + 1474 .loc 1 1020 0 + 1475 09ba 284B ldr r3, .L122+60 + 1476 09bc 1B78 ldrb r3, [r3] @ zero_extendqisi2 + 1477 09be 012B cmp r3, #1 + 1478 09c0 00F07681 beq .L110 + 1479 .L73: 1021:../src/main.c **** 1022:../src/main.c **** // if previous LOOP packet is ready for processing 1023:../src/main.c **** if (rte_wx_davis_loop_packet_avaliable == 1) { @@ -5579,28 +5576,28 @@ 1029:../src/main.c **** } 1030:../src/main.c **** 1031:../src/main.c **** main_ten_second_pool_timer = 10000; - 1483 .loc 1 1031 0 - 1484 09c8 2C4B ldr r3, .L122+88 - 1485 09ca 42F21072 movw r2, #10000 - 1486 09ce 1A60 str r2, [r3] - 1487 .LVL122: - 1488 .L39: + 1480 .loc 1 1031 0 + 1481 09c4 2C4B ldr r3, .L122+88 + 1482 09c6 42F21072 movw r2, #10000 + 1483 09ca 1A60 str r2, [r3] + 1484 .LVL121: + 1485 .L39: 776:../src/main.c **** - 1489 .loc 1 776 0 - 1490 09d0 2E4A ldr r2, .L122+104 - 1491 09d2 1368 ldr r3, [r2] - 1492 09d4 0133 adds r3, r3, #1 - 1493 09d6 1360 str r3, [r2] + 1486 .loc 1 776 0 + 1487 09cc 2E4A ldr r2, .L122+104 + 1488 09ce 1368 ldr r3, [r2] + 1489 09d0 0133 adds r3, r3, #1 + 1490 09d2 1360 str r3, [r2] 778:../src/main.c **** NVIC_SystemReset(); - 1494 .loc 1 778 0 - 1495 09d8 2D4B ldr r3, .L122+108 - 1496 09da 1B78 ldrb r3, [r3] @ zero_extendqisi2 - 1497 09dc 012B cmp r3, #1 - 1498 09de 3FF497AE beq .L111 - 1499 .LVL123: - 1500 .LBB36: - 1501 .LBB37: - 1502 .file 5 "../system/include/stm32l4-hal-driver/stm32l4xx_ll_gpio.h" + 1491 .loc 1 778 0 + 1492 09d4 2D4B ldr r3, .L122+108 + 1493 09d6 1B78 ldrb r3, [r3] @ zero_extendqisi2 + 1494 09d8 012B cmp r3, #1 + 1495 09da 3FF497AE beq .L111 + 1496 .LVL122: + 1497 .LBB36: + 1498 .LBB37: + 1499 .file 5 "../system/include/stm32l4-hal-driver/stm32l4xx_ll_gpio.h" 1:../system/include/stm32l4-hal-driver/stm32l4xx_ll_gpio.h **** /** 2:../system/include/stm32l4-hal-driver/stm32l4xx_ll_gpio.h **** ****************************************************************************** 3:../system/include/stm32l4-hal-driver/stm32l4xx_ll_gpio.h **** * @file stm32l4xx_ll_gpio.h @@ -6479,537 +6476,533 @@ 876:../system/include/stm32l4-hal-driver/stm32l4xx_ll_gpio.h **** __STATIC_INLINE uint32_t LL_GPIO_IsInputPinSet(GPIO_TypeDef *GPIOx, uint32_t PinMask) 877:../system/include/stm32l4-hal-driver/stm32l4xx_ll_gpio.h **** { 878:../system/include/stm32l4-hal-driver/stm32l4xx_ll_gpio.h **** return ((READ_BIT(GPIOx->IDR, PinMask) == (PinMask)) ? 1UL : 0UL); - 1503 .loc 5 878 0 - 1504 09e2 4FF09043 mov r3, #1207959552 - 1505 09e6 1B69 ldr r3, [r3, #16] - 1506 09e8 13F0010F tst r3, #1 - 1507 09ec 3FF41BAF beq .L75 - 1508 .LVL124: - 1509 .LBE37: - 1510 .LBE36: + 1500 .loc 5 878 0 + 1501 09de 4FF09043 mov r3, #1207959552 + 1502 09e2 1B69 ldr r3, [r3, #16] + 1503 09e4 13F0010F tst r3, #1 + 1504 09e8 3FF41BAF beq .L75 + 1505 .LVL123: + 1506 .LBE37: + 1507 .LBE36: 796:../src/main.c **** - 1511 .loc 1 796 0 - 1512 09f0 284B ldr r3, .L122+112 - 1513 09f2 93F83034 ldrb r3, [r3, #1072] @ zero_extendqisi2 - 1514 09f6 002B cmp r3, #0 - 1515 09f8 7FF444AF bne .L76 + 1508 .loc 1 796 0 + 1509 09ec 284B ldr r3, .L122+112 + 1510 09ee 93F83034 ldrb r3, [r3, #1072] @ zero_extendqisi2 + 1511 09f2 002B cmp r3, #0 + 1512 09f4 7FF444AF bne .L76 796:../src/main.c **** - 1516 .loc 1 796 0 is_stmt 0 discriminator 1 - 1517 09fc 002C cmp r4, #0 - 1518 09fe 7FF413AF bne .L42 - 1519 .L43: + 1513 .loc 1 796 0 is_stmt 0 discriminator 1 + 1514 09f8 002C cmp r4, #0 + 1515 09fa 7FF413AF bne .L42 + 1516 .L43: 799:../src/main.c **** - 1520 .loc 1 799 0 is_stmt 1 discriminator 1 - 1521 0a02 134B ldr r3, .L122+44 - 1522 0a04 93F81432 ldrb r3, [r3, #532] @ zero_extendqisi2 - 1523 0a08 002B cmp r3, #0 - 1524 0a0a FAD1 bne .L43 + 1517 .loc 1 799 0 is_stmt 1 discriminator 1 + 1518 09fe 134B ldr r3, .L122+44 + 1519 0a00 93F81432 ldrb r3, [r3, #532] @ zero_extendqisi2 + 1520 0a04 002B cmp r3, #0 + 1521 0a06 FAD1 bne .L43 801:../src/main.c **** - 1525 .loc 1 801 0 - 1526 0a0c 0F4B ldr r3, .L122+40 - 1527 0a0e 1B68 ldr r3, [r3] - 1528 0a10 5B78 ldrb r3, [r3, #1] @ zero_extendqisi2 - 1529 0a12 13F0010F tst r3, #1 - 1530 0a16 7FF4DFAE bne .L44 + 1522 .loc 1 801 0 + 1523 0a08 0F4B ldr r3, .L122+40 + 1524 0a0a 1B68 ldr r3, [r3] + 1525 0a0c 5B78 ldrb r3, [r3, #1] @ zero_extendqisi2 + 1526 0a0e 13F0010F tst r3, #1 + 1527 0a12 7FF4DFAE bne .L44 803:../src/main.c **** } - 1531 .loc 1 803 0 - 1532 0a1a FFF7FEFF bl beacon_send_own - 1533 .LVL125: + 1528 .loc 1 803 0 + 1529 0a16 FFF7FEFF bl beacon_send_own + 1530 .LVL124: 817:../src/main.c **** } - 1534 .loc 1 817 0 - 1535 0a1e 0124 movs r4, #1 - 1536 .LVL126: - 1537 0a20 02E7 b .L42 - 1538 .L123: - 1539 0a22 00BF .align 2 - 1540 .L122: - 1541 0a24 00000000 .word main_kiss_srl_ctx_ptr - 1542 0a28 00000000 .word rte_wx_humidity - 1543 0a2c 00000000 .word rte_wx_average_winddirection - 1544 0a30 00000000 .word rte_wx_max_windspeed - 1545 0a34 00000000 .word rte_wx_average_windspeed - 1546 0a38 00000000 .word main_kiss_srl_ctx - 1547 0a3c 00000000 .word rte_wx_pressure_valid - 1548 0a40 00000000 .word rte_wx_temperature_average_external_valid - 1549 0a44 00000000 .word .LANCHOR6 - 1550 0a48 00000000 .word ax25_new_msg_rx_flag - 1551 0a4c 00000000 .word .LANCHOR5 - 1552 0a50 00000000 .word main_ax25 - 1553 0a54 00000000 .word .LANCHOR1 - 1554 0a58 00000000 .word ax25_rxed_frame - 1555 0a5c 00000000 .word .LANCHOR15 - 1556 0a60 00000000 .word .LANCHOR7 - 1557 0a64 00000000 .word .LANCHOR9 - 1558 0a68 00000000 .word .LANCHOR19 - 1559 0a6c 00000000 .word rte_main_trigger_modbus_status - 1560 0a70 00000000 .word .LANCHOR20 - 1561 0a74 00000000 .word .LANCHOR21 - 1562 0a78 00000000 .word .LANCHOR22 - 1563 0a7c 00000000 .word .LANCHOR23 - 1564 0a80 00000000 .word .LANCHOR8 - 1565 0a84 00000000 .word .LANCHOR11 - 1566 0a88 00000000 .word .LANCHOR13 - 1567 0a8c 00000000 .word .LANCHOR14 - 1568 0a90 00000000 .word rte_main_reboot_req - 1569 0a94 00000000 .word main_afsk - 1570 .LVL127: - 1571 .L97: + 1531 .loc 1 817 0 + 1532 0a1a 0124 movs r4, #1 + 1533 .LVL125: + 1534 0a1c 02E7 b .L42 + 1535 .L123: + 1536 0a1e 00BF .align 2 + 1537 .L122: + 1538 0a20 00000000 .word main_kiss_srl_ctx_ptr + 1539 0a24 00000000 .word rte_wx_humidity + 1540 0a28 00000000 .word rte_wx_average_winddirection + 1541 0a2c 00000000 .word rte_wx_max_windspeed + 1542 0a30 00000000 .word rte_wx_average_windspeed + 1543 0a34 00000000 .word main_kiss_srl_ctx + 1544 0a38 00000000 .word rte_wx_pressure_valid + 1545 0a3c 00000000 .word rte_wx_temperature_average_external_valid + 1546 0a40 00000000 .word .LANCHOR6 + 1547 0a44 00000000 .word ax25_new_msg_rx_flag + 1548 0a48 00000000 .word .LANCHOR5 + 1549 0a4c 00000000 .word main_ax25 + 1550 0a50 00000000 .word .LANCHOR1 + 1551 0a54 00000000 .word ax25_rxed_frame + 1552 0a58 00000000 .word .LANCHOR15 + 1553 0a5c 00000000 .word .LANCHOR7 + 1554 0a60 00000000 .word .LANCHOR9 + 1555 0a64 00000000 .word .LANCHOR19 + 1556 0a68 00000000 .word rte_main_trigger_modbus_status + 1557 0a6c 00000000 .word .LANCHOR20 + 1558 0a70 00000000 .word .LANCHOR21 + 1559 0a74 00000000 .word .LANCHOR22 + 1560 0a78 00000000 .word .LANCHOR23 + 1561 0a7c 00000000 .word .LANCHOR8 + 1562 0a80 00000000 .word .LANCHOR11 + 1563 0a84 00000000 .word .LANCHOR13 + 1564 0a88 00000000 .word .LANCHOR14 + 1565 0a8c 00000000 .word rte_main_reboot_req + 1566 0a90 00000000 .word main_afsk + 1567 .LVL126: + 1568 .L97: 853:../src/main.c **** - 1572 .loc 1 853 0 - 1573 0a98 FFF7FEFF bl srl_get_rx_buffer - 1574 .LVL128: - 1575 0a9c 8E4E ldr r6, .L124 - 1576 0a9e 3246 mov r2, r6 - 1577 0aa0 4FF40071 mov r1, #512 - 1578 0aa4 FFF7FEFF bl ve_direct_cut_to_checksum - 1579 .LVL129: + 1569 .loc 1 853 0 + 1570 0a94 FFF7FEFF bl srl_get_rx_buffer + 1571 .LVL127: + 1572 0a98 8D4E ldr r6, .L124 + 1573 0a9a 3246 mov r2, r6 + 1574 0a9c 4FF40071 mov r1, #512 + 1575 0aa0 FFF7FEFF bl ve_direct_cut_to_checksum + 1576 .LVL128: 856:../src/main.c **** - 1580 .loc 1 856 0 - 1581 0aa8 8C4B ldr r3, .L124+4 - 1582 0aaa 1868 ldr r0, [r3] - 1583 0aac FFF7FEFF bl srl_get_rx_buffer - 1584 .LVL130: - 1585 0ab0 8B4D ldr r5, .L124+8 - 1586 0ab2 2A46 mov r2, r5 - 1587 0ab4 3188 ldrh r1, [r6] - 1588 0ab6 FFF7FEFF bl ve_direct_validate_checksum - 1589 .LVL131: + 1577 .loc 1 856 0 + 1578 0aa4 8B4B ldr r3, .L124+4 + 1579 0aa6 1868 ldr r0, [r3] + 1580 0aa8 FFF7FEFF bl srl_get_rx_buffer + 1581 .LVL129: + 1582 0aac 8A4D ldr r5, .L124+8 + 1583 0aae 2A46 mov r2, r5 + 1584 0ab0 3188 ldrh r1, [r6] + 1585 0ab2 FFF7FEFF bl ve_direct_validate_checksum + 1586 .LVL130: 858:../src/main.c **** // parsing data from input serial buffer to - 1590 .loc 1 858 0 - 1591 0aba 2B78 ldrb r3, [r5] @ zero_extendqisi2 - 1592 0abc DBB2 uxtb r3, r3 - 1593 0abe 012B cmp r3, #1 - 1594 0ac0 30D1 bne .L49 + 1587 .loc 1 858 0 + 1588 0ab6 2B78 ldrb r3, [r5] @ zero_extendqisi2 + 1589 0ab8 DBB2 uxtb r3, r3 + 1590 0aba 012B cmp r3, #1 + 1591 0abc 30D1 bne .L49 860:../src/main.c **** - 1595 .loc 1 860 0 - 1596 0ac2 864B ldr r3, .L124+4 - 1597 0ac4 1868 ldr r0, [r3] - 1598 0ac6 FFF7FEFF bl srl_get_rx_buffer - 1599 .LVL132: - 1600 0aca 864A ldr r2, .L124+12 - 1601 0acc 3188 ldrh r1, [r6] - 1602 0ace FFF7FEFF bl ve_direct_parse_to_raw_struct - 1603 .LVL133: - 1604 0ad2 C0B2 uxtb r0, r0 - 1605 0ad4 2870 strb r0, [r5] + 1592 .loc 1 860 0 + 1593 0abe 854B ldr r3, .L124+4 + 1594 0ac0 1868 ldr r0, [r3] + 1595 0ac2 FFF7FEFF bl srl_get_rx_buffer + 1596 .LVL131: + 1597 0ac6 854A ldr r2, .L124+12 + 1598 0ac8 3188 ldrh r1, [r6] + 1599 0aca FFF7FEFF bl ve_direct_parse_to_raw_struct + 1600 .LVL132: + 1601 0ace C0B2 uxtb r0, r0 + 1602 0ad0 2870 strb r0, [r5] 862:../src/main.c **** ve_direct_add_to_average(&rte_pv_struct, &rte_pv_average); - 1606 .loc 1 862 0 - 1607 0ad6 2B78 ldrb r3, [r5] @ zero_extendqisi2 - 1608 0ad8 CBB9 cbnz r3, .L50 + 1603 .loc 1 862 0 + 1604 0ad2 2B78 ldrb r3, [r5] @ zero_extendqisi2 + 1605 0ad4 CBB9 cbnz r3, .L50 863:../src/main.c **** - 1609 .loc 1 863 0 - 1610 0ada 834E ldr r6, .L124+16 - 1611 0adc 814D ldr r5, .L124+12 - 1612 0ade 3146 mov r1, r6 - 1613 0ae0 2846 mov r0, r5 - 1614 0ae2 FFF7FEFF bl ve_direct_add_to_average - 1615 .LVL134: + 1606 .loc 1 863 0 + 1607 0ad6 824E ldr r6, .L124+16 + 1608 0ad8 804D ldr r5, .L124+12 + 1609 0ada 3146 mov r1, r6 + 1610 0adc 2846 mov r0, r5 + 1611 0ade FFF7FEFF bl ve_direct_add_to_average + 1612 .LVL133: 865:../src/main.c **** - 1616 .loc 1 865 0 - 1617 0ae6 814B ldr r3, .L124+20 - 1618 0ae8 0093 str r3, [sp] - 1619 0aea 814B ldr r3, .L124+24 - 1620 0aec 814A ldr r2, .L124+28 - 1621 0aee 8249 ldr r1, .L124+32 - 1622 0af0 3046 mov r0, r6 - 1623 0af2 FFF7FEFF bl ve_direct_get_averages - 1624 .LVL135: + 1613 .loc 1 865 0 + 1614 0ae2 804B ldr r3, .L124+20 + 1615 0ae4 0093 str r3, [sp] + 1616 0ae6 804B ldr r3, .L124+24 + 1617 0ae8 804A ldr r2, .L124+28 + 1618 0aea 8149 ldr r1, .L124+32 + 1619 0aec 3046 mov r0, r6 + 1620 0aee FFF7FEFF bl ve_direct_get_averages + 1621 .LVL134: 867:../src/main.c **** - 1625 .loc 1 867 0 - 1626 0af6 8149 ldr r1, .L124+36 - 1627 0af8 2846 mov r0, r5 - 1628 0afa FFF7FEFF bl ve_direct_set_sys_voltage - 1629 .LVL136: + 1622 .loc 1 867 0 + 1623 0af2 8049 ldr r1, .L124+36 + 1624 0af4 2846 mov r0, r5 + 1625 0af6 FFF7FEFF bl ve_direct_set_sys_voltage + 1626 .LVL135: 869:../src/main.c **** - 1630 .loc 1 869 0 - 1631 0afe 8049 ldr r1, .L124+40 - 1632 0b00 2846 mov r0, r5 - 1633 0b02 FFF7FEFF bl ve_direct_store_errors - 1634 .LVL137: + 1627 .loc 1 869 0 + 1628 0afa 7F49 ldr r1, .L124+40 + 1629 0afc 2846 mov r0, r5 + 1630 0afe FFF7FEFF bl ve_direct_store_errors + 1631 .LVL136: 871:../src/main.c **** } - 1635 .loc 1 871 0 - 1636 0b06 7F4A ldr r2, .L124+44 - 1637 0b08 1388 ldrh r3, [r2] - 1638 0b0a 0133 adds r3, r3, #1 - 1639 0b0c 1380 strh r3, [r2] @ movhi - 1640 .L50: + 1632 .loc 1 871 0 + 1633 0b02 7E4A ldr r2, .L124+44 + 1634 0b04 1388 ldrh r3, [r2] + 1635 0b06 0133 adds r3, r3, #1 + 1636 0b08 1380 strh r3, [r2] @ movhi + 1637 .L50: 880:../src/main.c **** } - 1641 .loc 1 880 0 - 1642 0b0e 0022 movs r2, #0 - 1643 0b10 0292 str r2, [sp, #8] - 1644 0b12 0192 str r2, [sp, #4] - 1645 0b14 0092 str r2, [sp] - 1646 0b16 1346 mov r3, r2 - 1647 0b18 BA21 movs r1, #186 - 1648 0b1a 7048 ldr r0, .L124+4 - 1649 0b1c 0068 ldr r0, [r0] - 1650 0b1e FFF7FEFF bl srl_receive_data - 1651 .LVL138: - 1652 0b22 EAE6 b .L48 - 1653 .L49: + 1638 .loc 1 880 0 + 1639 0b0a 0022 movs r2, #0 + 1640 0b0c 0292 str r2, [sp, #8] + 1641 0b0e 0192 str r2, [sp, #4] + 1642 0b10 0092 str r2, [sp] + 1643 0b12 1346 mov r3, r2 + 1644 0b14 BA21 movs r1, #186 + 1645 0b16 6F48 ldr r0, .L124+4 + 1646 0b18 0068 ldr r0, [r0] + 1647 0b1a FFF7FEFF bl srl_receive_data + 1648 .LVL137: + 1649 0b1e EAE6 b .L48 + 1650 .L49: 875:../src/main.c **** } - 1654 .loc 1 875 0 - 1655 0b24 784A ldr r2, .L124+48 - 1656 0b26 1388 ldrh r3, [r2] - 1657 0b28 0133 adds r3, r3, #1 - 1658 0b2a 1380 strh r3, [r2] @ movhi - 1659 0b2c EFE7 b .L50 - 1660 .L94: + 1651 .loc 1 875 0 + 1652 0b20 774A ldr r2, .L124+48 + 1653 0b22 1388 ldrh r3, [r2] + 1654 0b24 0133 adds r3, r3, #1 + 1655 0b26 1380 strh r3, [r2] @ movhi + 1656 0b28 EFE7 b .L50 + 1657 .L94: 885:../src/main.c **** umb_pooling_handler(&rte_wx_umb_context, REASON_RECEIVE_IDLE, master_time, main_config_data_umb - 1661 .loc 1 885 0 - 1662 0b2e 774B ldr r3, .L124+52 - 1663 0b30 1B68 ldr r3, [r3] - 1664 0b32 93F84430 ldrb r3, [r3, #68] @ zero_extendqisi2 - 1665 0b36 042B cmp r3, #4 - 1666 0b38 15D0 beq .L112 - 1667 .L52: + 1658 .loc 1 885 0 + 1659 0b2a 764B ldr r3, .L124+52 + 1660 0b2c 1B68 ldr r3, [r3] + 1661 0b2e 93F84430 ldrb r3, [r3, #68] @ zero_extendqisi2 + 1662 0b32 042B cmp r3, #4 + 1663 0b34 15D0 beq .L112 + 1664 .L52: 890:../src/main.c **** umb_pooling_handler(&rte_wx_umb_context, REASON_RECEIVE_ERROR, master_time, main_config_data_um - 1668 .loc 1 890 0 - 1669 0b3a 744B ldr r3, .L124+52 - 1670 0b3c 1B68 ldr r3, [r3] - 1671 0b3e 93F84430 ldrb r3, [r3, #68] @ zero_extendqisi2 - 1672 0b42 052B cmp r3, #5 - 1673 0b44 18D0 beq .L113 - 1674 .L53: + 1665 .loc 1 890 0 + 1666 0b36 734B ldr r3, .L124+52 + 1667 0b38 1B68 ldr r3, [r3] + 1668 0b3a 93F84430 ldrb r3, [r3, #68] @ zero_extendqisi2 + 1669 0b3e 052B cmp r3, #5 + 1670 0b40 18D0 beq .L113 + 1671 .L53: 894:../src/main.c **** umb_pooling_handler(&rte_wx_umb_context, REASON_TRANSMIT_IDLE, master_time, main_config_data_um - 1675 .loc 1 894 0 - 1676 0b46 714B ldr r3, .L124+52 - 1677 0b48 1B68 ldr r3, [r3] - 1678 0b4a 93F84530 ldrb r3, [r3, #69] @ zero_extendqisi2 - 1679 0b4e 012B cmp r3, #1 - 1680 0b50 7FF4D3AE bne .L48 + 1672 .loc 1 894 0 + 1673 0b42 704B ldr r3, .L124+52 + 1674 0b44 1B68 ldr r3, [r3] + 1675 0b46 93F84530 ldrb r3, [r3, #69] @ zero_extendqisi2 + 1676 0b4a 012B cmp r3, #1 + 1677 0b4c 7FF4D3AE bne .L48 895:../src/main.c **** } - 1681 .loc 1 895 0 - 1682 0b54 6E4B ldr r3, .L124+56 - 1683 0b56 1B68 ldr r3, [r3] - 1684 0b58 6E4A ldr r2, .L124+60 - 1685 0b5a 1268 ldr r2, [r2] - 1686 0b5c 0021 movs r1, #0 - 1687 0b5e 6E48 ldr r0, .L124+64 - 1688 0b60 FFF7FEFF bl umb_pooling_handler - 1689 .LVL139: - 1690 0b64 C9E6 b .L48 - 1691 .L112: + 1678 .loc 1 895 0 + 1679 0b50 6D4B ldr r3, .L124+56 + 1680 0b52 1B68 ldr r3, [r3] + 1681 0b54 6D4A ldr r2, .L124+60 + 1682 0b56 1268 ldr r2, [r2] + 1683 0b58 0021 movs r1, #0 + 1684 0b5a 6D48 ldr r0, .L124+64 + 1685 0b5c FFF7FEFF bl umb_pooling_handler + 1686 .LVL138: + 1687 0b60 C9E6 b .L48 + 1688 .L112: 886:../src/main.c **** } - 1692 .loc 1 886 0 - 1693 0b66 6A4B ldr r3, .L124+56 - 1694 0b68 1B68 ldr r3, [r3] - 1695 0b6a 6A4A ldr r2, .L124+60 - 1696 0b6c 1268 ldr r2, [r2] - 1697 0b6e 0121 movs r1, #1 - 1698 0b70 6948 ldr r0, .L124+64 - 1699 0b72 FFF7FEFF bl umb_pooling_handler - 1700 .LVL140: - 1701 0b76 E0E7 b .L52 - 1702 .L113: + 1689 .loc 1 886 0 + 1690 0b62 694B ldr r3, .L124+56 + 1691 0b64 1B68 ldr r3, [r3] + 1692 0b66 694A ldr r2, .L124+60 + 1693 0b68 1268 ldr r2, [r2] + 1694 0b6a 0121 movs r1, #1 + 1695 0b6c 6848 ldr r0, .L124+64 + 1696 0b6e FFF7FEFF bl umb_pooling_handler + 1697 .LVL139: + 1698 0b72 E0E7 b .L52 + 1699 .L113: 891:../src/main.c **** } - 1703 .loc 1 891 0 - 1704 0b78 654B ldr r3, .L124+56 - 1705 0b7a 1B68 ldr r3, [r3] - 1706 0b7c 654A ldr r2, .L124+60 - 1707 0b7e 1268 ldr r2, [r2] - 1708 0b80 0221 movs r1, #2 - 1709 0b82 6548 ldr r0, .L124+64 - 1710 0b84 FFF7FEFF bl umb_pooling_handler - 1711 .LVL141: - 1712 0b88 DDE7 b .L53 - 1713 .L95: - 1714 .LBB38: + 1700 .loc 1 891 0 + 1701 0b74 644B ldr r3, .L124+56 + 1702 0b76 1B68 ldr r3, [r3] + 1703 0b78 644A ldr r2, .L124+60 + 1704 0b7a 1268 ldr r2, [r2] + 1705 0b7c 0221 movs r1, #2 + 1706 0b7e 6448 ldr r0, .L124+64 + 1707 0b80 FFF7FEFF bl umb_pooling_handler + 1708 .LVL140: + 1709 0b84 DDE7 b .L53 + 1710 .L95: + 1711 .LBB38: 902:../src/main.c **** if (res == 0) - 1715 .loc 1 902 0 - 1716 0b8a FFF7FEFF bl srl_get_rx_buffer - 1717 .LVL142: - 1718 0b8e 0546 mov r5, r0 - 1719 0b90 524B ldr r3, .L124+4 - 1720 0b92 1868 ldr r0, [r3] - 1721 0b94 FFF7FEFF bl srl_get_num_bytes_rxed - 1722 .LVL143: - 1723 0b98 604B ldr r3, .L124+68 - 1724 0b9a 614A ldr r2, .L124+72 - 1725 0b9c 0146 mov r1, r0 - 1726 0b9e 2846 mov r0, r5 - 1727 0ba0 FFF7FEFF bl ParseReceivedKISS - 1728 .LVL144: + 1712 .loc 1 902 0 + 1713 0b86 FFF7FEFF bl srl_get_rx_buffer + 1714 .LVL141: + 1715 0b8a 0546 mov r5, r0 + 1716 0b8c 514B ldr r3, .L124+4 + 1717 0b8e 1868 ldr r0, [r3] + 1718 0b90 FFF7FEFF bl srl_get_num_bytes_rxed + 1719 .LVL142: + 1720 0b94 5F4B ldr r3, .L124+68 + 1721 0b96 604A ldr r2, .L124+72 + 1722 0b98 0146 mov r1, r0 + 1723 0b9a 2846 mov r0, r5 + 1724 0b9c FFF7FEFF bl ParseReceivedKISS + 1725 .LVL143: 903:../src/main.c **** kiss10m++; // increase kiss messages counter - 1729 .loc 1 903 0 - 1730 0ba4 18B9 cbnz r0, .L55 + 1726 .loc 1 903 0 + 1727 0ba0 18B9 cbnz r0, .L55 904:../src/main.c **** - 1731 .loc 1 904 0 - 1732 0ba6 5F4A ldr r2, .L124+76 - 1733 0ba8 1388 ldrh r3, [r2] - 1734 0baa 0133 adds r3, r3, #1 - 1735 0bac 1380 strh r3, [r2] @ movhi - 1736 .L55: + 1728 .loc 1 904 0 + 1729 0ba2 5E4A ldr r2, .L124+76 + 1730 0ba4 1388 ldrh r3, [r2] + 1731 0ba6 0133 adds r3, r3, #1 + 1732 0ba8 1380 strh r3, [r2] @ movhi + 1733 .L55: 907:../src/main.c **** } - 1737 .loc 1 907 0 - 1738 0bae 0023 movs r3, #0 - 1739 0bb0 0293 str r3, [sp, #8] - 1740 0bb2 0193 str r3, [sp, #4] - 1741 0bb4 0093 str r3, [sp] - 1742 0bb6 6FF03F03 mvn r3, #63 - 1743 0bba 1A46 mov r2, r3 - 1744 0bbc 7821 movs r1, #120 - 1745 0bbe 4748 ldr r0, .L124+4 + 1734 .loc 1 907 0 + 1735 0baa 0023 movs r3, #0 + 1736 0bac 0293 str r3, [sp, #8] + 1737 0bae 0193 str r3, [sp, #4] + 1738 0bb0 0093 str r3, [sp] + 1739 0bb2 6FF03F03 mvn r3, #63 + 1740 0bb6 1A46 mov r2, r3 + 1741 0bb8 7821 movs r1, #120 + 1742 0bba 4648 ldr r0, .L124+4 + 1743 .LVL144: + 1744 0bbc 0068 ldr r0, [r0] + 1745 0bbe FFF7FEFF bl srl_receive_data 1746 .LVL145: - 1747 0bc0 0068 ldr r0, [r0] - 1748 0bc2 FFF7FEFF bl srl_receive_data - 1749 .LVL146: - 1750 0bc6 48E6 b .L54 - 1751 .L98: - 1752 .LBE38: + 1747 0bc2 48E6 b .L54 + 1748 .L98: + 1749 .LBE38: 920:../src/main.c **** - 1753 .loc 1 920 0 - 1754 0bc8 5748 ldr r0, .L124+80 - 1755 0bca FFF7FEFF bl davis_loop_packet_pooler - 1756 .LVL147: + 1750 .loc 1 920 0 + 1751 0bc4 5648 ldr r0, .L124+80 + 1752 0bc6 FFF7FEFF bl davis_loop_packet_pooler + 1753 .LVL146: 922:../src/main.c **** } - 1757 .loc 1 922 0 - 1758 0bce FFF7FEFF bl davis_rxcheck_packet_pooler - 1759 .LVL148: - 1760 0bd2 97E6 b .L56 - 1761 .L99: + 1754 .loc 1 922 0 + 1755 0bca FFF7FEFF bl davis_rxcheck_packet_pooler + 1756 .LVL147: + 1757 0bce 97E6 b .L56 + 1758 .L99: 927:../src/main.c **** } - 1762 .loc 1 927 0 - 1763 0bd4 FFF7FEFF bl rtu_serial_pool - 1764 .LVL149: - 1765 0bd8 99E6 b .L57 - 1766 .L100: + 1759 .loc 1 927 0 + 1760 0bd0 FFF7FEFF bl rtu_serial_pool + 1761 .LVL148: + 1762 0bd4 99E6 b .L57 + 1763 .L100: 935:../src/main.c **** } - 1767 .loc 1 935 0 - 1768 0bda FFF7FEFF bl rtu_serial_start - 1769 .LVL150: - 1770 0bde 9FE6 b .L59 - 1771 .L101: + 1764 .loc 1 935 0 + 1765 0bd6 FFF7FEFF bl rtu_serial_start + 1766 .LVL149: + 1767 0bda 9FE6 b .L59 + 1768 .L101: 939:../src/main.c **** } - 1772 .loc 1 939 0 - 1773 0be0 524B ldr r3, .L124+84 - 1774 0be2 1B68 ldr r3, [r3] - 1775 0be4 4A4A ldr r2, .L124+56 - 1776 0be6 1268 ldr r2, [r2] - 1777 0be8 5148 ldr r0, .L124+88 - 1778 0bea 0068 ldr r0, [r0] - 1779 0bec FFF7FEFF bl wx_get_all_measurements - 1780 .LVL151: - 1781 0bf0 9DE6 b .L60 - 1782 .L102: + 1769 .loc 1 939 0 + 1770 0bdc 514B ldr r3, .L124+84 + 1771 0bde 1B68 ldr r3, [r3] + 1772 0be0 494A ldr r2, .L124+56 + 1773 0be2 1268 ldr r2, [r2] + 1774 0be4 5048 ldr r0, .L124+88 + 1775 0be6 0068 ldr r0, [r0] + 1776 0be8 FFF7FEFF bl wx_get_all_measurements + 1777 .LVL150: + 1778 0bec 9DE6 b .L60 + 1779 .L102: 945:../src/main.c **** } - 1783 .loc 1 945 0 - 1784 0bf2 474B ldr r3, .L124+56 - 1785 0bf4 1A68 ldr r2, [r3] - 1786 0bf6 4849 ldr r1, .L124+64 - 1787 0bf8 4E48 ldr r0, .L124+92 - 1788 0bfa FFF7FEFF bl umb_0x26_status_request - 1789 .LVL152: - 1790 0bfe 9CE6 b .L61 - 1791 .L103: + 1780 .loc 1 945 0 + 1781 0bee 464B ldr r3, .L124+56 + 1782 0bf0 1A68 ldr r2, [r3] + 1783 0bf2 4749 ldr r1, .L124+64 + 1784 0bf4 4D48 ldr r0, .L124+92 + 1785 0bf6 FFF7FEFF bl umb_0x26_status_request + 1786 .LVL151: + 1787 0bfa 9CE6 b .L61 + 1788 .L103: 949:../src/main.c **** } - 1792 .loc 1 949 0 - 1793 0c00 FFF7FEFF bl davis_trigger_rxcheck_packet - 1794 .LVL153: - 1795 0c04 9EE6 b .L62 - 1796 .L104: + 1789 .loc 1 949 0 + 1790 0bfc FFF7FEFF bl davis_trigger_rxcheck_packet + 1791 .LVL152: + 1792 0c00 9EE6 b .L62 + 1793 .L104: 952:../src/main.c **** rtu_serial_get_status_string(&rte_rtu_pool_queue, main_wx_srl_ctx_ptr, main_own_aprs_msg, OWN_A - 1797 .loc 1 952 0 discriminator 1 - 1798 0c06 4C4B ldr r3, .L124+96 - 1799 0c08 1B78 ldrb r3, [r3] @ zero_extendqisi2 - 1800 0c0a 012B cmp r3, #1 - 1801 0c0c 7FF49FAE bne .L63 + 1794 .loc 1 952 0 discriminator 1 + 1795 0c02 4B4B ldr r3, .L124+96 + 1796 0c04 1B78 ldrb r3, [r3] @ zero_extendqisi2 + 1797 0c06 012B cmp r3, #1 + 1798 0c08 7FF49FAE bne .L63 953:../src/main.c **** - 1802 .loc 1 953 0 - 1803 0c10 4A4D ldr r5, .L124+100 - 1804 0c12 4B4E ldr r6, .L124+104 - 1805 0c14 0096 str r6, [sp] - 1806 0c16 A023 movs r3, #160 - 1807 0c18 2A46 mov r2, r5 - 1808 0c1a 3C49 ldr r1, .L124+52 - 1809 0c1c 0968 ldr r1, [r1] - 1810 0c1e 4948 ldr r0, .L124+108 - 1811 0c20 FFF7FEFF bl rtu_serial_get_status_string - 1812 .LVL154: + 1799 .loc 1 953 0 + 1800 0c0c 494D ldr r5, .L124+100 + 1801 0c0e 4A4E ldr r6, .L124+104 + 1802 0c10 0096 str r6, [sp] + 1803 0c12 A023 movs r3, #160 + 1804 0c14 2A46 mov r2, r5 + 1805 0c16 3B49 ldr r1, .L124+52 + 1806 0c18 0968 ldr r1, [r1] + 1807 0c1a 4848 ldr r0, .L124+108 + 1808 0c1c FFF7FEFF bl rtu_serial_get_status_string + 1809 .LVL153: 955:../src/main.c **** - 1813 .loc 1 955 0 - 1814 0c24 484B ldr r3, .L124+112 - 1815 0c26 1A78 ldrb r2, [r3] @ zero_extendqisi2 - 1816 0c28 3378 ldrb r3, [r6] @ zero_extendqisi2 - 1817 0c2a 0093 str r3, [sp] - 1818 0c2c 2B46 mov r3, r5 - 1819 0c2e 4749 ldr r1, .L124+116 - 1820 0c30 3B48 ldr r0, .L124+72 - 1821 0c32 FFF7FEFF bl ax25_sendVia - 1822 .LVL155: + 1810 .loc 1 955 0 + 1811 0c20 474B ldr r3, .L124+112 + 1812 0c22 1A78 ldrb r2, [r3] @ zero_extendqisi2 + 1813 0c24 3378 ldrb r3, [r6] @ zero_extendqisi2 + 1814 0c26 0093 str r3, [sp] + 1815 0c28 2B46 mov r3, r5 + 1816 0c2a 4649 ldr r1, .L124+116 + 1817 0c2c 3A48 ldr r0, .L124+72 + 1818 0c2e FFF7FEFF bl ax25_sendVia + 1819 .LVL154: 957:../src/main.c **** - 1823 .loc 1 957 0 - 1824 0c36 3948 ldr r0, .L124+68 - 1825 0c38 FFF7FEFF bl afsk_txStart - 1826 .LVL156: + 1820 .loc 1 957 0 + 1821 0c32 3848 ldr r0, .L124+68 + 1822 0c34 FFF7FEFF bl afsk_txStart + 1823 .LVL155: 959:../src/main.c **** - 1827 .loc 1 959 0 - 1828 0c3c 444B ldr r3, .L124+120 - 1829 0c3e 0022 movs r2, #0 - 1830 0c40 1A70 strb r2, [r3] - 1831 0c42 84E6 b .L63 - 1832 .L105: + 1824 .loc 1 959 0 + 1825 0c38 434B ldr r3, .L124+120 + 1826 0c3a 0022 movs r2, #0 + 1827 0c3c 1A70 strb r2, [r3] + 1828 0c3e 84E6 b .L63 + 1829 .L105: 970:../src/main.c **** #endif - 1833 .loc 1 970 0 - 1834 0c44 434B ldr r3, .L124+124 - 1835 0c46 1968 ldr r1, [r3] - 1836 0c48 434B ldr r3, .L124+128 - 1837 0c4a 1868 ldr r0, [r3] - 1838 0c4c FFF7FEFF bl packet_tx_handler - 1839 .LVL157: + 1830 .loc 1 970 0 + 1831 0c40 424B ldr r3, .L124+124 + 1832 0c42 1968 ldr r1, [r3] + 1833 0c44 424B ldr r3, .L124+128 + 1834 0c46 1868 ldr r0, [r3] + 1835 0c48 FFF7FEFF bl packet_tx_handler + 1836 .LVL156: 973:../src/main.c **** } - 1840 .loc 1 973 0 - 1841 0c50 424B ldr r3, .L124+132 - 1842 0c52 4EF66022 movw r2, #60000 - 1843 0c56 1A60 str r2, [r3] - 1844 0c58 82E6 b .L64 - 1845 .L106: + 1837 .loc 1 973 0 + 1838 0c4c 414B ldr r3, .L124+132 + 1839 0c4e 4EF66022 movw r2, #60000 + 1840 0c52 1A60 str r2, [r3] + 1841 0c54 82E6 b .L64 + 1842 .L106: 981:../src/main.c **** } - 1846 .loc 1 981 0 - 1847 0c5a FFF7FEFF bl analog_anemometer_direction_handler - 1848 .LVL158: - 1849 0c5e 8BE6 b .L66 - 1850 .L65: + 1843 .loc 1 981 0 + 1844 0c56 FFF7FEFF bl analog_anemometer_direction_handler + 1845 .LVL157: + 1846 0c5a 8BE6 b .L66 + 1847 .L65: 986:../src/main.c **** - 1851 .loc 1 986 0 - 1852 0c60 13F10A0F cmn r3, #10 - 1853 0c64 BFF68CAE bge .L67 + 1848 .loc 1 986 0 + 1849 0c5c 13F10A0F cmn r3, #10 + 1850 0c60 BFF68CAE bge .L67 988:../src/main.c **** analog_anemometer_direction_reset(); - 1854 .loc 1 988 0 - 1855 0c68 3A4B ldr r3, .L124+124 - 1856 0c6a 1B68 ldr r3, [r3] - 1857 0c6c 5B78 ldrb r3, [r3, #1] @ zero_extendqisi2 - 1858 0c6e 13F0010F tst r3, #1 - 1859 0c72 04D1 bne .L114 - 1860 .L68: + 1851 .loc 1 988 0 + 1852 0c64 394B ldr r3, .L124+124 + 1853 0c66 1B68 ldr r3, [r3] + 1854 0c68 5B78 ldrb r3, [r3, #1] @ zero_extendqisi2 + 1855 0c6a 13F0010F tst r3, #1 + 1856 0c6e 04D1 bne .L114 + 1857 .L68: 992:../src/main.c **** } - 1861 .loc 1 992 0 - 1862 0c74 3A4B ldr r3, .L124+136 - 1863 0c76 4FF47A72 mov r2, #1000 - 1864 0c7a 1A60 str r2, [r3] - 1865 0c7c 80E6 b .L67 - 1866 .L114: + 1858 .loc 1 992 0 + 1859 0c70 394B ldr r3, .L124+136 + 1860 0c72 4FF47A72 mov r2, #1000 + 1861 0c76 1A60 str r2, [r3] + 1862 0c78 80E6 b .L67 + 1863 .L114: 989:../src/main.c **** } - 1867 .loc 1 989 0 - 1868 0c7e FFF7FEFF bl analog_anemometer_direction_reset - 1869 .LVL159: - 1870 0c82 F7E7 b .L68 - 1871 .L107: + 1864 .loc 1 989 0 + 1865 0c7a FFF7FEFF bl analog_anemometer_direction_reset + 1866 .LVL158: + 1867 0c7e F7E7 b .L68 + 1868 .L107: 997:../src/main.c **** - 1872 .loc 1 997 0 - 1873 0c84 FFF7FEFF bl wx_check_force_i2c_reset - 1874 .LVL160: + 1869 .loc 1 997 0 + 1870 0c80 FFF7FEFF bl wx_check_force_i2c_reset + 1871 .LVL159: 999:../src/main.c **** - 1875 .loc 1 999 0 - 1876 0c88 FFF7FEFF bl wx_pwr_periodic_handle - 1877 .LVL161: -1002:../src/main.c **** #endif - 1878 .loc 1 1002 0 - 1879 0c8c FFF7FEFF bl IWDG_ReloadCounter - 1880 .LVL162: + 1872 .loc 1 999 0 + 1873 0c84 FFF7FEFF bl wx_pwr_periodic_handle + 1874 .LVL160: 1005:../src/main.c **** } - 1881 .loc 1 1005 0 - 1882 0c90 344B ldr r3, .L124+140 - 1883 0c92 4FF4FA62 mov r2, #2000 - 1884 0c96 1A60 str r2, [r3] - 1885 0c98 77E6 b .L69 - 1886 .L108: + 1875 .loc 1 1005 0 + 1876 0c88 344B ldr r3, .L124+140 + 1877 0c8a 4FF4FA62 mov r2, #2000 + 1878 0c8e 1A60 str r2, [r3] + 1879 0c90 79E6 b .L69 + 1880 .L108: 1011:../src/main.c **** } - 1887 .loc 1 1011 0 - 1888 0c9a 1D4B ldr r3, .L124+56 - 1889 0c9c 1A68 ldr r2, [r3] - 1890 0c9e 1E49 ldr r1, .L124+64 - 1891 0ca0 2448 ldr r0, .L124+92 - 1892 0ca2 FFF7FEFF bl umb_channel_pool - 1893 .LVL163: - 1894 0ca6 7AE6 b .L71 - 1895 .L109: + 1881 .loc 1 1011 0 + 1882 0c92 1D4B ldr r3, .L124+56 + 1883 0c94 1A68 ldr r2, [r3] + 1884 0c96 1E49 ldr r1, .L124+64 + 1885 0c98 2448 ldr r0, .L124+92 + 1886 0c9a FFF7FEFF bl umb_channel_pool + 1887 .LVL161: + 1888 0c9e 7CE6 b .L71 + 1889 .L109: 1015:../src/main.c **** } - 1896 .loc 1 1015 0 - 1897 0ca8 1A4B ldr r3, .L124+60 - 1898 0caa 1968 ldr r1, [r3] - 1899 0cac 1A48 ldr r0, .L124+64 - 1900 0cae FFF7FEFF bl umb_get_current_qf - 1901 .LVL164: - 1902 0cb2 2D4B ldr r3, .L124+144 - 1903 0cb4 1870 strb r0, [r3] - 1904 0cb6 78E6 b .L72 - 1905 .L110: + 1890 .loc 1 1015 0 + 1891 0ca0 1A4B ldr r3, .L124+60 + 1892 0ca2 1968 ldr r1, [r3] + 1893 0ca4 1A48 ldr r0, .L124+64 + 1894 0ca6 FFF7FEFF bl umb_get_current_qf + 1895 .LVL162: + 1896 0caa 2D4B ldr r3, .L124+144 + 1897 0cac 1870 strb r0, [r3] + 1898 0cae 7AE6 b .L72 + 1899 .L110: 1023:../src/main.c **** davis_parsers_loop(main_kiss_srl_ctx_ptr->srl_rx_buf_pointer, main_kiss_srl_ctx_ptr->srl_rx_bu - 1906 .loc 1 1023 0 - 1907 0cb8 1B4B ldr r3, .L124+80 - 1908 0cba 1B78 ldrb r3, [r3] @ zero_extendqisi2 - 1909 0cbc 012B cmp r3, #1 - 1910 0cbe 02D0 beq .L115 - 1911 .L74: + 1900 .loc 1 1023 0 + 1901 0cb0 1B4B ldr r3, .L124+80 + 1902 0cb2 1B78 ldrb r3, [r3] @ zero_extendqisi2 + 1903 0cb4 012B cmp r3, #1 + 1904 0cb6 02D0 beq .L115 + 1905 .L74: 1028:../src/main.c **** } - 1912 .loc 1 1028 0 - 1913 0cc0 FFF7FEFF bl davis_trigger_loop_packet - 1914 .LVL165: - 1915 0cc4 80E6 b .L73 - 1916 .L115: + 1906 .loc 1 1028 0 + 1907 0cb8 FFF7FEFF bl davis_trigger_loop_packet + 1908 .LVL163: + 1909 0cbc 82E6 b .L73 + 1910 .L115: 1024:../src/main.c **** } - 1917 .loc 1 1024 0 - 1918 0cc6 054B ldr r3, .L124+4 - 1919 0cc8 1B68 ldr r3, [r3] - 1920 0cca 284A ldr r2, .L124+148 - 1921 0ccc 998B ldrh r1, [r3, #28] - 1922 0cce 9869 ldr r0, [r3, #24] - 1923 0cd0 FFF7FEFF bl davis_parsers_loop - 1924 .LVL166: - 1925 0cd4 F4E7 b .L74 - 1926 .L125: - 1927 0cd6 00BF .align 2 - 1928 .L124: - 1929 0cd8 00000000 .word .LANCHOR16 - 1930 0cdc 00000000 .word main_kiss_srl_ctx_ptr - 1931 0ce0 00000000 .word .LANCHOR12 - 1932 0ce4 00000000 .word rte_pv_struct - 1933 0ce8 00000000 .word rte_pv_average - 1934 0cec 00000000 .word rte_pv_load_current - 1935 0cf0 00000000 .word rte_pv_cell_voltage - 1936 0cf4 00000000 .word rte_pv_battery_voltage - 1937 0cf8 00000000 .word rte_pv_battery_current - 1938 0cfc 00000000 .word rte_pv_sys_voltage - 1939 0d00 00000000 .word rte_pv_last_error - 1940 0d04 00000000 .word rte_pv_messages_count - 1941 0d08 00000000 .word rte_pv_corrupted_messages_count - 1942 0d0c 00000000 .word main_wx_srl_ctx_ptr - 1943 0d10 00000000 .word .LANCHOR11 - 1944 0d14 00000000 .word .LANCHOR17 - 1945 0d18 00000000 .word rte_wx_umb_context - 1946 0d1c 00000000 .word main_afsk - 1947 0d20 00000000 .word main_ax25 - 1948 0d24 00000000 .word .LANCHOR18 - 1949 0d28 00000000 .word rte_wx_davis_loop_packet_avaliable - 1950 0d2c 00000000 .word .LANCHOR8 - 1951 0d30 00000000 .word .LANCHOR13 - 1952 0d34 00000000 .word rte_wx_umb - 1953 0d38 00000000 .word .LANCHOR9 - 1954 0d3c 00000000 .word main_own_aprs_msg - 1955 0d40 00000000 .word main_own_aprs_msg_len - 1956 0d44 00000000 .word rte_rtu_pool_queue - 1957 0d48 00000000 .word .LANCHOR10 - 1958 0d4c 00000000 .word main_own_path - 1959 0d50 00000000 .word rte_main_trigger_modbus_status - 1960 0d54 00000000 .word .LANCHOR5 - 1961 0d58 00000000 .word .LANCHOR1 - 1962 0d5c 00000000 .word .LANCHOR20 - 1963 0d60 00000000 .word .LANCHOR21 - 1964 0d64 00000000 .word .LANCHOR22 - 1965 0d68 00000000 .word rte_wx_umb_qf - 1966 0d6c 00000000 .word rte_wx_davis_loop_content - 1967 .cfi_endproc - 1968 .LFE626: - 1970 .section .text.main_get_adc_sample,"ax",%progbits - 1971 .align 1 - 1972 .global main_get_adc_sample - 1973 .syntax unified - 1974 .thumb - 1975 .thumb_func - 1976 .fpu fpv4-sp-d16 - 1978 main_get_adc_sample: - 1979 .LFB627: + 1911 .loc 1 1024 0 + 1912 0cbe 054B ldr r3, .L124+4 + 1913 0cc0 1B68 ldr r3, [r3] + 1914 0cc2 284A ldr r2, .L124+148 + 1915 0cc4 998B ldrh r1, [r3, #28] + 1916 0cc6 9869 ldr r0, [r3, #24] + 1917 0cc8 FFF7FEFF bl davis_parsers_loop + 1918 .LVL164: + 1919 0ccc F4E7 b .L74 + 1920 .L125: + 1921 0cce 00BF .align 2 + 1922 .L124: + 1923 0cd0 00000000 .word .LANCHOR16 + 1924 0cd4 00000000 .word main_kiss_srl_ctx_ptr + 1925 0cd8 00000000 .word .LANCHOR12 + 1926 0cdc 00000000 .word rte_pv_struct + 1927 0ce0 00000000 .word rte_pv_average + 1928 0ce4 00000000 .word rte_pv_load_current + 1929 0ce8 00000000 .word rte_pv_cell_voltage + 1930 0cec 00000000 .word rte_pv_battery_voltage + 1931 0cf0 00000000 .word rte_pv_battery_current + 1932 0cf4 00000000 .word rte_pv_sys_voltage + 1933 0cf8 00000000 .word rte_pv_last_error + 1934 0cfc 00000000 .word rte_pv_messages_count + 1935 0d00 00000000 .word rte_pv_corrupted_messages_count + 1936 0d04 00000000 .word main_wx_srl_ctx_ptr + 1937 0d08 00000000 .word .LANCHOR11 + 1938 0d0c 00000000 .word .LANCHOR17 + 1939 0d10 00000000 .word rte_wx_umb_context + 1940 0d14 00000000 .word main_afsk + 1941 0d18 00000000 .word main_ax25 + 1942 0d1c 00000000 .word .LANCHOR18 + 1943 0d20 00000000 .word rte_wx_davis_loop_packet_avaliable + 1944 0d24 00000000 .word .LANCHOR8 + 1945 0d28 00000000 .word .LANCHOR13 + 1946 0d2c 00000000 .word rte_wx_umb + 1947 0d30 00000000 .word .LANCHOR9 + 1948 0d34 00000000 .word main_own_aprs_msg + 1949 0d38 00000000 .word main_own_aprs_msg_len + 1950 0d3c 00000000 .word rte_rtu_pool_queue + 1951 0d40 00000000 .word .LANCHOR10 + 1952 0d44 00000000 .word main_own_path + 1953 0d48 00000000 .word rte_main_trigger_modbus_status + 1954 0d4c 00000000 .word .LANCHOR5 + 1955 0d50 00000000 .word .LANCHOR1 + 1956 0d54 00000000 .word .LANCHOR20 + 1957 0d58 00000000 .word .LANCHOR21 + 1958 0d5c 00000000 .word .LANCHOR22 + 1959 0d60 00000000 .word rte_wx_umb_qf + 1960 0d64 00000000 .word rte_wx_davis_loop_content + 1961 .cfi_endproc + 1962 .LFE626: + 1964 .section .text.main_get_adc_sample,"ax",%progbits + 1965 .align 1 + 1966 .global main_get_adc_sample + 1967 .syntax unified + 1968 .thumb + 1969 .thumb_func + 1970 .fpu fpv4-sp-d16 + 1972 main_get_adc_sample: + 1973 .LFB627: 1032:../src/main.c **** } 1033:../src/main.c **** 1034:../src/main.c **** @@ -7018,344 +7011,344 @@ 1037:../src/main.c **** } 1038:../src/main.c **** 1039:../src/main.c **** uint16_t main_get_adc_sample(void) { - 1980 .loc 1 1039 0 - 1981 .cfi_startproc - 1982 @ args = 0, pretend = 0, frame = 0 - 1983 @ frame_needed = 0, uses_anonymous_args = 0 - 1984 @ link register save eliminated. + 1974 .loc 1 1039 0 + 1975 .cfi_startproc + 1976 @ args = 0, pretend = 0, frame = 0 + 1977 @ frame_needed = 0, uses_anonymous_args = 0 + 1978 @ link register save eliminated. 1040:../src/main.c **** return (uint16_t) ADC1->DR; - 1985 .loc 1 1040 0 - 1986 0000 014B ldr r3, .L127 - 1987 0002 186C ldr r0, [r3, #64] + 1979 .loc 1 1040 0 + 1980 0000 014B ldr r3, .L127 + 1981 0002 186C ldr r0, [r3, #64] 1041:../src/main.c **** } - 1988 .loc 1 1041 0 - 1989 0004 80B2 uxth r0, r0 - 1990 0006 7047 bx lr - 1991 .L128: - 1992 .align 2 - 1993 .L127: - 1994 0008 00000450 .word 1342439424 - 1995 .cfi_endproc - 1996 .LFE627: - 1998 .section .text.main_service_cpu_load_ticks,"ax",%progbits - 1999 .align 1 - 2000 .global main_service_cpu_load_ticks - 2001 .syntax unified - 2002 .thumb - 2003 .thumb_func - 2004 .fpu fpv4-sp-d16 - 2006 main_service_cpu_load_ticks: - 2007 .LFB628: + 1982 .loc 1 1041 0 + 1983 0004 80B2 uxth r0, r0 + 1984 0006 7047 bx lr + 1985 .L128: + 1986 .align 2 + 1987 .L127: + 1988 0008 00000450 .word 1342439424 + 1989 .cfi_endproc + 1990 .LFE627: + 1992 .section .text.main_service_cpu_load_ticks,"ax",%progbits + 1993 .align 1 + 1994 .global main_service_cpu_load_ticks + 1995 .syntax unified + 1996 .thumb + 1997 .thumb_func + 1998 .fpu fpv4-sp-d16 + 2000 main_service_cpu_load_ticks: + 2001 .LFB628: 1042:../src/main.c **** 1043:../src/main.c **** void main_service_cpu_load_ticks(void) { - 2008 .loc 1 1043 0 - 2009 .cfi_startproc - 2010 @ args = 0, pretend = 0, frame = 0 - 2011 @ frame_needed = 0, uses_anonymous_args = 0 - 2012 @ link register save eliminated. - 2013 .LVL167: + 2002 .loc 1 1043 0 + 2003 .cfi_startproc + 2004 @ args = 0, pretend = 0, frame = 0 + 2005 @ frame_needed = 0, uses_anonymous_args = 0 + 2006 @ link register save eliminated. + 2007 .LVL165: 1044:../src/main.c **** 1045:../src/main.c **** uint32_t cpu_ticks_load = 0; 1046:../src/main.c **** 1047:../src/main.c **** // the biggest this result will be the biggest load the CPU is handling 1048:../src/main.c **** cpu_ticks_load = main_idle_cpu_ticks - main_current_cpu_idle_ticks; - 2014 .loc 1 1048 0 - 2015 0000 074B ldr r3, .L130 - 2016 0002 1868 ldr r0, [r3] - 2017 0004 0749 ldr r1, .L130+4 - 2018 0006 0B68 ldr r3, [r1] - 2019 0008 C21A subs r2, r0, r3 - 2020 .LVL168: + 2008 .loc 1 1048 0 + 2009 0000 074B ldr r3, .L130 + 2010 0002 1868 ldr r0, [r3] + 2011 0004 0749 ldr r1, .L130+4 + 2012 0006 0B68 ldr r3, [r1] + 2013 0008 C21A subs r2, r0, r3 + 2014 .LVL166: 1049:../src/main.c **** 1050:../src/main.c **** // calculate the cpu load 1051:../src/main.c **** main_cpu_load = (int8_t) ((cpu_ticks_load * 100) / main_idle_cpu_ticks); - 2021 .loc 1 1051 0 - 2022 000a 6423 movs r3, #100 - 2023 000c 03FB02F3 mul r3, r3, r2 - 2024 0010 B3FBF0F3 udiv r3, r3, r0 - 2025 0014 044A ldr r2, .L130+8 - 2026 .LVL169: - 2027 0016 1370 strb r3, [r2] + 2015 .loc 1 1051 0 + 2016 000a 6423 movs r3, #100 + 2017 000c 03FB02F3 mul r3, r3, r2 + 2018 0010 B3FBF0F3 udiv r3, r3, r0 + 2019 0014 044A ldr r2, .L130+8 + 2020 .LVL167: + 2021 0016 1370 strb r3, [r2] 1052:../src/main.c **** 1053:../src/main.c **** // reset the tick counter back to zero; 1054:../src/main.c **** main_current_cpu_idle_ticks = 0; - 2028 .loc 1 1054 0 - 2029 0018 0023 movs r3, #0 - 2030 001a 0B60 str r3, [r1] - 2031 .LVL170: + 2022 .loc 1 1054 0 + 2023 0018 0023 movs r3, #0 + 2024 001a 0B60 str r3, [r1] + 2025 .LVL168: 1055:../src/main.c **** } - 2032 .loc 1 1055 0 - 2033 001c 7047 bx lr - 2034 .L131: - 2035 001e 00BF .align 2 - 2036 .L130: - 2037 0020 00000000 .word .LANCHOR4 - 2038 0024 00000000 .word .LANCHOR14 - 2039 0028 00000000 .word .LANCHOR24 - 2040 .cfi_endproc - 2041 .LFE628: - 2043 .comm GPIO_InitTypeDef,24,4 - 2044 .global kiss10m - 2045 .global digidrop10m - 2046 .global digi10m - 2047 .global tx10m - 2048 .global rx10m - 2049 .comm after_tx_lock,1,1 - 2050 .global main_crc_result - 2051 .global main_umb_retval - 2052 .global buffer_len - 2053 .global retval - 2054 .global main_symbol_s - 2055 .global main_symbol_f - 2056 .comm main_string_longitude,9,4 - 2057 .comm main_string_latitude,9,4 - 2058 .comm main_own_aprs_msg,160,4 - 2059 .comm main_own_aprs_msg_len,1,1 - 2060 .global main_own_path_ln - 2061 .comm main_own_path,21,4 - 2062 .comm main_afsk,1092,4 - 2063 .comm main_ax25,536,4 - 2064 .global main_modbus_rtu_master_enabled - 2065 .global main_davis_serial_enabled - 2066 .global main_kiss_enabled - 2067 .comm main_target_wx_baudrate,4,4 - 2068 .comm main_target_kiss_baudrate,4,4 - 2069 .comm main_wx_srl_ctx_ptr,4,4 - 2070 .comm main_kiss_srl_ctx_ptr,4,4 - 2071 .comm main_wx_srl_ctx,88,4 - 2072 .comm main_kiss_srl_ctx,88,4 - 2073 .global main_ten_second_pool_timer - 2074 .global main_two_second_pool_timer - 2075 .global main_one_second_pool_timer - 2076 .global main_one_minute_pool_timer - 2077 .global main_wx_sensors_pool_timer - 2078 .global main_cpu_load - 2079 .global main_current_cpu_idle_ticks - 2080 .global main_idle_cpu_ticks - 2081 .global master_time - 2082 .global main_config_data_rtu - 2083 .global main_config_data_umb - 2084 .global main_config_data_wx_sources - 2085 .global main_config_data_basic - 2086 .global main_config_data_mode - 2087 .section .bss.buffer_len,"aw",%nobits - 2088 .align 1 - 2089 .set .LANCHOR16,. + 0 - 2092 buffer_len: + 2026 .loc 1 1055 0 + 2027 001c 7047 bx lr + 2028 .L131: + 2029 001e 00BF .align 2 + 2030 .L130: + 2031 0020 00000000 .word .LANCHOR4 + 2032 0024 00000000 .word .LANCHOR14 + 2033 0028 00000000 .word .LANCHOR24 + 2034 .cfi_endproc + 2035 .LFE628: + 2037 .comm GPIO_InitTypeDef,24,4 + 2038 .global kiss10m + 2039 .global digidrop10m + 2040 .global digi10m + 2041 .global tx10m + 2042 .global rx10m + 2043 .comm after_tx_lock,1,1 + 2044 .global main_crc_result + 2045 .global main_umb_retval + 2046 .global buffer_len + 2047 .global retval + 2048 .global main_symbol_s + 2049 .global main_symbol_f + 2050 .comm main_string_longitude,9,4 + 2051 .comm main_string_latitude,9,4 + 2052 .comm main_own_aprs_msg,160,4 + 2053 .comm main_own_aprs_msg_len,1,1 + 2054 .global main_own_path_ln + 2055 .comm main_own_path,21,4 + 2056 .comm main_afsk,1092,4 + 2057 .comm main_ax25,536,4 + 2058 .global main_modbus_rtu_master_enabled + 2059 .global main_davis_serial_enabled + 2060 .global main_kiss_enabled + 2061 .comm main_target_wx_baudrate,4,4 + 2062 .comm main_target_kiss_baudrate,4,4 + 2063 .comm main_wx_srl_ctx_ptr,4,4 + 2064 .comm main_kiss_srl_ctx_ptr,4,4 + 2065 .comm main_wx_srl_ctx,88,4 + 2066 .comm main_kiss_srl_ctx,88,4 + 2067 .global main_ten_second_pool_timer + 2068 .global main_two_second_pool_timer + 2069 .global main_one_second_pool_timer + 2070 .global main_one_minute_pool_timer + 2071 .global main_wx_sensors_pool_timer + 2072 .global main_cpu_load + 2073 .global main_current_cpu_idle_ticks + 2074 .global main_idle_cpu_ticks + 2075 .global master_time + 2076 .global main_config_data_rtu + 2077 .global main_config_data_umb + 2078 .global main_config_data_wx_sources + 2079 .global main_config_data_basic + 2080 .global main_config_data_mode + 2081 .section .bss.buffer_len,"aw",%nobits + 2082 .align 1 + 2083 .set .LANCHOR16,. + 0 + 2086 buffer_len: + 2087 0000 0000 .space 2 + 2088 .section .bss.digi10m,"aw",%nobits + 2089 .align 1 + 2092 digi10m: 2093 0000 0000 .space 2 - 2094 .section .bss.digi10m,"aw",%nobits + 2094 .section .bss.digidrop10m,"aw",%nobits 2095 .align 1 - 2098 digi10m: + 2098 digidrop10m: 2099 0000 0000 .space 2 - 2100 .section .bss.digidrop10m,"aw",%nobits + 2100 .section .bss.kiss10m,"aw",%nobits 2101 .align 1 - 2104 digidrop10m: - 2105 0000 0000 .space 2 - 2106 .section .bss.kiss10m,"aw",%nobits - 2107 .align 1 - 2108 .set .LANCHOR18,. + 0 - 2111 kiss10m: - 2112 0000 0000 .space 2 - 2113 .section .bss.main_config_data_basic,"aw",%nobits - 2114 .align 2 - 2115 .set .LANCHOR1,. + 0 - 2118 main_config_data_basic: - 2119 0000 00000000 .space 4 - 2120 .section .bss.main_config_data_mode,"aw",%nobits - 2121 .align 2 - 2122 .set .LANCHOR5,. + 0 - 2125 main_config_data_mode: - 2126 0000 00000000 .space 4 - 2127 .section .bss.main_config_data_rtu,"aw",%nobits - 2128 .align 2 - 2129 .set .LANCHOR8,. + 0 - 2132 main_config_data_rtu: - 2133 0000 00000000 .space 4 - 2134 .section .bss.main_config_data_umb,"aw",%nobits - 2135 .align 2 - 2136 .set .LANCHOR11,. + 0 - 2139 main_config_data_umb: - 2140 0000 00000000 .space 4 - 2141 .section .bss.main_config_data_wx_sources,"aw",%nobits - 2142 .align 2 - 2143 .set .LANCHOR13,. + 0 - 2146 main_config_data_wx_sources: - 2147 0000 00000000 .space 4 - 2148 .section .bss.main_cpu_load,"aw",%nobits - 2149 .set .LANCHOR24,. + 0 - 2152 main_cpu_load: - 2153 0000 00 .space 1 - 2154 .section .bss.main_crc_result,"aw",%nobits - 2155 .align 2 - 2156 .set .LANCHOR0,. + 0 - 2159 main_crc_result: - 2160 0000 00000000 .space 4 - 2161 .section .bss.main_current_cpu_idle_ticks,"aw",%nobits - 2162 .align 2 - 2163 .set .LANCHOR14,. + 0 - 2166 main_current_cpu_idle_ticks: - 2167 0000 00000000 .space 4 - 2168 .section .bss.main_davis_serial_enabled,"aw",%nobits - 2169 .set .LANCHOR7,. + 0 - 2172 main_davis_serial_enabled: - 2173 0000 00 .space 1 - 2174 .section .bss.main_idle_cpu_ticks,"aw",%nobits - 2175 .align 2 - 2176 .set .LANCHOR4,. + 0 - 2179 main_idle_cpu_ticks: - 2180 0000 00000000 .space 4 - 2181 .section .bss.main_modbus_rtu_master_enabled,"aw",%nobits - 2182 .set .LANCHOR9,. + 0 - 2185 main_modbus_rtu_master_enabled: + 2102 .set .LANCHOR18,. + 0 + 2105 kiss10m: + 2106 0000 0000 .space 2 + 2107 .section .bss.main_config_data_basic,"aw",%nobits + 2108 .align 2 + 2109 .set .LANCHOR1,. + 0 + 2112 main_config_data_basic: + 2113 0000 00000000 .space 4 + 2114 .section .bss.main_config_data_mode,"aw",%nobits + 2115 .align 2 + 2116 .set .LANCHOR5,. + 0 + 2119 main_config_data_mode: + 2120 0000 00000000 .space 4 + 2121 .section .bss.main_config_data_rtu,"aw",%nobits + 2122 .align 2 + 2123 .set .LANCHOR8,. + 0 + 2126 main_config_data_rtu: + 2127 0000 00000000 .space 4 + 2128 .section .bss.main_config_data_umb,"aw",%nobits + 2129 .align 2 + 2130 .set .LANCHOR11,. + 0 + 2133 main_config_data_umb: + 2134 0000 00000000 .space 4 + 2135 .section .bss.main_config_data_wx_sources,"aw",%nobits + 2136 .align 2 + 2137 .set .LANCHOR13,. + 0 + 2140 main_config_data_wx_sources: + 2141 0000 00000000 .space 4 + 2142 .section .bss.main_cpu_load,"aw",%nobits + 2143 .set .LANCHOR24,. + 0 + 2146 main_cpu_load: + 2147 0000 00 .space 1 + 2148 .section .bss.main_crc_result,"aw",%nobits + 2149 .align 2 + 2150 .set .LANCHOR0,. + 0 + 2153 main_crc_result: + 2154 0000 00000000 .space 4 + 2155 .section .bss.main_current_cpu_idle_ticks,"aw",%nobits + 2156 .align 2 + 2157 .set .LANCHOR14,. + 0 + 2160 main_current_cpu_idle_ticks: + 2161 0000 00000000 .space 4 + 2162 .section .bss.main_davis_serial_enabled,"aw",%nobits + 2163 .set .LANCHOR7,. + 0 + 2166 main_davis_serial_enabled: + 2167 0000 00 .space 1 + 2168 .section .bss.main_idle_cpu_ticks,"aw",%nobits + 2169 .align 2 + 2170 .set .LANCHOR4,. + 0 + 2173 main_idle_cpu_ticks: + 2174 0000 00000000 .space 4 + 2175 .section .bss.main_modbus_rtu_master_enabled,"aw",%nobits + 2176 .set .LANCHOR9,. + 0 + 2179 main_modbus_rtu_master_enabled: + 2180 0000 00 .space 1 + 2181 .section .bss.main_own_path_ln,"aw",%nobits + 2182 .set .LANCHOR10,. + 0 + 2185 main_own_path_ln: 2186 0000 00 .space 1 - 2187 .section .bss.main_own_path_ln,"aw",%nobits - 2188 .set .LANCHOR10,. + 0 - 2191 main_own_path_ln: - 2192 0000 00 .space 1 - 2193 .section .bss.master_time,"aw",%nobits - 2194 .align 2 - 2195 .set .LANCHOR17,. + 0 - 2198 master_time: - 2199 0000 00000000 .space 4 - 2200 .section .bss.rx10m,"aw",%nobits - 2201 .align 1 - 2202 .set .LANCHOR15,. + 0 - 2205 rx10m: + 2187 .section .bss.master_time,"aw",%nobits + 2188 .align 2 + 2189 .set .LANCHOR17,. + 0 + 2192 master_time: + 2193 0000 00000000 .space 4 + 2194 .section .bss.rx10m,"aw",%nobits + 2195 .align 1 + 2196 .set .LANCHOR15,. + 0 + 2199 rx10m: + 2200 0000 0000 .space 2 + 2201 .section .bss.tx10m,"aw",%nobits + 2202 .align 1 + 2205 tx10m: 2206 0000 0000 .space 2 - 2207 .section .bss.tx10m,"aw",%nobits - 2208 .align 1 - 2211 tx10m: - 2212 0000 0000 .space 2 - 2213 .section .data.main_kiss_enabled,"aw",%progbits - 2214 .set .LANCHOR6,. + 0 - 2217 main_kiss_enabled: - 2218 0000 01 .byte 1 - 2219 .section .data.main_one_minute_pool_timer,"aw",%progbits - 2220 .align 2 - 2221 .set .LANCHOR20,. + 0 - 2224 main_one_minute_pool_timer: - 2225 0000 60EA0000 .word 60000 - 2226 .section .data.main_one_second_pool_timer,"aw",%progbits - 2227 .align 2 - 2228 .set .LANCHOR21,. + 0 - 2231 main_one_second_pool_timer: - 2232 0000 E8030000 .word 1000 - 2233 .section .data.main_symbol_f,"aw",%progbits - 2234 .set .LANCHOR2,. + 0 - 2237 main_symbol_f: - 2238 0000 2F .byte 47 - 2239 .section .data.main_symbol_s,"aw",%progbits - 2240 .set .LANCHOR3,. + 0 - 2243 main_symbol_s: - 2244 0000 23 .byte 35 - 2245 .section .data.main_ten_second_pool_timer,"aw",%progbits - 2246 .align 2 - 2247 .set .LANCHOR23,. + 0 - 2250 main_ten_second_pool_timer: - 2251 0000 10270000 .word 10000 - 2252 .section .data.main_two_second_pool_timer,"aw",%progbits - 2253 .align 2 - 2254 .set .LANCHOR22,. + 0 - 2257 main_two_second_pool_timer: - 2258 0000 D0070000 .word 2000 - 2259 .section .data.main_umb_retval,"aw",%progbits - 2262 main_umb_retval: - 2263 0000 7F .byte 127 - 2264 .section .data.main_wx_sensors_pool_timer,"aw",%progbits - 2265 .align 2 - 2266 .set .LANCHOR19,. + 0 - 2269 main_wx_sensors_pool_timer: - 2270 0000 DCFF0000 .word 65500 - 2271 .section .data.retval,"aw",%progbits - 2272 .set .LANCHOR12,. + 0 - 2275 retval: - 2276 0000 64 .byte 100 - 2277 .section .rodata.main.str1.4,"aMS",%progbits,1 - 2278 .align 2 - 2279 .LC0: - 2280 0000 3D257325 .ascii "=%s%c%c%s%c%c %s\000" - 2280 63256325 - 2280 73256325 - 2280 63202573 - 2280 00 - 2281 .text - 2282 .Letext0: - 2283 .file 6 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/lib/gcc/arm-none-eabi/7.3.1/include/std - 2284 .file 7 "../system/include/cmsis/stm32l4xx/device/system_stm32l4xx.h" - 2285 .file 8 "../system/include/cmsis/stm32l4xx/device/stm32l471xx.h" - 2286 .file 9 "../system/include/aprs/cfifo.h" - 2287 .file 10 "../system/include/aprs/afsk.h" - 2288 .file 11 "../system/include/aprs/ax25.h" - 2289 .file 12 "../system/include/drivers/serial.h" - 2290 .file 13 "../include/config_data.h" - 2291 .file 14 "../include/main.h" - 2292 .file 15 "../include/delay.h" - 2293 .file 16 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/sys/lock.h" - 2294 .file 17 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/sys/_types.h" - 2295 .file 18 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/lib/gcc/arm-none-eabi/7.3.1/include/st - 2296 .file 19 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/sys/reent.h" - 2297 .file 20 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/stdlib.h" - 2298 .file 21 "../include/config_data_externs.h" - 2299 .file 22 "../include/configuration_handler.h" - 2300 .file 23 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/sys/unistd.h" - 2301 .file 24 "../system/include/./drivers/dallas.h" - 2302 .file 25 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/math.h" - 2303 .file 26 "../system/include/./drivers/ms5611.h" - 2304 .file 27 "../system/include/./umb_master/umb_qf_t.h" - 2305 .file 28 "../system/include/./ve_direct_protocol/raw_struct.h" - 2306 .file 29 "../system/include/./ve_direct_protocol/average_struct.h" - 2307 .file 30 "../system/include/drivers/analog_anemometer.h" - 2308 .file 31 "../system/include/davis_vantage/davis_qf_t.h" - 2309 .file 32 "../system/include/davis_vantage/davis_loop_t.h" - 2310 .file 33 "../system/include/umb_master/umb_frame_t.h" - 2311 .file 34 "../system/include/umb_master/umb_retval_t.h" - 2312 .file 35 "../system/include/umb_master/umb_state_t.h" - 2313 .file 36 "../system/include/umb_master/umb_context_t.h" - 2314 .file 37 "../system/include/umb_master/umb_call_reason.h" - 2315 .file 38 "../system/include/drivers/bme280.h" - 2316 .file 39 "../include/rte_wx.h" - 2317 .file 40 "../include/rte_pv.h" - 2318 .file 41 "../include/rte_main.h" - 2319 .file 42 "../system/include/modbus_rtu/rtu_register_data_t.h" - 2320 .file 43 "../system/include/modbus_rtu/rtu_exception_t.h" - 2321 .file 44 "../system/include/modbus_rtu/rtu_pool_queue_t.h" - 2322 .file 45 "../include/rte_rtu.h" - 2323 .file 46 "../include/wx_handler.h" - 2324 .file 47 "../system/include/drivers/i2c.h" - 2325 .file 48 "../src/../system/include/modbus_rtu/rtu_serial_io.h" - 2326 .file 49 "../src/../system/include/davis_vantage/davis.h" - 2327 .file 50 "../include/KissCommunication.h" - 2328 .file 51 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/string.h" - 2329 .file 52 "../include/float_to_string.h" - 2330 .file 53 "../src/io.h" - 2331 .file 54 "../include/PathConfig.h" - 2332 .file 55 "../system/include/aprs/dac.h" - 2333 .file 56 "../system/include/aprs/digi.h" - 2334 .file 57 "../include/it_handlers.h" - 2335 .file 58 "../system/include/aprs/afsk_pr.h" - 2336 .file 59 "../include/TimerConfig.h" - 2337 .file 60 "../system/include/umb_master/umb_master.h" - 2338 .file 61 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/stdio.h" - 2339 .file 62 "../system/include/ve_direct_protocol/parser.h" - 2340 .file 63 "../system/include/aprs/beacon.h" - 2341 .file 64 "../system/include/umb_master/umb_0x26_status.h" - 2342 .file 65 "../system/include/aprs/wx.h" - 2343 .file 66 "../include/packet_tx_handler.h" - 2344 .file 67 "../system/include/umb_master/umb_channel_pool.h" - 2345 .file 68 "../src/../system/include/davis_vantage/davis_parsers.h" + 2207 .section .data.main_kiss_enabled,"aw",%progbits + 2208 .set .LANCHOR6,. + 0 + 2211 main_kiss_enabled: + 2212 0000 01 .byte 1 + 2213 .section .data.main_one_minute_pool_timer,"aw",%progbits + 2214 .align 2 + 2215 .set .LANCHOR20,. + 0 + 2218 main_one_minute_pool_timer: + 2219 0000 60EA0000 .word 60000 + 2220 .section .data.main_one_second_pool_timer,"aw",%progbits + 2221 .align 2 + 2222 .set .LANCHOR21,. + 0 + 2225 main_one_second_pool_timer: + 2226 0000 E8030000 .word 1000 + 2227 .section .data.main_symbol_f,"aw",%progbits + 2228 .set .LANCHOR2,. + 0 + 2231 main_symbol_f: + 2232 0000 2F .byte 47 + 2233 .section .data.main_symbol_s,"aw",%progbits + 2234 .set .LANCHOR3,. + 0 + 2237 main_symbol_s: + 2238 0000 23 .byte 35 + 2239 .section .data.main_ten_second_pool_timer,"aw",%progbits + 2240 .align 2 + 2241 .set .LANCHOR23,. + 0 + 2244 main_ten_second_pool_timer: + 2245 0000 10270000 .word 10000 + 2246 .section .data.main_two_second_pool_timer,"aw",%progbits + 2247 .align 2 + 2248 .set .LANCHOR22,. + 0 + 2251 main_two_second_pool_timer: + 2252 0000 D0070000 .word 2000 + 2253 .section .data.main_umb_retval,"aw",%progbits + 2256 main_umb_retval: + 2257 0000 7F .byte 127 + 2258 .section .data.main_wx_sensors_pool_timer,"aw",%progbits + 2259 .align 2 + 2260 .set .LANCHOR19,. + 0 + 2263 main_wx_sensors_pool_timer: + 2264 0000 DCFF0000 .word 65500 + 2265 .section .data.retval,"aw",%progbits + 2266 .set .LANCHOR12,. + 0 + 2269 retval: + 2270 0000 64 .byte 100 + 2271 .section .rodata.main.str1.4,"aMS",%progbits,1 + 2272 .align 2 + 2273 .LC0: + 2274 0000 3D257325 .ascii "=%s%c%c%s%c%c %s\000" + 2274 63256325 + 2274 73256325 + 2274 63202573 + 2274 00 + 2275 .text + 2276 .Letext0: + 2277 .file 6 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/lib/gcc/arm-none-eabi/7.3.1/include/std + 2278 .file 7 "../system/include/cmsis/stm32l4xx/device/system_stm32l4xx.h" + 2279 .file 8 "../system/include/cmsis/stm32l4xx/device/stm32l471xx.h" + 2280 .file 9 "../system/include/aprs/cfifo.h" + 2281 .file 10 "../system/include/aprs/afsk.h" + 2282 .file 11 "../system/include/aprs/ax25.h" + 2283 .file 12 "../system/include/drivers/serial.h" + 2284 .file 13 "../include/config_data.h" + 2285 .file 14 "../include/main.h" + 2286 .file 15 "../include/delay.h" + 2287 .file 16 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/sys/lock.h" + 2288 .file 17 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/sys/_types.h" + 2289 .file 18 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/lib/gcc/arm-none-eabi/7.3.1/include/st + 2290 .file 19 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/sys/reent.h" + 2291 .file 20 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/stdlib.h" + 2292 .file 21 "../include/config_data_externs.h" + 2293 .file 22 "../include/configuration_handler.h" + 2294 .file 23 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/sys/unistd.h" + 2295 .file 24 "../system/include/./drivers/dallas.h" + 2296 .file 25 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/math.h" + 2297 .file 26 "../system/include/./drivers/ms5611.h" + 2298 .file 27 "../system/include/./umb_master/umb_qf_t.h" + 2299 .file 28 "../system/include/./ve_direct_protocol/raw_struct.h" + 2300 .file 29 "../system/include/./ve_direct_protocol/average_struct.h" + 2301 .file 30 "../system/include/drivers/analog_anemometer.h" + 2302 .file 31 "../system/include/davis_vantage/davis_qf_t.h" + 2303 .file 32 "../system/include/davis_vantage/davis_loop_t.h" + 2304 .file 33 "../system/include/umb_master/umb_frame_t.h" + 2305 .file 34 "../system/include/umb_master/umb_retval_t.h" + 2306 .file 35 "../system/include/umb_master/umb_state_t.h" + 2307 .file 36 "../system/include/umb_master/umb_context_t.h" + 2308 .file 37 "../system/include/umb_master/umb_call_reason.h" + 2309 .file 38 "../system/include/drivers/bme280.h" + 2310 .file 39 "../include/rte_wx.h" + 2311 .file 40 "../include/rte_pv.h" + 2312 .file 41 "../include/rte_main.h" + 2313 .file 42 "../system/include/modbus_rtu/rtu_register_data_t.h" + 2314 .file 43 "../system/include/modbus_rtu/rtu_exception_t.h" + 2315 .file 44 "../system/include/modbus_rtu/rtu_pool_queue_t.h" + 2316 .file 45 "../include/rte_rtu.h" + 2317 .file 46 "../include/wx_handler.h" + 2318 .file 47 "../system/include/drivers/i2c.h" + 2319 .file 48 "../src/../system/include/modbus_rtu/rtu_serial_io.h" + 2320 .file 49 "../src/../system/include/davis_vantage/davis.h" + 2321 .file 50 "../include/KissCommunication.h" + 2322 .file 51 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/string.h" + 2323 .file 52 "../include/float_to_string.h" + 2324 .file 53 "../src/io.h" + 2325 .file 54 "../include/PathConfig.h" + 2326 .file 55 "../system/include/aprs/dac.h" + 2327 .file 56 "../system/include/aprs/digi.h" + 2328 .file 57 "../include/it_handlers.h" + 2329 .file 58 "../system/include/aprs/afsk_pr.h" + 2330 .file 59 "../include/TimerConfig.h" + 2331 .file 60 "../system/include/umb_master/umb_master.h" + 2332 .file 61 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/stdio.h" + 2333 .file 62 "../system/include/ve_direct_protocol/parser.h" + 2334 .file 63 "../system/include/aprs/beacon.h" + 2335 .file 64 "../system/include/umb_master/umb_0x26_status.h" + 2336 .file 65 "../system/include/aprs/wx.h" + 2337 .file 66 "../include/packet_tx_handler.h" + 2338 .file 67 "../system/include/umb_master/umb_channel_pool.h" + 2339 .file 68 "../src/../system/include/davis_vantage/davis_parsers.h" DEFINED SYMBOLS *ABS*:0000000000000000 main.c - /tmp/cc5cMGi5.s:18 .text.message_callback:0000000000000000 $t - /tmp/cc5cMGi5.s:24 .text.message_callback:0000000000000000 message_callback - /tmp/cc5cMGi5.s:39 .text.main:0000000000000000 $t - /tmp/cc5cMGi5.s:46 .text.main:0000000000000000 main - /tmp/cc5cMGi5.s:305 .text.main:00000000000001a0 $d - /tmp/cc5cMGi5.s:313 .text.main:00000000000001a8 $d + /tmp/ccb5USaA.s:18 .text.message_callback:0000000000000000 $t + /tmp/ccb5USaA.s:24 .text.message_callback:0000000000000000 message_callback + /tmp/ccb5USaA.s:39 .text.main:0000000000000000 $t + /tmp/ccb5USaA.s:46 .text.main:0000000000000000 main + /tmp/ccb5USaA.s:305 .text.main:00000000000001a0 $d + /tmp/ccb5USaA.s:313 .text.main:00000000000001a8 $d *COM*:00000000000000a0 main_own_aprs_msg *COM*:0000000000000009 main_string_latitude *COM*:0000000000000009 main_string_longitude - /tmp/cc5cMGi5.s:325 .text.main:00000000000001d0 $t - /tmp/cc5cMGi5.s:731 .text.main:0000000000000454 $d + /tmp/ccb5USaA.s:325 .text.main:00000000000001d0 $t + /tmp/ccb5USaA.s:728 .text.main:0000000000000450 $d *COM*:0000000000000018 GPIO_InitTypeDef *COM*:0000000000000004 main_kiss_srl_ctx_ptr *COM*:0000000000000058 main_kiss_srl_ctx @@ -7366,74 +7359,74 @@ DEFINED SYMBOLS *COM*:0000000000000015 main_own_path *COM*:0000000000000444 main_afsk *COM*:0000000000000218 main_ax25 - /tmp/cc5cMGi5.s:758 .text.main:00000000000004b8 $t - /tmp/cc5cMGi5.s:1149 .text.main:000000000000072c $d + /tmp/ccb5USaA.s:755 .text.main:00000000000004b4 $t + /tmp/ccb5USaA.s:1146 .text.main:0000000000000728 $d *COM*:0000000000000001 main_own_aprs_msg_len - /tmp/cc5cMGi5.s:1196 .text.main:00000000000007d8 $t - /tmp/cc5cMGi5.s:1541 .text.main:0000000000000a24 $d - /tmp/cc5cMGi5.s:1573 .text.main:0000000000000a98 $t - /tmp/cc5cMGi5.s:1929 .text.main:0000000000000cd8 $d - /tmp/cc5cMGi5.s:1971 .text.main_get_adc_sample:0000000000000000 $t - /tmp/cc5cMGi5.s:1978 .text.main_get_adc_sample:0000000000000000 main_get_adc_sample - /tmp/cc5cMGi5.s:1994 .text.main_get_adc_sample:0000000000000008 $d - /tmp/cc5cMGi5.s:1999 .text.main_service_cpu_load_ticks:0000000000000000 $t - /tmp/cc5cMGi5.s:2006 .text.main_service_cpu_load_ticks:0000000000000000 main_service_cpu_load_ticks - /tmp/cc5cMGi5.s:2037 .text.main_service_cpu_load_ticks:0000000000000020 $d - /tmp/cc5cMGi5.s:2111 .bss.kiss10m:0000000000000000 kiss10m - /tmp/cc5cMGi5.s:2104 .bss.digidrop10m:0000000000000000 digidrop10m - /tmp/cc5cMGi5.s:2098 .bss.digi10m:0000000000000000 digi10m - /tmp/cc5cMGi5.s:2211 .bss.tx10m:0000000000000000 tx10m - /tmp/cc5cMGi5.s:2205 .bss.rx10m:0000000000000000 rx10m + /tmp/ccb5USaA.s:1193 .text.main:00000000000007d4 $t + /tmp/ccb5USaA.s:1538 .text.main:0000000000000a20 $d + /tmp/ccb5USaA.s:1570 .text.main:0000000000000a94 $t + /tmp/ccb5USaA.s:1923 .text.main:0000000000000cd0 $d + /tmp/ccb5USaA.s:1965 .text.main_get_adc_sample:0000000000000000 $t + /tmp/ccb5USaA.s:1972 .text.main_get_adc_sample:0000000000000000 main_get_adc_sample + /tmp/ccb5USaA.s:1988 .text.main_get_adc_sample:0000000000000008 $d + /tmp/ccb5USaA.s:1993 .text.main_service_cpu_load_ticks:0000000000000000 $t + /tmp/ccb5USaA.s:2000 .text.main_service_cpu_load_ticks:0000000000000000 main_service_cpu_load_ticks + /tmp/ccb5USaA.s:2031 .text.main_service_cpu_load_ticks:0000000000000020 $d + /tmp/ccb5USaA.s:2105 .bss.kiss10m:0000000000000000 kiss10m + /tmp/ccb5USaA.s:2098 .bss.digidrop10m:0000000000000000 digidrop10m + /tmp/ccb5USaA.s:2092 .bss.digi10m:0000000000000000 digi10m + /tmp/ccb5USaA.s:2205 .bss.tx10m:0000000000000000 tx10m + /tmp/ccb5USaA.s:2199 .bss.rx10m:0000000000000000 rx10m *COM*:0000000000000001 after_tx_lock - /tmp/cc5cMGi5.s:2159 .bss.main_crc_result:0000000000000000 main_crc_result - /tmp/cc5cMGi5.s:2262 .data.main_umb_retval:0000000000000000 main_umb_retval - /tmp/cc5cMGi5.s:2092 .bss.buffer_len:0000000000000000 buffer_len - /tmp/cc5cMGi5.s:2275 .data.retval:0000000000000000 retval - /tmp/cc5cMGi5.s:2243 .data.main_symbol_s:0000000000000000 main_symbol_s - /tmp/cc5cMGi5.s:2237 .data.main_symbol_f:0000000000000000 main_symbol_f - /tmp/cc5cMGi5.s:2191 .bss.main_own_path_ln:0000000000000000 main_own_path_ln - /tmp/cc5cMGi5.s:2185 .bss.main_modbus_rtu_master_enabled:0000000000000000 main_modbus_rtu_master_enabled - /tmp/cc5cMGi5.s:2172 .bss.main_davis_serial_enabled:0000000000000000 main_davis_serial_enabled - /tmp/cc5cMGi5.s:2217 .data.main_kiss_enabled:0000000000000000 main_kiss_enabled - /tmp/cc5cMGi5.s:2250 .data.main_ten_second_pool_timer:0000000000000000 main_ten_second_pool_timer - /tmp/cc5cMGi5.s:2257 .data.main_two_second_pool_timer:0000000000000000 main_two_second_pool_timer - /tmp/cc5cMGi5.s:2231 .data.main_one_second_pool_timer:0000000000000000 main_one_second_pool_timer - /tmp/cc5cMGi5.s:2224 .data.main_one_minute_pool_timer:0000000000000000 main_one_minute_pool_timer - /tmp/cc5cMGi5.s:2269 .data.main_wx_sensors_pool_timer:0000000000000000 main_wx_sensors_pool_timer - /tmp/cc5cMGi5.s:2152 .bss.main_cpu_load:0000000000000000 main_cpu_load - /tmp/cc5cMGi5.s:2166 .bss.main_current_cpu_idle_ticks:0000000000000000 main_current_cpu_idle_ticks - /tmp/cc5cMGi5.s:2179 .bss.main_idle_cpu_ticks:0000000000000000 main_idle_cpu_ticks - /tmp/cc5cMGi5.s:2198 .bss.master_time:0000000000000000 master_time - /tmp/cc5cMGi5.s:2132 .bss.main_config_data_rtu:0000000000000000 main_config_data_rtu - /tmp/cc5cMGi5.s:2139 .bss.main_config_data_umb:0000000000000000 main_config_data_umb - /tmp/cc5cMGi5.s:2146 .bss.main_config_data_wx_sources:0000000000000000 main_config_data_wx_sources - /tmp/cc5cMGi5.s:2118 .bss.main_config_data_basic:0000000000000000 main_config_data_basic - /tmp/cc5cMGi5.s:2125 .bss.main_config_data_mode:0000000000000000 main_config_data_mode - /tmp/cc5cMGi5.s:2088 .bss.buffer_len:0000000000000000 $d - /tmp/cc5cMGi5.s:2095 .bss.digi10m:0000000000000000 $d - /tmp/cc5cMGi5.s:2101 .bss.digidrop10m:0000000000000000 $d - /tmp/cc5cMGi5.s:2107 .bss.kiss10m:0000000000000000 $d - /tmp/cc5cMGi5.s:2114 .bss.main_config_data_basic:0000000000000000 $d - /tmp/cc5cMGi5.s:2121 .bss.main_config_data_mode:0000000000000000 $d - /tmp/cc5cMGi5.s:2128 .bss.main_config_data_rtu:0000000000000000 $d - /tmp/cc5cMGi5.s:2135 .bss.main_config_data_umb:0000000000000000 $d - /tmp/cc5cMGi5.s:2142 .bss.main_config_data_wx_sources:0000000000000000 $d - /tmp/cc5cMGi5.s:2153 .bss.main_cpu_load:0000000000000000 $d - /tmp/cc5cMGi5.s:2155 .bss.main_crc_result:0000000000000000 $d - /tmp/cc5cMGi5.s:2162 .bss.main_current_cpu_idle_ticks:0000000000000000 $d - /tmp/cc5cMGi5.s:2173 .bss.main_davis_serial_enabled:0000000000000000 $d - /tmp/cc5cMGi5.s:2175 .bss.main_idle_cpu_ticks:0000000000000000 $d - /tmp/cc5cMGi5.s:2186 .bss.main_modbus_rtu_master_enabled:0000000000000000 $d - /tmp/cc5cMGi5.s:2192 .bss.main_own_path_ln:0000000000000000 $d - /tmp/cc5cMGi5.s:2194 .bss.master_time:0000000000000000 $d - /tmp/cc5cMGi5.s:2201 .bss.rx10m:0000000000000000 $d - /tmp/cc5cMGi5.s:2208 .bss.tx10m:0000000000000000 $d - /tmp/cc5cMGi5.s:2220 .data.main_one_minute_pool_timer:0000000000000000 $d - /tmp/cc5cMGi5.s:2227 .data.main_one_second_pool_timer:0000000000000000 $d - /tmp/cc5cMGi5.s:2246 .data.main_ten_second_pool_timer:0000000000000000 $d - /tmp/cc5cMGi5.s:2253 .data.main_two_second_pool_timer:0000000000000000 $d - /tmp/cc5cMGi5.s:2265 .data.main_wx_sensors_pool_timer:0000000000000000 $d - /tmp/cc5cMGi5.s:2278 .rodata.main.str1.4:0000000000000000 $d + /tmp/ccb5USaA.s:2153 .bss.main_crc_result:0000000000000000 main_crc_result + /tmp/ccb5USaA.s:2256 .data.main_umb_retval:0000000000000000 main_umb_retval + /tmp/ccb5USaA.s:2086 .bss.buffer_len:0000000000000000 buffer_len + /tmp/ccb5USaA.s:2269 .data.retval:0000000000000000 retval + /tmp/ccb5USaA.s:2237 .data.main_symbol_s:0000000000000000 main_symbol_s + /tmp/ccb5USaA.s:2231 .data.main_symbol_f:0000000000000000 main_symbol_f + /tmp/ccb5USaA.s:2185 .bss.main_own_path_ln:0000000000000000 main_own_path_ln + /tmp/ccb5USaA.s:2179 .bss.main_modbus_rtu_master_enabled:0000000000000000 main_modbus_rtu_master_enabled + /tmp/ccb5USaA.s:2166 .bss.main_davis_serial_enabled:0000000000000000 main_davis_serial_enabled + /tmp/ccb5USaA.s:2211 .data.main_kiss_enabled:0000000000000000 main_kiss_enabled + /tmp/ccb5USaA.s:2244 .data.main_ten_second_pool_timer:0000000000000000 main_ten_second_pool_timer + /tmp/ccb5USaA.s:2251 .data.main_two_second_pool_timer:0000000000000000 main_two_second_pool_timer + /tmp/ccb5USaA.s:2225 .data.main_one_second_pool_timer:0000000000000000 main_one_second_pool_timer + /tmp/ccb5USaA.s:2218 .data.main_one_minute_pool_timer:0000000000000000 main_one_minute_pool_timer + /tmp/ccb5USaA.s:2263 .data.main_wx_sensors_pool_timer:0000000000000000 main_wx_sensors_pool_timer + /tmp/ccb5USaA.s:2146 .bss.main_cpu_load:0000000000000000 main_cpu_load + /tmp/ccb5USaA.s:2160 .bss.main_current_cpu_idle_ticks:0000000000000000 main_current_cpu_idle_ticks + /tmp/ccb5USaA.s:2173 .bss.main_idle_cpu_ticks:0000000000000000 main_idle_cpu_ticks + /tmp/ccb5USaA.s:2192 .bss.master_time:0000000000000000 master_time + /tmp/ccb5USaA.s:2126 .bss.main_config_data_rtu:0000000000000000 main_config_data_rtu + /tmp/ccb5USaA.s:2133 .bss.main_config_data_umb:0000000000000000 main_config_data_umb + /tmp/ccb5USaA.s:2140 .bss.main_config_data_wx_sources:0000000000000000 main_config_data_wx_sources + /tmp/ccb5USaA.s:2112 .bss.main_config_data_basic:0000000000000000 main_config_data_basic + /tmp/ccb5USaA.s:2119 .bss.main_config_data_mode:0000000000000000 main_config_data_mode + /tmp/ccb5USaA.s:2082 .bss.buffer_len:0000000000000000 $d + /tmp/ccb5USaA.s:2089 .bss.digi10m:0000000000000000 $d + /tmp/ccb5USaA.s:2095 .bss.digidrop10m:0000000000000000 $d + /tmp/ccb5USaA.s:2101 .bss.kiss10m:0000000000000000 $d + /tmp/ccb5USaA.s:2108 .bss.main_config_data_basic:0000000000000000 $d + /tmp/ccb5USaA.s:2115 .bss.main_config_data_mode:0000000000000000 $d + /tmp/ccb5USaA.s:2122 .bss.main_config_data_rtu:0000000000000000 $d + /tmp/ccb5USaA.s:2129 .bss.main_config_data_umb:0000000000000000 $d + /tmp/ccb5USaA.s:2136 .bss.main_config_data_wx_sources:0000000000000000 $d + /tmp/ccb5USaA.s:2147 .bss.main_cpu_load:0000000000000000 $d + /tmp/ccb5USaA.s:2149 .bss.main_crc_result:0000000000000000 $d + /tmp/ccb5USaA.s:2156 .bss.main_current_cpu_idle_ticks:0000000000000000 $d + /tmp/ccb5USaA.s:2167 .bss.main_davis_serial_enabled:0000000000000000 $d + /tmp/ccb5USaA.s:2169 .bss.main_idle_cpu_ticks:0000000000000000 $d + /tmp/ccb5USaA.s:2180 .bss.main_modbus_rtu_master_enabled:0000000000000000 $d + /tmp/ccb5USaA.s:2186 .bss.main_own_path_ln:0000000000000000 $d + /tmp/ccb5USaA.s:2188 .bss.master_time:0000000000000000 $d + /tmp/ccb5USaA.s:2195 .bss.rx10m:0000000000000000 $d + /tmp/ccb5USaA.s:2202 .bss.tx10m:0000000000000000 $d + /tmp/ccb5USaA.s:2214 .data.main_one_minute_pool_timer:0000000000000000 $d + /tmp/ccb5USaA.s:2221 .data.main_one_second_pool_timer:0000000000000000 $d + /tmp/ccb5USaA.s:2240 .data.main_ten_second_pool_timer:0000000000000000 $d + /tmp/ccb5USaA.s:2247 .data.main_two_second_pool_timer:0000000000000000 $d + /tmp/ccb5USaA.s:2259 .data.main_wx_sensors_pool_timer:0000000000000000 $d + /tmp/ccb5USaA.s:2272 .rodata.main.str1.4:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.station_config_target_hw.h.9.a20e080416e80d1cff9c1b51cc464832 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 @@ -7457,7 +7450,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.stm32l4xx_ll_usart.h.22.ec2beebcd146668c5b35749264d7b58a .group:0000000000000000 wm4.serial.h.14.eed7cf013c382ed4598746b40f0e2523 .group:0000000000000000 wm4.config_data.h.9.6026a38cd2f39158a8fd50707ba1bf90 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 .group:0000000000000000 wm4.LedConfig.h.9.4d8a7e6bb86dda658c789f8aaf01aaee .group:0000000000000000 wm4._newlib_version.h.4.875b979a44719054cd750d0952ad3fd6 .group:0000000000000000 wm4.newlib.h.18.5e5ca3429233af402d406a202f1fc1ac @@ -7552,7 +7545,6 @@ DACStartConfig srl_receive_data io_oc_output_low TimerConfig -IWDG_ReloadCounter io_ext_watchdog_service srl_usart1_tx_buffer srl_usart1_rx_buffer diff --git a/STM32L476_ParaMETEO/src/packet_tx_handler.o.lst b/STM32L476_ParaMETEO/src/packet_tx_handler.o.lst index 0a36967..c2373e3 100644 --- a/STM32L476_ParaMETEO/src/packet_tx_handler.o.lst +++ b/STM32L476_ParaMETEO/src/packet_tx_handler.o.lst @@ -549,12 +549,12 @@ 6:../include/main.h **** #include "config_data.h" 7:../include/main.h **** 8:../include/main.h **** #define SW_VER "EA00" - 9:../include/main.h **** #define SW_DATE "23052021" + 9:../include/main.h **** #define SW_DATE "30062021" 10:../include/main.h **** 11:../include/main.h **** #define SYSTICK_TICKS_PER_SECONDS 100 12:../include/main.h **** #define SYSTICK_TICKS_PERIOD 10 13:../include/main.h **** - 14:../include/main.h **** #define INTERNAL_WATCHDOG + 14:../include/main.h **** //#define INTERNAL_WATCHDOG 15:../include/main.h **** #define EXTERNAL_WATCHDOG 16:../include/main.h **** 17:../include/main.h **** #define PWR_SWITCH_BOTH @@ -1643,37 +1643,37 @@ 1175 .file 36 "../src/io.h" DEFINED SYMBOLS *ABS*:0000000000000000 packet_tx_handler.c - /tmp/ccoopduH.s:18 .text.packet_tx_handler:0000000000000000 $t - /tmp/ccoopduH.s:25 .text.packet_tx_handler:0000000000000000 packet_tx_handler - /tmp/ccoopduH.s:494 .text.packet_tx_handler:0000000000000260 $d - /tmp/ccoopduH.s:529 .text.packet_tx_handler:00000000000002dc $t - /tmp/ccoopduH.s:689 .text.packet_tx_handler:00000000000003b6 $d - /tmp/ccoopduH.s:696 .text.packet_tx_handler:00000000000003bc $t - /tmp/ccoopduH.s:708 .text.packet_tx_handler:00000000000003cc $d - /tmp/ccoopduH.s:714 .text.packet_tx_handler:00000000000003d2 $t - /tmp/ccoopduH.s:740 .text.packet_tx_handler:00000000000003e8 $d - /tmp/ccoopduH.s:746 .text.packet_tx_handler:00000000000003ee $t - /tmp/ccoopduH.s:1006 .text.packet_tx_handler:0000000000000534 $d - /tmp/ccoopduH.s:1074 .bss.packet_tx_more_than_one:0000000000000000 packet_tx_more_than_one - /tmp/ccoopduH.s:1138 .rodata.packet_tx_modbus_status:0000000000000000 packet_tx_modbus_status - /tmp/ccoopduH.s:1133 .rodata.packet_tx_modbus_raw_values:0000000000000000 packet_tx_modbus_raw_values - /tmp/ccoopduH.s:1116 .data.packet_tx_telemetry_descr_counter:0000000000000000 packet_tx_telemetry_descr_counter - /tmp/ccoopduH.s:1122 .data.packet_tx_telemetry_descr_interval:0000000000000000 packet_tx_telemetry_descr_interval - /tmp/ccoopduH.s:1080 .bss.packet_tx_telemetry_counter:0000000000000000 packet_tx_telemetry_counter - /tmp/ccoopduH.s:1128 .data.packet_tx_telemetry_interval:0000000000000000 packet_tx_telemetry_interval - /tmp/ccoopduH.s:1068 .bss.packet_tx_meteo_kiss_counter:0000000000000000 packet_tx_meteo_kiss_counter - /tmp/ccoopduH.s:1110 .data.packet_tx_meteo_kiss_interval:0000000000000000 packet_tx_meteo_kiss_interval - /tmp/ccoopduH.s:1098 .data.packet_tx_meteo_counter:0000000000000000 packet_tx_meteo_counter - /tmp/ccoopduH.s:1104 .data.packet_tx_meteo_interval:0000000000000000 packet_tx_meteo_interval - /tmp/ccoopduH.s:1062 .bss.packet_tx_error_status_counter:0000000000000000 packet_tx_error_status_counter - /tmp/ccoopduH.s:1092 .data.packet_tx_error_status_interval:0000000000000000 packet_tx_error_status_interval - /tmp/ccoopduH.s:1056 .bss.packet_tx_beacon_counter:0000000000000000 packet_tx_beacon_counter - /tmp/ccoopduH.s:1086 .data.packet_tx_beacon_interval:0000000000000000 packet_tx_beacon_interval - /tmp/ccoopduH.s:1057 .bss.packet_tx_beacon_counter:0000000000000000 $d - /tmp/ccoopduH.s:1063 .bss.packet_tx_error_status_counter:0000000000000000 $d - /tmp/ccoopduH.s:1069 .bss.packet_tx_meteo_kiss_counter:0000000000000000 $d - /tmp/ccoopduH.s:1075 .bss.packet_tx_more_than_one:0000000000000000 $d - /tmp/ccoopduH.s:1081 .bss.packet_tx_telemetry_counter:0000000000000000 $d + /tmp/ccRMkeQa.s:18 .text.packet_tx_handler:0000000000000000 $t + /tmp/ccRMkeQa.s:25 .text.packet_tx_handler:0000000000000000 packet_tx_handler + /tmp/ccRMkeQa.s:494 .text.packet_tx_handler:0000000000000260 $d + /tmp/ccRMkeQa.s:529 .text.packet_tx_handler:00000000000002dc $t + /tmp/ccRMkeQa.s:689 .text.packet_tx_handler:00000000000003b6 $d + /tmp/ccRMkeQa.s:696 .text.packet_tx_handler:00000000000003bc $t + /tmp/ccRMkeQa.s:708 .text.packet_tx_handler:00000000000003cc $d + /tmp/ccRMkeQa.s:714 .text.packet_tx_handler:00000000000003d2 $t + /tmp/ccRMkeQa.s:740 .text.packet_tx_handler:00000000000003e8 $d + /tmp/ccRMkeQa.s:746 .text.packet_tx_handler:00000000000003ee $t + /tmp/ccRMkeQa.s:1006 .text.packet_tx_handler:0000000000000534 $d + /tmp/ccRMkeQa.s:1074 .bss.packet_tx_more_than_one:0000000000000000 packet_tx_more_than_one + /tmp/ccRMkeQa.s:1138 .rodata.packet_tx_modbus_status:0000000000000000 packet_tx_modbus_status + /tmp/ccRMkeQa.s:1133 .rodata.packet_tx_modbus_raw_values:0000000000000000 packet_tx_modbus_raw_values + /tmp/ccRMkeQa.s:1116 .data.packet_tx_telemetry_descr_counter:0000000000000000 packet_tx_telemetry_descr_counter + /tmp/ccRMkeQa.s:1122 .data.packet_tx_telemetry_descr_interval:0000000000000000 packet_tx_telemetry_descr_interval + /tmp/ccRMkeQa.s:1080 .bss.packet_tx_telemetry_counter:0000000000000000 packet_tx_telemetry_counter + /tmp/ccRMkeQa.s:1128 .data.packet_tx_telemetry_interval:0000000000000000 packet_tx_telemetry_interval + /tmp/ccRMkeQa.s:1068 .bss.packet_tx_meteo_kiss_counter:0000000000000000 packet_tx_meteo_kiss_counter + /tmp/ccRMkeQa.s:1110 .data.packet_tx_meteo_kiss_interval:0000000000000000 packet_tx_meteo_kiss_interval + /tmp/ccRMkeQa.s:1098 .data.packet_tx_meteo_counter:0000000000000000 packet_tx_meteo_counter + /tmp/ccRMkeQa.s:1104 .data.packet_tx_meteo_interval:0000000000000000 packet_tx_meteo_interval + /tmp/ccRMkeQa.s:1062 .bss.packet_tx_error_status_counter:0000000000000000 packet_tx_error_status_counter + /tmp/ccRMkeQa.s:1092 .data.packet_tx_error_status_interval:0000000000000000 packet_tx_error_status_interval + /tmp/ccRMkeQa.s:1056 .bss.packet_tx_beacon_counter:0000000000000000 packet_tx_beacon_counter + /tmp/ccRMkeQa.s:1086 .data.packet_tx_beacon_interval:0000000000000000 packet_tx_beacon_interval + /tmp/ccRMkeQa.s:1057 .bss.packet_tx_beacon_counter:0000000000000000 $d + /tmp/ccRMkeQa.s:1063 .bss.packet_tx_error_status_counter:0000000000000000 $d + /tmp/ccRMkeQa.s:1069 .bss.packet_tx_meteo_kiss_counter:0000000000000000 $d + /tmp/ccRMkeQa.s:1075 .bss.packet_tx_more_than_one:0000000000000000 $d + /tmp/ccRMkeQa.s:1081 .bss.packet_tx_telemetry_counter:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.station_config.h.9.d547169434a316afe56104ac612d2109 .group:0000000000000000 wm4.station_config_target_hw.h.9.a20e080416e80d1cff9c1b51cc464832 @@ -1722,7 +1722,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.config.h.2.f5e96167cb26b0ce97c5e7513a95bc8b .group:0000000000000000 wm4.afsk.h.17.cf8f922069680e152e1eddb76cd4cc82 .group:0000000000000000 wm4.ax25.h.18.077e42a4af9016476e34900472a8fe18 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 UNDEFINED SYMBOLS umb_construct_status_str diff --git a/STM32L476_ParaMETEO/src/rte_main.o.lst b/STM32L476_ParaMETEO/src/rte_main.o.lst index b8853d6..9922132 100644 --- a/STM32L476_ParaMETEO/src/rte_main.o.lst +++ b/STM32L476_ParaMETEO/src/rte_main.o.lst @@ -41,16 +41,16 @@ 51 .file 3 "../src/rte_main.c" DEFINED SYMBOLS *ABS*:0000000000000000 rte_main.c - /tmp/ccTSRFhf.s:40 .bss.rte_main_trigger_modbus_status:0000000000000000 rte_main_trigger_modbus_status - /tmp/ccTSRFhf.s:45 .bss.rte_main_trigger_status:0000000000000000 rte_main_trigger_status - /tmp/ccTSRFhf.s:30 .bss.rte_main_hard_faults:0000000000000000 rte_main_hard_faults - /tmp/ccTSRFhf.s:25 .bss.rte_main_boot_cycles:0000000000000000 rte_main_boot_cycles - /tmp/ccTSRFhf.s:35 .bss.rte_main_reboot_req:0000000000000000 rte_main_reboot_req - /tmp/ccTSRFhf.s:26 .bss.rte_main_boot_cycles:0000000000000000 $d - /tmp/ccTSRFhf.s:31 .bss.rte_main_hard_faults:0000000000000000 $d - /tmp/ccTSRFhf.s:36 .bss.rte_main_reboot_req:0000000000000000 $d - /tmp/ccTSRFhf.s:41 .bss.rte_main_trigger_modbus_status:0000000000000000 $d - /tmp/ccTSRFhf.s:46 .bss.rte_main_trigger_status:0000000000000000 $d + /tmp/ccffKSjM.s:40 .bss.rte_main_trigger_modbus_status:0000000000000000 rte_main_trigger_modbus_status + /tmp/ccffKSjM.s:45 .bss.rte_main_trigger_status:0000000000000000 rte_main_trigger_status + /tmp/ccffKSjM.s:30 .bss.rte_main_hard_faults:0000000000000000 rte_main_hard_faults + /tmp/ccffKSjM.s:25 .bss.rte_main_boot_cycles:0000000000000000 rte_main_boot_cycles + /tmp/ccffKSjM.s:35 .bss.rte_main_reboot_req:0000000000000000 rte_main_reboot_req + /tmp/ccffKSjM.s:26 .bss.rte_main_boot_cycles:0000000000000000 $d + /tmp/ccffKSjM.s:31 .bss.rte_main_hard_faults:0000000000000000 $d + /tmp/ccffKSjM.s:36 .bss.rte_main_reboot_req:0000000000000000 $d + /tmp/ccffKSjM.s:41 .bss.rte_main_trigger_modbus_status:0000000000000000 $d + /tmp/ccffKSjM.s:46 .bss.rte_main_trigger_status:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f diff --git a/STM32L476_ParaMETEO/src/rte_pv.o.lst b/STM32L476_ParaMETEO/src/rte_pv.o.lst index f52b7db..1796a29 100644 --- a/STM32L476_ParaMETEO/src/rte_pv.o.lst +++ b/STM32L476_ParaMETEO/src/rte_pv.o.lst @@ -41,8 +41,8 @@ 45 .file 5 "../src/rte_pv.c" DEFINED SYMBOLS *ABS*:0000000000000000 rte_pv.c - /tmp/ccQf7NbS.s:31 .bss.rte_pv_corrupted_messages_count:0000000000000000 rte_pv_corrupted_messages_count - /tmp/ccQf7NbS.s:37 .bss.rte_pv_messages_count:0000000000000000 rte_pv_messages_count + /tmp/ccVxPTgo.s:31 .bss.rte_pv_corrupted_messages_count:0000000000000000 rte_pv_corrupted_messages_count + /tmp/ccVxPTgo.s:37 .bss.rte_pv_messages_count:0000000000000000 rte_pv_messages_count *COM*:0000000000000002 rte_pv_load_current *COM*:0000000000000002 rte_pv_cell_voltage *COM*:0000000000000002 rte_pv_battery_voltage @@ -51,8 +51,8 @@ DEFINED SYMBOLS *COM*:0000000000000024 rte_pv_struct *COM*:0000000000000001 rte_pv_sys_voltage *COM*:00000000000000c8 rte_pv_average - /tmp/ccQf7NbS.s:28 .bss.rte_pv_corrupted_messages_count:0000000000000000 $d - /tmp/ccQf7NbS.s:34 .bss.rte_pv_messages_count:0000000000000000 $d + /tmp/ccVxPTgo.s:28 .bss.rte_pv_corrupted_messages_count:0000000000000000 $d + /tmp/ccVxPTgo.s:34 .bss.rte_pv_messages_count:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.average_struct.h.9.41820d0d7dc25dca8a9005c6d1a8bb5f diff --git a/STM32L476_ParaMETEO/src/rte_rtu.o.lst b/STM32L476_ParaMETEO/src/rte_rtu.o.lst index ece6686..077f6fe 100644 --- a/STM32L476_ParaMETEO/src/rte_rtu.o.lst +++ b/STM32L476_ParaMETEO/src/rte_rtu.o.lst @@ -116,9 +116,9 @@ 83 .file 6 "../include/rte_rtu.h" DEFINED SYMBOLS *ABS*:0000000000000000 rte_rtu.c - /tmp/ccPbwdWr.s:18 .text.rte_rtu_init:0000000000000000 $t - /tmp/ccPbwdWr.s:25 .text.rte_rtu_init:0000000000000000 rte_rtu_init - /tmp/ccPbwdWr.s:48 .text.rte_rtu_init:0000000000000010 $d + /tmp/ccaWI2aZ.s:18 .text.rte_rtu_init:0000000000000000 $t + /tmp/ccaWI2aZ.s:25 .text.rte_rtu_init:0000000000000000 rte_rtu_init + /tmp/ccaWI2aZ.s:48 .text.rte_rtu_init:0000000000000010 $d *COM*:0000000000000004 rte_rtu_last_modbus_exception_timestamp *COM*:0000000000000004 rte_rtu_last_modbus_rx_error_timestamp *COM*:0000000000000001 rte_rtu_last_modbus_exception @@ -129,10 +129,10 @@ DEFINED SYMBOLS *COM*:000000000000000a rte_wx_modbus_rtu_f3 *COM*:000000000000000a rte_wx_modbus_rtu_f2 *COM*:000000000000000a rte_wx_modbus_rtu_f1 - /tmp/ccPbwdWr.s:75 .bss.rte_rtu_number_of_successfull_serial_comm:0000000000000000 rte_rtu_number_of_successfull_serial_comm - /tmp/ccPbwdWr.s:69 .bss.rte_rtu_number_of_serial_io_errors:0000000000000000 rte_rtu_number_of_serial_io_errors - /tmp/ccPbwdWr.s:70 .bss.rte_rtu_number_of_serial_io_errors:0000000000000000 $d - /tmp/ccPbwdWr.s:72 .bss.rte_rtu_number_of_successfull_serial_comm:0000000000000000 $d + /tmp/ccaWI2aZ.s:75 .bss.rte_rtu_number_of_successfull_serial_comm:0000000000000000 rte_rtu_number_of_successfull_serial_comm + /tmp/ccaWI2aZ.s:69 .bss.rte_rtu_number_of_serial_io_errors:0000000000000000 rte_rtu_number_of_serial_io_errors + /tmp/ccaWI2aZ.s:70 .bss.rte_rtu_number_of_serial_io_errors:0000000000000000 $d + /tmp/ccaWI2aZ.s:72 .bss.rte_rtu_number_of_successfull_serial_comm:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.station_config.h.9.d547169434a316afe56104ac612d2109 .group:0000000000000000 wm4.rtu_configuration.h.9.225559723a46d58da796e0ac6cb0b2ac diff --git a/STM32L476_ParaMETEO/src/rte_wx.o.lst b/STM32L476_ParaMETEO/src/rte_wx.o.lst index e6c039d..d9f63ce 100644 --- a/STM32L476_ParaMETEO/src/rte_wx.o.lst +++ b/STM32L476_ParaMETEO/src/rte_wx.o.lst @@ -432,76 +432,76 @@ 397 .file 27 "../include/main.h" DEFINED SYMBOLS *ABS*:0000000000000000 rte_wx.c - /tmp/ccUg4cU5.s:18 .text.rte_wx_init:0000000000000000 $t - /tmp/ccUg4cU5.s:25 .text.rte_wx_init:0000000000000000 rte_wx_init - /tmp/ccUg4cU5.s:81 .text.rte_wx_init:0000000000000034 $d + /tmp/ccNHp8kC.s:18 .text.rte_wx_init:0000000000000000 $t + /tmp/ccNHp8kC.s:25 .text.rte_wx_init:0000000000000000 rte_wx_init + /tmp/ccNHp8kC.s:81 .text.rte_wx_init:0000000000000034 $d *COM*:0000000000000024 rte_wx_windspeed *COM*:0000000000000024 rte_wx_winddirection *COM*:0000000000000001 rte_wx_pressure_it *COM*:0000000000000010 rte_wx_pressure_history - /tmp/ccUg4cU5.s:89 .text.rte_wx_update_last_measuremenet_timers:0000000000000000 $t - /tmp/ccUg4cU5.s:96 .text.rte_wx_update_last_measuremenet_timers:0000000000000000 rte_wx_update_last_measuremenet_timers - /tmp/ccUg4cU5.s:131 .text.rte_wx_update_last_measuremenet_timers:0000000000000020 $d - /tmp/ccUg4cU5.s:138 .text.rte_wx_reset_last_measuremenet_timers:0000000000000000 $t - /tmp/ccUg4cU5.s:145 .text.rte_wx_reset_last_measuremenet_timers:0000000000000000 rte_wx_reset_last_measuremenet_timers - /tmp/ccUg4cU5.s:178 .text.rte_wx_reset_last_measuremenet_timers:0000000000000020 $d + /tmp/ccNHp8kC.s:89 .text.rte_wx_update_last_measuremenet_timers:0000000000000000 $t + /tmp/ccNHp8kC.s:96 .text.rte_wx_update_last_measuremenet_timers:0000000000000000 rte_wx_update_last_measuremenet_timers + /tmp/ccNHp8kC.s:131 .text.rte_wx_update_last_measuremenet_timers:0000000000000020 $d + /tmp/ccNHp8kC.s:138 .text.rte_wx_reset_last_measuremenet_timers:0000000000000000 $t + /tmp/ccNHp8kC.s:145 .text.rte_wx_reset_last_measuremenet_timers:0000000000000000 rte_wx_reset_last_measuremenet_timers + /tmp/ccNHp8kC.s:178 .text.rte_wx_reset_last_measuremenet_timers:0000000000000020 $d *COM*:0000000000000014 rte_wx_davis_loop_content - /tmp/ccUg4cU5.s:235 .bss.rte_wx_davis_loop_packet_avaliable:0000000000000000 rte_wx_davis_loop_packet_avaliable - /tmp/ccUg4cU5.s:240 .bss.rte_wx_davis_station_avaliable:0000000000000000 rte_wx_davis_station_avaliable - /tmp/ccUg4cU5.s:363 .data.rte_wx_umb_qf:0000000000000000 rte_wx_umb_qf + /tmp/ccNHp8kC.s:235 .bss.rte_wx_davis_loop_packet_avaliable:0000000000000000 rte_wx_davis_loop_packet_avaliable + /tmp/ccNHp8kC.s:240 .bss.rte_wx_davis_station_avaliable:0000000000000000 rte_wx_davis_station_avaliable + /tmp/ccNHp8kC.s:363 .data.rte_wx_umb_qf:0000000000000000 rte_wx_umb_qf *COM*:0000000000000018 rte_wx_umb_channel_values - /tmp/ccUg4cU5.s:331 .bss.rte_wx_umb_last_status:0000000000000000 rte_wx_umb_last_status + /tmp/ccNHp8kC.s:331 .bss.rte_wx_umb_last_status:0000000000000000 rte_wx_umb_last_status *COM*:0000000000000030 rte_wx_umb_context *COM*:000000000000002f rte_wx_umb - /tmp/ccUg4cU5.s:368 .data.rte_wx_wind_qf:0000000000000000 rte_wx_wind_qf - /tmp/ccUg4cU5.s:358 .data.rte_wx_bme280_qf:0000000000000000 rte_wx_bme280_qf - /tmp/ccUg4cU5.s:266 .bss.rte_wx_ms5611_qf:0000000000000000 rte_wx_ms5611_qf + /tmp/ccNHp8kC.s:368 .data.rte_wx_wind_qf:0000000000000000 rte_wx_wind_qf + /tmp/ccNHp8kC.s:358 .data.rte_wx_bme280_qf:0000000000000000 rte_wx_bme280_qf + /tmp/ccNHp8kC.s:266 .bss.rte_wx_ms5611_qf:0000000000000000 rte_wx_ms5611_qf *COM*:0000000000000030 rte_wx_dallas_average - /tmp/ccUg4cU5.s:245 .bss.rte_wx_error_dallas_qf:0000000000000000 rte_wx_error_dallas_qf + /tmp/ccNHp8kC.s:245 .bss.rte_wx_error_dallas_qf:0000000000000000 rte_wx_error_dallas_qf *COM*:0000000000000001 rte_wx_current_dallas_qf - /tmp/ccUg4cU5.s:255 .bss.rte_wx_humidity_valid:0000000000000000 rte_wx_humidity_valid - /tmp/ccUg4cU5.s:250 .bss.rte_wx_humidity:0000000000000000 rte_wx_humidity - /tmp/ccUg4cU5.s:224 .bss.rte_wx_average_winddirection:0000000000000000 rte_wx_average_winddirection - /tmp/ccUg4cU5.s:261 .bss.rte_wx_max_windspeed:0000000000000000 rte_wx_max_windspeed - /tmp/ccUg4cU5.s:230 .bss.rte_wx_average_windspeed:0000000000000000 rte_wx_average_windspeed - /tmp/ccUg4cU5.s:342 .bss.rte_wx_winddirection_last:0000000000000000 rte_wx_winddirection_last - /tmp/ccUg4cU5.s:336 .bss.rte_wx_winddirection_it:0000000000000000 rte_wx_winddirection_it - /tmp/ccUg4cU5.s:347 .bss.rte_wx_windspeed_it:0000000000000000 rte_wx_windspeed_it - /tmp/ccUg4cU5.s:353 .bss.rte_wx_windspeed_pulses:0000000000000000 rte_wx_windspeed_pulses - /tmp/ccUg4cU5.s:278 .bss.rte_wx_pressure_valid:0000000000000000 rte_wx_pressure_valid - /tmp/ccUg4cU5.s:272 .bss.rte_wx_pressure:0000000000000000 rte_wx_pressure - /tmp/ccUg4cU5.s:314 .bss.rte_wx_temperature_internal_valid:0000000000000000 rte_wx_temperature_internal_valid - /tmp/ccUg4cU5.s:308 .bss.rte_wx_temperature_internal:0000000000000000 rte_wx_temperature_internal - /tmp/ccUg4cU5.s:320 .bss.rte_wx_temperature_max_external_valid:0000000000000000 rte_wx_temperature_max_external_valid - /tmp/ccUg4cU5.s:326 .bss.rte_wx_temperature_min_external_valid:0000000000000000 rte_wx_temperature_min_external_valid - /tmp/ccUg4cU5.s:284 .bss.rte_wx_temperature_average_external_valid:0000000000000000 rte_wx_temperature_average_external_valid - /tmp/ccUg4cU5.s:296 .bss.rte_wx_temperature_external_slew_rate:0000000000000000 rte_wx_temperature_external_slew_rate - /tmp/ccUg4cU5.s:302 .bss.rte_wx_temperature_external_valid:0000000000000000 rte_wx_temperature_external_valid - /tmp/ccUg4cU5.s:290 .bss.rte_wx_temperature_external:0000000000000000 rte_wx_temperature_external - /tmp/ccUg4cU5.s:221 .bss.rte_wx_average_winddirection:0000000000000000 $d - /tmp/ccUg4cU5.s:227 .bss.rte_wx_average_windspeed:0000000000000000 $d - /tmp/ccUg4cU5.s:236 .bss.rte_wx_davis_loop_packet_avaliable:0000000000000000 $d - /tmp/ccUg4cU5.s:241 .bss.rte_wx_davis_station_avaliable:0000000000000000 $d - /tmp/ccUg4cU5.s:246 .bss.rte_wx_error_dallas_qf:0000000000000000 $d - /tmp/ccUg4cU5.s:251 .bss.rte_wx_humidity:0000000000000000 $d - /tmp/ccUg4cU5.s:256 .bss.rte_wx_humidity_valid:0000000000000000 $d - /tmp/ccUg4cU5.s:258 .bss.rte_wx_max_windspeed:0000000000000000 $d - /tmp/ccUg4cU5.s:267 .bss.rte_wx_ms5611_qf:0000000000000000 $d - /tmp/ccUg4cU5.s:269 .bss.rte_wx_pressure:0000000000000000 $d - /tmp/ccUg4cU5.s:275 .bss.rte_wx_pressure_valid:0000000000000000 $d - /tmp/ccUg4cU5.s:281 .bss.rte_wx_temperature_average_external_valid:0000000000000000 $d - /tmp/ccUg4cU5.s:287 .bss.rte_wx_temperature_external:0000000000000000 $d - /tmp/ccUg4cU5.s:293 .bss.rte_wx_temperature_external_slew_rate:0000000000000000 $d - /tmp/ccUg4cU5.s:299 .bss.rte_wx_temperature_external_valid:0000000000000000 $d - /tmp/ccUg4cU5.s:305 .bss.rte_wx_temperature_internal:0000000000000000 $d - /tmp/ccUg4cU5.s:311 .bss.rte_wx_temperature_internal_valid:0000000000000000 $d - /tmp/ccUg4cU5.s:317 .bss.rte_wx_temperature_max_external_valid:0000000000000000 $d - /tmp/ccUg4cU5.s:323 .bss.rte_wx_temperature_min_external_valid:0000000000000000 $d - /tmp/ccUg4cU5.s:332 .bss.rte_wx_umb_last_status:0000000000000000 $d - /tmp/ccUg4cU5.s:337 .bss.rte_wx_winddirection_it:0000000000000000 $d - /tmp/ccUg4cU5.s:339 .bss.rte_wx_winddirection_last:0000000000000000 $d - /tmp/ccUg4cU5.s:348 .bss.rte_wx_windspeed_it:0000000000000000 $d - /tmp/ccUg4cU5.s:350 .bss.rte_wx_windspeed_pulses:0000000000000000 $d + /tmp/ccNHp8kC.s:255 .bss.rte_wx_humidity_valid:0000000000000000 rte_wx_humidity_valid + /tmp/ccNHp8kC.s:250 .bss.rte_wx_humidity:0000000000000000 rte_wx_humidity + /tmp/ccNHp8kC.s:224 .bss.rte_wx_average_winddirection:0000000000000000 rte_wx_average_winddirection + /tmp/ccNHp8kC.s:261 .bss.rte_wx_max_windspeed:0000000000000000 rte_wx_max_windspeed + /tmp/ccNHp8kC.s:230 .bss.rte_wx_average_windspeed:0000000000000000 rte_wx_average_windspeed + /tmp/ccNHp8kC.s:342 .bss.rte_wx_winddirection_last:0000000000000000 rte_wx_winddirection_last + /tmp/ccNHp8kC.s:336 .bss.rte_wx_winddirection_it:0000000000000000 rte_wx_winddirection_it + /tmp/ccNHp8kC.s:347 .bss.rte_wx_windspeed_it:0000000000000000 rte_wx_windspeed_it + /tmp/ccNHp8kC.s:353 .bss.rte_wx_windspeed_pulses:0000000000000000 rte_wx_windspeed_pulses + /tmp/ccNHp8kC.s:278 .bss.rte_wx_pressure_valid:0000000000000000 rte_wx_pressure_valid + /tmp/ccNHp8kC.s:272 .bss.rte_wx_pressure:0000000000000000 rte_wx_pressure + /tmp/ccNHp8kC.s:314 .bss.rte_wx_temperature_internal_valid:0000000000000000 rte_wx_temperature_internal_valid + /tmp/ccNHp8kC.s:308 .bss.rte_wx_temperature_internal:0000000000000000 rte_wx_temperature_internal + /tmp/ccNHp8kC.s:320 .bss.rte_wx_temperature_max_external_valid:0000000000000000 rte_wx_temperature_max_external_valid + /tmp/ccNHp8kC.s:326 .bss.rte_wx_temperature_min_external_valid:0000000000000000 rte_wx_temperature_min_external_valid + /tmp/ccNHp8kC.s:284 .bss.rte_wx_temperature_average_external_valid:0000000000000000 rte_wx_temperature_average_external_valid + /tmp/ccNHp8kC.s:296 .bss.rte_wx_temperature_external_slew_rate:0000000000000000 rte_wx_temperature_external_slew_rate + /tmp/ccNHp8kC.s:302 .bss.rte_wx_temperature_external_valid:0000000000000000 rte_wx_temperature_external_valid + /tmp/ccNHp8kC.s:290 .bss.rte_wx_temperature_external:0000000000000000 rte_wx_temperature_external + /tmp/ccNHp8kC.s:221 .bss.rte_wx_average_winddirection:0000000000000000 $d + /tmp/ccNHp8kC.s:227 .bss.rte_wx_average_windspeed:0000000000000000 $d + /tmp/ccNHp8kC.s:236 .bss.rte_wx_davis_loop_packet_avaliable:0000000000000000 $d + /tmp/ccNHp8kC.s:241 .bss.rte_wx_davis_station_avaliable:0000000000000000 $d + /tmp/ccNHp8kC.s:246 .bss.rte_wx_error_dallas_qf:0000000000000000 $d + /tmp/ccNHp8kC.s:251 .bss.rte_wx_humidity:0000000000000000 $d + /tmp/ccNHp8kC.s:256 .bss.rte_wx_humidity_valid:0000000000000000 $d + /tmp/ccNHp8kC.s:258 .bss.rte_wx_max_windspeed:0000000000000000 $d + /tmp/ccNHp8kC.s:267 .bss.rte_wx_ms5611_qf:0000000000000000 $d + /tmp/ccNHp8kC.s:269 .bss.rte_wx_pressure:0000000000000000 $d + /tmp/ccNHp8kC.s:275 .bss.rte_wx_pressure_valid:0000000000000000 $d + /tmp/ccNHp8kC.s:281 .bss.rte_wx_temperature_average_external_valid:0000000000000000 $d + /tmp/ccNHp8kC.s:287 .bss.rte_wx_temperature_external:0000000000000000 $d + /tmp/ccNHp8kC.s:293 .bss.rte_wx_temperature_external_slew_rate:0000000000000000 $d + /tmp/ccNHp8kC.s:299 .bss.rte_wx_temperature_external_valid:0000000000000000 $d + /tmp/ccNHp8kC.s:305 .bss.rte_wx_temperature_internal:0000000000000000 $d + /tmp/ccNHp8kC.s:311 .bss.rte_wx_temperature_internal_valid:0000000000000000 $d + /tmp/ccNHp8kC.s:317 .bss.rte_wx_temperature_max_external_valid:0000000000000000 $d + /tmp/ccNHp8kC.s:323 .bss.rte_wx_temperature_min_external_valid:0000000000000000 $d + /tmp/ccNHp8kC.s:332 .bss.rte_wx_umb_last_status:0000000000000000 $d + /tmp/ccNHp8kC.s:337 .bss.rte_wx_winddirection_it:0000000000000000 $d + /tmp/ccNHp8kC.s:339 .bss.rte_wx_winddirection_last:0000000000000000 $d + /tmp/ccNHp8kC.s:348 .bss.rte_wx_windspeed_it:0000000000000000 $d + /tmp/ccNHp8kC.s:350 .bss.rte_wx_windspeed_pulses:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.station_config.h.9.d547169434a316afe56104ac612d2109 .group:0000000000000000 wm4.station_config_target_hw.h.9.a20e080416e80d1cff9c1b51cc464832 @@ -547,7 +547,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.config.h.2.f5e96167cb26b0ce97c5e7513a95bc8b .group:0000000000000000 wm4.afsk.h.17.cf8f922069680e152e1eddb76cd4cc82 .group:0000000000000000 wm4.ax25.h.18.077e42a4af9016476e34900472a8fe18 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 UNDEFINED SYMBOLS master_time diff --git a/STM32L476_ParaMETEO/src/wx_handler.o.lst b/STM32L476_ParaMETEO/src/wx_handler.o.lst index 4c8da89..bbd1ddb 100644 --- a/STM32L476_ParaMETEO/src/wx_handler.o.lst +++ b/STM32L476_ParaMETEO/src/wx_handler.o.lst @@ -1565,35 +1565,35 @@ 1018 .file 40 "../include/wx_handler_humidity.h" DEFINED SYMBOLS *ABS*:0000000000000000 wx_handler.c - /tmp/ccyIUy5E.s:18 .text.wx_check_force_i2c_reset:0000000000000000 $t - /tmp/ccyIUy5E.s:25 .text.wx_check_force_i2c_reset:0000000000000000 wx_check_force_i2c_reset - /tmp/ccyIUy5E.s:62 .text.wx_check_force_i2c_reset:0000000000000024 $d - /tmp/ccyIUy5E.s:68 .text.wx_get_all_measurements:0000000000000000 $t - /tmp/ccyIUy5E.s:75 .text.wx_get_all_measurements:0000000000000000 wx_get_all_measurements - /tmp/ccyIUy5E.s:178 .text.wx_get_all_measurements:0000000000000084 $d - /tmp/ccyIUy5E.s:183 .text.wx_get_bme280_temperature_pressure_humidity:0000000000000000 $t - /tmp/ccyIUy5E.s:190 .text.wx_get_bme280_temperature_pressure_humidity:0000000000000000 wx_get_bme280_temperature_pressure_humidity - /tmp/ccyIUy5E.s:229 .text.wx_get_bme280_temperature_pressure_humidity:0000000000000020 $d - /tmp/ccyIUy5E.s:238 .text.wx_pool_anemometer:0000000000000000 $t - /tmp/ccyIUy5E.s:245 .text.wx_pool_anemometer:0000000000000000 wx_pool_anemometer - /tmp/ccyIUy5E.s:688 .text.wx_pool_anemometer:0000000000000260 $d - /tmp/ccyIUy5E.s:707 .text.wx_pwr_init:0000000000000000 $t - /tmp/ccyIUy5E.s:714 .text.wx_pwr_init:0000000000000000 wx_pwr_init - /tmp/ccyIUy5E.s:754 .text.wx_pwr_init:0000000000000028 $d - /tmp/ccyIUy5E.s:759 .text.wx_pwr_periodic_handle:0000000000000000 $t - /tmp/ccyIUy5E.s:766 .text.wx_pwr_periodic_handle:0000000000000000 wx_pwr_periodic_handle - /tmp/ccyIUy5E.s:926 .text.wx_pwr_periodic_handle:00000000000000cc $d + /tmp/cczMkZcd.s:18 .text.wx_check_force_i2c_reset:0000000000000000 $t + /tmp/cczMkZcd.s:25 .text.wx_check_force_i2c_reset:0000000000000000 wx_check_force_i2c_reset + /tmp/cczMkZcd.s:62 .text.wx_check_force_i2c_reset:0000000000000024 $d + /tmp/cczMkZcd.s:68 .text.wx_get_all_measurements:0000000000000000 $t + /tmp/cczMkZcd.s:75 .text.wx_get_all_measurements:0000000000000000 wx_get_all_measurements + /tmp/cczMkZcd.s:178 .text.wx_get_all_measurements:0000000000000084 $d + /tmp/cczMkZcd.s:183 .text.wx_get_bme280_temperature_pressure_humidity:0000000000000000 $t + /tmp/cczMkZcd.s:190 .text.wx_get_bme280_temperature_pressure_humidity:0000000000000000 wx_get_bme280_temperature_pressure_humidity + /tmp/cczMkZcd.s:229 .text.wx_get_bme280_temperature_pressure_humidity:0000000000000020 $d + /tmp/cczMkZcd.s:238 .text.wx_pool_anemometer:0000000000000000 $t + /tmp/cczMkZcd.s:245 .text.wx_pool_anemometer:0000000000000000 wx_pool_anemometer + /tmp/cczMkZcd.s:688 .text.wx_pool_anemometer:0000000000000260 $d + /tmp/cczMkZcd.s:707 .text.wx_pwr_init:0000000000000000 $t + /tmp/cczMkZcd.s:714 .text.wx_pwr_init:0000000000000000 wx_pwr_init + /tmp/cczMkZcd.s:754 .text.wx_pwr_init:0000000000000028 $d + /tmp/cczMkZcd.s:759 .text.wx_pwr_periodic_handle:0000000000000000 $t + /tmp/cczMkZcd.s:766 .text.wx_pwr_periodic_handle:0000000000000000 wx_pwr_periodic_handle + /tmp/cczMkZcd.s:926 .text.wx_pwr_periodic_handle:00000000000000cc $d *COM*:0000000000000001 wx_pwr_state - /tmp/ccyIUy5E.s:946 .bss.wx_force_i2c_sensor_reset:0000000000000000 wx_force_i2c_sensor_reset - /tmp/ccyIUy5E.s:967 .bss.wx_wind_pool_call_counter:0000000000000000 wx_wind_pool_call_counter - /tmp/ccyIUy5E.s:953 .bss.wx_last_good_temperature_time:0000000000000000 wx_last_good_temperature_time - /tmp/ccyIUy5E.s:960 .bss.wx_last_good_wind_time:0000000000000000 wx_last_good_wind_time - /tmp/ccyIUy5E.s:947 .bss.wx_force_i2c_sensor_reset:0000000000000000 $d - /tmp/ccyIUy5E.s:949 .bss.wx_last_good_temperature_time:0000000000000000 $d - /tmp/ccyIUy5E.s:956 .bss.wx_last_good_wind_time:0000000000000000 $d - /tmp/ccyIUy5E.s:963 .bss.wx_wind_pool_call_counter:0000000000000000 $d - /tmp/ccyIUy5E.s:970 .rodata.internal:0000000000000000 $d - /tmp/ccyIUy5E.s:974 .rodata.internal:0000000000000000 internal + /tmp/cczMkZcd.s:946 .bss.wx_force_i2c_sensor_reset:0000000000000000 wx_force_i2c_sensor_reset + /tmp/cczMkZcd.s:967 .bss.wx_wind_pool_call_counter:0000000000000000 wx_wind_pool_call_counter + /tmp/cczMkZcd.s:953 .bss.wx_last_good_temperature_time:0000000000000000 wx_last_good_temperature_time + /tmp/cczMkZcd.s:960 .bss.wx_last_good_wind_time:0000000000000000 wx_last_good_wind_time + /tmp/cczMkZcd.s:947 .bss.wx_force_i2c_sensor_reset:0000000000000000 $d + /tmp/cczMkZcd.s:949 .bss.wx_last_good_temperature_time:0000000000000000 $d + /tmp/cczMkZcd.s:956 .bss.wx_last_good_wind_time:0000000000000000 $d + /tmp/cczMkZcd.s:963 .bss.wx_wind_pool_call_counter:0000000000000000 $d + /tmp/cczMkZcd.s:970 .rodata.internal:0000000000000000 $d + /tmp/cczMkZcd.s:974 .rodata.internal:0000000000000000 internal .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.config_data.h.9.6026a38cd2f39158a8fd50707ba1bf90 @@ -1641,7 +1641,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.config.h.2.f5e96167cb26b0ce97c5e7513a95bc8b .group:0000000000000000 wm4.afsk.h.17.cf8f922069680e152e1eddb76cd4cc82 .group:0000000000000000 wm4.ax25.h.18.077e42a4af9016476e34900472a8fe18 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 .group:0000000000000000 wm4.average_struct.h.9.41820d0d7dc25dca8a9005c6d1a8bb5f .group:0000000000000000 wm4.telemetry.h.21.a87436b8ee86f5e60170454e063be1e3 .group:0000000000000000 wm4.parser.h.9.2d68c8669e0bffa77926bbb9bf5a2aba diff --git a/STM32L476_ParaMETEO/src/wx_handler_humidity.o.lst b/STM32L476_ParaMETEO/src/wx_handler_humidity.o.lst index 438f6e5..d430f16 100644 --- a/STM32L476_ParaMETEO/src/wx_handler_humidity.o.lst +++ b/STM32L476_ParaMETEO/src/wx_handler_humidity.o.lst @@ -359,12 +359,12 @@ 205 .file 24 "../system/include/modbus_rtu/rtu_getters.h" DEFINED SYMBOLS *ABS*:0000000000000000 wx_handler_humidity.c - /tmp/ccqPcKzh.s:18 .text.wx_get_humidity_bme280:0000000000000000 $t - /tmp/ccqPcKzh.s:25 .text.wx_get_humidity_bme280:0000000000000000 wx_get_humidity_bme280 - /tmp/ccqPcKzh.s:68 .text.wx_get_humidity_bme280:0000000000000020 $d - /tmp/ccqPcKzh.s:74 .text.wx_get_humidity_measurement:0000000000000000 $t - /tmp/ccqPcKzh.s:81 .text.wx_get_humidity_measurement:0000000000000000 wx_get_humidity_measurement - /tmp/ccqPcKzh.s:177 .text.wx_get_humidity_measurement:000000000000005c $d + /tmp/ccovzYyN.s:18 .text.wx_get_humidity_bme280:0000000000000000 $t + /tmp/ccovzYyN.s:25 .text.wx_get_humidity_bme280:0000000000000000 wx_get_humidity_bme280 + /tmp/ccovzYyN.s:68 .text.wx_get_humidity_bme280:0000000000000020 $d + /tmp/ccovzYyN.s:74 .text.wx_get_humidity_measurement:0000000000000000 $t + /tmp/ccovzYyN.s:81 .text.wx_get_humidity_measurement:0000000000000000 wx_get_humidity_measurement + /tmp/ccovzYyN.s:177 .text.wx_get_humidity_measurement:000000000000005c $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.config_data.h.20.3fa825aa23b2311026266e3d076368dc diff --git a/STM32L476_ParaMETEO/src/wx_handler_pressure.o.lst b/STM32L476_ParaMETEO/src/wx_handler_pressure.o.lst index a7a32a8..38b1f4e 100644 --- a/STM32L476_ParaMETEO/src/wx_handler_pressure.o.lst +++ b/STM32L476_ParaMETEO/src/wx_handler_pressure.o.lst @@ -574,17 +574,17 @@ 348 .file 29 "../system/include/modbus_rtu/rtu_getters.h" DEFINED SYMBOLS *ABS*:0000000000000000 wx_handler_pressure.c - /tmp/ccFhAN6U.s:18 .text.wx_get_pressure_ms5611:0000000000000000 $t - /tmp/ccFhAN6U.s:25 .text.wx_get_pressure_ms5611:0000000000000000 wx_get_pressure_ms5611 - /tmp/ccFhAN6U.s:47 .text.wx_get_pressure_ms5611:000000000000000c $d - /tmp/ccFhAN6U.s:52 .text.wx_get_pressure_bme280:0000000000000000 $t - /tmp/ccFhAN6U.s:59 .text.wx_get_pressure_bme280:0000000000000000 wx_get_pressure_bme280 - /tmp/ccFhAN6U.s:104 .text.wx_get_pressure_bme280:0000000000000028 $d - /tmp/ccFhAN6U.s:110 .text.wx_get_pressure_measurement:0000000000000000 $t - /tmp/ccFhAN6U.s:117 .text.wx_get_pressure_measurement:0000000000000000 wx_get_pressure_measurement - /tmp/ccFhAN6U.s:139 .text.wx_get_pressure_measurement:0000000000000010 $d - /tmp/ccFhAN6U.s:143 .text.wx_get_pressure_measurement:0000000000000014 $t - /tmp/ccFhAN6U.s:308 .text.wx_get_pressure_measurement:00000000000000e0 $d + /tmp/ccmOCzms.s:18 .text.wx_get_pressure_ms5611:0000000000000000 $t + /tmp/ccmOCzms.s:25 .text.wx_get_pressure_ms5611:0000000000000000 wx_get_pressure_ms5611 + /tmp/ccmOCzms.s:47 .text.wx_get_pressure_ms5611:000000000000000c $d + /tmp/ccmOCzms.s:52 .text.wx_get_pressure_bme280:0000000000000000 $t + /tmp/ccmOCzms.s:59 .text.wx_get_pressure_bme280:0000000000000000 wx_get_pressure_bme280 + /tmp/ccmOCzms.s:104 .text.wx_get_pressure_bme280:0000000000000028 $d + /tmp/ccmOCzms.s:110 .text.wx_get_pressure_measurement:0000000000000000 $t + /tmp/ccmOCzms.s:117 .text.wx_get_pressure_measurement:0000000000000000 wx_get_pressure_measurement + /tmp/ccmOCzms.s:139 .text.wx_get_pressure_measurement:0000000000000010 $d + /tmp/ccmOCzms.s:143 .text.wx_get_pressure_measurement:0000000000000014 $t + /tmp/ccmOCzms.s:308 .text.wx_get_pressure_measurement:00000000000000e0 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.config_data.h.20.3fa825aa23b2311026266e3d076368dc @@ -630,7 +630,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.config.h.2.f5e96167cb26b0ce97c5e7513a95bc8b .group:0000000000000000 wm4.afsk.h.17.cf8f922069680e152e1eddb76cd4cc82 .group:0000000000000000 wm4.ax25.h.18.077e42a4af9016476e34900472a8fe18 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 .group:0000000000000000 wm4.rtu_return_values.h.9.ebf5128ee53fb26e4da5d61aff7556b7 UNDEFINED SYMBOLS diff --git a/STM32L476_ParaMETEO/src/wx_handler_temperature.o.lst b/STM32L476_ParaMETEO/src/wx_handler_temperature.o.lst index d2c1509..6ac2a8a 100644 --- a/STM32L476_ParaMETEO/src/wx_handler_temperature.o.lst +++ b/STM32L476_ParaMETEO/src/wx_handler_temperature.o.lst @@ -705,21 +705,21 @@ 440 .file 29 "../system/include/modbus_rtu/rtu_getters.h" DEFINED SYMBOLS *ABS*:0000000000000000 wx_handler_temperature.c - /tmp/ccP4uzNH.s:18 .text.wx_get_temperature_dallas:0000000000000000 $t - /tmp/ccP4uzNH.s:25 .text.wx_get_temperature_dallas:0000000000000000 wx_get_temperature_dallas - /tmp/ccP4uzNH.s:146 .text.wx_get_temperature_dallas:00000000000000d0 $d - /tmp/ccP4uzNH.s:163 .text.wx_get_temperature_ms5611:0000000000000000 $t - /tmp/ccP4uzNH.s:170 .text.wx_get_temperature_ms5611:0000000000000000 wx_get_temperature_ms5611 - /tmp/ccP4uzNH.s:191 .text.wx_get_temperature_ms5611:000000000000000c $d - /tmp/ccP4uzNH.s:196 .text.wx_get_temperature_bme280:0000000000000000 $t - /tmp/ccP4uzNH.s:203 .text.wx_get_temperature_bme280:0000000000000000 wx_get_temperature_bme280 - /tmp/ccP4uzNH.s:248 .text.wx_get_temperature_bme280:0000000000000028 $d - /tmp/ccP4uzNH.s:254 .text.wx_get_temperature_measurement:0000000000000000 $t - /tmp/ccP4uzNH.s:261 .text.wx_get_temperature_measurement:0000000000000000 wx_get_temperature_measurement - /tmp/ccP4uzNH.s:283 .text.wx_get_temperature_measurement:0000000000000010 $d - /tmp/ccP4uzNH.s:287 .text.wx_get_temperature_measurement:0000000000000014 $t - /tmp/ccP4uzNH.s:397 .text.wx_get_temperature_measurement:0000000000000080 $d - /tmp/ccP4uzNH.s:410 .data.wx_inhibit_slew_rate_check:0000000000000000 wx_inhibit_slew_rate_check + /tmp/cc9eol10.s:18 .text.wx_get_temperature_dallas:0000000000000000 $t + /tmp/cc9eol10.s:25 .text.wx_get_temperature_dallas:0000000000000000 wx_get_temperature_dallas + /tmp/cc9eol10.s:146 .text.wx_get_temperature_dallas:00000000000000d0 $d + /tmp/cc9eol10.s:163 .text.wx_get_temperature_ms5611:0000000000000000 $t + /tmp/cc9eol10.s:170 .text.wx_get_temperature_ms5611:0000000000000000 wx_get_temperature_ms5611 + /tmp/cc9eol10.s:191 .text.wx_get_temperature_ms5611:000000000000000c $d + /tmp/cc9eol10.s:196 .text.wx_get_temperature_bme280:0000000000000000 $t + /tmp/cc9eol10.s:203 .text.wx_get_temperature_bme280:0000000000000000 wx_get_temperature_bme280 + /tmp/cc9eol10.s:248 .text.wx_get_temperature_bme280:0000000000000028 $d + /tmp/cc9eol10.s:254 .text.wx_get_temperature_measurement:0000000000000000 $t + /tmp/cc9eol10.s:261 .text.wx_get_temperature_measurement:0000000000000000 wx_get_temperature_measurement + /tmp/cc9eol10.s:283 .text.wx_get_temperature_measurement:0000000000000010 $d + /tmp/cc9eol10.s:287 .text.wx_get_temperature_measurement:0000000000000014 $t + /tmp/cc9eol10.s:397 .text.wx_get_temperature_measurement:0000000000000080 $d + /tmp/cc9eol10.s:410 .data.wx_inhibit_slew_rate_check:0000000000000000 wx_inhibit_slew_rate_check .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.config_data.h.20.3fa825aa23b2311026266e3d076368dc @@ -765,7 +765,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.config.h.2.f5e96167cb26b0ce97c5e7513a95bc8b .group:0000000000000000 wm4.afsk.h.17.cf8f922069680e152e1eddb76cd4cc82 .group:0000000000000000 wm4.ax25.h.18.077e42a4af9016476e34900472a8fe18 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 .group:0000000000000000 wm4.rtu_return_values.h.9.ebf5128ee53fb26e4da5d61aff7556b7 UNDEFINED SYMBOLS diff --git a/STM32L476_ParaMETEO/system/src/aprs/adc.o.lst b/STM32L476_ParaMETEO/system/src/aprs/adc.o.lst index db7f9b4..9051af3 100644 --- a/STM32L476_ParaMETEO/system/src/aprs/adc.o.lst +++ b/STM32L476_ParaMETEO/system/src/aprs/adc.o.lst @@ -185,12 +185,12 @@ 106 .file 13 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/stdlib.h" DEFINED SYMBOLS *ABS*:0000000000000000 adc.c - /tmp/ccPz7Cx5.s:18 .text.AD_Init:0000000000000000 $t - /tmp/ccPz7Cx5.s:25 .text.AD_Init:0000000000000000 AD_Init - /tmp/ccPz7Cx5.s:40 .text.AD_Start:0000000000000000 $t - /tmp/ccPz7Cx5.s:47 .text.AD_Start:0000000000000000 AD_Start - /tmp/ccPz7Cx5.s:65 .text.AD_Stop:0000000000000000 $t - /tmp/ccPz7Cx5.s:72 .text.AD_Stop:0000000000000000 AD_Stop + /tmp/ccxIhjUP.s:18 .text.AD_Init:0000000000000000 $t + /tmp/ccxIhjUP.s:25 .text.AD_Init:0000000000000000 AD_Init + /tmp/ccxIhjUP.s:40 .text.AD_Start:0000000000000000 $t + /tmp/ccxIhjUP.s:47 .text.AD_Start:0000000000000000 AD_Start + /tmp/ccxIhjUP.s:65 .text.AD_Stop:0000000000000000 $t + /tmp/ccxIhjUP.s:72 .text.AD_Stop:0000000000000000 AD_Stop *COM*:0000000000000004 samplecount *COM*:0000000000000002 max_value *COM*:0000000000000020 ADCValue diff --git a/STM32L476_ParaMETEO/system/src/aprs/afsk.o.lst b/STM32L476_ParaMETEO/system/src/aprs/afsk.o.lst index 86a8557..91830fa 100644 --- a/STM32L476_ParaMETEO/system/src/aprs/afsk.o.lst +++ b/STM32L476_ParaMETEO/system/src/aprs/afsk.o.lst @@ -1864,52 +1864,52 @@ 1374 .file 13 "../system/include/aprs/dac.h" DEFINED SYMBOLS *ABS*:0000000000000000 afsk.c - /tmp/ccRcEsSE.s:18 .text.fifo_isempty:0000000000000000 $t - /tmp/ccRcEsSE.s:24 .text.fifo_isempty:0000000000000000 fifo_isempty - /tmp/ccRcEsSE.s:47 .text.fifo_isfull:0000000000000000 $t - /tmp/ccRcEsSE.s:53 .text.fifo_isfull:0000000000000000 fifo_isfull - /tmp/ccRcEsSE.s:98 .text.fifo_push:0000000000000000 $t - /tmp/ccRcEsSE.s:104 .text.fifo_push:0000000000000000 fifo_push - /tmp/ccRcEsSE.s:135 .text.fifo_pop:0000000000000000 $t - /tmp/ccRcEsSE.s:141 .text.fifo_pop:0000000000000000 fifo_pop - /tmp/ccRcEsSE.s:175 .text.fifo_flush:0000000000000000 $t - /tmp/ccRcEsSE.s:181 .text.fifo_flush:0000000000000000 fifo_flush - /tmp/ccRcEsSE.s:198 .text.fifo_init:0000000000000000 $t - /tmp/ccRcEsSE.s:204 .text.fifo_init:0000000000000000 fifo_init - /tmp/ccRcEsSE.s:228 .text.sin_sample:0000000000000000 $t - /tmp/ccRcEsSE.s:234 .text.sin_sample:0000000000000000 sin_sample - /tmp/ccRcEsSE.s:274 .text.sin_sample:000000000000001c $d - /tmp/ccRcEsSE.s:279 .text.hdlc_parse:0000000000000000 $t - /tmp/ccRcEsSE.s:285 .text.hdlc_parse:0000000000000000 hdlc_parse - /tmp/ccRcEsSE.s:497 .text.afsk_demod:0000000000000000 $t - /tmp/ccRcEsSE.s:503 .text.afsk_demod:0000000000000000 afsk_demod - /tmp/ccRcEsSE.s:608 .text.afsk_demod:0000000000000084 $d - /tmp/ccRcEsSE.s:618 .text.AFSK_ADC_ISR:0000000000000000 $t - /tmp/ccRcEsSE.s:625 .text.AFSK_ADC_ISR:0000000000000000 AFSK_ADC_ISR - /tmp/ccRcEsSE.s:729 .text.afsk_txStart:0000000000000000 $t - /tmp/ccRcEsSE.s:736 .text.afsk_txStart:0000000000000000 afsk_txStart - /tmp/ccRcEsSE.s:786 .text.afsk_txStart:0000000000000038 $d - /tmp/ccRcEsSE.s:791 .text.AFSK_DAC_ISR:0000000000000000 $t - /tmp/ccRcEsSE.s:798 .text.AFSK_DAC_ISR:0000000000000000 AFSK_DAC_ISR - /tmp/ccRcEsSE.s:1012 .text.AFSK_Init:0000000000000000 $t - /tmp/ccRcEsSE.s:1019 .text.AFSK_Init:0000000000000000 AFSK_Init - /tmp/ccRcEsSE.s:1170 .text.AFSK_Init:0000000000000120 $d + /tmp/ccfLUGKr.s:18 .text.fifo_isempty:0000000000000000 $t + /tmp/ccfLUGKr.s:24 .text.fifo_isempty:0000000000000000 fifo_isempty + /tmp/ccfLUGKr.s:47 .text.fifo_isfull:0000000000000000 $t + /tmp/ccfLUGKr.s:53 .text.fifo_isfull:0000000000000000 fifo_isfull + /tmp/ccfLUGKr.s:98 .text.fifo_push:0000000000000000 $t + /tmp/ccfLUGKr.s:104 .text.fifo_push:0000000000000000 fifo_push + /tmp/ccfLUGKr.s:135 .text.fifo_pop:0000000000000000 $t + /tmp/ccfLUGKr.s:141 .text.fifo_pop:0000000000000000 fifo_pop + /tmp/ccfLUGKr.s:175 .text.fifo_flush:0000000000000000 $t + /tmp/ccfLUGKr.s:181 .text.fifo_flush:0000000000000000 fifo_flush + /tmp/ccfLUGKr.s:198 .text.fifo_init:0000000000000000 $t + /tmp/ccfLUGKr.s:204 .text.fifo_init:0000000000000000 fifo_init + /tmp/ccfLUGKr.s:228 .text.sin_sample:0000000000000000 $t + /tmp/ccfLUGKr.s:234 .text.sin_sample:0000000000000000 sin_sample + /tmp/ccfLUGKr.s:274 .text.sin_sample:000000000000001c $d + /tmp/ccfLUGKr.s:279 .text.hdlc_parse:0000000000000000 $t + /tmp/ccfLUGKr.s:285 .text.hdlc_parse:0000000000000000 hdlc_parse + /tmp/ccfLUGKr.s:497 .text.afsk_demod:0000000000000000 $t + /tmp/ccfLUGKr.s:503 .text.afsk_demod:0000000000000000 afsk_demod + /tmp/ccfLUGKr.s:608 .text.afsk_demod:0000000000000084 $d + /tmp/ccfLUGKr.s:618 .text.AFSK_ADC_ISR:0000000000000000 $t + /tmp/ccfLUGKr.s:625 .text.AFSK_ADC_ISR:0000000000000000 AFSK_ADC_ISR + /tmp/ccfLUGKr.s:729 .text.afsk_txStart:0000000000000000 $t + /tmp/ccfLUGKr.s:736 .text.afsk_txStart:0000000000000000 afsk_txStart + /tmp/ccfLUGKr.s:786 .text.afsk_txStart:0000000000000038 $d + /tmp/ccfLUGKr.s:791 .text.AFSK_DAC_ISR:0000000000000000 $t + /tmp/ccfLUGKr.s:798 .text.AFSK_DAC_ISR:0000000000000000 AFSK_DAC_ISR + /tmp/ccfLUGKr.s:1012 .text.AFSK_Init:0000000000000000 $t + /tmp/ccfLUGKr.s:1019 .text.AFSK_Init:0000000000000000 AFSK_Init + /tmp/ccfLUGKr.s:1170 .text.AFSK_Init:0000000000000120 $d *COM*:0000000000000001 DrawCounter *COM*:0000000000000001 PersistRand - /tmp/ccRcEsSE.s:1187 .bss.corr_mark_i:0000000000000000 $d - /tmp/ccRcEsSE.s:1191 .bss.corr_mark_i:0000000000000000 corr_mark_i - /tmp/ccRcEsSE.s:1194 .bss.corr_mark_q:0000000000000000 $d - /tmp/ccRcEsSE.s:1198 .bss.corr_mark_q:0000000000000000 corr_mark_q - /tmp/ccRcEsSE.s:1201 .bss.corr_space_i:0000000000000000 $d - /tmp/ccRcEsSE.s:1205 .bss.corr_space_i:0000000000000000 corr_space_i - /tmp/ccRcEsSE.s:1208 .bss.corr_space_q:0000000000000000 $d - /tmp/ccRcEsSE.s:1212 .bss.corr_space_q:0000000000000000 corr_space_q - /tmp/ccRcEsSE.s:1215 .bss.data:0000000000000000 $d - /tmp/ccRcEsSE.s:1219 .bss.data:0000000000000000 data - /tmp/ccRcEsSE.s:1225 .bss.ptr:0000000000000000 ptr - /tmp/ccRcEsSE.s:1226 .bss.ptr:0000000000000000 $d - /tmp/ccRcEsSE.s:1228 .rodata.sin_table:0000000000000000 $d - /tmp/ccRcEsSE.s:1232 .rodata.sin_table:0000000000000000 sin_table + /tmp/ccfLUGKr.s:1187 .bss.corr_mark_i:0000000000000000 $d + /tmp/ccfLUGKr.s:1191 .bss.corr_mark_i:0000000000000000 corr_mark_i + /tmp/ccfLUGKr.s:1194 .bss.corr_mark_q:0000000000000000 $d + /tmp/ccfLUGKr.s:1198 .bss.corr_mark_q:0000000000000000 corr_mark_q + /tmp/ccfLUGKr.s:1201 .bss.corr_space_i:0000000000000000 $d + /tmp/ccfLUGKr.s:1205 .bss.corr_space_i:0000000000000000 corr_space_i + /tmp/ccfLUGKr.s:1208 .bss.corr_space_q:0000000000000000 $d + /tmp/ccfLUGKr.s:1212 .bss.corr_space_q:0000000000000000 corr_space_q + /tmp/ccfLUGKr.s:1215 .bss.data:0000000000000000 $d + /tmp/ccfLUGKr.s:1219 .bss.data:0000000000000000 data + /tmp/ccfLUGKr.s:1225 .bss.ptr:0000000000000000 ptr + /tmp/ccfLUGKr.s:1226 .bss.ptr:0000000000000000 $d + /tmp/ccfLUGKr.s:1228 .rodata.sin_table:0000000000000000 $d + /tmp/ccfLUGKr.s:1232 .rodata.sin_table:0000000000000000 sin_table .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdbool.h.29.07dce69c3b78884144b7f7bd19483461 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f diff --git a/STM32L476_ParaMETEO/system/src/aprs/afsk_pr.o.lst b/STM32L476_ParaMETEO/system/src/aprs/afsk_pr.o.lst index cde6d70..f807ef2 100644 --- a/STM32L476_ParaMETEO/system/src/aprs/afsk_pr.o.lst +++ b/STM32L476_ParaMETEO/system/src/aprs/afsk_pr.o.lst @@ -228,12 +228,12 @@ 122 .file 5 "../system/include/cmsis/stm32l4xx/device/stm32l471xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 afsk_pr.c - /tmp/ccLaiQJj.s:18 .text.ADCStartConfig:0000000000000000 $t - /tmp/ccLaiQJj.s:25 .text.ADCStartConfig:0000000000000000 ADCStartConfig - /tmp/ccLaiQJj.s:70 .text.ADCStartConfig:0000000000000040 $d - /tmp/ccLaiQJj.s:76 .text.DACStartConfig:0000000000000000 $t - /tmp/ccLaiQJj.s:83 .text.DACStartConfig:0000000000000000 DACStartConfig - /tmp/ccLaiQJj.s:113 .text.DACStartConfig:0000000000000028 $d + /tmp/ccXG6dI5.s:18 .text.ADCStartConfig:0000000000000000 $t + /tmp/ccXG6dI5.s:25 .text.ADCStartConfig:0000000000000000 ADCStartConfig + /tmp/ccXG6dI5.s:70 .text.ADCStartConfig:0000000000000040 $d + /tmp/ccXG6dI5.s:76 .text.DACStartConfig:0000000000000000 $t + /tmp/ccXG6dI5.s:83 .text.DACStartConfig:0000000000000000 DACStartConfig + /tmp/ccXG6dI5.s:113 .text.DACStartConfig:0000000000000028 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.station_config_target_hw.h.9.a20e080416e80d1cff9c1b51cc464832 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/aprs/ax25.o.lst b/STM32L476_ParaMETEO/system/src/aprs/ax25.o.lst index 6c075b8..7f51d2e 100644 --- a/STM32L476_ParaMETEO/system/src/aprs/ax25.o.lst +++ b/STM32L476_ParaMETEO/system/src/aprs/ax25.o.lst @@ -1838,40 +1838,40 @@ 1389 .file 13 "../include/KissCommunication.h" DEFINED SYMBOLS *ABS*:0000000000000000 ax25.c - /tmp/ccJDDSyS.s:18 .text.updcrc_ccitt:0000000000000000 $t - /tmp/ccJDDSyS.s:24 .text.updcrc_ccitt:0000000000000000 updcrc_ccitt - /tmp/ccJDDSyS.s:45 .text.updcrc_ccitt:0000000000000010 $d - /tmp/ccJDDSyS.s:50 .text.fifo_isempty:0000000000000000 $t - /tmp/ccJDDSyS.s:56 .text.fifo_isempty:0000000000000000 fifo_isempty - /tmp/ccJDDSyS.s:79 .text.fifo_isfull:0000000000000000 $t - /tmp/ccJDDSyS.s:85 .text.fifo_isfull:0000000000000000 fifo_isfull - /tmp/ccJDDSyS.s:130 .text.fifo_push:0000000000000000 $t - /tmp/ccJDDSyS.s:136 .text.fifo_push:0000000000000000 fifo_push - /tmp/ccJDDSyS.s:167 .text.fifo_pop:0000000000000000 $t - /tmp/ccJDDSyS.s:173 .text.fifo_pop:0000000000000000 fifo_pop - /tmp/ccJDDSyS.s:207 .text.fifo_getc:0000000000000000 $t - /tmp/ccJDDSyS.s:213 .text.fifo_getc:0000000000000000 fifo_getc - /tmp/ccJDDSyS.s:246 .text.fifo_putc:0000000000000000 $t - /tmp/ccJDDSyS.s:252 .text.fifo_putc:0000000000000000 fifo_putc - /tmp/ccJDDSyS.s:289 .text.ax25_decode:0000000000000000 $t - /tmp/ccJDDSyS.s:295 .text.ax25_decode:0000000000000000 ax25_decode - /tmp/ccJDDSyS.s:598 .text.ax25_decode:0000000000000154 $d + /tmp/ccyPeM0F.s:18 .text.updcrc_ccitt:0000000000000000 $t + /tmp/ccyPeM0F.s:24 .text.updcrc_ccitt:0000000000000000 updcrc_ccitt + /tmp/ccyPeM0F.s:45 .text.updcrc_ccitt:0000000000000010 $d + /tmp/ccyPeM0F.s:50 .text.fifo_isempty:0000000000000000 $t + /tmp/ccyPeM0F.s:56 .text.fifo_isempty:0000000000000000 fifo_isempty + /tmp/ccyPeM0F.s:79 .text.fifo_isfull:0000000000000000 $t + /tmp/ccyPeM0F.s:85 .text.fifo_isfull:0000000000000000 fifo_isfull + /tmp/ccyPeM0F.s:130 .text.fifo_push:0000000000000000 $t + /tmp/ccyPeM0F.s:136 .text.fifo_push:0000000000000000 fifo_push + /tmp/ccyPeM0F.s:167 .text.fifo_pop:0000000000000000 $t + /tmp/ccyPeM0F.s:173 .text.fifo_pop:0000000000000000 fifo_pop + /tmp/ccyPeM0F.s:207 .text.fifo_getc:0000000000000000 $t + /tmp/ccyPeM0F.s:213 .text.fifo_getc:0000000000000000 fifo_getc + /tmp/ccyPeM0F.s:246 .text.fifo_putc:0000000000000000 $t + /tmp/ccyPeM0F.s:252 .text.fifo_putc:0000000000000000 fifo_putc + /tmp/ccyPeM0F.s:289 .text.ax25_decode:0000000000000000 $t + /tmp/ccyPeM0F.s:295 .text.ax25_decode:0000000000000000 ax25_decode + /tmp/ccyPeM0F.s:598 .text.ax25_decode:0000000000000154 $d *COM*:0000000000000254 ax25_rxed_frame *COM*:0000000000000001 ax25_new_msg_rx_flag - /tmp/ccJDDSyS.s:605 .text.ax25_poll:0000000000000000 $t - /tmp/ccJDDSyS.s:612 .text.ax25_poll:0000000000000000 ax25_poll - /tmp/ccJDDSyS.s:803 .text.ax25_putchar:0000000000000000 $t - /tmp/ccJDDSyS.s:810 .text.ax25_putchar:0000000000000000 ax25_putchar - /tmp/ccJDDSyS.s:861 .text.ax25_sendCall:0000000000000000 $t - /tmp/ccJDDSyS.s:867 .text.ax25_sendCall:0000000000000000 ax25_sendCall - /tmp/ccJDDSyS.s:974 .text.ax25_sendVia:0000000000000000 $t - /tmp/ccJDDSyS.s:981 .text.ax25_sendVia:0000000000000000 ax25_sendVia - /tmp/ccJDDSyS.s:1102 .text.ax25_sendVia_toBuffer:0000000000000000 $t - /tmp/ccJDDSyS.s:1109 .text.ax25_sendVia_toBuffer:0000000000000000 ax25_sendVia_toBuffer - /tmp/ccJDDSyS.s:1239 .text.ax25_sendRaw:0000000000000000 $t - /tmp/ccJDDSyS.s:1246 .text.ax25_sendRaw:0000000000000000 ax25_sendRaw - /tmp/ccJDDSyS.s:1327 .text.ax25_init:0000000000000000 $t - /tmp/ccJDDSyS.s:1334 .text.ax25_init:0000000000000000 ax25_init + /tmp/ccyPeM0F.s:605 .text.ax25_poll:0000000000000000 $t + /tmp/ccyPeM0F.s:612 .text.ax25_poll:0000000000000000 ax25_poll + /tmp/ccyPeM0F.s:803 .text.ax25_putchar:0000000000000000 $t + /tmp/ccyPeM0F.s:810 .text.ax25_putchar:0000000000000000 ax25_putchar + /tmp/ccyPeM0F.s:861 .text.ax25_sendCall:0000000000000000 $t + /tmp/ccyPeM0F.s:867 .text.ax25_sendCall:0000000000000000 ax25_sendCall + /tmp/ccyPeM0F.s:974 .text.ax25_sendVia:0000000000000000 $t + /tmp/ccyPeM0F.s:981 .text.ax25_sendVia:0000000000000000 ax25_sendVia + /tmp/ccyPeM0F.s:1102 .text.ax25_sendVia_toBuffer:0000000000000000 $t + /tmp/ccyPeM0F.s:1109 .text.ax25_sendVia_toBuffer:0000000000000000 ax25_sendVia_toBuffer + /tmp/ccyPeM0F.s:1239 .text.ax25_sendRaw:0000000000000000 $t + /tmp/ccyPeM0F.s:1246 .text.ax25_sendRaw:0000000000000000 ax25_sendRaw + /tmp/ccyPeM0F.s:1327 .text.ax25_init:0000000000000000 $t + /tmp/ccyPeM0F.s:1334 .text.ax25_init:0000000000000000 ax25_init .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdbool.h.29.07dce69c3b78884144b7f7bd19483461 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f diff --git a/STM32L476_ParaMETEO/system/src/aprs/beacon.o.lst b/STM32L476_ParaMETEO/system/src/aprs/beacon.o.lst index 90c0d71..36d3d34 100644 --- a/STM32L476_ParaMETEO/system/src/aprs/beacon.o.lst +++ b/STM32L476_ParaMETEO/system/src/aprs/beacon.o.lst @@ -63,12 +63,12 @@ 6:../include/main.h **** #include "config_data.h" 7:../include/main.h **** 8:../include/main.h **** #define SW_VER "EA00" - 9:../include/main.h **** #define SW_DATE "23052021" + 9:../include/main.h **** #define SW_DATE "30062021" 10:../include/main.h **** 11:../include/main.h **** #define SYSTICK_TICKS_PER_SECONDS 100 12:../include/main.h **** #define SYSTICK_TICKS_PERIOD 10 13:../include/main.h **** - 14:../include/main.h **** #define INTERNAL_WATCHDOG + 14:../include/main.h **** //#define INTERNAL_WATCHDOG 15:../include/main.h **** #define EXTERNAL_WATCHDOG 16:../include/main.h **** 17:../include/main.h **** #define PWR_SWITCH_BOTH @@ -389,17 +389,17 @@ 281 .file 17 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/stdio.h" DEFINED SYMBOLS *ABS*:0000000000000000 beacon.c - /tmp/ccuVRutt.s:18 .text.beacon_send_own:0000000000000000 $t - /tmp/ccuVRutt.s:25 .text.beacon_send_own:0000000000000000 beacon_send_own - /tmp/ccuVRutt.s:102 .text.beacon_send_own:000000000000006c $d - /tmp/ccuVRutt.s:119 .text.beacon_send_on_startup:0000000000000000 $t - /tmp/ccuVRutt.s:126 .text.beacon_send_on_startup:0000000000000000 beacon_send_on_startup - /tmp/ccuVRutt.s:179 .text.beacon_send_on_startup:0000000000000040 $d - /tmp/ccuVRutt.s:193 .text.beacon_send_from_user_content:0000000000000000 $t - /tmp/ccuVRutt.s:200 .text.beacon_send_from_user_content:0000000000000000 beacon_send_from_user_content - /tmp/ccuVRutt.s:249 .text.beacon_send_from_user_content:0000000000000030 $d - /tmp/ccuVRutt.s:258 .rodata.beacon_send_on_startup.str1.4:0000000000000000 $d - /tmp/ccuVRutt.s:262 .rodata.beacon_send_own.str1.4:0000000000000000 $d + /tmp/cctq5nFe.s:18 .text.beacon_send_own:0000000000000000 $t + /tmp/cctq5nFe.s:25 .text.beacon_send_own:0000000000000000 beacon_send_own + /tmp/cctq5nFe.s:102 .text.beacon_send_own:000000000000006c $d + /tmp/cctq5nFe.s:119 .text.beacon_send_on_startup:0000000000000000 $t + /tmp/cctq5nFe.s:126 .text.beacon_send_on_startup:0000000000000000 beacon_send_on_startup + /tmp/cctq5nFe.s:179 .text.beacon_send_on_startup:0000000000000040 $d + /tmp/cctq5nFe.s:193 .text.beacon_send_from_user_content:0000000000000000 $t + /tmp/cctq5nFe.s:200 .text.beacon_send_from_user_content:0000000000000000 beacon_send_from_user_content + /tmp/cctq5nFe.s:249 .text.beacon_send_from_user_content:0000000000000030 $d + /tmp/cctq5nFe.s:258 .rodata.beacon_send_on_startup.str1.4:0000000000000000 $d + /tmp/cctq5nFe.s:262 .rodata.beacon_send_own.str1.4:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.stdbool.h.29.07dce69c3b78884144b7f7bd19483461 @@ -419,7 +419,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.stm32l4xx_ll_usart.h.22.ec2beebcd146668c5b35749264d7b58a .group:0000000000000000 wm4.serial.h.14.eed7cf013c382ed4598746b40f0e2523 .group:0000000000000000 wm4.config_data.h.9.6026a38cd2f39158a8fd50707ba1bf90 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 .group:0000000000000000 wm4.station_config.h.9.d547169434a316afe56104ac612d2109 .group:0000000000000000 wm4._newlib_version.h.4.875b979a44719054cd750d0952ad3fd6 .group:0000000000000000 wm4.newlib.h.18.5e5ca3429233af402d406a202f1fc1ac diff --git a/STM32L476_ParaMETEO/system/src/aprs/crc.o.lst b/STM32L476_ParaMETEO/system/src/aprs/crc.o.lst index 22ca547..1231a94 100644 --- a/STM32L476_ParaMETEO/system/src/aprs/crc.o.lst +++ b/STM32L476_ParaMETEO/system/src/aprs/crc.o.lst @@ -429,13 +429,13 @@ 365 .file 3 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/lib/gcc/arm-none-eabi/7.3.1/include/std DEFINED SYMBOLS *ABS*:0000000000000000 crc.c - /tmp/ccAZ5pB6.s:18 .text.updcrc_ccitt:0000000000000000 $t - /tmp/ccAZ5pB6.s:24 .text.updcrc_ccitt:0000000000000000 updcrc_ccitt - /tmp/ccAZ5pB6.s:45 .text.updcrc_ccitt:0000000000000010 $d - /tmp/ccAZ5pB6.s:50 .text.crc_ccitt:0000000000000000 $t - /tmp/ccAZ5pB6.s:57 .text.crc_ccitt:0000000000000000 crc_ccitt - /tmp/ccAZ5pB6.s:106 .rodata.crc_ccitt_tab:0000000000000000 crc_ccitt_tab - /tmp/ccAZ5pB6.s:102 .rodata.crc_ccitt_tab:0000000000000000 $d + /tmp/ccESUofS.s:18 .text.updcrc_ccitt:0000000000000000 $t + /tmp/ccESUofS.s:24 .text.updcrc_ccitt:0000000000000000 updcrc_ccitt + /tmp/ccESUofS.s:45 .text.updcrc_ccitt:0000000000000010 $d + /tmp/ccESUofS.s:50 .text.crc_ccitt:0000000000000000 $t + /tmp/ccESUofS.s:57 .text.crc_ccitt:0000000000000000 crc_ccitt + /tmp/ccESUofS.s:106 .rodata.crc_ccitt_tab:0000000000000000 crc_ccitt_tab + /tmp/ccESUofS.s:102 .rodata.crc_ccitt_tab:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.macros.h.2.7a7a5ac48d4160b7481c806e80b68442 diff --git a/STM32L476_ParaMETEO/system/src/aprs/dac.o.lst b/STM32L476_ParaMETEO/system/src/aprs/dac.o.lst index f93698f..a9fd333 100644 --- a/STM32L476_ParaMETEO/system/src/aprs/dac.o.lst +++ b/STM32L476_ParaMETEO/system/src/aprs/dac.o.lst @@ -245,16 +245,16 @@ 149 .file 9 "../system/include/aprs/adc.h" DEFINED SYMBOLS *ABS*:0000000000000000 dac.c - /tmp/ccPOvAGI.s:18 .text.DA_Init:0000000000000000 $t - /tmp/ccPOvAGI.s:25 .text.DA_Init:0000000000000000 DA_Init - /tmp/ccPOvAGI.s:39 .text.DA_SetTimer:0000000000000000 $t - /tmp/ccPOvAGI.s:46 .text.DA_SetTimer:0000000000000000 DA_SetTimer - /tmp/ccPOvAGI.s:60 .text.DA_Start:0000000000000000 $t - /tmp/ccPOvAGI.s:67 .text.DA_Start:0000000000000000 DA_Start - /tmp/ccPOvAGI.s:95 .text.DA_Start:0000000000000020 $d - /tmp/ccPOvAGI.s:100 .text.DA_Stop:0000000000000000 $t - /tmp/ccPOvAGI.s:107 .text.DA_Stop:0000000000000000 DA_Stop - /tmp/ccPOvAGI.s:135 .text.DA_Stop:0000000000000020 $d + /tmp/ccSjVE7v.s:18 .text.DA_Init:0000000000000000 $t + /tmp/ccSjVE7v.s:25 .text.DA_Init:0000000000000000 DA_Init + /tmp/ccSjVE7v.s:39 .text.DA_SetTimer:0000000000000000 $t + /tmp/ccSjVE7v.s:46 .text.DA_SetTimer:0000000000000000 DA_SetTimer + /tmp/ccSjVE7v.s:60 .text.DA_Start:0000000000000000 $t + /tmp/ccSjVE7v.s:67 .text.DA_Start:0000000000000000 DA_Start + /tmp/ccSjVE7v.s:95 .text.DA_Start:0000000000000020 $d + /tmp/ccSjVE7v.s:100 .text.DA_Stop:0000000000000000 $t + /tmp/ccSjVE7v.s:107 .text.DA_Stop:0000000000000000 DA_Stop + /tmp/ccSjVE7v.s:135 .text.DA_Stop:0000000000000020 $d *COM*:0000000000000004 dac_afsk .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdbool.h.29.07dce69c3b78884144b7f7bd19483461 diff --git a/STM32L476_ParaMETEO/system/src/aprs/digi.o.lst b/STM32L476_ParaMETEO/system/src/aprs/digi.o.lst index 8f1b56a..0a6fa20 100644 --- a/STM32L476_ParaMETEO/system/src/aprs/digi.o.lst +++ b/STM32L476_ParaMETEO/system/src/aprs/digi.o.lst @@ -1213,27 +1213,27 @@ 834 .file 18 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/string.h" DEFINED SYMBOLS *ABS*:0000000000000000 digi.c - /tmp/ccS8HgMl.s:18 .text.digi_init:0000000000000000 $t - /tmp/ccS8HgMl.s:25 .text.digi_init:0000000000000000 digi_init - /tmp/ccS8HgMl.s:88 .text.digi_init:0000000000000048 $d + /tmp/ccW7AcJ6.s:18 .text.digi_init:0000000000000000 $t + /tmp/ccW7AcJ6.s:25 .text.digi_init:0000000000000000 digi_init + /tmp/ccW7AcJ6.s:88 .text.digi_init:0000000000000048 $d *COM*:0000000000000001 digi_viscous_counter_sec *COM*:0000000000000002 digi_msg_len *COM*:0000000000000001 digi_mode *COM*:0000000000000001 digi_viscous_delay_sec - /tmp/ccS8HgMl.s:96 .text.digi_process:0000000000000000 $t - /tmp/ccS8HgMl.s:103 .text.digi_process:0000000000000000 digi_process - /tmp/ccS8HgMl.s:552 .text.digi_process:0000000000000294 $d + /tmp/ccW7AcJ6.s:96 .text.digi_process:0000000000000000 $t + /tmp/ccW7AcJ6.s:103 .text.digi_process:0000000000000000 digi_process + /tmp/ccW7AcJ6.s:552 .text.digi_process:0000000000000294 $d *COM*:0000000000000031 digi_path *COM*:0000000000000200 digi_msg - /tmp/ccS8HgMl.s:568 .text.digi_check_with_viscous:0000000000000000 $t - /tmp/ccS8HgMl.s:575 .text.digi_check_with_viscous:0000000000000000 digi_check_with_viscous - /tmp/ccS8HgMl.s:642 .text.digi_check_with_viscous:0000000000000050 $d - /tmp/ccS8HgMl.s:651 .text.digi_pool_viscous:0000000000000000 $t - /tmp/ccS8HgMl.s:658 .text.digi_pool_viscous:0000000000000000 digi_pool_viscous - /tmp/ccS8HgMl.s:779 .text.digi_pool_viscous:0000000000000094 $d - /tmp/ccS8HgMl.s:804 .bss.digi_call_len:0000000000000000 digi_call_len - /tmp/ccS8HgMl.s:805 .bss.digi_call_len:0000000000000000 $d - /tmp/ccS8HgMl.s:807 .rodata.digi_process.str1.4:0000000000000000 $d + /tmp/ccW7AcJ6.s:568 .text.digi_check_with_viscous:0000000000000000 $t + /tmp/ccW7AcJ6.s:575 .text.digi_check_with_viscous:0000000000000000 digi_check_with_viscous + /tmp/ccW7AcJ6.s:642 .text.digi_check_with_viscous:0000000000000050 $d + /tmp/ccW7AcJ6.s:651 .text.digi_pool_viscous:0000000000000000 $t + /tmp/ccW7AcJ6.s:658 .text.digi_pool_viscous:0000000000000000 digi_pool_viscous + /tmp/ccW7AcJ6.s:779 .text.digi_pool_viscous:0000000000000094 $d + /tmp/ccW7AcJ6.s:804 .bss.digi_call_len:0000000000000000 digi_call_len + /tmp/ccW7AcJ6.s:805 .bss.digi_call_len:0000000000000000 $d + /tmp/ccW7AcJ6.s:807 .rodata.digi_process.str1.4:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4._newlib_version.h.4.875b979a44719054cd750d0952ad3fd6 .group:0000000000000000 wm4.newlib.h.18.5e5ca3429233af402d406a202f1fc1ac @@ -1270,7 +1270,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.stm32l4xx.h.197.fb1c68184133668ca24c44c29ba4361f .group:0000000000000000 wm4.stm32l4xx_ll_usart.h.22.ec2beebcd146668c5b35749264d7b58a .group:0000000000000000 wm4.serial.h.14.eed7cf013c382ed4598746b40f0e2523 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 .group:0000000000000000 wm4.station_config.h.9.d547169434a316afe56104ac612d2109 UNDEFINED SYMBOLS diff --git a/STM32L476_ParaMETEO/system/src/aprs/telemetry.o.lst b/STM32L476_ParaMETEO/system/src/aprs/telemetry.o.lst index e831635..a384a14 100644 --- a/STM32L476_ParaMETEO/system/src/aprs/telemetry.o.lst +++ b/STM32L476_ParaMETEO/system/src/aprs/telemetry.o.lst @@ -821,12 +821,12 @@ 6:../include/main.h **** #include "config_data.h" 7:../include/main.h **** 8:../include/main.h **** #define SW_VER "EA00" - 9:../include/main.h **** #define SW_DATE "23052021" + 9:../include/main.h **** #define SW_DATE "30062021" 10:../include/main.h **** 11:../include/main.h **** #define SYSTICK_TICKS_PER_SECONDS 100 12:../include/main.h **** #define SYSTICK_TICKS_PERIOD 10 13:../include/main.h **** - 14:../include/main.h **** #define INTERNAL_WATCHDOG + 14:../include/main.h **** //#define INTERNAL_WATCHDOG 15:../include/main.h **** #define EXTERNAL_WATCHDOG 16:../include/main.h **** 17:../include/main.h **** #define PWR_SWITCH_BOTH @@ -1988,7 +1988,7 @@ 1400 .section .rodata.telemetry_send_status.str1.4,"aMS",%progbits,1 1401 .align 2 1402 .LC15: - 1403 0000 32333035 .ascii "23052021\000" + 1403 0000 33303036 .ascii "30062021\000" 1403 32303231 1403 00 1404 0009 000000 .space 3 @@ -2045,33 +2045,33 @@ 1442 .file 25 "../system/include/ve_direct_protocol/parser.h" DEFINED SYMBOLS *ABS*:0000000000000000 telemetry.c - /tmp/ccCt3QfW.s:18 .text.telemetry_send_chns_description_pv:0000000000000000 $t - /tmp/ccCt3QfW.s:25 .text.telemetry_send_chns_description_pv:0000000000000000 telemetry_send_chns_description_pv - /tmp/ccCt3QfW.s:288 .text.telemetry_send_chns_description_pv:000000000000018c $d - /tmp/ccCt3QfW.s:306 .text.telemetry_send_values_pv:0000000000000000 $t - /tmp/ccCt3QfW.s:313 .text.telemetry_send_values_pv:0000000000000000 telemetry_send_values_pv - /tmp/ccCt3QfW.s:532 .text.telemetry_send_values_pv:0000000000000164 $d - /tmp/ccCt3QfW.s:547 .text.telemetry_send_status_pv:0000000000000000 $t - /tmp/ccCt3QfW.s:554 .text.telemetry_send_status_pv:0000000000000000 telemetry_send_status_pv - /tmp/ccCt3QfW.s:655 .text.telemetry_send_status_pv:0000000000000094 $d - /tmp/ccCt3QfW.s:666 .text.telemetry_send_chns_description:0000000000000000 $t - /tmp/ccCt3QfW.s:673 .text.telemetry_send_chns_description:0000000000000000 telemetry_send_chns_description - /tmp/ccCt3QfW.s:961 .text.telemetry_send_chns_description:00000000000001a0 $d - /tmp/ccCt3QfW.s:979 .text.telemetry_send_values:0000000000000000 $t - /tmp/ccCt3QfW.s:986 .text.telemetry_send_values:0000000000000000 telemetry_send_values - /tmp/ccCt3QfW.s:1232 .text.telemetry_send_values:0000000000000160 $d - /tmp/ccCt3QfW.s:1248 .text.telemetry_send_status:0000000000000000 $t - /tmp/ccCt3QfW.s:1255 .text.telemetry_send_status:0000000000000000 telemetry_send_status - /tmp/ccCt3QfW.s:1311 .text.telemetry_send_status:0000000000000048 $d - /tmp/ccCt3QfW.s:1324 .text.telemetry_send_status_raw_values_modbus:0000000000000000 $t - /tmp/ccCt3QfW.s:1331 .text.telemetry_send_status_raw_values_modbus:0000000000000000 telemetry_send_status_raw_values_modbus - /tmp/ccCt3QfW.s:1349 .bss.telemetry_counter:0000000000000000 telemetry_counter - /tmp/ccCt3QfW.s:1345 .bss.telemetry_counter:0000000000000000 $d - /tmp/ccCt3QfW.s:1352 .rodata.telemetry_send_chns_description.str1.4:0000000000000000 $d - /tmp/ccCt3QfW.s:1374 .rodata.telemetry_send_chns_description_pv.str1.4:0000000000000000 $d - /tmp/ccCt3QfW.s:1401 .rodata.telemetry_send_status.str1.4:0000000000000000 $d - /tmp/ccCt3QfW.s:1411 .rodata.telemetry_send_status_pv.str1.4:0000000000000000 $d - /tmp/ccCt3QfW.s:1415 .rodata.telemetry_send_values_pv.str1.4:0000000000000000 $d + /tmp/ccU5WqXT.s:18 .text.telemetry_send_chns_description_pv:0000000000000000 $t + /tmp/ccU5WqXT.s:25 .text.telemetry_send_chns_description_pv:0000000000000000 telemetry_send_chns_description_pv + /tmp/ccU5WqXT.s:288 .text.telemetry_send_chns_description_pv:000000000000018c $d + /tmp/ccU5WqXT.s:306 .text.telemetry_send_values_pv:0000000000000000 $t + /tmp/ccU5WqXT.s:313 .text.telemetry_send_values_pv:0000000000000000 telemetry_send_values_pv + /tmp/ccU5WqXT.s:532 .text.telemetry_send_values_pv:0000000000000164 $d + /tmp/ccU5WqXT.s:547 .text.telemetry_send_status_pv:0000000000000000 $t + /tmp/ccU5WqXT.s:554 .text.telemetry_send_status_pv:0000000000000000 telemetry_send_status_pv + /tmp/ccU5WqXT.s:655 .text.telemetry_send_status_pv:0000000000000094 $d + /tmp/ccU5WqXT.s:666 .text.telemetry_send_chns_description:0000000000000000 $t + /tmp/ccU5WqXT.s:673 .text.telemetry_send_chns_description:0000000000000000 telemetry_send_chns_description + /tmp/ccU5WqXT.s:961 .text.telemetry_send_chns_description:00000000000001a0 $d + /tmp/ccU5WqXT.s:979 .text.telemetry_send_values:0000000000000000 $t + /tmp/ccU5WqXT.s:986 .text.telemetry_send_values:0000000000000000 telemetry_send_values + /tmp/ccU5WqXT.s:1232 .text.telemetry_send_values:0000000000000160 $d + /tmp/ccU5WqXT.s:1248 .text.telemetry_send_status:0000000000000000 $t + /tmp/ccU5WqXT.s:1255 .text.telemetry_send_status:0000000000000000 telemetry_send_status + /tmp/ccU5WqXT.s:1311 .text.telemetry_send_status:0000000000000048 $d + /tmp/ccU5WqXT.s:1324 .text.telemetry_send_status_raw_values_modbus:0000000000000000 $t + /tmp/ccU5WqXT.s:1331 .text.telemetry_send_status_raw_values_modbus:0000000000000000 telemetry_send_status_raw_values_modbus + /tmp/ccU5WqXT.s:1349 .bss.telemetry_counter:0000000000000000 telemetry_counter + /tmp/ccU5WqXT.s:1345 .bss.telemetry_counter:0000000000000000 $d + /tmp/ccU5WqXT.s:1352 .rodata.telemetry_send_chns_description.str1.4:0000000000000000 $d + /tmp/ccU5WqXT.s:1374 .rodata.telemetry_send_chns_description_pv.str1.4:0000000000000000 $d + /tmp/ccU5WqXT.s:1401 .rodata.telemetry_send_status.str1.4:0000000000000000 $d + /tmp/ccU5WqXT.s:1411 .rodata.telemetry_send_status_pv.str1.4:0000000000000000 $d + /tmp/ccU5WqXT.s:1415 .rodata.telemetry_send_values_pv.str1.4:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.config_data.h.20.3fa825aa23b2311026266e3d076368dc @@ -2114,7 +2114,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.ax25.h.18.077e42a4af9016476e34900472a8fe18 .group:0000000000000000 wm4.stm32l4xx_ll_usart.h.22.ec2beebcd146668c5b35749264d7b58a .group:0000000000000000 wm4.serial.h.14.eed7cf013c382ed4598746b40f0e2523 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 .group:0000000000000000 wm4.stdio.h.27.3fc80220048df77954e38daec3bb9670 .group:0000000000000000 wm4.stddef.h.161.19e15733342b50ead2919490b095303e .group:0000000000000000 wm4.stdarg.h.34.3a23a216c0c293b3d2ea2e89281481e6 diff --git a/STM32L476_ParaMETEO/system/src/aprs/wx.o.lst b/STM32L476_ParaMETEO/system/src/aprs/wx.o.lst index c0d9e54..7cf3cc7 100644 --- a/STM32L476_ParaMETEO/system/src/aprs/wx.o.lst +++ b/STM32L476_ParaMETEO/system/src/aprs/wx.o.lst @@ -634,13 +634,13 @@ 514 .file 18 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/string.h" DEFINED SYMBOLS *ABS*:0000000000000000 wx.c - /tmp/ccddBojv.s:24 .text.SendWXFrame:0000000000000000 $t - /tmp/ccddBojv.s:31 .text.SendWXFrame:0000000000000000 SendWXFrame - /tmp/ccddBojv.s:250 .text.SendWXFrame:0000000000000178 $d - /tmp/ccddBojv.s:270 .text.SendWXFrameToBuffer:0000000000000000 $t - /tmp/ccddBojv.s:277 .text.SendWXFrameToBuffer:0000000000000000 SendWXFrameToBuffer - /tmp/ccddBojv.s:475 .text.SendWXFrameToBuffer:0000000000000158 $d - /tmp/ccddBojv.s:492 .rodata.SendWXFrame.str1.4:0000000000000000 $d + /tmp/cc3ZOwdw.s:24 .text.SendWXFrame:0000000000000000 $t + /tmp/cc3ZOwdw.s:31 .text.SendWXFrame:0000000000000000 SendWXFrame + /tmp/cc3ZOwdw.s:250 .text.SendWXFrame:0000000000000178 $d + /tmp/cc3ZOwdw.s:270 .text.SendWXFrameToBuffer:0000000000000000 $t + /tmp/cc3ZOwdw.s:277 .text.SendWXFrameToBuffer:0000000000000000 SendWXFrameToBuffer + /tmp/cc3ZOwdw.s:475 .text.SendWXFrameToBuffer:0000000000000158 $d + /tmp/cc3ZOwdw.s:492 .rodata.SendWXFrame.str1.4:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.station_config.h.9.d547169434a316afe56104ac612d2109 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f @@ -662,7 +662,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.stm32l4xx.h.197.fb1c68184133668ca24c44c29ba4361f .group:0000000000000000 wm4.stm32l4xx_ll_usart.h.22.ec2beebcd146668c5b35749264d7b58a .group:0000000000000000 wm4.serial.h.14.eed7cf013c382ed4598746b40f0e2523 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 .group:0000000000000000 wm4._newlib_version.h.4.875b979a44719054cd750d0952ad3fd6 .group:0000000000000000 wm4.newlib.h.18.5e5ca3429233af402d406a202f1fc1ac .group:0000000000000000 wm4.ieeefp.h.77.220d9cccac42cd58761758bee2df4ce6 diff --git a/STM32L476_ParaMETEO/system/src/cmsis/stm32l4xx/stm32l4xx_it.o.lst b/STM32L476_ParaMETEO/system/src/cmsis/stm32l4xx/stm32l4xx_it.o.lst index 68a1677..fd2ede1 100644 --- a/STM32L476_ParaMETEO/system/src/cmsis/stm32l4xx/stm32l4xx_it.o.lst +++ b/STM32L476_ParaMETEO/system/src/cmsis/stm32l4xx/stm32l4xx_it.o.lst @@ -343,70 +343,36 @@ 179 0000 7047 bx lr 180 .cfi_endproc 181 .LFE358: - 183 .section .text.SysTick_Handler,"ax",%progbits - 184 .align 1 - 185 .global SysTick_Handler - 186 .syntax unified - 187 .thumb - 188 .thumb_func - 189 .fpu fpv4-sp-d16 - 191 SysTick_Handler: - 192 .LFB359: - 180:../system/src/cmsis/stm32l4xx/stm32l4xx_it.c **** - 181:../system/src/cmsis/stm32l4xx/stm32l4xx_it.c **** /** - 182:../system/src/cmsis/stm32l4xx/stm32l4xx_it.c **** * @brief This function handles System tick timer. - 183:../system/src/cmsis/stm32l4xx/stm32l4xx_it.c **** */ - 184:../system/src/cmsis/stm32l4xx/stm32l4xx_it.c **** void SysTick_Handler(void) - 185:../system/src/cmsis/stm32l4xx/stm32l4xx_it.c **** { - 193 .loc 1 185 0 - 194 .cfi_startproc - 195 @ args = 0, pretend = 0, frame = 0 - 196 @ frame_needed = 0, uses_anonymous_args = 0 - 197 @ link register save eliminated. - 186:../system/src/cmsis/stm32l4xx/stm32l4xx_it.c **** /* USER CODE BEGIN SysTick_IRQn 0 */ - 187:../system/src/cmsis/stm32l4xx/stm32l4xx_it.c **** - 188:../system/src/cmsis/stm32l4xx/stm32l4xx_it.c **** /* USER CODE END SysTick_IRQn 0 */ - 189:../system/src/cmsis/stm32l4xx/stm32l4xx_it.c **** //HAL_IncTick(); - 190:../system/src/cmsis/stm32l4xx/stm32l4xx_it.c **** /* USER CODE BEGIN SysTick_IRQn 1 */ - 191:../system/src/cmsis/stm32l4xx/stm32l4xx_it.c **** - 192:../system/src/cmsis/stm32l4xx/stm32l4xx_it.c **** /* USER CODE END SysTick_IRQn 1 */ - 193:../system/src/cmsis/stm32l4xx/stm32l4xx_it.c **** } - 198 .loc 1 193 0 - 199 0000 7047 bx lr - 200 .cfi_endproc - 201 .LFE359: - 203 .text - 204 .Letext0: - 205 .file 2 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/lib/gcc/arm-none-eabi/7.3.1/include/std - 206 .file 3 "../system/include/aprs/cfifo.h" - 207 .file 4 "../system/include/aprs/afsk.h" - 208 .file 5 "../system/include/aprs/ax25.h" - 209 .file 6 "../system/include/cmsis/stm32l4xx/core_cm4.h" - 210 .file 7 "../system/include/cmsis/stm32l4xx/device/system_stm32l4xx.h" - 211 .file 8 "../system/include/cmsis/stm32l4xx/device/stm32l471xx.h" - 212 .file 9 "../system/include/drivers/serial.h" - 213 .file 10 "../include/config_data.h" - 214 .file 11 "../include/main.h" + 183 .text + 184 .Letext0: + 185 .file 2 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/lib/gcc/arm-none-eabi/7.3.1/include/std + 186 .file 3 "../system/include/aprs/cfifo.h" + 187 .file 4 "../system/include/aprs/afsk.h" + 188 .file 5 "../system/include/aprs/ax25.h" + 189 .file 6 "../system/include/cmsis/stm32l4xx/core_cm4.h" + 190 .file 7 "../system/include/cmsis/stm32l4xx/device/system_stm32l4xx.h" + 191 .file 8 "../system/include/cmsis/stm32l4xx/device/stm32l471xx.h" + 192 .file 9 "../system/include/drivers/serial.h" + 193 .file 10 "../include/config_data.h" + 194 .file 11 "../include/main.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_it.c - /tmp/cczdSpLQ.s:18 .text.NMI_Handler:0000000000000000 $t - /tmp/cczdSpLQ.s:25 .text.NMI_Handler:0000000000000000 NMI_Handler - /tmp/cczdSpLQ.s:40 .text.HardFault_Handler:0000000000000000 $t - /tmp/cczdSpLQ.s:47 .text.HardFault_Handler:0000000000000000 HardFault_Handler - /tmp/cczdSpLQ.s:61 .text.MemManage_Handler:0000000000000000 $t - /tmp/cczdSpLQ.s:68 .text.MemManage_Handler:0000000000000000 MemManage_Handler - /tmp/cczdSpLQ.s:82 .text.BusFault_Handler:0000000000000000 $t - /tmp/cczdSpLQ.s:89 .text.BusFault_Handler:0000000000000000 BusFault_Handler - /tmp/cczdSpLQ.s:103 .text.UsageFault_Handler:0000000000000000 $t - /tmp/cczdSpLQ.s:110 .text.UsageFault_Handler:0000000000000000 UsageFault_Handler - /tmp/cczdSpLQ.s:124 .text.SVC_Handler:0000000000000000 $t - /tmp/cczdSpLQ.s:131 .text.SVC_Handler:0000000000000000 SVC_Handler - /tmp/cczdSpLQ.s:144 .text.DebugMon_Handler:0000000000000000 $t - /tmp/cczdSpLQ.s:151 .text.DebugMon_Handler:0000000000000000 DebugMon_Handler - /tmp/cczdSpLQ.s:164 .text.PendSV_Handler:0000000000000000 $t - /tmp/cczdSpLQ.s:171 .text.PendSV_Handler:0000000000000000 PendSV_Handler - /tmp/cczdSpLQ.s:184 .text.SysTick_Handler:0000000000000000 $t - /tmp/cczdSpLQ.s:191 .text.SysTick_Handler:0000000000000000 SysTick_Handler + /tmp/cc5u0ZWC.s:18 .text.NMI_Handler:0000000000000000 $t + /tmp/cc5u0ZWC.s:25 .text.NMI_Handler:0000000000000000 NMI_Handler + /tmp/cc5u0ZWC.s:40 .text.HardFault_Handler:0000000000000000 $t + /tmp/cc5u0ZWC.s:47 .text.HardFault_Handler:0000000000000000 HardFault_Handler + /tmp/cc5u0ZWC.s:61 .text.MemManage_Handler:0000000000000000 $t + /tmp/cc5u0ZWC.s:68 .text.MemManage_Handler:0000000000000000 MemManage_Handler + /tmp/cc5u0ZWC.s:82 .text.BusFault_Handler:0000000000000000 $t + /tmp/cc5u0ZWC.s:89 .text.BusFault_Handler:0000000000000000 BusFault_Handler + /tmp/cc5u0ZWC.s:103 .text.UsageFault_Handler:0000000000000000 $t + /tmp/cc5u0ZWC.s:110 .text.UsageFault_Handler:0000000000000000 UsageFault_Handler + /tmp/cc5u0ZWC.s:124 .text.SVC_Handler:0000000000000000 $t + /tmp/cc5u0ZWC.s:131 .text.SVC_Handler:0000000000000000 SVC_Handler + /tmp/cc5u0ZWC.s:144 .text.DebugMon_Handler:0000000000000000 $t + /tmp/cc5u0ZWC.s:151 .text.DebugMon_Handler:0000000000000000 DebugMon_Handler + /tmp/cc5u0ZWC.s:164 .text.PendSV_Handler:0000000000000000 $t + /tmp/cc5u0ZWC.s:171 .text.PendSV_Handler:0000000000000000 PendSV_Handler .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdbool.h.29.07dce69c3b78884144b7f7bd19483461 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f @@ -426,6 +392,6 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.stm32l4xx_ll_usart.h.22.ec2beebcd146668c5b35749264d7b58a .group:0000000000000000 wm4.serial.h.14.eed7cf013c382ed4598746b40f0e2523 .group:0000000000000000 wm4.config_data.h.9.6026a38cd2f39158a8fd50707ba1bf90 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 NO UNDEFINED SYMBOLS diff --git a/STM32L476_ParaMETEO/system/src/cmsis/stm32l4xx/system_stm32l4xx.o.lst b/STM32L476_ParaMETEO/system/src/cmsis/stm32l4xx/system_stm32l4xx.o.lst index 199a389..5da86a7 100644 --- a/STM32L476_ParaMETEO/system/src/cmsis/stm32l4xx/system_stm32l4xx.o.lst +++ b/STM32L476_ParaMETEO/system/src/cmsis/stm32l4xx/system_stm32l4xx.o.lst @@ -657,23 +657,23 @@ 313 .file 5 "../system/include/cmsis/stm32l4xx/device/stm32l471xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 system_stm32l4xx.c - /tmp/cczPjFyt.s:18 .text.SystemInit:0000000000000000 $t - /tmp/cczPjFyt.s:25 .text.SystemInit:0000000000000000 SystemInit - /tmp/cczPjFyt.s:65 .text.SystemInit:000000000000003c $d - /tmp/cczPjFyt.s:71 .text.SystemCoreClockUpdate:0000000000000000 $t - /tmp/cczPjFyt.s:78 .text.SystemCoreClockUpdate:0000000000000000 SystemCoreClockUpdate - /tmp/cczPjFyt.s:108 .text.SystemCoreClockUpdate:000000000000002a $d - /tmp/cczPjFyt.s:235 .text.SystemCoreClockUpdate:00000000000000c0 $d - /tmp/cczPjFyt.s:295 .rodata.MSIRangeTable:0000000000000000 MSIRangeTable - /tmp/cczPjFyt.s:281 .rodata.APBPrescTable:0000000000000000 APBPrescTable - /tmp/cczPjFyt.s:260 .rodata.AHBPrescTable:0000000000000000 AHBPrescTable - /tmp/cczPjFyt.s:253 .data.SystemCoreClock:0000000000000000 SystemCoreClock - /tmp/cczPjFyt.s:249 .data.SystemCoreClock:0000000000000000 $d - /tmp/cczPjFyt.s:256 .rodata.AHBPrescTable:0000000000000000 $d - /tmp/cczPjFyt.s:278 .rodata.APBPrescTable:0000000000000000 $d - /tmp/cczPjFyt.s:291 .rodata.MSIRangeTable:0000000000000000 $d - /tmp/cczPjFyt.s:122 .text.SystemCoreClockUpdate:0000000000000037 $d - /tmp/cczPjFyt.s:122 .text.SystemCoreClockUpdate:0000000000000038 $t + /tmp/ccojRlug.s:18 .text.SystemInit:0000000000000000 $t + /tmp/ccojRlug.s:25 .text.SystemInit:0000000000000000 SystemInit + /tmp/ccojRlug.s:65 .text.SystemInit:000000000000003c $d + /tmp/ccojRlug.s:71 .text.SystemCoreClockUpdate:0000000000000000 $t + /tmp/ccojRlug.s:78 .text.SystemCoreClockUpdate:0000000000000000 SystemCoreClockUpdate + /tmp/ccojRlug.s:108 .text.SystemCoreClockUpdate:000000000000002a $d + /tmp/ccojRlug.s:235 .text.SystemCoreClockUpdate:00000000000000c0 $d + /tmp/ccojRlug.s:295 .rodata.MSIRangeTable:0000000000000000 MSIRangeTable + /tmp/ccojRlug.s:281 .rodata.APBPrescTable:0000000000000000 APBPrescTable + /tmp/ccojRlug.s:260 .rodata.AHBPrescTable:0000000000000000 AHBPrescTable + /tmp/ccojRlug.s:253 .data.SystemCoreClock:0000000000000000 SystemCoreClock + /tmp/ccojRlug.s:249 .data.SystemCoreClock:0000000000000000 $d + /tmp/ccojRlug.s:256 .rodata.AHBPrescTable:0000000000000000 $d + /tmp/ccojRlug.s:278 .rodata.APBPrescTable:0000000000000000 $d + /tmp/ccojRlug.s:291 .rodata.MSIRangeTable:0000000000000000 $d + /tmp/ccojRlug.s:122 .text.SystemCoreClockUpdate:0000000000000037 $d + /tmp/ccojRlug.s:122 .text.SystemCoreClockUpdate:0000000000000038 $t .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/davis_vantage/davis.o.lst b/STM32L476_ParaMETEO/system/src/davis_vantage/davis.o.lst index 26fe31b..ccfd0f9 100644 --- a/STM32L476_ParaMETEO/system/src/davis_vantage/davis.o.lst +++ b/STM32L476_ParaMETEO/system/src/davis_vantage/davis.o.lst @@ -1022,12 +1022,12 @@ 6:../include/main.h **** #include "config_data.h" 7:../include/main.h **** 8:../include/main.h **** #define SW_VER "EA00" - 9:../include/main.h **** #define SW_DATE "23052021" + 9:../include/main.h **** #define SW_DATE "30062021" 10:../include/main.h **** 11:../include/main.h **** #define SYSTICK_TICKS_PER_SECONDS 100 12:../include/main.h **** #define SYSTICK_TICKS_PERIOD 10 13:../include/main.h **** - 14:../include/main.h **** #define INTERNAL_WATCHDOG + 14:../include/main.h **** //#define INTERNAL_WATCHDOG 15:../include/main.h **** #define EXTERNAL_WATCHDOG 16:../include/main.h **** 17:../include/main.h **** #define PWR_SWITCH_BOTH @@ -2115,9 +2115,9 @@ 1393 .file 22 "../system/include/davis_vantage/davis_parsers.h" DEFINED SYMBOLS *ABS*:0000000000000000 davis.c - /tmp/ccSKpOR4.s:18 .text.davis_init:0000000000000000 $t - /tmp/ccSKpOR4.s:25 .text.davis_init:0000000000000000 davis_init - /tmp/ccSKpOR4.s:71 .text.davis_init:0000000000000030 $d + /tmp/ccHgp3aN.s:18 .text.davis_init:0000000000000000 $t + /tmp/ccHgp3aN.s:25 .text.davis_init:0000000000000000 davis_init + /tmp/ccHgp3aN.s:71 .text.davis_init:0000000000000030 $d *COM*:0000000000000004 davis_serial_context *COM*:0000000000000001 davis_quality_factor *COM*:0000000000000001 davis_loop_state @@ -2125,69 +2125,69 @@ DEFINED SYMBOLS *COM*:0000000000000001 davis_rx_check_state *COM*:0000000000000001 davis_global_state *COM*:0000000000000001 davis_avaliable - /tmp/ccSKpOR4.s:82 .text.davis_wake_up:0000000000000000 $t - /tmp/ccSKpOR4.s:89 .text.davis_wake_up:0000000000000000 davis_wake_up - /tmp/ccSKpOR4.s:157 .text.davis_wake_up:0000000000000048 $d - /tmp/ccSKpOR4.s:163 .text.davis_wake_up:0000000000000060 $t - /tmp/ccSKpOR4.s:385 .text.davis_wake_up:00000000000001ac $d - /tmp/ccSKpOR4.s:396 .text.davis_rxcheck_packet_pooler:0000000000000000 $t - /tmp/ccSKpOR4.s:403 .text.davis_rxcheck_packet_pooler:0000000000000000 davis_rxcheck_packet_pooler - /tmp/ccSKpOR4.s:432 .text.davis_rxcheck_packet_pooler:000000000000001a $d - /tmp/ccSKpOR4.s:436 .text.davis_rxcheck_packet_pooler:000000000000001e $t - /tmp/ccSKpOR4.s:622 .text.davis_rxcheck_packet_pooler:0000000000000108 $d - /tmp/ccSKpOR4.s:639 .text.davis_loop_packet_pooler:0000000000000000 $t - /tmp/ccSKpOR4.s:646 .text.davis_loop_packet_pooler:0000000000000000 davis_loop_packet_pooler - /tmp/ccSKpOR4.s:676 .text.davis_loop_packet_pooler:000000000000001a $d - /tmp/ccSKpOR4.s:682 .text.davis_loop_packet_pooler:0000000000000020 $t - /tmp/ccSKpOR4.s:874 .text.davis_loop_packet_pooler:00000000000000f0 $d - /tmp/ccSKpOR4.s:885 .text.davis_trigger_rxcheck_packet:0000000000000000 $t - /tmp/ccSKpOR4.s:892 .text.davis_trigger_rxcheck_packet:0000000000000000 davis_trigger_rxcheck_packet - /tmp/ccSKpOR4.s:908 .text.davis_trigger_rxcheck_packet:0000000000000008 $d - /tmp/ccSKpOR4.s:913 .text.davis_trigger_loop_packet:0000000000000000 $t - /tmp/ccSKpOR4.s:920 .text.davis_trigger_loop_packet:0000000000000000 davis_trigger_loop_packet - /tmp/ccSKpOR4.s:937 .text.davis_trigger_loop_packet:0000000000000008 $d - /tmp/ccSKpOR4.s:942 .text.davis_leave_receiving_screen:0000000000000000 $t - /tmp/ccSKpOR4.s:949 .text.davis_leave_receiving_screen:0000000000000000 davis_leave_receiving_screen - /tmp/ccSKpOR4.s:1012 .text.davis_leave_receiving_screen:0000000000000044 $d - /tmp/ccSKpOR4.s:1018 .text.davis_control_backlight:0000000000000000 $t - /tmp/ccSKpOR4.s:1025 .text.davis_control_backlight:0000000000000000 davis_control_backlight - /tmp/ccSKpOR4.s:1113 .text.davis_control_backlight:000000000000005c $d - /tmp/ccSKpOR4.s:1120 .text.davis_get_temperature:0000000000000000 $t - /tmp/ccSKpOR4.s:1127 .text.davis_get_temperature:0000000000000000 davis_get_temperature - /tmp/ccSKpOR4.s:1158 .text.davis_get_temperature:000000000000002c $d - /tmp/ccSKpOR4.s:1164 .text.davis_get_pressure:0000000000000000 $t - /tmp/ccSKpOR4.s:1171 .text.davis_get_pressure:0000000000000000 davis_get_pressure - /tmp/ccSKpOR4.s:1199 .text.davis_get_pressure:0000000000000024 $d - /tmp/ccSKpOR4.s:1205 .text.davis_get_wind:0000000000000000 $t - /tmp/ccSKpOR4.s:1212 .text.davis_get_wind:0000000000000000 davis_get_wind - /tmp/ccSKpOR4.s:1256 .text.davis_get_wind:0000000000000030 $d - /tmp/ccSKpOR4.s:1321 .bss.davis_last_good_rxcheck:0000000000000000 davis_last_good_rxcheck - /tmp/ccSKpOR4.s:1314 .bss.davis_last_good_loop:0000000000000000 davis_last_good_loop - /tmp/ccSKpOR4.s:1279 .bss.davis_base_crc_errors:0000000000000000 davis_base_crc_errors - /tmp/ccSKpOR4.s:1286 .bss.davis_base_packets_in_the_row:0000000000000000 davis_base_packets_in_the_row - /tmp/ccSKpOR4.s:1293 .bss.davis_base_resynchronizations:0000000000000000 davis_base_resynchronizations - /tmp/ccSKpOR4.s:1300 .bss.davis_base_total_packet_missed:0000000000000000 davis_base_total_packet_missed - /tmp/ccSKpOR4.s:1307 .bss.davis_base_total_packet_received:0000000000000000 davis_base_total_packet_received - /tmp/ccSKpOR4.s:1275 .bss.davis_base_crc_errors:0000000000000000 $d - /tmp/ccSKpOR4.s:1282 .bss.davis_base_packets_in_the_row:0000000000000000 $d - /tmp/ccSKpOR4.s:1289 .bss.davis_base_resynchronizations:0000000000000000 $d - /tmp/ccSKpOR4.s:1296 .bss.davis_base_total_packet_missed:0000000000000000 $d - /tmp/ccSKpOR4.s:1303 .bss.davis_base_total_packet_received:0000000000000000 $d - /tmp/ccSKpOR4.s:1310 .bss.davis_last_good_loop:0000000000000000 $d - /tmp/ccSKpOR4.s:1317 .bss.davis_last_good_rxcheck:0000000000000000 $d - /tmp/ccSKpOR4.s:1324 .rodata.lamps_off:0000000000000000 $d - /tmp/ccSKpOR4.s:1328 .rodata.lamps_off:0000000000000000 lamps_off - /tmp/ccSKpOR4.s:1331 .rodata.lamps_on:0000000000000000 $d - /tmp/ccSKpOR4.s:1335 .rodata.lamps_on:0000000000000000 lamps_on - /tmp/ccSKpOR4.s:1338 .rodata.leave_rx_screen:0000000000000000 $d - /tmp/ccSKpOR4.s:1342 .rodata.leave_rx_screen:0000000000000000 leave_rx_screen - /tmp/ccSKpOR4.s:1348 .rodata.line_feed:0000000000000000 line_feed - /tmp/ccSKpOR4.s:1351 .rodata.line_feed_return:0000000000000000 $d - /tmp/ccSKpOR4.s:1355 .rodata.line_feed_return:0000000000000000 line_feed_return - /tmp/ccSKpOR4.s:1359 .rodata.loop_command:0000000000000000 $d - /tmp/ccSKpOR4.s:1363 .rodata.loop_command:0000000000000000 loop_command - /tmp/ccSKpOR4.s:1366 .rodata.rx_check:0000000000000000 $d - /tmp/ccSKpOR4.s:1370 .rodata.rx_check:0000000000000000 rx_check + /tmp/ccHgp3aN.s:82 .text.davis_wake_up:0000000000000000 $t + /tmp/ccHgp3aN.s:89 .text.davis_wake_up:0000000000000000 davis_wake_up + /tmp/ccHgp3aN.s:157 .text.davis_wake_up:0000000000000048 $d + /tmp/ccHgp3aN.s:163 .text.davis_wake_up:0000000000000060 $t + /tmp/ccHgp3aN.s:385 .text.davis_wake_up:00000000000001ac $d + /tmp/ccHgp3aN.s:396 .text.davis_rxcheck_packet_pooler:0000000000000000 $t + /tmp/ccHgp3aN.s:403 .text.davis_rxcheck_packet_pooler:0000000000000000 davis_rxcheck_packet_pooler + /tmp/ccHgp3aN.s:432 .text.davis_rxcheck_packet_pooler:000000000000001a $d + /tmp/ccHgp3aN.s:436 .text.davis_rxcheck_packet_pooler:000000000000001e $t + /tmp/ccHgp3aN.s:622 .text.davis_rxcheck_packet_pooler:0000000000000108 $d + /tmp/ccHgp3aN.s:639 .text.davis_loop_packet_pooler:0000000000000000 $t + /tmp/ccHgp3aN.s:646 .text.davis_loop_packet_pooler:0000000000000000 davis_loop_packet_pooler + /tmp/ccHgp3aN.s:676 .text.davis_loop_packet_pooler:000000000000001a $d + /tmp/ccHgp3aN.s:682 .text.davis_loop_packet_pooler:0000000000000020 $t + /tmp/ccHgp3aN.s:874 .text.davis_loop_packet_pooler:00000000000000f0 $d + /tmp/ccHgp3aN.s:885 .text.davis_trigger_rxcheck_packet:0000000000000000 $t + /tmp/ccHgp3aN.s:892 .text.davis_trigger_rxcheck_packet:0000000000000000 davis_trigger_rxcheck_packet + /tmp/ccHgp3aN.s:908 .text.davis_trigger_rxcheck_packet:0000000000000008 $d + /tmp/ccHgp3aN.s:913 .text.davis_trigger_loop_packet:0000000000000000 $t + /tmp/ccHgp3aN.s:920 .text.davis_trigger_loop_packet:0000000000000000 davis_trigger_loop_packet + /tmp/ccHgp3aN.s:937 .text.davis_trigger_loop_packet:0000000000000008 $d + /tmp/ccHgp3aN.s:942 .text.davis_leave_receiving_screen:0000000000000000 $t + /tmp/ccHgp3aN.s:949 .text.davis_leave_receiving_screen:0000000000000000 davis_leave_receiving_screen + /tmp/ccHgp3aN.s:1012 .text.davis_leave_receiving_screen:0000000000000044 $d + /tmp/ccHgp3aN.s:1018 .text.davis_control_backlight:0000000000000000 $t + /tmp/ccHgp3aN.s:1025 .text.davis_control_backlight:0000000000000000 davis_control_backlight + /tmp/ccHgp3aN.s:1113 .text.davis_control_backlight:000000000000005c $d + /tmp/ccHgp3aN.s:1120 .text.davis_get_temperature:0000000000000000 $t + /tmp/ccHgp3aN.s:1127 .text.davis_get_temperature:0000000000000000 davis_get_temperature + /tmp/ccHgp3aN.s:1158 .text.davis_get_temperature:000000000000002c $d + /tmp/ccHgp3aN.s:1164 .text.davis_get_pressure:0000000000000000 $t + /tmp/ccHgp3aN.s:1171 .text.davis_get_pressure:0000000000000000 davis_get_pressure + /tmp/ccHgp3aN.s:1199 .text.davis_get_pressure:0000000000000024 $d + /tmp/ccHgp3aN.s:1205 .text.davis_get_wind:0000000000000000 $t + /tmp/ccHgp3aN.s:1212 .text.davis_get_wind:0000000000000000 davis_get_wind + /tmp/ccHgp3aN.s:1256 .text.davis_get_wind:0000000000000030 $d + /tmp/ccHgp3aN.s:1321 .bss.davis_last_good_rxcheck:0000000000000000 davis_last_good_rxcheck + /tmp/ccHgp3aN.s:1314 .bss.davis_last_good_loop:0000000000000000 davis_last_good_loop + /tmp/ccHgp3aN.s:1279 .bss.davis_base_crc_errors:0000000000000000 davis_base_crc_errors + /tmp/ccHgp3aN.s:1286 .bss.davis_base_packets_in_the_row:0000000000000000 davis_base_packets_in_the_row + /tmp/ccHgp3aN.s:1293 .bss.davis_base_resynchronizations:0000000000000000 davis_base_resynchronizations + /tmp/ccHgp3aN.s:1300 .bss.davis_base_total_packet_missed:0000000000000000 davis_base_total_packet_missed + /tmp/ccHgp3aN.s:1307 .bss.davis_base_total_packet_received:0000000000000000 davis_base_total_packet_received + /tmp/ccHgp3aN.s:1275 .bss.davis_base_crc_errors:0000000000000000 $d + /tmp/ccHgp3aN.s:1282 .bss.davis_base_packets_in_the_row:0000000000000000 $d + /tmp/ccHgp3aN.s:1289 .bss.davis_base_resynchronizations:0000000000000000 $d + /tmp/ccHgp3aN.s:1296 .bss.davis_base_total_packet_missed:0000000000000000 $d + /tmp/ccHgp3aN.s:1303 .bss.davis_base_total_packet_received:0000000000000000 $d + /tmp/ccHgp3aN.s:1310 .bss.davis_last_good_loop:0000000000000000 $d + /tmp/ccHgp3aN.s:1317 .bss.davis_last_good_rxcheck:0000000000000000 $d + /tmp/ccHgp3aN.s:1324 .rodata.lamps_off:0000000000000000 $d + /tmp/ccHgp3aN.s:1328 .rodata.lamps_off:0000000000000000 lamps_off + /tmp/ccHgp3aN.s:1331 .rodata.lamps_on:0000000000000000 $d + /tmp/ccHgp3aN.s:1335 .rodata.lamps_on:0000000000000000 lamps_on + /tmp/ccHgp3aN.s:1338 .rodata.leave_rx_screen:0000000000000000 $d + /tmp/ccHgp3aN.s:1342 .rodata.leave_rx_screen:0000000000000000 leave_rx_screen + /tmp/ccHgp3aN.s:1348 .rodata.line_feed:0000000000000000 line_feed + /tmp/ccHgp3aN.s:1351 .rodata.line_feed_return:0000000000000000 $d + /tmp/ccHgp3aN.s:1355 .rodata.line_feed_return:0000000000000000 line_feed_return + /tmp/ccHgp3aN.s:1359 .rodata.loop_command:0000000000000000 $d + /tmp/ccHgp3aN.s:1363 .rodata.loop_command:0000000000000000 loop_command + /tmp/ccHgp3aN.s:1366 .rodata.rx_check:0000000000000000 $d + /tmp/ccHgp3aN.s:1370 .rodata.rx_check:0000000000000000 rx_check .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 @@ -2226,7 +2226,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.afsk.h.17.cf8f922069680e152e1eddb76cd4cc82 .group:0000000000000000 wm4.ax25.h.18.077e42a4af9016476e34900472a8fe18 .group:0000000000000000 wm4.config_data.h.9.6026a38cd2f39158a8fd50707ba1bf90 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 UNDEFINED SYMBOLS srl_switch_timeout diff --git a/STM32L476_ParaMETEO/system/src/davis_vantage/davis_parsers.o.lst b/STM32L476_ParaMETEO/system/src/davis_vantage/davis_parsers.o.lst index ff2d16d..1ffda7e 100644 --- a/STM32L476_ParaMETEO/system/src/davis_vantage/davis_parsers.o.lst +++ b/STM32L476_ParaMETEO/system/src/davis_vantage/davis_parsers.o.lst @@ -1133,21 +1133,21 @@ 733 .file 10 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/stdio.h" DEFINED SYMBOLS *ABS*:0000000000000000 davis_parsers.c - /tmp/cc5MHnCE.s:18 .text.davis_parsers_check_crc:0000000000000000 $t - /tmp/cc5MHnCE.s:25 .text.davis_parsers_check_crc:0000000000000000 davis_parsers_check_crc - /tmp/cc5MHnCE.s:84 .text.davis_parsers_check_crc:000000000000002c $d - /tmp/cc5MHnCE.s:89 .text.davis_parsers_loop2:0000000000000000 $t - /tmp/cc5MHnCE.s:96 .text.davis_parsers_loop2:0000000000000000 davis_parsers_loop2 - /tmp/cc5MHnCE.s:233 .text.davis_parsers_loop:0000000000000000 $t - /tmp/cc5MHnCE.s:240 .text.davis_parsers_loop:0000000000000000 davis_parsers_loop - /tmp/cc5MHnCE.s:261 .text.davis_parsers_rxcheck:0000000000000000 $t - /tmp/cc5MHnCE.s:268 .text.davis_parsers_rxcheck:0000000000000000 davis_parsers_rxcheck - /tmp/cc5MHnCE.s:400 .text.davis_parsers_rxcheck:0000000000000084 $d - /tmp/cc5MHnCE.s:405 .text.davis_parsers_rxcheck:0000000000000098 $t - /tmp/cc5MHnCE.s:449 .text.davis_parsers_rxcheck:00000000000000cc $d - /tmp/cc5MHnCE.s:460 .rodata.crc_table:0000000000000000 crc_table - /tmp/cc5MHnCE.s:456 .rodata.crc_table:0000000000000000 $d - /tmp/cc5MHnCE.s:718 .rodata.davis_parsers_rxcheck.str1.4:0000000000000000 $d + /tmp/ccz3pdCr.s:18 .text.davis_parsers_check_crc:0000000000000000 $t + /tmp/ccz3pdCr.s:25 .text.davis_parsers_check_crc:0000000000000000 davis_parsers_check_crc + /tmp/ccz3pdCr.s:84 .text.davis_parsers_check_crc:000000000000002c $d + /tmp/ccz3pdCr.s:89 .text.davis_parsers_loop2:0000000000000000 $t + /tmp/ccz3pdCr.s:96 .text.davis_parsers_loop2:0000000000000000 davis_parsers_loop2 + /tmp/ccz3pdCr.s:233 .text.davis_parsers_loop:0000000000000000 $t + /tmp/ccz3pdCr.s:240 .text.davis_parsers_loop:0000000000000000 davis_parsers_loop + /tmp/ccz3pdCr.s:261 .text.davis_parsers_rxcheck:0000000000000000 $t + /tmp/ccz3pdCr.s:268 .text.davis_parsers_rxcheck:0000000000000000 davis_parsers_rxcheck + /tmp/ccz3pdCr.s:400 .text.davis_parsers_rxcheck:0000000000000084 $d + /tmp/ccz3pdCr.s:405 .text.davis_parsers_rxcheck:0000000000000098 $t + /tmp/ccz3pdCr.s:449 .text.davis_parsers_rxcheck:00000000000000cc $d + /tmp/ccz3pdCr.s:460 .rodata.crc_table:0000000000000000 crc_table + /tmp/ccz3pdCr.s:456 .rodata.crc_table:0000000000000000 $d + /tmp/ccz3pdCr.s:718 .rodata.davis_parsers_rxcheck.str1.4:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.davis_parsers.h.15.1cf20b7725fbc6387ea18eb1ec35046c diff --git a/STM32L476_ParaMETEO/system/src/drivers/analog_anemometer.o.lst b/STM32L476_ParaMETEO/system/src/drivers/analog_anemometer.o.lst index 4c5efda..adf4af0 100644 --- a/STM32L476_ParaMETEO/system/src/drivers/analog_anemometer.o.lst +++ b/STM32L476_ParaMETEO/system/src/drivers/analog_anemometer.o.lst @@ -8294,12 +8294,12 @@ 6:../include/main.h **** #include "config_data.h" 7:../include/main.h **** 8:../include/main.h **** #define SW_VER "EA00" - 9:../include/main.h **** #define SW_DATE "23052021" + 9:../include/main.h **** #define SW_DATE "30062021" 10:../include/main.h **** 11:../include/main.h **** #define SYSTICK_TICKS_PER_SECONDS 100 12:../include/main.h **** #define SYSTICK_TICKS_PERIOD 10 13:../include/main.h **** - 14:../include/main.h **** #define INTERNAL_WATCHDOG + 14:../include/main.h **** //#define INTERNAL_WATCHDOG 15:../include/main.h **** #define EXTERNAL_WATCHDOG 16:../include/main.h **** 17:../include/main.h **** #define PWR_SWITCH_BOTH @@ -8990,58 +8990,58 @@ 1532 .file 33 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/string.h" DEFINED SYMBOLS *ABS*:0000000000000000 analog_anemometer.c - /tmp/cc0TddDR.s:18 .text.analog_anemometer_init:0000000000000000 $t - /tmp/cc0TddDR.s:25 .text.analog_anemometer_init:0000000000000000 analog_anemometer_init - /tmp/cc0TddDR.s:265 .text.analog_anemometer_init:0000000000000134 $d + /tmp/ccxHTXyy.s:18 .text.analog_anemometer_init:0000000000000000 $t + /tmp/ccxHTXyy.s:25 .text.analog_anemometer_init:0000000000000000 analog_anemometer_init + /tmp/ccxHTXyy.s:265 .text.analog_anemometer_init:0000000000000134 $d *COM*:0000000000000014 analog_anemometer_windspeed_pulses_time *COM*:0000000000000014 analog_anemometer_time_between_pulses *COM*:0000000000000014 analog_anemometer_direction_timer_values *COM*:000000000000002c DMA_InitStruct - /tmp/cc0TddDR.s:287 .text.analog_anemometer_timer_irq:0000000000000000 $t - /tmp/cc0TddDR.s:294 .text.analog_anemometer_timer_irq:0000000000000000 analog_anemometer_timer_irq - /tmp/cc0TddDR.s:310 .text.analog_anemometer_timer_irq:0000000000000008 $d - /tmp/cc0TddDR.s:315 .text.analog_anemometer_dma_irq:0000000000000000 $t - /tmp/cc0TddDR.s:322 .text.analog_anemometer_dma_irq:0000000000000000 analog_anemometer_dma_irq - /tmp/cc0TddDR.s:754 .text.analog_anemometer_dma_irq:0000000000000218 $d - /tmp/cc0TddDR.s:768 .text.analog_anemometer_get_ms_from_pulse:0000000000000000 $t - /tmp/cc0TddDR.s:775 .text.analog_anemometer_get_ms_from_pulse:0000000000000000 analog_anemometer_get_ms_from_pulse - /tmp/cc0TddDR.s:809 .text.analog_anemometer_get_ms_from_pulse:0000000000000020 $d - /tmp/cc0TddDR.s:815 .text.analog_anemometer_direction_sparkfun:0000000000000000 $t - /tmp/cc0TddDR.s:822 .text.analog_anemometer_direction_sparkfun:0000000000000000 analog_anemometer_direction_sparkfun - /tmp/cc0TddDR.s:878 .text.analog_anemometer_direction_sparkfun:000000000000003c $d - /tmp/cc0TddDR.s:883 .text.analog_anemometer_direction_reset:0000000000000000 $t - /tmp/cc0TddDR.s:890 .text.analog_anemometer_direction_reset:0000000000000000 analog_anemometer_direction_reset - /tmp/cc0TddDR.s:930 .text.analog_anemometer_direction_reset:0000000000000018 $d - /tmp/cc0TddDR.s:935 .text.analog_anemometer_direction_handler:0000000000000000 $t - /tmp/cc0TddDR.s:942 .text.analog_anemometer_direction_handler:0000000000000000 analog_anemometer_direction_handler - /tmp/cc0TddDR.s:1188 .text.analog_anemometer_direction_handler:0000000000000114 $d - /tmp/cc0TddDR.s:1210 .text.analog_anemometer_get_qf:0000000000000000 $t - /tmp/cc0TddDR.s:1217 .text.analog_anemometer_get_qf:0000000000000000 analog_anemometer_get_qf - /tmp/cc0TddDR.s:1350 .text.analog_anemometer_get_qf:0000000000000090 $d - /tmp/cc0TddDR.s:1454 .rodata.analog_anemometer_direction_sparkfun_ranges:0000000000000000 analog_anemometer_direction_sparkfun_ranges - /tmp/cc0TddDR.s:1441 .data.analog_anemometer_direction_mode:0000000000000000 analog_anemometer_direction_mode - /tmp/cc0TddDR.s:1402 .bss.analog_anemometer_last_direction_cnt:0000000000000000 analog_anemometer_last_direction_cnt - /tmp/cc0TddDR.s:1447 .data.analog_anemometer_direction_pol:0000000000000000 analog_anemometer_direction_pol - /tmp/cc0TddDR.s:1428 .data.analog_anemometer_a_coeff:0000000000000000 analog_anemometer_a_coeff - /tmp/cc0TddDR.s:1435 .data.analog_anemometer_b_coeff:0000000000000000 analog_anemometer_b_coeff - /tmp/cc0TddDR.s:1383 .bss.analog_anemometer_direction:0000000000000000 analog_anemometer_direction - /tmp/cc0TddDR.s:1389 .bss.analog_anemometer_direction_doesnt_work:0000000000000000 analog_anemometer_direction_doesnt_work - /tmp/cc0TddDR.s:1377 .bss.analog_anemometer_deboucing_fired:0000000000000000 analog_anemometer_deboucing_fired - /tmp/cc0TddDR.s:1415 .bss.analog_anemometer_slew_limit_fired:0000000000000000 analog_anemometer_slew_limit_fired - /tmp/cc0TddDR.s:1421 .bss.analog_anemometer_timer_has_been_fired:0000000000000000 analog_anemometer_timer_has_been_fired - /tmp/cc0TddDR.s:1409 .bss.analog_anemometer_pulses_per_m_s_constant:0000000000000000 analog_anemometer_pulses_per_m_s_constant - /tmp/cc0TddDR.s:1395 .bss.analog_anemometer_direction_timer_values_it:0000000000000000 analog_anemometer_direction_timer_values_it - /tmp/cc0TddDR.s:1378 .bss.analog_anemometer_deboucing_fired:0000000000000000 $d - /tmp/cc0TddDR.s:1380 .bss.analog_anemometer_direction:0000000000000000 $d - /tmp/cc0TddDR.s:1390 .bss.analog_anemometer_direction_doesnt_work:0000000000000000 $d - /tmp/cc0TddDR.s:1396 .bss.analog_anemometer_direction_timer_values_it:0000000000000000 $d - /tmp/cc0TddDR.s:1398 .bss.analog_anemometer_last_direction_cnt:0000000000000000 $d - /tmp/cc0TddDR.s:1405 .bss.analog_anemometer_pulses_per_m_s_constant:0000000000000000 $d - /tmp/cc0TddDR.s:1416 .bss.analog_anemometer_slew_limit_fired:0000000000000000 $d - /tmp/cc0TddDR.s:1422 .bss.analog_anemometer_timer_has_been_fired:0000000000000000 $d - /tmp/cc0TddDR.s:1424 .data.analog_anemometer_a_coeff:0000000000000000 $d - /tmp/cc0TddDR.s:1431 .data.analog_anemometer_b_coeff:0000000000000000 $d - /tmp/cc0TddDR.s:1450 .rodata.analog_anemometer_direction_sparkfun_ranges:0000000000000000 $d + /tmp/ccxHTXyy.s:287 .text.analog_anemometer_timer_irq:0000000000000000 $t + /tmp/ccxHTXyy.s:294 .text.analog_anemometer_timer_irq:0000000000000000 analog_anemometer_timer_irq + /tmp/ccxHTXyy.s:310 .text.analog_anemometer_timer_irq:0000000000000008 $d + /tmp/ccxHTXyy.s:315 .text.analog_anemometer_dma_irq:0000000000000000 $t + /tmp/ccxHTXyy.s:322 .text.analog_anemometer_dma_irq:0000000000000000 analog_anemometer_dma_irq + /tmp/ccxHTXyy.s:754 .text.analog_anemometer_dma_irq:0000000000000218 $d + /tmp/ccxHTXyy.s:768 .text.analog_anemometer_get_ms_from_pulse:0000000000000000 $t + /tmp/ccxHTXyy.s:775 .text.analog_anemometer_get_ms_from_pulse:0000000000000000 analog_anemometer_get_ms_from_pulse + /tmp/ccxHTXyy.s:809 .text.analog_anemometer_get_ms_from_pulse:0000000000000020 $d + /tmp/ccxHTXyy.s:815 .text.analog_anemometer_direction_sparkfun:0000000000000000 $t + /tmp/ccxHTXyy.s:822 .text.analog_anemometer_direction_sparkfun:0000000000000000 analog_anemometer_direction_sparkfun + /tmp/ccxHTXyy.s:878 .text.analog_anemometer_direction_sparkfun:000000000000003c $d + /tmp/ccxHTXyy.s:883 .text.analog_anemometer_direction_reset:0000000000000000 $t + /tmp/ccxHTXyy.s:890 .text.analog_anemometer_direction_reset:0000000000000000 analog_anemometer_direction_reset + /tmp/ccxHTXyy.s:930 .text.analog_anemometer_direction_reset:0000000000000018 $d + /tmp/ccxHTXyy.s:935 .text.analog_anemometer_direction_handler:0000000000000000 $t + /tmp/ccxHTXyy.s:942 .text.analog_anemometer_direction_handler:0000000000000000 analog_anemometer_direction_handler + /tmp/ccxHTXyy.s:1188 .text.analog_anemometer_direction_handler:0000000000000114 $d + /tmp/ccxHTXyy.s:1210 .text.analog_anemometer_get_qf:0000000000000000 $t + /tmp/ccxHTXyy.s:1217 .text.analog_anemometer_get_qf:0000000000000000 analog_anemometer_get_qf + /tmp/ccxHTXyy.s:1350 .text.analog_anemometer_get_qf:0000000000000090 $d + /tmp/ccxHTXyy.s:1454 .rodata.analog_anemometer_direction_sparkfun_ranges:0000000000000000 analog_anemometer_direction_sparkfun_ranges + /tmp/ccxHTXyy.s:1441 .data.analog_anemometer_direction_mode:0000000000000000 analog_anemometer_direction_mode + /tmp/ccxHTXyy.s:1402 .bss.analog_anemometer_last_direction_cnt:0000000000000000 analog_anemometer_last_direction_cnt + /tmp/ccxHTXyy.s:1447 .data.analog_anemometer_direction_pol:0000000000000000 analog_anemometer_direction_pol + /tmp/ccxHTXyy.s:1428 .data.analog_anemometer_a_coeff:0000000000000000 analog_anemometer_a_coeff + /tmp/ccxHTXyy.s:1435 .data.analog_anemometer_b_coeff:0000000000000000 analog_anemometer_b_coeff + /tmp/ccxHTXyy.s:1383 .bss.analog_anemometer_direction:0000000000000000 analog_anemometer_direction + /tmp/ccxHTXyy.s:1389 .bss.analog_anemometer_direction_doesnt_work:0000000000000000 analog_anemometer_direction_doesnt_work + /tmp/ccxHTXyy.s:1377 .bss.analog_anemometer_deboucing_fired:0000000000000000 analog_anemometer_deboucing_fired + /tmp/ccxHTXyy.s:1415 .bss.analog_anemometer_slew_limit_fired:0000000000000000 analog_anemometer_slew_limit_fired + /tmp/ccxHTXyy.s:1421 .bss.analog_anemometer_timer_has_been_fired:0000000000000000 analog_anemometer_timer_has_been_fired + /tmp/ccxHTXyy.s:1409 .bss.analog_anemometer_pulses_per_m_s_constant:0000000000000000 analog_anemometer_pulses_per_m_s_constant + /tmp/ccxHTXyy.s:1395 .bss.analog_anemometer_direction_timer_values_it:0000000000000000 analog_anemometer_direction_timer_values_it + /tmp/ccxHTXyy.s:1378 .bss.analog_anemometer_deboucing_fired:0000000000000000 $d + /tmp/ccxHTXyy.s:1380 .bss.analog_anemometer_direction:0000000000000000 $d + /tmp/ccxHTXyy.s:1390 .bss.analog_anemometer_direction_doesnt_work:0000000000000000 $d + /tmp/ccxHTXyy.s:1396 .bss.analog_anemometer_direction_timer_values_it:0000000000000000 $d + /tmp/ccxHTXyy.s:1398 .bss.analog_anemometer_last_direction_cnt:0000000000000000 $d + /tmp/ccxHTXyy.s:1405 .bss.analog_anemometer_pulses_per_m_s_constant:0000000000000000 $d + /tmp/ccxHTXyy.s:1416 .bss.analog_anemometer_slew_limit_fired:0000000000000000 $d + /tmp/ccxHTXyy.s:1422 .bss.analog_anemometer_timer_has_been_fired:0000000000000000 $d + /tmp/ccxHTXyy.s:1424 .data.analog_anemometer_a_coeff:0000000000000000 $d + /tmp/ccxHTXyy.s:1431 .data.analog_anemometer_b_coeff:0000000000000000 $d + /tmp/ccxHTXyy.s:1450 .rodata.analog_anemometer_direction_sparkfun_ranges:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.station_config.h.9.d547169434a316afe56104ac612d2109 .group:0000000000000000 wm4.station_config_target_hw.h.9.a20e080416e80d1cff9c1b51cc464832 @@ -9090,7 +9090,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.config.h.2.f5e96167cb26b0ce97c5e7513a95bc8b .group:0000000000000000 wm4.afsk.h.17.cf8f922069680e152e1eddb76cd4cc82 .group:0000000000000000 wm4.ax25.h.18.077e42a4af9016476e34900472a8fe18 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 .group:0000000000000000 wm4.wx_handler.h.9.70f7141466ac521f5731794f4f690a16 .group:0000000000000000 wm4.LedConfig.h.9.4d8a7e6bb86dda658c789f8aaf01aaee .group:0000000000000000 wm4.stm32l4xx_ll_tim.h.22.3ad11d579f6c3053b8c8daba8bebe46e diff --git a/STM32L476_ParaMETEO/system/src/drivers/bme280.o.lst b/STM32L476_ParaMETEO/system/src/drivers/bme280.o.lst index 06c0ffa..92765ed 100644 --- a/STM32L476_ParaMETEO/system/src/drivers/bme280.o.lst +++ b/STM32L476_ParaMETEO/system/src/drivers/bme280.o.lst @@ -1560,34 +1560,34 @@ 1052 .file 9 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/string.h" DEFINED SYMBOLS *ABS*:0000000000000000 bme280.c - /tmp/ccwkReus.s:18 .text.bme280_reset:0000000000000000 $t - /tmp/ccwkReus.s:25 .text.bme280_reset:0000000000000000 bme280_reset - /tmp/ccwkReus.s:101 .text.bme280_reset:0000000000000050 $d - /tmp/ccwkReus.s:108 .text.bme280_setup:0000000000000000 $t - /tmp/ccwkReus.s:115 .text.bme280_setup:0000000000000000 bme280_setup - /tmp/ccwkReus.s:195 .text.bme280_setup:0000000000000068 $d - /tmp/ccwkReus.s:201 .text.bme280_read_calibration:0000000000000000 $t - /tmp/ccwkReus.s:208 .text.bme280_read_calibration:0000000000000000 bme280_read_calibration - /tmp/ccwkReus.s:384 .text.bme280_read_calibration:00000000000000e8 $d - /tmp/ccwkReus.s:391 .text.bme280_read_raw_data:0000000000000000 $t - /tmp/ccwkReus.s:398 .text.bme280_read_raw_data:0000000000000000 bme280_read_raw_data - /tmp/ccwkReus.s:512 .text.bme280_read_raw_data:0000000000000088 $d - /tmp/ccwkReus.s:519 .text.bme280_get_pressure:0000000000000000 $t - /tmp/ccwkReus.s:526 .text.bme280_get_pressure:0000000000000000 bme280_get_pressure - /tmp/ccwkReus.s:738 .text.bme280_get_pressure:0000000000000110 $d + /tmp/cczFWoPd.s:18 .text.bme280_reset:0000000000000000 $t + /tmp/cczFWoPd.s:25 .text.bme280_reset:0000000000000000 bme280_reset + /tmp/cczFWoPd.s:101 .text.bme280_reset:0000000000000050 $d + /tmp/cczFWoPd.s:108 .text.bme280_setup:0000000000000000 $t + /tmp/cczFWoPd.s:115 .text.bme280_setup:0000000000000000 bme280_setup + /tmp/cczFWoPd.s:195 .text.bme280_setup:0000000000000068 $d + /tmp/cczFWoPd.s:201 .text.bme280_read_calibration:0000000000000000 $t + /tmp/cczFWoPd.s:208 .text.bme280_read_calibration:0000000000000000 bme280_read_calibration + /tmp/cczFWoPd.s:384 .text.bme280_read_calibration:00000000000000e8 $d + /tmp/cczFWoPd.s:391 .text.bme280_read_raw_data:0000000000000000 $t + /tmp/cczFWoPd.s:398 .text.bme280_read_raw_data:0000000000000000 bme280_read_raw_data + /tmp/cczFWoPd.s:512 .text.bme280_read_raw_data:0000000000000088 $d + /tmp/cczFWoPd.s:519 .text.bme280_get_pressure:0000000000000000 $t + /tmp/cczFWoPd.s:526 .text.bme280_get_pressure:0000000000000000 bme280_get_pressure + /tmp/cczFWoPd.s:738 .text.bme280_get_pressure:0000000000000110 $d *COM*:000000000000002a bme280_calibration_data - /tmp/ccwkReus.s:745 .text.bme280_get_temperature:0000000000000000 $t - /tmp/ccwkReus.s:752 .text.bme280_get_temperature:0000000000000000 bme280_get_temperature - /tmp/ccwkReus.s:840 .text.bme280_get_temperature:0000000000000064 $d - /tmp/ccwkReus.s:847 .text.bme280_get_humidity:0000000000000000 $t - /tmp/ccwkReus.s:854 .text.bme280_get_humidity:0000000000000000 bme280_get_humidity - /tmp/ccwkReus.s:1015 .text.bme280_get_humidity:00000000000000b0 $d - /tmp/ccwkReus.s:1042 .bss.t_fine:0000000000000000 t_fine + /tmp/cczFWoPd.s:745 .text.bme280_get_temperature:0000000000000000 $t + /tmp/cczFWoPd.s:752 .text.bme280_get_temperature:0000000000000000 bme280_get_temperature + /tmp/cczFWoPd.s:840 .text.bme280_get_temperature:0000000000000064 $d + /tmp/cczFWoPd.s:847 .text.bme280_get_humidity:0000000000000000 $t + /tmp/cczFWoPd.s:854 .text.bme280_get_humidity:0000000000000000 bme280_get_humidity + /tmp/cczFWoPd.s:1015 .text.bme280_get_humidity:00000000000000b0 $d + /tmp/cczFWoPd.s:1042 .bss.t_fine:0000000000000000 t_fine *COM*:0000000000000009 bme280_data_buffer - /tmp/ccwkReus.s:1035 .bss.bme280_sensor_avaliable:0000000000000000 bme280_sensor_avaliable - /tmp/ccwkReus.s:1025 .rodata:0000000000000000 $d - /tmp/ccwkReus.s:1036 .bss.bme280_sensor_avaliable:0000000000000000 $d - /tmp/ccwkReus.s:1038 .bss.t_fine:0000000000000000 $d + /tmp/cczFWoPd.s:1035 .bss.bme280_sensor_avaliable:0000000000000000 bme280_sensor_avaliable + /tmp/cczFWoPd.s:1025 .rodata:0000000000000000 $d + /tmp/cczFWoPd.s:1036 .bss.bme280_sensor_avaliable:0000000000000000 $d + /tmp/cczFWoPd.s:1038 .bss.t_fine:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.bme280.h.13.f4d7a41695a048e9616e171ad319eeb7 diff --git a/STM32L476_ParaMETEO/system/src/drivers/dallas.o.lst b/STM32L476_ParaMETEO/system/src/drivers/dallas.o.lst index 813fe69..4595eb9 100644 --- a/STM32L476_ParaMETEO/system/src/drivers/dallas.o.lst +++ b/STM32L476_ParaMETEO/system/src/drivers/dallas.o.lst @@ -4473,45 +4473,45 @@ 1377 .file 13 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/string.h" DEFINED SYMBOLS *ABS*:0000000000000000 dallas.c - /tmp/cc0Zvoj1.s:1359 .bss.delay_5us:0000000000000000 delay_5us - /tmp/cc0Zvoj1.s:1364 .bss.timm:0000000000000000 timm + /tmp/ccLjzhpO.s:1359 .bss.delay_5us:0000000000000000 delay_5us + /tmp/ccLjzhpO.s:1364 .bss.timm:0000000000000000 timm *COM*:0000000000000020 dallas - /tmp/cc0Zvoj1.s:21 .text.dallas_init:0000000000000000 $t - /tmp/cc0Zvoj1.s:28 .text.dallas_init:0000000000000000 dallas_init - /tmp/cc0Zvoj1.s:124 .text.dallas_init:0000000000000064 $d - /tmp/cc0Zvoj1.s:129 .text.dallas_config_timer:0000000000000000 $t - /tmp/cc0Zvoj1.s:136 .text.dallas_config_timer:0000000000000000 dallas_config_timer - /tmp/cc0Zvoj1.s:253 .text.dallas_config_timer:0000000000000058 $d - /tmp/cc0Zvoj1.s:258 .text.dallas_deconfig_timer:0000000000000000 $t - /tmp/cc0Zvoj1.s:265 .text.dallas_deconfig_timer:0000000000000000 dallas_deconfig_timer - /tmp/cc0Zvoj1.s:303 .text.dallas_deconfig_timer:0000000000000018 $d - /tmp/cc0Zvoj1.s:308 .text.dallas_reset:0000000000000000 $t - /tmp/cc0Zvoj1.s:315 .text.dallas_reset:0000000000000000 dallas_reset - /tmp/cc0Zvoj1.s:390 .text.dallas_reset:0000000000000060 $d - /tmp/cc0Zvoj1.s:396 .text.dallas_send_byte:0000000000000000 $t - /tmp/cc0Zvoj1.s:403 .text.dallas_send_byte:0000000000000000 dallas_send_byte - /tmp/cc0Zvoj1.s:528 .text.dallas_send_byte:00000000000000ac $d - /tmp/cc0Zvoj1.s:534 .text.dallas_receive_byte:0000000000000000 $t - /tmp/cc0Zvoj1.s:541 .text.dallas_receive_byte:0000000000000000 dallas_receive_byte - /tmp/cc0Zvoj1.s:688 .text.dallas_receive_byte:00000000000000c8 $d - /tmp/cc0Zvoj1.s:694 .text.dallas_query:0000000000000000 $t - /tmp/cc0Zvoj1.s:701 .text.dallas_query:0000000000000000 dallas_query - /tmp/cc0Zvoj1.s:1041 .text.dallas_calculate_crc8:0000000000000000 dallas_calculate_crc8 - /tmp/cc0Zvoj1.s:1023 .text.dallas_query:0000000000000214 $d - /tmp/cc0Zvoj1.s:1034 .text.dallas_calculate_crc8:0000000000000000 $t - /tmp/cc0Zvoj1.s:1118 .text.dallas_average:0000000000000000 $t - /tmp/cc0Zvoj1.s:1125 .text.dallas_average:0000000000000000 dallas_average - /tmp/cc0Zvoj1.s:1155 .text.dallas_get_average:0000000000000000 $t - /tmp/cc0Zvoj1.s:1162 .text.dallas_get_average:0000000000000000 dallas_get_average - /tmp/cc0Zvoj1.s:1226 .text.dallas_get_average:0000000000000048 $d - /tmp/cc0Zvoj1.s:1232 .text.dallas_get_min:0000000000000000 $t - /tmp/cc0Zvoj1.s:1239 .text.dallas_get_min:0000000000000000 dallas_get_min - /tmp/cc0Zvoj1.s:1288 .text.dallas_get_min:0000000000000038 $d - /tmp/cc0Zvoj1.s:1294 .text.dallas_get_max:0000000000000000 $t - /tmp/cc0Zvoj1.s:1301 .text.dallas_get_max:0000000000000000 dallas_get_max - /tmp/cc0Zvoj1.s:1350 .text.dallas_get_max:0000000000000038 $d - /tmp/cc0Zvoj1.s:1355 .bss.delay_5us:0000000000000000 $d - /tmp/cc0Zvoj1.s:1365 .bss.timm:0000000000000000 $d + /tmp/ccLjzhpO.s:21 .text.dallas_init:0000000000000000 $t + /tmp/ccLjzhpO.s:28 .text.dallas_init:0000000000000000 dallas_init + /tmp/ccLjzhpO.s:124 .text.dallas_init:0000000000000064 $d + /tmp/ccLjzhpO.s:129 .text.dallas_config_timer:0000000000000000 $t + /tmp/ccLjzhpO.s:136 .text.dallas_config_timer:0000000000000000 dallas_config_timer + /tmp/ccLjzhpO.s:253 .text.dallas_config_timer:0000000000000058 $d + /tmp/ccLjzhpO.s:258 .text.dallas_deconfig_timer:0000000000000000 $t + /tmp/ccLjzhpO.s:265 .text.dallas_deconfig_timer:0000000000000000 dallas_deconfig_timer + /tmp/ccLjzhpO.s:303 .text.dallas_deconfig_timer:0000000000000018 $d + /tmp/ccLjzhpO.s:308 .text.dallas_reset:0000000000000000 $t + /tmp/ccLjzhpO.s:315 .text.dallas_reset:0000000000000000 dallas_reset + /tmp/ccLjzhpO.s:390 .text.dallas_reset:0000000000000060 $d + /tmp/ccLjzhpO.s:396 .text.dallas_send_byte:0000000000000000 $t + /tmp/ccLjzhpO.s:403 .text.dallas_send_byte:0000000000000000 dallas_send_byte + /tmp/ccLjzhpO.s:528 .text.dallas_send_byte:00000000000000ac $d + /tmp/ccLjzhpO.s:534 .text.dallas_receive_byte:0000000000000000 $t + /tmp/ccLjzhpO.s:541 .text.dallas_receive_byte:0000000000000000 dallas_receive_byte + /tmp/ccLjzhpO.s:688 .text.dallas_receive_byte:00000000000000c8 $d + /tmp/ccLjzhpO.s:694 .text.dallas_query:0000000000000000 $t + /tmp/ccLjzhpO.s:701 .text.dallas_query:0000000000000000 dallas_query + /tmp/ccLjzhpO.s:1041 .text.dallas_calculate_crc8:0000000000000000 dallas_calculate_crc8 + /tmp/ccLjzhpO.s:1023 .text.dallas_query:0000000000000214 $d + /tmp/ccLjzhpO.s:1034 .text.dallas_calculate_crc8:0000000000000000 $t + /tmp/ccLjzhpO.s:1118 .text.dallas_average:0000000000000000 $t + /tmp/ccLjzhpO.s:1125 .text.dallas_average:0000000000000000 dallas_average + /tmp/ccLjzhpO.s:1155 .text.dallas_get_average:0000000000000000 $t + /tmp/ccLjzhpO.s:1162 .text.dallas_get_average:0000000000000000 dallas_get_average + /tmp/ccLjzhpO.s:1226 .text.dallas_get_average:0000000000000048 $d + /tmp/ccLjzhpO.s:1232 .text.dallas_get_min:0000000000000000 $t + /tmp/ccLjzhpO.s:1239 .text.dallas_get_min:0000000000000000 dallas_get_min + /tmp/ccLjzhpO.s:1288 .text.dallas_get_min:0000000000000038 $d + /tmp/ccLjzhpO.s:1294 .text.dallas_get_max:0000000000000000 $t + /tmp/ccLjzhpO.s:1301 .text.dallas_get_max:0000000000000000 dallas_get_max + /tmp/ccLjzhpO.s:1350 .text.dallas_get_max:0000000000000038 $d + /tmp/ccLjzhpO.s:1355 .bss.delay_5us:0000000000000000 $d + /tmp/ccLjzhpO.s:1365 .bss.timm:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.station_config_target_hw.h.9.a20e080416e80d1cff9c1b51cc464832 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/drivers/dma_helper_functions.o.lst b/STM32L476_ParaMETEO/system/src/drivers/dma_helper_functions.o.lst index a8247a0..a14cbdb 100644 --- a/STM32L476_ParaMETEO/system/src/drivers/dma_helper_functions.o.lst +++ b/STM32L476_ParaMETEO/system/src/drivers/dma_helper_functions.o.lst @@ -2350,9 +2350,9 @@ 89 .file 6 "../system/include/cmsis/stm32l4xx/device/stm32l471xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 dma_helper_functions.c - /tmp/ccbOd9dG.s:18 .text.dma_helper_start_ch7:0000000000000000 $t - /tmp/ccbOd9dG.s:25 .text.dma_helper_start_ch7:0000000000000000 dma_helper_start_ch7 - /tmp/ccbOd9dG.s:79 .text.dma_helper_start_ch7:000000000000002c $d + /tmp/ccddl30o.s:18 .text.dma_helper_start_ch7:0000000000000000 $t + /tmp/ccddl30o.s:25 .text.dma_helper_start_ch7:0000000000000000 dma_helper_start_ch7 + /tmp/ccddl30o.s:79 .text.dma_helper_start_ch7:000000000000002c $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/drivers/l4/flash_stm32l4x.o.lst b/STM32L476_ParaMETEO/system/src/drivers/l4/flash_stm32l4x.o.lst index 232cbdb..d2e1238 100644 --- a/STM32L476_ParaMETEO/system/src/drivers/l4/flash_stm32l4x.o.lst +++ b/STM32L476_ParaMETEO/system/src/drivers/l4/flash_stm32l4x.o.lst @@ -34,110 +34,114 @@ 8:../system/src/drivers/l4/flash_stm32l4x.c **** #include "./drivers/l4/flash_stm32l4x.h" 9:../system/src/drivers/l4/flash_stm32l4x.c **** #include "stm32l4xx.h" 10:../system/src/drivers/l4/flash_stm32l4x.c **** - 11:../system/src/drivers/l4/flash_stm32l4x.c **** /** - 12:../system/src/drivers/l4/flash_stm32l4x.c **** * @brief Returns the FLASH Bank1 Status. - 13:../system/src/drivers/l4/flash_stm32l4x.c **** * @note This function can be used for all STM32F10x devices, it is equivalent - 14:../system/src/drivers/l4/flash_stm32l4x.c **** * to FLASH_GetStatus function. - 15:../system/src/drivers/l4/flash_stm32l4x.c **** * @param None - 16:../system/src/drivers/l4/flash_stm32l4x.c **** * @retval FLASH Status: The returned value can be: FLASH_BUSY, FLASH_ERROR_PG, - 17:../system/src/drivers/l4/flash_stm32l4x.c **** * FLASH_ERROR_WRP or FLASH_COMPLETE - 18:../system/src/drivers/l4/flash_stm32l4x.c **** */ - 19:../system/src/drivers/l4/flash_stm32l4x.c **** FLASH_Status FLASH_GetBank1Status(void) - 20:../system/src/drivers/l4/flash_stm32l4x.c **** { - 28 .loc 1 20 0 + 11:../system/src/drivers/l4/flash_stm32l4x.c **** #define FLASH_KEY1 0x45670123U /*!< Flash key1 */ + 12:../system/src/drivers/l4/flash_stm32l4x.c **** #define FLASH_KEY2 0xCDEF89ABU /*!< Flash key2: used with F + 13:../system/src/drivers/l4/flash_stm32l4x.c **** to unlock the FLASH reg + 14:../system/src/drivers/l4/flash_stm32l4x.c **** + 15:../system/src/drivers/l4/flash_stm32l4x.c **** /** + 16:../system/src/drivers/l4/flash_stm32l4x.c **** * @brief Returns the FLASH Bank1 Status. + 17:../system/src/drivers/l4/flash_stm32l4x.c **** * @note This function can be used for all STM32F10x devices, it is equivalent + 18:../system/src/drivers/l4/flash_stm32l4x.c **** * to FLASH_GetStatus function. + 19:../system/src/drivers/l4/flash_stm32l4x.c **** * @param None + 20:../system/src/drivers/l4/flash_stm32l4x.c **** * @retval FLASH Status: The returned value can be: FLASH_BUSY, FLASH_ERROR_PG, + 21:../system/src/drivers/l4/flash_stm32l4x.c **** * FLASH_ERROR_WRP or FLASH_COMPLETE + 22:../system/src/drivers/l4/flash_stm32l4x.c **** */ + 23:../system/src/drivers/l4/flash_stm32l4x.c **** FLASH_Status FLASH_GetBank1Status(void) + 24:../system/src/drivers/l4/flash_stm32l4x.c **** { + 28 .loc 1 24 0 29 .cfi_startproc 30 @ args = 0, pretend = 0, frame = 0 31 @ frame_needed = 0, uses_anonymous_args = 0 32 @ link register save eliminated. 33 .LVL0: - 21:../system/src/drivers/l4/flash_stm32l4x.c **** FLASH_Status flashstatus = FLASH_COMPLETE; - 22:../system/src/drivers/l4/flash_stm32l4x.c **** - 23:../system/src/drivers/l4/flash_stm32l4x.c **** if((FLASH->SR & FLASH_SR_BSY) == FLASH_SR_BSY) - 34 .loc 1 23 0 + 25:../system/src/drivers/l4/flash_stm32l4x.c **** FLASH_Status flashstatus = FLASH_COMPLETE; + 26:../system/src/drivers/l4/flash_stm32l4x.c **** + 27:../system/src/drivers/l4/flash_stm32l4x.c **** if((FLASH->SR & FLASH_SR_BSY) == FLASH_SR_BSY) + 34 .loc 1 27 0 35 0000 124B ldr r3, .L8 36 0002 1B69 ldr r3, [r3, #16] 37 0004 13F4803F tst r3, #65536 38 0008 15D1 bne .L3 - 24:../system/src/drivers/l4/flash_stm32l4x.c **** { - 25:../system/src/drivers/l4/flash_stm32l4x.c **** flashstatus = FLASH_BUSY; - 26:../system/src/drivers/l4/flash_stm32l4x.c **** } - 27:../system/src/drivers/l4/flash_stm32l4x.c **** else 28:../system/src/drivers/l4/flash_stm32l4x.c **** { - 29:../system/src/drivers/l4/flash_stm32l4x.c **** if((FLASH->SR & FLASH_SR_FASTERR) != 0) - 39 .loc 1 29 0 + 29:../system/src/drivers/l4/flash_stm32l4x.c **** flashstatus = FLASH_BUSY; + 30:../system/src/drivers/l4/flash_stm32l4x.c **** } + 31:../system/src/drivers/l4/flash_stm32l4x.c **** else + 32:../system/src/drivers/l4/flash_stm32l4x.c **** { + 33:../system/src/drivers/l4/flash_stm32l4x.c **** if((FLASH->SR & FLASH_SR_FASTERR) != 0) + 39 .loc 1 33 0 40 000a 104B ldr r3, .L8 41 000c 1B69 ldr r3, [r3, #16] 42 000e 13F4007F tst r3, #512 43 0012 12D1 bne .L4 - 30:../system/src/drivers/l4/flash_stm32l4x.c **** { - 31:../system/src/drivers/l4/flash_stm32l4x.c **** flashstatus = FLASH_ERROR_PG; - 32:../system/src/drivers/l4/flash_stm32l4x.c **** } - 33:../system/src/drivers/l4/flash_stm32l4x.c **** else if ((FLASH->SR & FLASH_SR_FASTERR) != 0) - 44 .loc 1 33 0 + 34:../system/src/drivers/l4/flash_stm32l4x.c **** { + 35:../system/src/drivers/l4/flash_stm32l4x.c **** flashstatus = FLASH_ERROR_PG; + 36:../system/src/drivers/l4/flash_stm32l4x.c **** } + 37:../system/src/drivers/l4/flash_stm32l4x.c **** else if ((FLASH->SR & FLASH_SR_FASTERR) != 0) + 44 .loc 1 37 0 45 0014 0D4B ldr r3, .L8 46 0016 1B69 ldr r3, [r3, #16] 47 0018 13F4007F tst r3, #512 48 001c 0FD1 bne .L5 - 34:../system/src/drivers/l4/flash_stm32l4x.c **** { - 35:../system/src/drivers/l4/flash_stm32l4x.c **** flashstatus = FLASH_ERROR_PG; - 36:../system/src/drivers/l4/flash_stm32l4x.c **** } - 37:../system/src/drivers/l4/flash_stm32l4x.c **** else if ((FLASH->SR & FLASH_SR_PROGERR) != 0) - 49 .loc 1 37 0 + 38:../system/src/drivers/l4/flash_stm32l4x.c **** { + 39:../system/src/drivers/l4/flash_stm32l4x.c **** flashstatus = FLASH_ERROR_PG; + 40:../system/src/drivers/l4/flash_stm32l4x.c **** } + 41:../system/src/drivers/l4/flash_stm32l4x.c **** else if ((FLASH->SR & FLASH_SR_PROGERR) != 0) + 49 .loc 1 41 0 50 001e 0B4B ldr r3, .L8 51 0020 1B69 ldr r3, [r3, #16] 52 0022 13F0080F tst r3, #8 53 0026 0CD1 bne .L6 - 38:../system/src/drivers/l4/flash_stm32l4x.c **** { - 39:../system/src/drivers/l4/flash_stm32l4x.c **** flashstatus = FLASH_ERROR_PG; - 40:../system/src/drivers/l4/flash_stm32l4x.c **** } - 41:../system/src/drivers/l4/flash_stm32l4x.c **** else 42:../system/src/drivers/l4/flash_stm32l4x.c **** { - 43:../system/src/drivers/l4/flash_stm32l4x.c **** if((FLASH->SR & FLASH_SR_WRPERR) != 0 ) - 54 .loc 1 43 0 + 43:../system/src/drivers/l4/flash_stm32l4x.c **** flashstatus = FLASH_ERROR_PG; + 44:../system/src/drivers/l4/flash_stm32l4x.c **** } + 45:../system/src/drivers/l4/flash_stm32l4x.c **** else + 46:../system/src/drivers/l4/flash_stm32l4x.c **** { + 47:../system/src/drivers/l4/flash_stm32l4x.c **** if((FLASH->SR & FLASH_SR_WRPERR) != 0 ) + 54 .loc 1 47 0 55 0028 084B ldr r3, .L8 56 002a 1B69 ldr r3, [r3, #16] 57 002c 13F0100F tst r3, #16 58 0030 09D0 beq .L7 - 44:../system/src/drivers/l4/flash_stm32l4x.c **** { - 45:../system/src/drivers/l4/flash_stm32l4x.c **** flashstatus = FLASH_ERROR_WRP; - 59 .loc 1 45 0 + 48:../system/src/drivers/l4/flash_stm32l4x.c **** { + 49:../system/src/drivers/l4/flash_stm32l4x.c **** flashstatus = FLASH_ERROR_WRP; + 59 .loc 1 49 0 60 0032 0320 movs r0, #3 61 0034 7047 bx lr 62 .L3: - 25:../system/src/drivers/l4/flash_stm32l4x.c **** } - 63 .loc 1 25 0 + 29:../system/src/drivers/l4/flash_stm32l4x.c **** } + 63 .loc 1 29 0 64 0036 0120 movs r0, #1 65 0038 7047 bx lr 66 .L4: - 31:../system/src/drivers/l4/flash_stm32l4x.c **** } - 67 .loc 1 31 0 + 35:../system/src/drivers/l4/flash_stm32l4x.c **** } + 67 .loc 1 35 0 68 003a 0220 movs r0, #2 69 003c 7047 bx lr 70 .L5: - 35:../system/src/drivers/l4/flash_stm32l4x.c **** } - 71 .loc 1 35 0 + 39:../system/src/drivers/l4/flash_stm32l4x.c **** } + 71 .loc 1 39 0 72 003e 0220 movs r0, #2 73 0040 7047 bx lr 74 .L6: - 39:../system/src/drivers/l4/flash_stm32l4x.c **** } - 75 .loc 1 39 0 + 43:../system/src/drivers/l4/flash_stm32l4x.c **** } + 75 .loc 1 43 0 76 0042 0220 movs r0, #2 77 0044 7047 bx lr 78 .L7: - 46:../system/src/drivers/l4/flash_stm32l4x.c **** } - 47:../system/src/drivers/l4/flash_stm32l4x.c **** else - 48:../system/src/drivers/l4/flash_stm32l4x.c **** { - 49:../system/src/drivers/l4/flash_stm32l4x.c **** flashstatus = FLASH_COMPLETE; - 79 .loc 1 49 0 + 50:../system/src/drivers/l4/flash_stm32l4x.c **** } + 51:../system/src/drivers/l4/flash_stm32l4x.c **** else + 52:../system/src/drivers/l4/flash_stm32l4x.c **** { + 53:../system/src/drivers/l4/flash_stm32l4x.c **** flashstatus = FLASH_COMPLETE; + 79 .loc 1 53 0 80 0046 0420 movs r0, #4 81 .LVL1: - 50:../system/src/drivers/l4/flash_stm32l4x.c **** } - 51:../system/src/drivers/l4/flash_stm32l4x.c **** } - 52:../system/src/drivers/l4/flash_stm32l4x.c **** } - 53:../system/src/drivers/l4/flash_stm32l4x.c **** /* Return the Flash Status */ - 54:../system/src/drivers/l4/flash_stm32l4x.c **** return flashstatus; - 55:../system/src/drivers/l4/flash_stm32l4x.c **** } - 82 .loc 1 55 0 + 54:../system/src/drivers/l4/flash_stm32l4x.c **** } + 55:../system/src/drivers/l4/flash_stm32l4x.c **** } + 56:../system/src/drivers/l4/flash_stm32l4x.c **** } + 57:../system/src/drivers/l4/flash_stm32l4x.c **** /* Return the Flash Status */ + 58:../system/src/drivers/l4/flash_stm32l4x.c **** return flashstatus; + 59:../system/src/drivers/l4/flash_stm32l4x.c **** } + 82 .loc 1 59 0 83 0048 7047 bx lr 84 .L9: 85 004a 00BF .align 2 @@ -154,237 +158,251 @@ 97 .fpu fpv4-sp-d16 99 FLASH_ErasePage: 100 .LFB133: - 56:../system/src/drivers/l4/flash_stm32l4x.c **** - 57:../system/src/drivers/l4/flash_stm32l4x.c **** FLASH_Status FLASH_ErasePage(uint32_t Page_Address) { - 101 .loc 1 57 0 + 60:../system/src/drivers/l4/flash_stm32l4x.c **** + 61:../system/src/drivers/l4/flash_stm32l4x.c **** FLASH_Status FLASH_ErasePage(uint32_t Page_Address) { + 101 .loc 1 61 0 102 .cfi_startproc 103 @ args = 0, pretend = 0, frame = 0 104 @ frame_needed = 0, uses_anonymous_args = 0 105 @ link register save eliminated. 106 .LVL2: - 107 .LVL3: - 58:../system/src/drivers/l4/flash_stm32l4x.c **** - 59:../system/src/drivers/l4/flash_stm32l4x.c **** - 60:../system/src/drivers/l4/flash_stm32l4x.c **** } - 108 .loc 1 60 0 - 109 0000 7047 bx lr - 110 .cfi_endproc - 111 .LFE133: - 113 .section .text.FLASH_PageErase,"ax",%progbits - 114 .align 1 - 115 .global FLASH_PageErase - 116 .syntax unified - 117 .thumb - 118 .thumb_func - 119 .fpu fpv4-sp-d16 - 121 FLASH_PageErase: - 122 .LFB134: - 61:../system/src/drivers/l4/flash_stm32l4x.c **** - 62:../system/src/drivers/l4/flash_stm32l4x.c **** /** - 63:../system/src/drivers/l4/flash_stm32l4x.c **** * @brief Erase the specified FLASH memory page. - 64:../system/src/drivers/l4/flash_stm32l4x.c **** * @param Page FLASH page to erase - 65:../system/src/drivers/l4/flash_stm32l4x.c **** * This parameter must be a value between 0 and (max number of pages in the bank - 1) - 66:../system/src/drivers/l4/flash_stm32l4x.c **** * @param Banks Bank(s) where the page will be erased - 67:../system/src/drivers/l4/flash_stm32l4x.c **** * This parameter can be one of the following values: - 68:../system/src/drivers/l4/flash_stm32l4x.c **** * @arg FLASH_BANK_1: Page in bank 1 to be erased - 69:../system/src/drivers/l4/flash_stm32l4x.c **** * @arg FLASH_BANK_2: Page in bank 2 to be erased - 70:../system/src/drivers/l4/flash_stm32l4x.c **** * @retval None - 71:../system/src/drivers/l4/flash_stm32l4x.c **** */ - 72:../system/src/drivers/l4/flash_stm32l4x.c **** void FLASH_PageErase(uint32_t Page, uint32_t Banks) - 73:../system/src/drivers/l4/flash_stm32l4x.c **** { - 123 .loc 1 73 0 - 124 .cfi_startproc - 125 @ args = 0, pretend = 0, frame = 0 - 126 @ frame_needed = 0, uses_anonymous_args = 0 - 127 .LVL4: - 128 0000 38B5 push {r3, r4, r5, lr} - 129 .cfi_def_cfa_offset 16 - 130 .cfi_offset 3, -16 - 131 .cfi_offset 4, -12 - 132 .cfi_offset 5, -8 - 133 .cfi_offset 14, -4 - 134 0002 0446 mov r4, r0 - 135 0004 0D46 mov r5, r1 - 74:../system/src/drivers/l4/flash_stm32l4x.c **** /* Check the parameters */ - 75:../system/src/drivers/l4/flash_stm32l4x.c **** assert_param(IS_FLASH_PAGE(Page)); - 136 .loc 1 75 0 - 137 0006 FFF7FEFF bl IS_FLASH_PAGE - 138 .LVL5: - 139 000a FFF7FEFF bl assert_param - 140 .LVL6: + 62:../system/src/drivers/l4/flash_stm32l4x.c **** + 63:../system/src/drivers/l4/flash_stm32l4x.c **** uint32_t Page = 0; + 64:../system/src/drivers/l4/flash_stm32l4x.c **** + 65:../system/src/drivers/l4/flash_stm32l4x.c **** uint32_t Banks = 0; + 66:../system/src/drivers/l4/flash_stm32l4x.c **** + 67:../system/src/drivers/l4/flash_stm32l4x.c **** // calculate the bank number + 68:../system/src/drivers/l4/flash_stm32l4x.c **** if (Page_Address < 0x08080000) { + 107 .loc 1 68 0 + 108 0000 164B ldr r3, .L16 + 109 0002 9842 cmp r0, r3 + 110 0004 22D8 bhi .L14 + 69:../system/src/drivers/l4/flash_stm32l4x.c **** Banks = FLASH_BANK_1; + 111 .loc 1 69 0 + 112 0006 0122 movs r2, #1 + 113 .L11: + 114 .LVL3: + 70:../system/src/drivers/l4/flash_stm32l4x.c **** } + 71:../system/src/drivers/l4/flash_stm32l4x.c **** else { + 72:../system/src/drivers/l4/flash_stm32l4x.c **** Banks = FLASH_BANK_2; + 73:../system/src/drivers/l4/flash_stm32l4x.c **** } + 74:../system/src/drivers/l4/flash_stm32l4x.c **** + 75:../system/src/drivers/l4/flash_stm32l4x.c **** Page = Page_Address - 0x08000000 - (0x80000 * (Banks - 1)); + 115 .loc 1 75 0 + 116 0008 02F5FF53 add r3, r2, #8160 + 117 000c 1F33 adds r3, r3, #31 + 118 000e A0EBC343 sub r3, r0, r3, lsl #19 + 119 0012 03F17843 add r3, r3, #-134217728 + 120 .LVL4: 76:../system/src/drivers/l4/flash_stm32l4x.c **** - 77:../system/src/drivers/l4/flash_stm32l4x.c **** #if defined (STM32L471xx) || defined (STM32L475xx) || defined (STM32L476xx) || defined (STM32L485xx - 78:../system/src/drivers/l4/flash_stm32l4x.c **** defined (STM32L496xx) || defined (STM32L4A6xx) || \ - 79:../system/src/drivers/l4/flash_stm32l4x.c **** defined (STM32L4P5xx) || defined (STM32L4Q5xx) || \ - 80:../system/src/drivers/l4/flash_stm32l4x.c **** defined (STM32L4R5xx) || defined (STM32L4R7xx) || defined (STM32L4R9xx) || defined (STM32L4S5xx - 81:../system/src/drivers/l4/flash_stm32l4x.c **** #if defined (STM32L4P5xx) || defined (STM32L4Q5xx) || defined (STM32L4R5xx) || defined (STM32L4R7xx - 82:../system/src/drivers/l4/flash_stm32l4x.c **** if(READ_BIT(FLASH->OPTR, FLASH_OPTR_DBANK) == 0U) - 83:../system/src/drivers/l4/flash_stm32l4x.c **** { - 84:../system/src/drivers/l4/flash_stm32l4x.c **** CLEAR_BIT(FLASH->CR, FLASH_CR_BKER); - 85:../system/src/drivers/l4/flash_stm32l4x.c **** } - 86:../system/src/drivers/l4/flash_stm32l4x.c **** else - 87:../system/src/drivers/l4/flash_stm32l4x.c **** #endif - 88:../system/src/drivers/l4/flash_stm32l4x.c **** { - 89:../system/src/drivers/l4/flash_stm32l4x.c **** - 90:../system/src/drivers/l4/flash_stm32l4x.c **** if((Banks & FLASH_BANK_1) != 0U) - 141 .loc 1 90 0 - 142 000e 15F0010F tst r5, #1 - 143 0012 16D1 bne .L15 - 91:../system/src/drivers/l4/flash_stm32l4x.c **** { - 92:../system/src/drivers/l4/flash_stm32l4x.c **** CLEAR_BIT(FLASH->CR, FLASH_CR_BKER); - 93:../system/src/drivers/l4/flash_stm32l4x.c **** } - 94:../system/src/drivers/l4/flash_stm32l4x.c **** else - 95:../system/src/drivers/l4/flash_stm32l4x.c **** { - 96:../system/src/drivers/l4/flash_stm32l4x.c **** SET_BIT(FLASH->CR, FLASH_CR_BKER); - 144 .loc 1 96 0 - 145 0014 0E4A ldr r2, .L16 - 146 0016 5369 ldr r3, [r2, #20] - 147 0018 43F40063 orr r3, r3, #2048 - 148 001c 5361 str r3, [r2, #20] - 149 .L13: - 97:../system/src/drivers/l4/flash_stm32l4x.c **** } - 98:../system/src/drivers/l4/flash_stm32l4x.c **** } - 99:../system/src/drivers/l4/flash_stm32l4x.c **** #else - 100:../system/src/drivers/l4/flash_stm32l4x.c **** /* Prevent unused argument(s) compilation warning */ - 101:../system/src/drivers/l4/flash_stm32l4x.c **** UNUSED(Banks); - 102:../system/src/drivers/l4/flash_stm32l4x.c **** #endif - 103:../system/src/drivers/l4/flash_stm32l4x.c **** - 104:../system/src/drivers/l4/flash_stm32l4x.c **** /* Proceed to erase the page */ - 105:../system/src/drivers/l4/flash_stm32l4x.c **** MODIFY_REG(FLASH->CR, FLASH_CR_PNB, ((Page & 0xFFU) << FLASH_CR_PNB_Pos)); - 150 .loc 1 105 0 - 151 001e 0C4B ldr r3, .L16 - 152 0020 5869 ldr r0, [r3, #20] - 153 0022 20F4FF60 bic r0, r0, #2040 - 154 0026 E400 lsls r4, r4, #3 - 155 .LVL7: - 156 0028 04F4FF64 and r4, r4, #2040 - 157 002c 2043 orrs r0, r0, r4 - 158 002e 5861 str r0, [r3, #20] - 106:../system/src/drivers/l4/flash_stm32l4x.c **** SET_BIT(FLASH->CR, FLASH_CR_PER); - 159 .loc 1 106 0 - 160 0030 5A69 ldr r2, [r3, #20] - 161 0032 42F00202 orr r2, r2, #2 - 162 0036 5A61 str r2, [r3, #20] - 107:../system/src/drivers/l4/flash_stm32l4x.c **** SET_BIT(FLASH->CR, FLASH_CR_STRT); - 163 .loc 1 107 0 - 164 0038 5A69 ldr r2, [r3, #20] - 165 003a 42F48032 orr r2, r2, #65536 - 166 003e 5A61 str r2, [r3, #20] - 108:../system/src/drivers/l4/flash_stm32l4x.c **** } - 167 .loc 1 108 0 - 168 0040 38BD pop {r3, r4, r5, pc} - 169 .LVL8: - 170 .L15: - 92:../system/src/drivers/l4/flash_stm32l4x.c **** } - 171 .loc 1 92 0 - 172 0042 034A ldr r2, .L16 - 173 0044 5369 ldr r3, [r2, #20] - 174 0046 23F40063 bic r3, r3, #2048 - 175 004a 5361 str r3, [r2, #20] - 176 004c E7E7 b .L13 - 177 .L17: - 178 004e 00BF .align 2 - 179 .L16: - 180 0050 00200240 .word 1073881088 - 181 .cfi_endproc - 182 .LFE134: - 184 .section .text.FLASH_WaitForLastOperation,"ax",%progbits - 185 .align 1 - 186 .global FLASH_WaitForLastOperation - 187 .syntax unified - 188 .thumb - 189 .thumb_func - 190 .fpu fpv4-sp-d16 - 192 FLASH_WaitForLastOperation: - 193 .LFB135: - 109:../system/src/drivers/l4/flash_stm32l4x.c **** + 77:../system/src/drivers/l4/flash_stm32l4x.c **** Page = Page / 2048; + 121 .loc 1 77 0 + 122 0016 DB0A lsrs r3, r3, #11 + 123 .LVL5: + 78:../system/src/drivers/l4/flash_stm32l4x.c **** + 79:../system/src/drivers/l4/flash_stm32l4x.c **** #if defined (STM32L471xx) || defined (STM32L475xx) || defined (STM32L476xx) || defined (STM32L485x + 80:../system/src/drivers/l4/flash_stm32l4x.c **** defined (STM32L496xx) || defined (STM32L4A6xx) || \ + 81:../system/src/drivers/l4/flash_stm32l4x.c **** defined (STM32L4P5xx) || defined (STM32L4Q5xx) || \ + 82:../system/src/drivers/l4/flash_stm32l4x.c **** defined (STM32L4R5xx) || defined (STM32L4R7xx) || defined (STM32L4R9xx) || defined (STM32L4S5x + 83:../system/src/drivers/l4/flash_stm32l4x.c **** #if defined (STM32L4P5xx) || defined (STM32L4Q5xx) || defined (STM32L4R5xx) || defined (STM32L4R7x + 84:../system/src/drivers/l4/flash_stm32l4x.c **** if(READ_BIT(FLASH->OPTR, FLASH_OPTR_DBANK) == 0U) + 85:../system/src/drivers/l4/flash_stm32l4x.c **** { + 86:../system/src/drivers/l4/flash_stm32l4x.c **** CLEAR_BIT(FLASH->CR, FLASH_CR_BKER); + 87:../system/src/drivers/l4/flash_stm32l4x.c **** } + 88:../system/src/drivers/l4/flash_stm32l4x.c **** else + 89:../system/src/drivers/l4/flash_stm32l4x.c **** #endif + 90:../system/src/drivers/l4/flash_stm32l4x.c **** { + 91:../system/src/drivers/l4/flash_stm32l4x.c **** + 92:../system/src/drivers/l4/flash_stm32l4x.c **** if((Banks & FLASH_BANK_1) != 0U) + 124 .loc 1 92 0 + 125 0018 12F0010F tst r2, #1 + 126 001c 18D1 bne .L15 + 93:../system/src/drivers/l4/flash_stm32l4x.c **** { + 94:../system/src/drivers/l4/flash_stm32l4x.c **** CLEAR_BIT(FLASH->CR, FLASH_CR_BKER); + 95:../system/src/drivers/l4/flash_stm32l4x.c **** } + 96:../system/src/drivers/l4/flash_stm32l4x.c **** else + 97:../system/src/drivers/l4/flash_stm32l4x.c **** { + 98:../system/src/drivers/l4/flash_stm32l4x.c **** SET_BIT(FLASH->CR, FLASH_CR_BKER); + 127 .loc 1 98 0 + 128 001e 1049 ldr r1, .L16+4 + 129 0020 4A69 ldr r2, [r1, #20] + 130 .LVL6: + 131 0022 42F40062 orr r2, r2, #2048 + 132 0026 4A61 str r2, [r1, #20] + 133 .L13: + 99:../system/src/drivers/l4/flash_stm32l4x.c **** } + 100:../system/src/drivers/l4/flash_stm32l4x.c **** } + 101:../system/src/drivers/l4/flash_stm32l4x.c **** #else + 102:../system/src/drivers/l4/flash_stm32l4x.c **** /* Prevent unused argument(s) compilation warning */ + 103:../system/src/drivers/l4/flash_stm32l4x.c **** UNUSED(Banks); + 104:../system/src/drivers/l4/flash_stm32l4x.c **** #endif + 105:../system/src/drivers/l4/flash_stm32l4x.c **** + 106:../system/src/drivers/l4/flash_stm32l4x.c **** /* Proceed to erase the page */ + 107:../system/src/drivers/l4/flash_stm32l4x.c **** MODIFY_REG(FLASH->CR, FLASH_CR_PNB, ((Page & 0xFFU) << FLASH_CR_PNB_Pos)); + 134 .loc 1 107 0 + 135 0028 0D4A ldr r2, .L16+4 + 136 002a 5169 ldr r1, [r2, #20] + 137 002c 21F4FF61 bic r1, r1, #2040 + 138 0030 DB00 lsls r3, r3, #3 + 139 .LVL7: + 140 0032 03F4FF63 and r3, r3, #2040 + 141 0036 0B43 orrs r3, r3, r1 + 142 0038 5361 str r3, [r2, #20] + 108:../system/src/drivers/l4/flash_stm32l4x.c **** SET_BIT(FLASH->CR, FLASH_CR_PER); + 143 .loc 1 108 0 + 144 003a 5369 ldr r3, [r2, #20] + 145 003c 43F00203 orr r3, r3, #2 + 146 0040 5361 str r3, [r2, #20] + 109:../system/src/drivers/l4/flash_stm32l4x.c **** SET_BIT(FLASH->CR, FLASH_CR_STRT); + 147 .loc 1 109 0 + 148 0042 5369 ldr r3, [r2, #20] + 149 0044 43F48033 orr r3, r3, #65536 + 150 0048 5361 str r3, [r2, #20] + 151 .LVL8: 110:../system/src/drivers/l4/flash_stm32l4x.c **** - 111:../system/src/drivers/l4/flash_stm32l4x.c **** FLASH_Status FLASH_WaitForLastOperation(uint32_t Timeout) - 112:../system/src/drivers/l4/flash_stm32l4x.c **** { - 194 .loc 1 112 0 - 195 .cfi_startproc - 196 @ args = 0, pretend = 0, frame = 0 - 197 @ frame_needed = 0, uses_anonymous_args = 0 - 198 .LVL9: - 199 0000 10B5 push {r4, lr} - 200 .cfi_def_cfa_offset 8 - 201 .cfi_offset 4, -8 - 202 .cfi_offset 14, -4 - 203 0002 0446 mov r4, r0 - 204 .LVL10: - 113:../system/src/drivers/l4/flash_stm32l4x.c **** FLASH_Status status = FLASH_COMPLETE; - 114:../system/src/drivers/l4/flash_stm32l4x.c **** - 115:../system/src/drivers/l4/flash_stm32l4x.c **** /* Check for the Flash Status */ - 116:../system/src/drivers/l4/flash_stm32l4x.c **** status = FLASH_GetBank1Status(); - 205 .loc 1 116 0 - 206 0004 FFF7FEFF bl FLASH_GetBank1Status - 207 .LVL11: - 117:../system/src/drivers/l4/flash_stm32l4x.c **** /* Wait for a Flash operation to complete or a TIMEOUT to occur */ - 118:../system/src/drivers/l4/flash_stm32l4x.c **** while((status == FLASH_BUSY) && (Timeout != 0x00)) - 208 .loc 1 118 0 - 209 0008 02E0 b .L19 - 210 .L21: - 119:../system/src/drivers/l4/flash_stm32l4x.c **** { - 120:../system/src/drivers/l4/flash_stm32l4x.c **** status = FLASH_GetBank1Status(); - 211 .loc 1 120 0 - 212 000a FFF7FEFF bl FLASH_GetBank1Status - 213 .LVL12: - 121:../system/src/drivers/l4/flash_stm32l4x.c **** Timeout--; - 214 .loc 1 121 0 - 215 000e 013C subs r4, r4, #1 - 216 .LVL13: - 217 .L19: - 118:../system/src/drivers/l4/flash_stm32l4x.c **** { - 218 .loc 1 118 0 - 219 0010 0128 cmp r0, #1 - 220 0012 01D1 bne .L20 - 118:../system/src/drivers/l4/flash_stm32l4x.c **** { - 221 .loc 1 118 0 is_stmt 0 discriminator 1 - 222 0014 002C cmp r4, #0 - 223 0016 F8D1 bne .L21 - 224 .L20: - 122:../system/src/drivers/l4/flash_stm32l4x.c **** } - 123:../system/src/drivers/l4/flash_stm32l4x.c **** if(Timeout == 0x00 ) - 225 .loc 1 123 0 is_stmt 1 - 226 0018 04B1 cbz r4, .L25 - 227 .LVL14: - 228 .L22: - 124:../system/src/drivers/l4/flash_stm32l4x.c **** { - 125:../system/src/drivers/l4/flash_stm32l4x.c **** status = FLASH_TIMEOUT; - 126:../system/src/drivers/l4/flash_stm32l4x.c **** } - 127:../system/src/drivers/l4/flash_stm32l4x.c **** /* Return the operation status */ - 128:../system/src/drivers/l4/flash_stm32l4x.c **** return status; - 129:../system/src/drivers/l4/flash_stm32l4x.c **** } - 229 .loc 1 129 0 - 230 001a 10BD pop {r4, pc} - 231 .LVL15: - 232 .L25: - 125:../system/src/drivers/l4/flash_stm32l4x.c **** } - 233 .loc 1 125 0 - 234 001c 0520 movs r0, #5 - 235 .LVL16: - 236 001e FCE7 b .L22 - 237 .cfi_endproc - 238 .LFE135: - 240 .text - 241 .Letext0: - 242 .file 2 "../system/include/./drivers/l4/flash_stm32l4x.h" - 243 .file 3 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/lib/gcc/arm-none-eabi/7.3.1/include/std - 244 .file 4 "../system/include/cmsis/stm32l4xx/core_cm4.h" - 245 .file 5 "../system/include/cmsis/stm32l4xx/device/system_stm32l4xx.h" - 246 .file 6 "../system/include/cmsis/stm32l4xx/device/stm32l471xx.h" + 111:../system/src/drivers/l4/flash_stm32l4x.c **** } + 152 .loc 1 111 0 + 153 004a 7047 bx lr + 154 .LVL9: + 155 .L14: + 72:../system/src/drivers/l4/flash_stm32l4x.c **** } + 156 .loc 1 72 0 + 157 004c 0222 movs r2, #2 + 158 004e DBE7 b .L11 + 159 .LVL10: + 160 .L15: + 94:../system/src/drivers/l4/flash_stm32l4x.c **** } + 161 .loc 1 94 0 + 162 0050 0349 ldr r1, .L16+4 + 163 0052 4A69 ldr r2, [r1, #20] + 164 .LVL11: + 165 0054 22F40062 bic r2, r2, #2048 + 166 0058 4A61 str r2, [r1, #20] + 167 005a E5E7 b .L13 + 168 .L17: + 169 .align 2 + 170 .L16: + 171 005c FFFF0708 .word 134742015 + 172 0060 00200240 .word 1073881088 + 173 .cfi_endproc + 174 .LFE133: + 176 .section .text.FLASH_Unlock,"ax",%progbits + 177 .align 1 + 178 .global FLASH_Unlock + 179 .syntax unified + 180 .thumb + 181 .thumb_func + 182 .fpu fpv4-sp-d16 + 184 FLASH_Unlock: + 185 .LFB134: + 112:../system/src/drivers/l4/flash_stm32l4x.c **** + 113:../system/src/drivers/l4/flash_stm32l4x.c **** void FLASH_Unlock(void) { + 186 .loc 1 113 0 + 187 .cfi_startproc + 188 @ args = 0, pretend = 0, frame = 0 + 189 @ frame_needed = 0, uses_anonymous_args = 0 + 190 @ link register save eliminated. + 114:../system/src/drivers/l4/flash_stm32l4x.c **** //HAL_StatusTypeDef status = HAL_OK; + 115:../system/src/drivers/l4/flash_stm32l4x.c **** + 116:../system/src/drivers/l4/flash_stm32l4x.c **** if(READ_BIT(FLASH->CR, FLASH_CR_LOCK) != 0U) + 191 .loc 1 116 0 + 192 0000 064B ldr r3, .L21 + 193 0002 5B69 ldr r3, [r3, #20] + 194 0004 002B cmp r3, #0 + 195 0006 00DB blt .L20 + 196 .L18: + 117:../system/src/drivers/l4/flash_stm32l4x.c **** { + 118:../system/src/drivers/l4/flash_stm32l4x.c **** /* Authorize the FLASH Registers access */ + 119:../system/src/drivers/l4/flash_stm32l4x.c **** WRITE_REG(FLASH->KEYR, FLASH_KEY1); + 120:../system/src/drivers/l4/flash_stm32l4x.c **** WRITE_REG(FLASH->KEYR, FLASH_KEY2); + 121:../system/src/drivers/l4/flash_stm32l4x.c **** + 122:../system/src/drivers/l4/flash_stm32l4x.c **** /* Verify Flash is unlocked */ + 123:../system/src/drivers/l4/flash_stm32l4x.c **** if(READ_BIT(FLASH->CR, FLASH_CR_LOCK) != 0U) + 124:../system/src/drivers/l4/flash_stm32l4x.c **** { + 125:../system/src/drivers/l4/flash_stm32l4x.c **** //status = HAL_ERROR; + 126:../system/src/drivers/l4/flash_stm32l4x.c **** } + 127:../system/src/drivers/l4/flash_stm32l4x.c **** } + 128:../system/src/drivers/l4/flash_stm32l4x.c **** + 129:../system/src/drivers/l4/flash_stm32l4x.c **** //return status; + 130:../system/src/drivers/l4/flash_stm32l4x.c **** } + 197 .loc 1 130 0 + 198 0008 7047 bx lr + 199 .L20: + 119:../system/src/drivers/l4/flash_stm32l4x.c **** WRITE_REG(FLASH->KEYR, FLASH_KEY2); + 200 .loc 1 119 0 + 201 000a 044B ldr r3, .L21 + 202 000c 044A ldr r2, .L21+4 + 203 000e 9A60 str r2, [r3, #8] + 120:../system/src/drivers/l4/flash_stm32l4x.c **** + 204 .loc 1 120 0 + 205 0010 02F18832 add r2, r2, #-2004318072 + 206 0014 9A60 str r2, [r3, #8] + 123:../system/src/drivers/l4/flash_stm32l4x.c **** { + 207 .loc 1 123 0 + 208 0016 5B69 ldr r3, [r3, #20] + 209 .loc 1 130 0 + 210 0018 F6E7 b .L18 + 211 .L22: + 212 001a 00BF .align 2 + 213 .L21: + 214 001c 00200240 .word 1073881088 + 215 0020 23016745 .word 1164378403 + 216 .cfi_endproc + 217 .LFE134: + 219 .section .text.FLASH_Lock,"ax",%progbits + 220 .align 1 + 221 .global FLASH_Lock + 222 .syntax unified + 223 .thumb + 224 .thumb_func + 225 .fpu fpv4-sp-d16 + 227 FLASH_Lock: + 228 .LFB135: + 131:../system/src/drivers/l4/flash_stm32l4x.c **** + 132:../system/src/drivers/l4/flash_stm32l4x.c **** + 133:../system/src/drivers/l4/flash_stm32l4x.c **** void FLASH_Lock(void) { + 229 .loc 1 133 0 + 230 .cfi_startproc + 231 @ args = 0, pretend = 0, frame = 0 + 232 @ frame_needed = 0, uses_anonymous_args = 0 + 233 @ link register save eliminated. + 134:../system/src/drivers/l4/flash_stm32l4x.c **** SET_BIT(FLASH->CR, FLASH_CR_LOCK); + 234 .loc 1 134 0 + 235 0000 024A ldr r2, .L24 + 236 0002 5369 ldr r3, [r2, #20] + 237 0004 43F00043 orr r3, r3, #-2147483648 + 238 0008 5361 str r3, [r2, #20] + 135:../system/src/drivers/l4/flash_stm32l4x.c **** + 136:../system/src/drivers/l4/flash_stm32l4x.c **** } + 239 .loc 1 136 0 + 240 000a 7047 bx lr + 241 .L25: + 242 .align 2 + 243 .L24: + 244 000c 00200240 .word 1073881088 + 245 .cfi_endproc + 246 .LFE135: + 248 .text + 249 .Letext0: + 250 .file 2 "../system/include/./drivers/l4/flash_stm32l4x.h" + 251 .file 3 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/lib/gcc/arm-none-eabi/7.3.1/include/std + 252 .file 4 "../system/include/cmsis/stm32l4xx/core_cm4.h" + 253 .file 5 "../system/include/cmsis/stm32l4xx/device/system_stm32l4xx.h" + 254 .file 6 "../system/include/cmsis/stm32l4xx/device/stm32l471xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 flash_stm32l4x.c - /tmp/ccR8qaTD.s:18 .text.FLASH_GetBank1Status:0000000000000000 $t - /tmp/ccR8qaTD.s:25 .text.FLASH_GetBank1Status:0000000000000000 FLASH_GetBank1Status - /tmp/ccR8qaTD.s:87 .text.FLASH_GetBank1Status:000000000000004c $d - /tmp/ccR8qaTD.s:92 .text.FLASH_ErasePage:0000000000000000 $t - /tmp/ccR8qaTD.s:99 .text.FLASH_ErasePage:0000000000000000 FLASH_ErasePage - /tmp/ccR8qaTD.s:114 .text.FLASH_PageErase:0000000000000000 $t - /tmp/ccR8qaTD.s:121 .text.FLASH_PageErase:0000000000000000 FLASH_PageErase - /tmp/ccR8qaTD.s:180 .text.FLASH_PageErase:0000000000000050 $d - /tmp/ccR8qaTD.s:185 .text.FLASH_WaitForLastOperation:0000000000000000 $t - /tmp/ccR8qaTD.s:192 .text.FLASH_WaitForLastOperation:0000000000000000 FLASH_WaitForLastOperation + /tmp/ccGXUjnt.s:18 .text.FLASH_GetBank1Status:0000000000000000 $t + /tmp/ccGXUjnt.s:25 .text.FLASH_GetBank1Status:0000000000000000 FLASH_GetBank1Status + /tmp/ccGXUjnt.s:87 .text.FLASH_GetBank1Status:000000000000004c $d + /tmp/ccGXUjnt.s:92 .text.FLASH_ErasePage:0000000000000000 $t + /tmp/ccGXUjnt.s:99 .text.FLASH_ErasePage:0000000000000000 FLASH_ErasePage + /tmp/ccGXUjnt.s:171 .text.FLASH_ErasePage:000000000000005c $d + /tmp/ccGXUjnt.s:177 .text.FLASH_Unlock:0000000000000000 $t + /tmp/ccGXUjnt.s:184 .text.FLASH_Unlock:0000000000000000 FLASH_Unlock + /tmp/ccGXUjnt.s:214 .text.FLASH_Unlock:000000000000001c $d + /tmp/ccGXUjnt.s:220 .text.FLASH_Lock:0000000000000000 $t + /tmp/ccGXUjnt.s:227 .text.FLASH_Lock:0000000000000000 FLASH_Lock + /tmp/ccGXUjnt.s:244 .text.FLASH_Lock:000000000000000c $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.station_config_target_hw.h.9.a20e080416e80d1cff9c1b51cc464832 .group:0000000000000000 wm4.flash_stm32l4x.h.23.4a4a9761a5d6111672269799f7e14648 @@ -399,6 +417,4 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.stm32l471xx.h.423.ceac761476affad641a3347d7727acfd .group:0000000000000000 wm4.stm32l4xx.h.197.fb1c68184133668ca24c44c29ba4361f -UNDEFINED SYMBOLS -IS_FLASH_PAGE -assert_param +NO UNDEFINED SYMBOLS diff --git a/STM32L476_ParaMETEO/system/src/drivers/l4/serial_stm32l4x.o.lst b/STM32L476_ParaMETEO/system/src/drivers/l4/serial_stm32l4x.o.lst index 61cf165..a9ac999 100644 --- a/STM32L476_ParaMETEO/system/src/drivers/l4/serial_stm32l4x.o.lst +++ b/STM32L476_ParaMETEO/system/src/drivers/l4/serial_stm32l4x.o.lst @@ -29,45 +29,44 @@ 3:../system/src/drivers/l4/serial_stm32l4x.c **** #include "station_config.h" 4:../system/src/drivers/l4/serial_stm32l4x.c **** #include "station_config_target_hw.h" 5:../system/src/drivers/l4/serial_stm32l4x.c **** - 6:../system/src/drivers/l4/serial_stm32l4x.c **** //#include - 7:../system/src/drivers/l4/serial_stm32l4x.c **** #include "diag/Trace.h" - 8:../system/src/drivers/l4/serial_stm32l4x.c **** - 9:../system/src/drivers/l4/serial_stm32l4x.c **** #include "main.h" // global_time is here - 10:../system/src/drivers/l4/serial_stm32l4x.c **** - 11:../system/src/drivers/l4/serial_stm32l4x.c **** #include - 12:../system/src/drivers/l4/serial_stm32l4x.c **** - 13:../system/src/drivers/l4/serial_stm32l4x.c **** #include - 14:../system/src/drivers/l4/serial_stm32l4x.c **** #include - 15:../system/src/drivers/l4/serial_stm32l4x.c **** #include - 16:../system/src/drivers/l4/serial_stm32l4x.c **** - 17:../system/src/drivers/l4/serial_stm32l4x.c **** #ifdef SEPARATE_TX_BUFF - 18:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_usart1_tx_buffer[TX_BUFFER_1_LN] = {'\0'}; - 19:../system/src/drivers/l4/serial_stm32l4x.c **** #endif - 20:../system/src/drivers/l4/serial_stm32l4x.c **** - 21:../system/src/drivers/l4/serial_stm32l4x.c **** #ifdef SEPARATE_RX_BUFF - 22:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_usart1_rx_buffer[RX_BUFFER_1_LN] = {'\0'}; - 23:../system/src/drivers/l4/serial_stm32l4x.c **** #endif - 24:../system/src/drivers/l4/serial_stm32l4x.c **** - 25:../system/src/drivers/l4/serial_stm32l4x.c **** #ifdef SEPARATE_TX_BUFF - 26:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_usart2_tx_buffer[TX_BUFFER_2_LN] = {'\0'}; - 27:../system/src/drivers/l4/serial_stm32l4x.c **** #endif - 28:../system/src/drivers/l4/serial_stm32l4x.c **** - 29:../system/src/drivers/l4/serial_stm32l4x.c **** #ifdef SEPARATE_RX_BUFF - 30:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_usart2_rx_buffer[RX_BUFFER_2_LN] = {'\0'}; - 31:../system/src/drivers/l4/serial_stm32l4x.c **** #endif + 6:../system/src/drivers/l4/serial_stm32l4x.c **** #include "diag/Trace.h" + 7:../system/src/drivers/l4/serial_stm32l4x.c **** + 8:../system/src/drivers/l4/serial_stm32l4x.c **** #include "main.h" // global_time is here + 9:../system/src/drivers/l4/serial_stm32l4x.c **** + 10:../system/src/drivers/l4/serial_stm32l4x.c **** #include + 11:../system/src/drivers/l4/serial_stm32l4x.c **** + 12:../system/src/drivers/l4/serial_stm32l4x.c **** #include + 13:../system/src/drivers/l4/serial_stm32l4x.c **** #include + 14:../system/src/drivers/l4/serial_stm32l4x.c **** #include + 15:../system/src/drivers/l4/serial_stm32l4x.c **** + 16:../system/src/drivers/l4/serial_stm32l4x.c **** #ifdef SEPARATE_TX_BUFF + 17:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_usart1_tx_buffer[TX_BUFFER_1_LN] = {'\0'}; + 18:../system/src/drivers/l4/serial_stm32l4x.c **** #endif + 19:../system/src/drivers/l4/serial_stm32l4x.c **** + 20:../system/src/drivers/l4/serial_stm32l4x.c **** #ifdef SEPARATE_RX_BUFF + 21:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_usart1_rx_buffer[RX_BUFFER_1_LN] = {'\0'}; + 22:../system/src/drivers/l4/serial_stm32l4x.c **** #endif + 23:../system/src/drivers/l4/serial_stm32l4x.c **** + 24:../system/src/drivers/l4/serial_stm32l4x.c **** #ifdef SEPARATE_TX_BUFF + 25:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_usart2_tx_buffer[TX_BUFFER_2_LN] = {'\0'}; + 26:../system/src/drivers/l4/serial_stm32l4x.c **** #endif + 27:../system/src/drivers/l4/serial_stm32l4x.c **** + 28:../system/src/drivers/l4/serial_stm32l4x.c **** #ifdef SEPARATE_RX_BUFF + 29:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_usart2_rx_buffer[RX_BUFFER_2_LN] = {'\0'}; + 30:../system/src/drivers/l4/serial_stm32l4x.c **** #endif + 31:../system/src/drivers/l4/serial_stm32l4x.c **** 32:../system/src/drivers/l4/serial_stm32l4x.c **** - 33:../system/src/drivers/l4/serial_stm32l4x.c **** - 34:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_init( - 35:../system/src/drivers/l4/serial_stm32l4x.c **** srl_context_t *ctx, - 36:../system/src/drivers/l4/serial_stm32l4x.c **** USART_TypeDef *port, - 37:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t *rx_buffer, - 38:../system/src/drivers/l4/serial_stm32l4x.c **** uint16_t rx_buffer_size, - 39:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t *tx_buffer, - 40:../system/src/drivers/l4/serial_stm32l4x.c **** uint16_t tx_buffer_size, - 41:../system/src/drivers/l4/serial_stm32l4x.c **** uint32_t baudrate, - 42:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t stop_bits - 43:../system/src/drivers/l4/serial_stm32l4x.c **** ) { - 28 .loc 1 43 0 + 33:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_init( + 34:../system/src/drivers/l4/serial_stm32l4x.c **** srl_context_t *ctx, + 35:../system/src/drivers/l4/serial_stm32l4x.c **** USART_TypeDef *port, + 36:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t *rx_buffer, + 37:../system/src/drivers/l4/serial_stm32l4x.c **** uint16_t rx_buffer_size, + 38:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t *tx_buffer, + 39:../system/src/drivers/l4/serial_stm32l4x.c **** uint16_t tx_buffer_size, + 40:../system/src/drivers/l4/serial_stm32l4x.c **** uint32_t baudrate, + 41:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t stop_bits + 42:../system/src/drivers/l4/serial_stm32l4x.c **** ) { + 28 .loc 1 42 0 29 .cfi_startproc 30 @ args = 16, pretend = 0, frame = 32 31 @ frame_needed = 0, uses_anonymous_args = 0 @@ -82,75 +81,75 @@ 40 0002 89B0 sub sp, sp, #36 41 .cfi_def_cfa_offset 56 42 0004 BDF83C70 ldrh r7, [sp, #60] - 44:../system/src/drivers/l4/serial_stm32l4x.c **** - 45:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_state == SRL_RX_IDLE) - 43 .loc 1 45 0 + 43:../system/src/drivers/l4/serial_stm32l4x.c **** + 44:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_state == SRL_RX_IDLE) + 43 .loc 1 44 0 44 0008 90F84440 ldrb r4, [r0, #68] @ zero_extendqisi2 45 000c 012C cmp r4, #1 46 000e 01D1 bne .L7 47 .LVL1: 48 .L1: - 46:../system/src/drivers/l4/serial_stm32l4x.c **** return; - 47:../system/src/drivers/l4/serial_stm32l4x.c **** - 48:../system/src/drivers/l4/serial_stm32l4x.c **** #ifdef SEPARATE_TX_BUFF - 49:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer = tx_buffer; - 50:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_buf_ln = tx_buffer_size; - 51:../system/src/drivers/l4/serial_stm32l4x.c **** #endif - 52:../system/src/drivers/l4/serial_stm32l4x.c **** - 53:../system/src/drivers/l4/serial_stm32l4x.c **** #ifdef SEPARATE_RX_BUFF - 54:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_buf_pointer = rx_buffer; - 55:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_ln = rx_buffer_size; - 56:../system/src/drivers/l4/serial_stm32l4x.c **** #endif - 57:../system/src/drivers/l4/serial_stm32l4x.c **** - 58:../system/src/drivers/l4/serial_stm32l4x.c **** memset(ctx->srl_rx_buf_pointer, 0x00, ctx->srl_rx_buf_ln); - 59:../system/src/drivers/l4/serial_stm32l4x.c **** memset(ctx->srl_tx_buf_pointer, 0x00, ctx->srl_tx_buf_ln); - 60:../system/src/drivers/l4/serial_stm32l4x.c **** - 61:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port = port; - 62:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port_baurate = baudrate; - 63:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port_stopbits = stop_bits; - 64:../system/src/drivers/l4/serial_stm32l4x.c **** - 65:../system/src/drivers/l4/serial_stm32l4x.c **** //ctx->te_port = 0; - 66:../system/src/drivers/l4/serial_stm32l4x.c **** //ctx->te_pin = 0; - 67:../system/src/drivers/l4/serial_stm32l4x.c **** - 68:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_start_time = 0xFFFFFFFFu; - 69:../system/src/drivers/l4/serial_stm32l4x.c **** - 70:../system/src/drivers/l4/serial_stm32l4x.c **** LL_USART_InitTypeDef USART_InitStructure; - 71:../system/src/drivers/l4/serial_stm32l4x.c **** - 72:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.BaudRate = baudrate; - 73:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.DataWidth = LL_USART_DATAWIDTH_8B; - 74:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.StopBits = LL_USART_STOPBITS_1; - 75:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.Parity = LL_USART_PARITY_NONE; - 76:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.TransferDirection = LL_USART_DIRECTION_RX; - 77:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.HardwareFlowControl = LL_USART_HWCONTROL_NONE; - 78:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.OverSampling = LL_USART_OVERSAMPLING_16; - 79:../system/src/drivers/l4/serial_stm32l4x.c **** - 80:../system/src/drivers/l4/serial_stm32l4x.c **** LL_USART_Init(port, &USART_InitStructure); - 81:../system/src/drivers/l4/serial_stm32l4x.c **** - 82:../system/src/drivers/l4/serial_stm32l4x.c **** if (port == USART1) { - 83:../system/src/drivers/l4/serial_stm32l4x.c **** NVIC_EnableIRQ( USART1_IRQn ); - 84:../system/src/drivers/l4/serial_stm32l4x.c **** } - 85:../system/src/drivers/l4/serial_stm32l4x.c **** else if (port == USART2) { - 86:../system/src/drivers/l4/serial_stm32l4x.c **** NVIC_EnableIRQ( USART2_IRQn ); - 87:../system/src/drivers/l4/serial_stm32l4x.c **** } - 88:../system/src/drivers/l4/serial_stm32l4x.c **** - 89:../system/src/drivers/l4/serial_stm32l4x.c **** port->CR1 |= USART_CR1_UE; - 90:../system/src/drivers/l4/serial_stm32l4x.c **** port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); - 91:../system/src/drivers/l4/serial_stm32l4x.c **** - 92:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_IDLE; - 93:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TX_IDLE; - 94:../system/src/drivers/l4/serial_stm32l4x.c **** - 95:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_error_reason = SRL_ERR_NONE; - 96:../system/src/drivers/l4/serial_stm32l4x.c **** - 97:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_calc_started = 0; - 98:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->total_idle_counter = 0; - 99:../system/src/drivers/l4/serial_stm32l4x.c **** - 100:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_start_time = 0; - 101:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_waiting_start_time = 0; - 102:../system/src/drivers/l4/serial_stm32l4x.c **** - 103:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_enable = 0; - 104:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_waiting_enable = 0; - 105:../system/src/drivers/l4/serial_stm32l4x.c **** } - 49 .loc 1 105 0 + 45:../system/src/drivers/l4/serial_stm32l4x.c **** return; + 46:../system/src/drivers/l4/serial_stm32l4x.c **** + 47:../system/src/drivers/l4/serial_stm32l4x.c **** #ifdef SEPARATE_TX_BUFF + 48:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer = tx_buffer; + 49:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_buf_ln = tx_buffer_size; + 50:../system/src/drivers/l4/serial_stm32l4x.c **** #endif + 51:../system/src/drivers/l4/serial_stm32l4x.c **** + 52:../system/src/drivers/l4/serial_stm32l4x.c **** #ifdef SEPARATE_RX_BUFF + 53:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_buf_pointer = rx_buffer; + 54:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_ln = rx_buffer_size; + 55:../system/src/drivers/l4/serial_stm32l4x.c **** #endif + 56:../system/src/drivers/l4/serial_stm32l4x.c **** + 57:../system/src/drivers/l4/serial_stm32l4x.c **** memset(ctx->srl_rx_buf_pointer, 0x00, ctx->srl_rx_buf_ln); + 58:../system/src/drivers/l4/serial_stm32l4x.c **** memset(ctx->srl_tx_buf_pointer, 0x00, ctx->srl_tx_buf_ln); + 59:../system/src/drivers/l4/serial_stm32l4x.c **** + 60:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port = port; + 61:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port_baurate = baudrate; + 62:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port_stopbits = stop_bits; + 63:../system/src/drivers/l4/serial_stm32l4x.c **** + 64:../system/src/drivers/l4/serial_stm32l4x.c **** //ctx->te_port = 0; + 65:../system/src/drivers/l4/serial_stm32l4x.c **** //ctx->te_pin = 0; + 66:../system/src/drivers/l4/serial_stm32l4x.c **** + 67:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_start_time = 0xFFFFFFFFu; + 68:../system/src/drivers/l4/serial_stm32l4x.c **** + 69:../system/src/drivers/l4/serial_stm32l4x.c **** LL_USART_InitTypeDef USART_InitStructure; + 70:../system/src/drivers/l4/serial_stm32l4x.c **** + 71:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.BaudRate = baudrate; + 72:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.DataWidth = LL_USART_DATAWIDTH_8B; + 73:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.StopBits = LL_USART_STOPBITS_1; + 74:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.Parity = LL_USART_PARITY_NONE; + 75:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.TransferDirection = LL_USART_DIRECTION_RX; + 76:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.HardwareFlowControl = LL_USART_HWCONTROL_NONE; + 77:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.OverSampling = LL_USART_OVERSAMPLING_16; + 78:../system/src/drivers/l4/serial_stm32l4x.c **** + 79:../system/src/drivers/l4/serial_stm32l4x.c **** LL_USART_Init(port, &USART_InitStructure); + 80:../system/src/drivers/l4/serial_stm32l4x.c **** + 81:../system/src/drivers/l4/serial_stm32l4x.c **** if (port == USART1) { + 82:../system/src/drivers/l4/serial_stm32l4x.c **** NVIC_EnableIRQ( USART1_IRQn ); + 83:../system/src/drivers/l4/serial_stm32l4x.c **** } + 84:../system/src/drivers/l4/serial_stm32l4x.c **** else if (port == USART2) { + 85:../system/src/drivers/l4/serial_stm32l4x.c **** NVIC_EnableIRQ( USART2_IRQn ); + 86:../system/src/drivers/l4/serial_stm32l4x.c **** } + 87:../system/src/drivers/l4/serial_stm32l4x.c **** + 88:../system/src/drivers/l4/serial_stm32l4x.c **** port->CR1 |= USART_CR1_UE; + 89:../system/src/drivers/l4/serial_stm32l4x.c **** port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); + 90:../system/src/drivers/l4/serial_stm32l4x.c **** + 91:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_IDLE; + 92:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TX_IDLE; + 93:../system/src/drivers/l4/serial_stm32l4x.c **** + 94:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_error_reason = SRL_ERR_NONE; + 95:../system/src/drivers/l4/serial_stm32l4x.c **** + 96:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_calc_started = 0; + 97:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->total_idle_counter = 0; + 98:../system/src/drivers/l4/serial_stm32l4x.c **** + 99:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_start_time = 0; + 100:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_waiting_start_time = 0; + 101:../system/src/drivers/l4/serial_stm32l4x.c **** + 102:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_enable = 0; + 103:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_waiting_enable = 0; + 104:../system/src/drivers/l4/serial_stm32l4x.c **** } + 49 .loc 1 104 0 50 0010 09B0 add sp, sp, #36 51 .cfi_remember_state 52 .cfi_def_cfa_offset 20 @@ -162,22 +161,22 @@ 58 0014 1546 mov r5, r2 59 0016 0E46 mov r6, r1 60 0018 0446 mov r4, r0 - 49:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_buf_ln = tx_buffer_size; - 61 .loc 1 49 0 + 48:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_buf_ln = tx_buffer_size; + 61 .loc 1 48 0 62 001a 0E9A ldr r2, [sp, #56] 63 .LVL3: 64 001c 4261 str r2, [r0, #20] - 50:../system/src/drivers/l4/serial_stm32l4x.c **** #endif - 65 .loc 1 50 0 + 49:../system/src/drivers/l4/serial_stm32l4x.c **** #endif + 65 .loc 1 49 0 66 001e 8783 strh r7, [r0, #28] @ movhi - 54:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_ln = rx_buffer_size; - 67 .loc 1 54 0 + 53:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_ln = rx_buffer_size; + 67 .loc 1 53 0 68 0020 8561 str r5, [r0, #24] - 55:../system/src/drivers/l4/serial_stm32l4x.c **** #endif - 69 .loc 1 55 0 + 54:../system/src/drivers/l4/serial_stm32l4x.c **** #endif + 69 .loc 1 54 0 70 0022 C383 strh r3, [r0, #30] @ movhi - 58:../system/src/drivers/l4/serial_stm32l4x.c **** memset(ctx->srl_tx_buf_pointer, 0x00, ctx->srl_tx_buf_ln); - 71 .loc 1 58 0 + 57:../system/src/drivers/l4/serial_stm32l4x.c **** memset(ctx->srl_tx_buf_pointer, 0x00, ctx->srl_tx_buf_ln); + 71 .loc 1 57 0 72 0024 3A46 mov r2, r7 73 0026 0021 movs r1, #0 74 .LVL4: @@ -185,108 +184,108 @@ 76 .LVL5: 77 002a FFF7FEFF bl memset 78 .LVL6: - 59:../system/src/drivers/l4/serial_stm32l4x.c **** - 79 .loc 1 59 0 + 58:../system/src/drivers/l4/serial_stm32l4x.c **** + 79 .loc 1 58 0 80 002e E28B ldrh r2, [r4, #30] 81 0030 0021 movs r1, #0 82 0032 6069 ldr r0, [r4, #20] 83 0034 FFF7FEFF bl memset 84 .LVL7: - 61:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port_baurate = baudrate; - 85 .loc 1 61 0 + 60:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port_baurate = baudrate; + 85 .loc 1 60 0 86 0038 2660 str r6, [r4] - 62:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port_stopbits = stop_bits; - 87 .loc 1 62 0 + 61:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port_stopbits = stop_bits; + 87 .loc 1 61 0 88 003a 109B ldr r3, [sp, #64] 89 003c 6360 str r3, [r4, #4] - 63:../system/src/drivers/l4/serial_stm32l4x.c **** - 90 .loc 1 63 0 + 62:../system/src/drivers/l4/serial_stm32l4x.c **** + 90 .loc 1 62 0 91 003e 9DF84430 ldrb r3, [sp, #68] @ zero_extendqisi2 92 0042 2372 strb r3, [r4, #8] - 68:../system/src/drivers/l4/serial_stm32l4x.c **** - 93 .loc 1 68 0 + 67:../system/src/drivers/l4/serial_stm32l4x.c **** + 93 .loc 1 67 0 94 0044 4FF0FF33 mov r3, #-1 95 0048 2364 str r3, [r4, #64] - 72:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.DataWidth = LL_USART_DATAWIDTH_8B; - 96 .loc 1 72 0 + 71:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.DataWidth = LL_USART_DATAWIDTH_8B; + 96 .loc 1 71 0 97 004a 109B ldr r3, [sp, #64] 98 004c 0193 str r3, [sp, #4] - 73:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.StopBits = LL_USART_STOPBITS_1; - 99 .loc 1 73 0 + 72:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.StopBits = LL_USART_STOPBITS_1; + 99 .loc 1 72 0 100 004e 0023 movs r3, #0 101 0050 0293 str r3, [sp, #8] - 74:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.Parity = LL_USART_PARITY_NONE; - 102 .loc 1 74 0 + 73:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.Parity = LL_USART_PARITY_NONE; + 102 .loc 1 73 0 103 0052 0393 str r3, [sp, #12] - 75:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.TransferDirection = LL_USART_DIRECTION_RX; - 104 .loc 1 75 0 + 74:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.TransferDirection = LL_USART_DIRECTION_RX; + 104 .loc 1 74 0 105 0054 0493 str r3, [sp, #16] - 76:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.HardwareFlowControl = LL_USART_HWCONTROL_NONE; - 106 .loc 1 76 0 + 75:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.HardwareFlowControl = LL_USART_HWCONTROL_NONE; + 106 .loc 1 75 0 107 0056 0422 movs r2, #4 108 0058 0592 str r2, [sp, #20] - 77:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.OverSampling = LL_USART_OVERSAMPLING_16; - 109 .loc 1 77 0 + 76:../system/src/drivers/l4/serial_stm32l4x.c **** USART_InitStructure.OverSampling = LL_USART_OVERSAMPLING_16; + 109 .loc 1 76 0 110 005a 0693 str r3, [sp, #24] - 78:../system/src/drivers/l4/serial_stm32l4x.c **** - 111 .loc 1 78 0 + 77:../system/src/drivers/l4/serial_stm32l4x.c **** + 111 .loc 1 77 0 112 005c 0793 str r3, [sp, #28] - 80:../system/src/drivers/l4/serial_stm32l4x.c **** - 113 .loc 1 80 0 + 79:../system/src/drivers/l4/serial_stm32l4x.c **** + 113 .loc 1 79 0 114 005e 0DEB0201 add r1, sp, r2 115 0062 3046 mov r0, r6 116 0064 FFF7FEFF bl LL_USART_Init 117 .LVL8: - 82:../system/src/drivers/l4/serial_stm32l4x.c **** NVIC_EnableIRQ( USART1_IRQn ); - 118 .loc 1 82 0 + 81:../system/src/drivers/l4/serial_stm32l4x.c **** NVIC_EnableIRQ( USART1_IRQn ); + 118 .loc 1 81 0 119 0068 144B ldr r3, .L10 120 006a 9E42 cmp r6, r3 121 006c 1DD0 beq .L8 - 85:../system/src/drivers/l4/serial_stm32l4x.c **** NVIC_EnableIRQ( USART2_IRQn ); - 122 .loc 1 85 0 + 84:../system/src/drivers/l4/serial_stm32l4x.c **** NVIC_EnableIRQ( USART2_IRQn ); + 122 .loc 1 84 0 123 006e 144B ldr r3, .L10+4 124 0070 9E42 cmp r6, r3 125 0072 1ED0 beq .L9 126 .LVL9: 127 .L4: - 89:../system/src/drivers/l4/serial_stm32l4x.c **** port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); - 128 .loc 1 89 0 + 88:../system/src/drivers/l4/serial_stm32l4x.c **** port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); + 128 .loc 1 88 0 129 0074 3368 ldr r3, [r6] 130 0076 43F00103 orr r3, r3, #1 131 007a 3360 str r3, [r6] - 90:../system/src/drivers/l4/serial_stm32l4x.c **** - 132 .loc 1 90 0 + 89:../system/src/drivers/l4/serial_stm32l4x.c **** + 132 .loc 1 89 0 133 007c F369 ldr r3, [r6, #28] 134 007e 23F04003 bic r3, r3, #64 135 0082 F361 str r3, [r6, #28] - 92:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TX_IDLE; - 136 .loc 1 92 0 + 91:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TX_IDLE; + 136 .loc 1 91 0 137 0084 0123 movs r3, #1 138 0086 84F84430 strb r3, [r4, #68] - 93:../system/src/drivers/l4/serial_stm32l4x.c **** - 139 .loc 1 93 0 + 92:../system/src/drivers/l4/serial_stm32l4x.c **** + 139 .loc 1 92 0 140 008a 84F84530 strb r3, [r4, #69] - 95:../system/src/drivers/l4/serial_stm32l4x.c **** - 141 .loc 1 95 0 + 94:../system/src/drivers/l4/serial_stm32l4x.c **** + 141 .loc 1 94 0 142 008e 0023 movs r3, #0 143 0090 84F84630 strb r3, [r4, #70] - 97:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->total_idle_counter = 0; - 144 .loc 1 97 0 + 96:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->total_idle_counter = 0; + 144 .loc 1 96 0 145 0094 84F82F30 strb r3, [r4, #47] - 98:../system/src/drivers/l4/serial_stm32l4x.c **** - 146 .loc 1 98 0 + 97:../system/src/drivers/l4/serial_stm32l4x.c **** + 146 .loc 1 97 0 147 0098 84F84730 strb r3, [r4, #71] - 100:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_waiting_start_time = 0; - 148 .loc 1 100 0 + 99:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_waiting_start_time = 0; + 148 .loc 1 99 0 149 009c A363 str r3, [r4, #56] - 101:../system/src/drivers/l4/serial_stm32l4x.c **** - 150 .loc 1 101 0 + 100:../system/src/drivers/l4/serial_stm32l4x.c **** + 150 .loc 1 100 0 151 009e E363 str r3, [r4, #60] - 103:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_waiting_enable = 0; - 152 .loc 1 103 0 + 102:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_waiting_enable = 0; + 152 .loc 1 102 0 153 00a0 84F82D30 strb r3, [r4, #45] - 104:../system/src/drivers/l4/serial_stm32l4x.c **** } - 154 .loc 1 104 0 + 103:../system/src/drivers/l4/serial_stm32l4x.c **** } + 154 .loc 1 103 0 155 00a4 84F82E30 strb r3, [r4, #46] 156 00a8 B2E7 b .L1 157 .LVL10: @@ -2022,9 +2021,9 @@ 201 .fpu fpv4-sp-d16 203 srl_close: 204 .LFB383: - 106:../system/src/drivers/l4/serial_stm32l4x.c **** - 107:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_close(srl_context_t *ctx) { - 205 .loc 1 107 0 + 105:../system/src/drivers/l4/serial_stm32l4x.c **** + 106:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_close(srl_context_t *ctx) { + 205 .loc 1 106 0 206 .cfi_startproc 207 @ args = 0, pretend = 0, frame = 0 208 @ frame_needed = 0, uses_anonymous_args = 0 @@ -2034,18 +2033,18 @@ 212 .cfi_offset 4, -8 213 .cfi_offset 14, -4 214 0002 0446 mov r4, r0 - 108:../system/src/drivers/l4/serial_stm32l4x.c **** LL_USART_DeInit(ctx->port); - 215 .loc 1 108 0 + 107:../system/src/drivers/l4/serial_stm32l4x.c **** LL_USART_DeInit(ctx->port); + 215 .loc 1 107 0 216 0004 0068 ldr r0, [r0] 217 .LVL15: 218 0006 FFF7FEFF bl LL_USART_DeInit 219 .LVL16: - 109:../system/src/drivers/l4/serial_stm32l4x.c **** - 110:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->te_port != NULL && ctx->te_pin != 0) { - 220 .loc 1 110 0 + 108:../system/src/drivers/l4/serial_stm32l4x.c **** + 109:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->te_port != NULL && ctx->te_pin != 0) { + 220 .loc 1 109 0 221 000a E368 ldr r3, [r4, #12] 222 000c 13B1 cbz r3, .L13 - 223 .loc 1 110 0 is_stmt 0 discriminator 1 + 223 .loc 1 109 0 is_stmt 0 discriminator 1 224 000e 228A ldrh r2, [r4, #16] 225 0010 02B1 cbz r2, .L13 226 .LVL17: @@ -3046,18 +3045,18 @@ 233 .L13: 234 .LBE23: 235 .LBE22: - 111:../system/src/drivers/l4/serial_stm32l4x.c **** LL_GPIO_ResetOutputPin(ctx->te_port, ctx->te_pin); - 112:../system/src/drivers/l4/serial_stm32l4x.c **** } - 113:../system/src/drivers/l4/serial_stm32l4x.c **** - 114:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_NOT_CONFIG; - 236 .loc 1 114 0 + 110:../system/src/drivers/l4/serial_stm32l4x.c **** LL_GPIO_ResetOutputPin(ctx->te_port, ctx->te_pin); + 111:../system/src/drivers/l4/serial_stm32l4x.c **** } + 112:../system/src/drivers/l4/serial_stm32l4x.c **** + 113:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_NOT_CONFIG; + 236 .loc 1 113 0 237 0014 0023 movs r3, #0 238 0016 84F84430 strb r3, [r4, #68] - 115:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TX_NOT_CONFIG; - 239 .loc 1 115 0 + 114:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TX_NOT_CONFIG; + 239 .loc 1 114 0 240 001a 84F84530 strb r3, [r4, #69] - 116:../system/src/drivers/l4/serial_stm32l4x.c **** } - 241 .loc 1 116 0 + 115:../system/src/drivers/l4/serial_stm32l4x.c **** } + 241 .loc 1 115 0 242 001e 10BD pop {r4, pc} 243 .cfi_endproc 244 .LFE383: @@ -3070,81 +3069,81 @@ 252 .fpu fpv4-sp-d16 254 srl_keep_timeout: 255 .LFB384: - 117:../system/src/drivers/l4/serial_stm32l4x.c **** - 118:../system/src/drivers/l4/serial_stm32l4x.c **** // this function shall be called in 10ms periods by some timer to check the timeout - 119:../system/src/drivers/l4/serial_stm32l4x.c **** // during receive. This method works differently depends on what receive mode was initiaded - 120:../system/src/drivers/l4/serial_stm32l4x.c **** // - 121:../system/src/drivers/l4/serial_stm32l4x.c **** // if start & stop characters are in use the timeout will be calculted from the time when - 122:../system/src/drivers/l4/serial_stm32l4x.c **** // start character was received and operation mode has been switched from SRL_WAITING_TO_RX - 123:../system/src/drivers/l4/serial_stm32l4x.c **** // to SRL_RXING - 124:../system/src/drivers/l4/serial_stm32l4x.c **** // - 125:../system/src/drivers/l4/serial_stm32l4x.c **** // if no start & stop character is used by software timeout is calculated from the time when - 126:../system/src/drivers/l4/serial_stm32l4x.c **** // first character was received after calling srl_receive_data - 127:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_keep_timeout(srl_context_t *ctx) { - 256 .loc 1 127 0 + 116:../system/src/drivers/l4/serial_stm32l4x.c **** + 117:../system/src/drivers/l4/serial_stm32l4x.c **** // this function shall be called in 10ms periods by some timer to check the timeout + 118:../system/src/drivers/l4/serial_stm32l4x.c **** // during receive. This method works differently depends on what receive mode was initiaded + 119:../system/src/drivers/l4/serial_stm32l4x.c **** // + 120:../system/src/drivers/l4/serial_stm32l4x.c **** // if start & stop characters are in use the timeout will be calculted from the time when + 121:../system/src/drivers/l4/serial_stm32l4x.c **** // start character was received and operation mode has been switched from SRL_WAITING_TO_RX + 122:../system/src/drivers/l4/serial_stm32l4x.c **** // to SRL_RXING + 123:../system/src/drivers/l4/serial_stm32l4x.c **** // + 124:../system/src/drivers/l4/serial_stm32l4x.c **** // if no start & stop character is used by software timeout is calculated from the time when + 125:../system/src/drivers/l4/serial_stm32l4x.c **** // first character was received after calling srl_receive_data + 126:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_keep_timeout(srl_context_t *ctx) { + 256 .loc 1 126 0 257 .cfi_startproc 258 @ args = 0, pretend = 0, frame = 0 259 @ frame_needed = 0, uses_anonymous_args = 0 260 @ link register save eliminated. 261 .LVL19: - 128:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_state != SRL_RX_NOT_CONFIG && ctx->srl_rx_timeout_enable == 1) { - 262 .loc 1 128 0 + 127:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_state != SRL_RX_NOT_CONFIG && ctx->srl_rx_timeout_enable == 1) { + 262 .loc 1 127 0 263 0000 90F84430 ldrb r3, [r0, #68] @ zero_extendqisi2 264 0004 1BB1 cbz r3, .L16 - 265 .loc 1 128 0 is_stmt 0 discriminator 1 + 265 .loc 1 127 0 is_stmt 0 discriminator 1 266 0006 90F82D30 ldrb r3, [r0, #45] @ zero_extendqisi2 267 000a 012B cmp r3, #1 268 000c 06D0 beq .L18 269 .L16: - 129:../system/src/drivers/l4/serial_stm32l4x.c **** - 130:../system/src/drivers/l4/serial_stm32l4x.c **** // checking if flag to check a timeout is raised - 131:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_timeout_calc_started == 1) { - 132:../system/src/drivers/l4/serial_stm32l4x.c **** - 133:../system/src/drivers/l4/serial_stm32l4x.c **** // check if timeout expired - 134:../system/src/drivers/l4/serial_stm32l4x.c **** if (master_time - ctx->srl_rx_start_time > ctx->srl_rx_timeout_trigger_value_in_msec) { - 135:../system/src/drivers/l4/serial_stm32l4x.c **** // disable the receiving part of UART, disable interrupt and switch to an error state - 136:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RE); - 137:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RXNEIE); - 138:../system/src/drivers/l4/serial_stm32l4x.c **** - 139:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_ERROR; - 140:../system/src/drivers/l4/serial_stm32l4x.c **** - 141:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_error_reason = SRL_ERR_TIMEOUT_RECEIVING; - 142:../system/src/drivers/l4/serial_stm32l4x.c **** } - 143:../system/src/drivers/l4/serial_stm32l4x.c **** } - 144:../system/src/drivers/l4/serial_stm32l4x.c **** - 145:../system/src/drivers/l4/serial_stm32l4x.c **** } - 146:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 147:../system/src/drivers/l4/serial_stm32l4x.c **** ; - 148:../system/src/drivers/l4/serial_stm32l4x.c **** } - 149:../system/src/drivers/l4/serial_stm32l4x.c **** - 150:../system/src/drivers/l4/serial_stm32l4x.c **** if ((ctx->srl_rx_state == SRL_WAITING_TO_RX || ctx->srl_rx_state == SRL_RXING ) && ctx->srl_rx_tim - 270 .loc 1 150 0 is_stmt 1 + 128:../system/src/drivers/l4/serial_stm32l4x.c **** + 129:../system/src/drivers/l4/serial_stm32l4x.c **** // checking if flag to check a timeout is raised + 130:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_timeout_calc_started == 1) { + 131:../system/src/drivers/l4/serial_stm32l4x.c **** + 132:../system/src/drivers/l4/serial_stm32l4x.c **** // check if timeout expired + 133:../system/src/drivers/l4/serial_stm32l4x.c **** if (master_time - ctx->srl_rx_start_time > ctx->srl_rx_timeout_trigger_value_in_msec) { + 134:../system/src/drivers/l4/serial_stm32l4x.c **** // disable the receiving part of UART, disable interrupt and switch to an error state + 135:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RE); + 136:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RXNEIE); + 137:../system/src/drivers/l4/serial_stm32l4x.c **** + 138:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_ERROR; + 139:../system/src/drivers/l4/serial_stm32l4x.c **** + 140:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_error_reason = SRL_ERR_TIMEOUT_RECEIVING; + 141:../system/src/drivers/l4/serial_stm32l4x.c **** } + 142:../system/src/drivers/l4/serial_stm32l4x.c **** } + 143:../system/src/drivers/l4/serial_stm32l4x.c **** + 144:../system/src/drivers/l4/serial_stm32l4x.c **** } + 145:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 146:../system/src/drivers/l4/serial_stm32l4x.c **** ; + 147:../system/src/drivers/l4/serial_stm32l4x.c **** } + 148:../system/src/drivers/l4/serial_stm32l4x.c **** + 149:../system/src/drivers/l4/serial_stm32l4x.c **** if ((ctx->srl_rx_state == SRL_WAITING_TO_RX || ctx->srl_rx_state == SRL_RXING ) && ctx->srl_rx_tim + 270 .loc 1 149 0 is_stmt 1 271 000e 90F84430 ldrb r3, [r0, #68] @ zero_extendqisi2 272 0012 023B subs r3, r3, #2 273 0014 DBB2 uxtb r3, r3 274 0016 012B cmp r3, #1 275 0018 1CD9 bls .L19 276 .L15: - 151:../system/src/drivers/l4/serial_stm32l4x.c **** if (master_time - ctx->srl_rx_waiting_start_time > ctx->srl_rx_timeout_trigger_value_in_msec) { - 152:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RE); - 153:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RXNEIE); - 154:../system/src/drivers/l4/serial_stm32l4x.c **** - 155:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_ERROR; - 156:../system/src/drivers/l4/serial_stm32l4x.c **** - 157:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_error_reason = SRL_ERR_TIMEOUT_WAITING; - 158:../system/src/drivers/l4/serial_stm32l4x.c **** } - 159:../system/src/drivers/l4/serial_stm32l4x.c **** } - 160:../system/src/drivers/l4/serial_stm32l4x.c **** } - 277 .loc 1 160 0 + 150:../system/src/drivers/l4/serial_stm32l4x.c **** if (master_time - ctx->srl_rx_waiting_start_time > ctx->srl_rx_timeout_trigger_value_in_msec) { + 151:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RE); + 152:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RXNEIE); + 153:../system/src/drivers/l4/serial_stm32l4x.c **** + 154:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_ERROR; + 155:../system/src/drivers/l4/serial_stm32l4x.c **** + 156:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_error_reason = SRL_ERR_TIMEOUT_WAITING; + 157:../system/src/drivers/l4/serial_stm32l4x.c **** } + 158:../system/src/drivers/l4/serial_stm32l4x.c **** } + 159:../system/src/drivers/l4/serial_stm32l4x.c **** } + 277 .loc 1 159 0 278 001a 7047 bx lr 279 .L18: - 131:../system/src/drivers/l4/serial_stm32l4x.c **** - 280 .loc 1 131 0 + 130:../system/src/drivers/l4/serial_stm32l4x.c **** + 280 .loc 1 130 0 281 001c 90F82F30 ldrb r3, [r0, #47] @ zero_extendqisi2 282 0020 012B cmp r3, #1 283 0022 F4D1 bne .L16 - 134:../system/src/drivers/l4/serial_stm32l4x.c **** // disable the receiving part of UART, disable interrupt and switch to an error state - 284 .loc 1 134 0 + 133:../system/src/drivers/l4/serial_stm32l4x.c **** // disable the receiving part of UART, disable interrupt and switch to an error state + 284 .loc 1 133 0 285 0024 826B ldr r2, [r0, #56] 286 0026 194B ldr r3, .L20 287 0028 1B68 ldr r3, [r3] @@ -3152,35 +3151,35 @@ 289 002c 426B ldr r2, [r0, #52] 290 002e 9342 cmp r3, r2 291 0030 EDD9 bls .L16 - 136:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RXNEIE); - 292 .loc 1 136 0 + 135:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RXNEIE); + 292 .loc 1 135 0 293 0032 0268 ldr r2, [r0] 294 0034 1368 ldr r3, [r2] 295 0036 23F00403 bic r3, r3, #4 296 003a 1360 str r3, [r2] - 137:../system/src/drivers/l4/serial_stm32l4x.c **** - 297 .loc 1 137 0 + 136:../system/src/drivers/l4/serial_stm32l4x.c **** + 297 .loc 1 136 0 298 003c 0268 ldr r2, [r0] 299 003e 1368 ldr r3, [r2] 300 0040 23F02003 bic r3, r3, #32 301 0044 1360 str r3, [r2] - 139:../system/src/drivers/l4/serial_stm32l4x.c **** - 302 .loc 1 139 0 + 138:../system/src/drivers/l4/serial_stm32l4x.c **** + 302 .loc 1 138 0 303 0046 0523 movs r3, #5 304 0048 80F84430 strb r3, [r0, #68] - 141:../system/src/drivers/l4/serial_stm32l4x.c **** } - 305 .loc 1 141 0 + 140:../system/src/drivers/l4/serial_stm32l4x.c **** } + 305 .loc 1 140 0 306 004c 0223 movs r3, #2 307 004e 80F84630 strb r3, [r0, #70] 308 0052 DCE7 b .L16 309 .L19: - 150:../system/src/drivers/l4/serial_stm32l4x.c **** if (master_time - ctx->srl_rx_waiting_start_time > ctx->srl_rx_timeout_trigger_value_in_msec) { - 310 .loc 1 150 0 discriminator 1 + 149:../system/src/drivers/l4/serial_stm32l4x.c **** if (master_time - ctx->srl_rx_waiting_start_time > ctx->srl_rx_timeout_trigger_value_in_msec) { + 310 .loc 1 149 0 discriminator 1 311 0054 90F82E30 ldrb r3, [r0, #46] @ zero_extendqisi2 312 0058 012B cmp r3, #1 313 005a DED1 bne .L15 - 151:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RE); - 314 .loc 1 151 0 + 150:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RE); + 314 .loc 1 150 0 315 005c C26B ldr r2, [r0, #60] 316 005e 0B4B ldr r3, .L20 317 0060 1B68 ldr r3, [r3] @@ -3188,27 +3187,27 @@ 319 0064 426B ldr r2, [r0, #52] 320 0066 9342 cmp r3, r2 321 0068 D7D9 bls .L15 - 152:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RXNEIE); - 322 .loc 1 152 0 + 151:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RXNEIE); + 322 .loc 1 151 0 323 006a 0268 ldr r2, [r0] 324 006c 1368 ldr r3, [r2] 325 006e 23F00403 bic r3, r3, #4 326 0072 1360 str r3, [r2] - 153:../system/src/drivers/l4/serial_stm32l4x.c **** - 327 .loc 1 153 0 + 152:../system/src/drivers/l4/serial_stm32l4x.c **** + 327 .loc 1 152 0 328 0074 0268 ldr r2, [r0] 329 0076 1368 ldr r3, [r2] 330 0078 23F02003 bic r3, r3, #32 331 007c 1360 str r3, [r2] - 155:../system/src/drivers/l4/serial_stm32l4x.c **** - 332 .loc 1 155 0 + 154:../system/src/drivers/l4/serial_stm32l4x.c **** + 332 .loc 1 154 0 333 007e 0523 movs r3, #5 334 0080 80F84430 strb r3, [r0, #68] - 157:../system/src/drivers/l4/serial_stm32l4x.c **** } - 335 .loc 1 157 0 + 156:../system/src/drivers/l4/serial_stm32l4x.c **** } + 335 .loc 1 156 0 336 0084 0123 movs r3, #1 337 0086 80F84630 strb r3, [r0, #70] - 338 .loc 1 160 0 + 338 .loc 1 159 0 339 008a C6E7 b .L15 340 .L21: 341 .align 2 @@ -3225,9 +3224,9 @@ 353 .fpu fpv4-sp-d16 355 srl_send_data: 356 .LFB385: - 161:../system/src/drivers/l4/serial_stm32l4x.c **** - 162:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_send_data(srl_context_t *ctx, uint8_t* data, uint8_t mode, uint16_t leng, uint8_t inter - 357 .loc 1 162 0 + 160:../system/src/drivers/l4/serial_stm32l4x.c **** + 161:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_send_data(srl_context_t *ctx, uint8_t* data, uint8_t mode, uint16_t leng, uint8_t inter + 357 .loc 1 161 0 358 .cfi_startproc 359 @ args = 4, pretend = 0, frame = 0 360 @ frame_needed = 0, uses_anonymous_args = 0 @@ -3241,8 +3240,8 @@ 368 .cfi_offset 8, -8 369 .cfi_offset 14, -4 370 0004 9DF81870 ldrb r7, [sp, #24] @ zero_extendqisi2 - 163:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_tx_state == SRL_TXING) - 371 .loc 1 163 0 + 162:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_tx_state == SRL_TXING) + 371 .loc 1 162 0 372 0008 90F84540 ldrb r4, [r0, #69] @ zero_extendqisi2 373 000c 032C cmp r4, #3 374 000e 53D0 beq .L32 @@ -3250,214 +3249,214 @@ 376 0012 9046 mov r8, r2 377 0014 0D46 mov r5, r1 378 0016 0446 mov r4, r0 - 164:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_BUSY; - 165:../system/src/drivers/l4/serial_stm32l4x.c **** - 166:../system/src/drivers/l4/serial_stm32l4x.c **** /* Wesja z dnia 04.09.2013 - 167:../system/src/drivers/l4/serial_stm32l4x.c **** - 168:../system/src/drivers/l4/serial_stm32l4x.c **** char* data - wskaznik na tablice z danymi do przeslania - 169:../system/src/drivers/l4/serial_stm32l4x.c **** char mode - tryb pracy ktory okresla czy ma wysylac okreslona liczbe znakow - 170:../system/src/drivers/l4/serial_stm32l4x.c **** czy wysylac wszystko do napotkania 0x00 - 171:../system/src/drivers/l4/serial_stm32l4x.c **** short leng - ilosc znakow do wyslania istotna tylko gdy mode = 1 - 172:../system/src/drivers/l4/serial_stm32l4x.c **** internal_external - ustawienie 0 spowoduje skopiowanie do wewnentrznego bufora i wysylanie z nieg - 173:../system/src/drivers/l4/serial_stm32l4x.c **** jedynka spowoduje wysylanie bezposrednio z wewnetrznego - 174:../system/src/drivers/l4/serial_stm32l4x.c **** */ - 175:../system/src/drivers/l4/serial_stm32l4x.c **** int i; - 176:../system/src/drivers/l4/serial_stm32l4x.c **** - 177:../system/src/drivers/l4/serial_stm32l4x.c **** // the bytes counter needs to be set to 1 as the first byte is sent in this function - 178:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_counter = 1; - 379 .loc 1 178 0 + 163:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_BUSY; + 164:../system/src/drivers/l4/serial_stm32l4x.c **** + 165:../system/src/drivers/l4/serial_stm32l4x.c **** /* Wesja z dnia 04.09.2013 + 166:../system/src/drivers/l4/serial_stm32l4x.c **** + 167:../system/src/drivers/l4/serial_stm32l4x.c **** char* data - wskaznik na tablice z danymi do przeslania + 168:../system/src/drivers/l4/serial_stm32l4x.c **** char mode - tryb pracy ktory okresla czy ma wysylac okreslona liczbe znakow + 169:../system/src/drivers/l4/serial_stm32l4x.c **** czy wysylac wszystko do napotkania 0x00 + 170:../system/src/drivers/l4/serial_stm32l4x.c **** short leng - ilosc znakow do wyslania istotna tylko gdy mode = 1 + 171:../system/src/drivers/l4/serial_stm32l4x.c **** internal_external - ustawienie 0 spowoduje skopiowanie do wewnentrznego bufora i wysylanie z nieg + 172:../system/src/drivers/l4/serial_stm32l4x.c **** jedynka spowoduje wysylanie bezposrednio z wewnetrznego + 173:../system/src/drivers/l4/serial_stm32l4x.c **** */ + 174:../system/src/drivers/l4/serial_stm32l4x.c **** int i; + 175:../system/src/drivers/l4/serial_stm32l4x.c **** + 176:../system/src/drivers/l4/serial_stm32l4x.c **** // the bytes counter needs to be set to 1 as the first byte is sent in this function + 177:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_counter = 1; + 379 .loc 1 177 0 380 0018 0123 movs r3, #1 381 .LVL21: 382 001a 4384 strh r3, [r0, #34] @ movhi - 179:../system/src/drivers/l4/serial_stm32l4x.c **** - 180:../system/src/drivers/l4/serial_stm32l4x.c **** // if an user want to send data using internal buffer - 181:../system/src/drivers/l4/serial_stm32l4x.c **** if (internal_external == 0) { - 383 .loc 1 181 0 + 178:../system/src/drivers/l4/serial_stm32l4x.c **** + 179:../system/src/drivers/l4/serial_stm32l4x.c **** // if an user want to send data using internal buffer + 180:../system/src/drivers/l4/serial_stm32l4x.c **** if (internal_external == 0) { + 383 .loc 1 180 0 384 001c 4FBB cbnz r7, .L24 - 182:../system/src/drivers/l4/serial_stm32l4x.c **** - 183:../system/src/drivers/l4/serial_stm32l4x.c **** // if data at the input is too long to fit in the buffer - 184:../system/src/drivers/l4/serial_stm32l4x.c **** if (leng >= ctx->srl_rx_buf_ln) - 385 .loc 1 184 0 + 181:../system/src/drivers/l4/serial_stm32l4x.c **** + 182:../system/src/drivers/l4/serial_stm32l4x.c **** // if data at the input is too long to fit in the buffer + 183:../system/src/drivers/l4/serial_stm32l4x.c **** if (leng >= ctx->srl_rx_buf_ln) + 385 .loc 1 183 0 386 001e 838B ldrh r3, [r0, #28] 387 0020 B342 cmp r3, r6 388 0022 01D8 bhi .L39 - 185:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_DATA_TOO_LONG; - 389 .loc 1 185 0 + 184:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_DATA_TOO_LONG; + 389 .loc 1 184 0 390 0024 0120 movs r0, #1 391 .LVL22: 392 0026 45E0 b .L23 393 .LVL23: 394 .L39: - 186:../system/src/drivers/l4/serial_stm32l4x.c **** - 187:../system/src/drivers/l4/serial_stm32l4x.c **** // setting a pointer to transmit buffer to the internal buffer inside the driver - 188:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer = srl_usart1_tx_buffer; - 395 .loc 1 188 0 + 185:../system/src/drivers/l4/serial_stm32l4x.c **** + 186:../system/src/drivers/l4/serial_stm32l4x.c **** // setting a pointer to transmit buffer to the internal buffer inside the driver + 187:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer = srl_usart1_tx_buffer; + 395 .loc 1 187 0 396 0028 2548 ldr r0, .L40 397 .LVL24: 398 002a 6061 str r0, [r4, #20] - 189:../system/src/drivers/l4/serial_stm32l4x.c **** - 190:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_ln = TX_BUFFER_1_LN; - 399 .loc 1 190 0 + 188:../system/src/drivers/l4/serial_stm32l4x.c **** + 189:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_ln = TX_BUFFER_1_LN; + 399 .loc 1 189 0 400 002c 4FF40072 mov r2, #512 401 .LVL25: 402 0030 E283 strh r2, [r4, #30] @ movhi - 191:../system/src/drivers/l4/serial_stm32l4x.c **** - 192:../system/src/drivers/l4/serial_stm32l4x.c **** // cleaning the buffer from previous content - 193:../system/src/drivers/l4/serial_stm32l4x.c **** memset(ctx->srl_tx_buf_pointer, 0x00, TX_BUFFER_1_LN); - 403 .loc 1 193 0 + 190:../system/src/drivers/l4/serial_stm32l4x.c **** + 191:../system/src/drivers/l4/serial_stm32l4x.c **** // cleaning the buffer from previous content + 192:../system/src/drivers/l4/serial_stm32l4x.c **** memset(ctx->srl_tx_buf_pointer, 0x00, TX_BUFFER_1_LN); + 403 .loc 1 192 0 404 0032 0021 movs r1, #0 405 .LVL26: 406 0034 FFF7FEFF bl memset 407 .LVL27: - 194:../system/src/drivers/l4/serial_stm32l4x.c **** - 195:../system/src/drivers/l4/serial_stm32l4x.c **** // copying the data from provided pointer to internal buffer - 196:../system/src/drivers/l4/serial_stm32l4x.c **** if (mode == 0) { - 408 .loc 1 196 0 + 193:../system/src/drivers/l4/serial_stm32l4x.c **** + 194:../system/src/drivers/l4/serial_stm32l4x.c **** // copying the data from provided pointer to internal buffer + 195:../system/src/drivers/l4/serial_stm32l4x.c **** if (mode == 0) { + 408 .loc 1 195 0 409 0038 B8F1000F cmp r8, #0 410 003c 0FD0 beq .L34 - 197:../system/src/drivers/l4/serial_stm32l4x.c **** // copying everything till the 0x00 is spoted or till the buffer border is reached - 198:../system/src/drivers/l4/serial_stm32l4x.c **** for (i = 0; (i < TX_BUFFER_1_LN && *(data+i) != '\0'); i++) - 199:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; - 200:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_req = i; - 201:../system/src/drivers/l4/serial_stm32l4x.c **** } - 202:../system/src/drivers/l4/serial_stm32l4x.c **** else if (mode == 1) { - 411 .loc 1 202 0 + 196:../system/src/drivers/l4/serial_stm32l4x.c **** // copying everything till the 0x00 is spoted or till the buffer border is reached + 197:../system/src/drivers/l4/serial_stm32l4x.c **** for (i = 0; (i < TX_BUFFER_1_LN && *(data+i) != '\0'); i++) + 198:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; + 199:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_req = i; + 200:../system/src/drivers/l4/serial_stm32l4x.c **** } + 201:../system/src/drivers/l4/serial_stm32l4x.c **** else if (mode == 1) { + 411 .loc 1 201 0 412 003e B8F1010F cmp r8, #1 413 0042 1BD1 bne .L29 - 203:../system/src/drivers/l4/serial_stm32l4x.c **** // we don't need to check against buffer size because this was confirmed already - 204:../system/src/drivers/l4/serial_stm32l4x.c **** for (i = 0; i<=leng ; i++) - 414 .loc 1 204 0 + 202:../system/src/drivers/l4/serial_stm32l4x.c **** // we don't need to check against buffer size because this was confirmed already + 203:../system/src/drivers/l4/serial_stm32l4x.c **** for (i = 0; i<=leng ; i++) + 414 .loc 1 203 0 415 0044 0023 movs r3, #0 416 0046 10E0 b .L30 417 .LVL28: 418 .L28: - 199:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_req = i; - 419 .loc 1 199 0 discriminator 4 + 198:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_req = i; + 419 .loc 1 198 0 discriminator 4 420 0048 6169 ldr r1, [r4, #20] 421 004a CA54 strb r2, [r1, r3] - 198:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; - 422 .loc 1 198 0 discriminator 4 + 197:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; + 422 .loc 1 197 0 discriminator 4 423 004c 0133 adds r3, r3, #1 424 .LVL29: 425 .L25: - 198:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; - 426 .loc 1 198 0 is_stmt 0 discriminator 1 + 197:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; + 426 .loc 1 197 0 is_stmt 0 discriminator 1 427 004e B3F5007F cmp r3, #512 428 0052 02DA bge .L27 - 198:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; - 429 .loc 1 198 0 discriminator 3 + 197:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; + 429 .loc 1 197 0 discriminator 3 430 0054 EA5C ldrb r2, [r5, r3] @ zero_extendqisi2 431 0056 002A cmp r2, #0 432 0058 F6D1 bne .L28 433 .L27: - 200:../system/src/drivers/l4/serial_stm32l4x.c **** } - 434 .loc 1 200 0 is_stmt 1 + 199:../system/src/drivers/l4/serial_stm32l4x.c **** } + 434 .loc 1 199 0 is_stmt 1 435 005a E384 strh r3, [r4, #38] @ movhi 436 005c 0EE0 b .L29 437 .LVL30: 438 .L34: - 198:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; - 439 .loc 1 198 0 + 197:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; + 439 .loc 1 197 0 440 005e 0023 movs r3, #0 441 0060 F5E7 b .L25 442 .LVL31: 443 .L31: - 205:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; - 444 .loc 1 205 0 discriminator 3 + 204:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; + 444 .loc 1 204 0 discriminator 3 445 0062 6269 ldr r2, [r4, #20] 446 0064 E95C ldrb r1, [r5, r3] @ zero_extendqisi2 447 0066 D154 strb r1, [r2, r3] - 204:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; - 448 .loc 1 204 0 discriminator 3 + 203:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; + 448 .loc 1 203 0 discriminator 3 449 0068 0133 adds r3, r3, #1 450 .LVL32: 451 .L30: - 204:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; - 452 .loc 1 204 0 is_stmt 0 discriminator 1 + 203:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer[i]=data[i]; + 452 .loc 1 203 0 is_stmt 0 discriminator 1 453 006a 9E42 cmp r6, r3 454 006c F9DA bge .L31 - 206:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_req = leng; - 455 .loc 1 206 0 is_stmt 1 + 205:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_req = leng; + 455 .loc 1 205 0 is_stmt 1 456 006e E684 strh r6, [r4, #38] @ movhi 457 0070 04E0 b .L29 458 .LVL33: 459 .L24: - 207:../system/src/drivers/l4/serial_stm32l4x.c **** } - 208:../system/src/drivers/l4/serial_stm32l4x.c **** } - 209:../system/src/drivers/l4/serial_stm32l4x.c **** else if (internal_external == 1) { - 460 .loc 1 209 0 + 206:../system/src/drivers/l4/serial_stm32l4x.c **** } + 207:../system/src/drivers/l4/serial_stm32l4x.c **** } + 208:../system/src/drivers/l4/serial_stm32l4x.c **** else if (internal_external == 1) { + 460 .loc 1 208 0 461 0072 012F cmp r7, #1 462 0074 22D1 bne .L36 - 210:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer = data; - 463 .loc 1 210 0 + 209:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_pointer = data; + 463 .loc 1 209 0 464 0076 6161 str r1, [r4, #20] - 211:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_req = leng; - 465 .loc 1 211 0 + 210:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_req = leng; + 465 .loc 1 210 0 466 0078 C684 strh r6, [r0, #38] @ movhi - 212:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_ln = leng; - 467 .loc 1 212 0 + 211:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_buf_ln = leng; + 467 .loc 1 211 0 468 007a C683 strh r6, [r0, #30] @ movhi 469 .LVL34: 470 .L29: - 213:../system/src/drivers/l4/serial_stm32l4x.c **** } - 214:../system/src/drivers/l4/serial_stm32l4x.c **** else return SRL_WRONG_BUFFER_PARAM; - 215:../system/src/drivers/l4/serial_stm32l4x.c **** - 216:../system/src/drivers/l4/serial_stm32l4x.c **** // enabling transmitter - 217:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TE; - 471 .loc 1 217 0 + 212:../system/src/drivers/l4/serial_stm32l4x.c **** } + 213:../system/src/drivers/l4/serial_stm32l4x.c **** else return SRL_WRONG_BUFFER_PARAM; + 214:../system/src/drivers/l4/serial_stm32l4x.c **** + 215:../system/src/drivers/l4/serial_stm32l4x.c **** // enabling transmitter + 216:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TE; + 471 .loc 1 216 0 472 007c 2268 ldr r2, [r4] 473 007e 1368 ldr r3, [r2] 474 0080 43F00803 orr r3, r3, #8 475 0084 1360 str r3, [r2] - 218:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); - 476 .loc 1 218 0 + 217:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); + 476 .loc 1 217 0 477 0086 2268 ldr r2, [r4] 478 0088 D369 ldr r3, [r2, #28] 479 008a 23F04003 bic r3, r3, #64 480 008e D361 str r3, [r2, #28] - 219:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->TDR = ctx->srl_tx_buf_pointer[0]; - 481 .loc 1 219 0 + 218:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->TDR = ctx->srl_tx_buf_pointer[0]; + 481 .loc 1 218 0 482 0090 6269 ldr r2, [r4, #20] 483 0092 2368 ldr r3, [r4] 484 0094 1278 ldrb r2, [r2] @ zero_extendqisi2 485 0096 1A85 strh r2, [r3, #40] @ movhi - 220:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TXING; - 486 .loc 1 220 0 + 219:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TXING; + 486 .loc 1 219 0 487 0098 0323 movs r3, #3 488 009a 84F84530 strb r3, [r4, #69] - 221:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TXEIE; // przerwanie zg�aszane kiedy rejsetr DR jest pusty - 489 .loc 1 221 0 + 220:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TXEIE; // przerwanie zg�aszane kiedy rejsetr DR jest pusty + 489 .loc 1 220 0 490 009e 2268 ldr r2, [r4] 491 00a0 1368 ldr r3, [r2] 492 00a2 43F08003 orr r3, r3, #128 493 00a6 1360 str r3, [r2] - 222:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TCIE; // przerwanie zg�aszane po transmisji bajtu - 494 .loc 1 222 0 + 221:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TCIE; // przerwanie zg�aszane po transmisji bajtu + 494 .loc 1 221 0 495 00a8 2268 ldr r2, [r4] 496 00aa 1368 ldr r3, [r2] 497 00ac 43F04003 orr r3, r3, #64 498 00b0 1360 str r3, [r2] - 223:../system/src/drivers/l4/serial_stm32l4x.c **** // je�eli rejestr DR jest nadal pusty - 224:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_OK; - 499 .loc 1 224 0 + 222:../system/src/drivers/l4/serial_stm32l4x.c **** // je�eli rejestr DR jest nadal pusty + 223:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_OK; + 499 .loc 1 223 0 500 00b2 0020 movs r0, #0 501 .LVL35: 502 .L23: - 225:../system/src/drivers/l4/serial_stm32l4x.c **** - 226:../system/src/drivers/l4/serial_stm32l4x.c **** } - 503 .loc 1 226 0 + 224:../system/src/drivers/l4/serial_stm32l4x.c **** + 225:../system/src/drivers/l4/serial_stm32l4x.c **** } + 503 .loc 1 225 0 504 00b4 BDE8F081 pop {r4, r5, r6, r7, r8, pc} 505 .LVL36: 506 .L32: - 164:../system/src/drivers/l4/serial_stm32l4x.c **** - 507 .loc 1 164 0 + 163:../system/src/drivers/l4/serial_stm32l4x.c **** + 507 .loc 1 163 0 508 00b8 0220 movs r0, #2 509 .LVL37: 510 00ba FBE7 b .L23 511 .LVL38: 512 .L36: - 214:../system/src/drivers/l4/serial_stm32l4x.c **** - 513 .loc 1 214 0 + 213:../system/src/drivers/l4/serial_stm32l4x.c **** + 513 .loc 1 213 0 514 00bc 0320 movs r0, #3 515 .LVL39: 516 00be F9E7 b .L23 @@ -3476,65 +3475,65 @@ 530 .fpu fpv4-sp-d16 532 srl_start_tx: 533 .LFB386: - 227:../system/src/drivers/l4/serial_stm32l4x.c **** - 228:../system/src/drivers/l4/serial_stm32l4x.c **** /** - 229:../system/src/drivers/l4/serial_stm32l4x.c **** * This function assumes than - 230:../system/src/drivers/l4/serial_stm32l4x.c **** */ - 231:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_start_tx(srl_context_t *ctx, short leng) { - 534 .loc 1 231 0 + 226:../system/src/drivers/l4/serial_stm32l4x.c **** + 227:../system/src/drivers/l4/serial_stm32l4x.c **** /** + 228:../system/src/drivers/l4/serial_stm32l4x.c **** * This function assumes than + 229:../system/src/drivers/l4/serial_stm32l4x.c **** */ + 230:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_start_tx(srl_context_t *ctx, short leng) { + 534 .loc 1 230 0 535 .cfi_startproc 536 @ args = 0, pretend = 0, frame = 0 537 @ frame_needed = 0, uses_anonymous_args = 0 538 @ link register save eliminated. 539 .LVL40: - 232:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_tx_state == SRL_TXING) - 540 .loc 1 232 0 + 231:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_tx_state == SRL_TXING) + 540 .loc 1 231 0 541 0000 90F84530 ldrb r3, [r0, #69] @ zero_extendqisi2 542 0004 032B cmp r3, #3 543 0006 2ED0 beq .L45 - 233:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_BUSY; - 234:../system/src/drivers/l4/serial_stm32l4x.c **** - 235:../system/src/drivers/l4/serial_stm32l4x.c **** // if data at the input is too long to fit in the buffer - 236:../system/src/drivers/l4/serial_stm32l4x.c **** if (leng >= ctx->srl_rx_buf_ln) - 544 .loc 1 236 0 + 232:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_BUSY; + 233:../system/src/drivers/l4/serial_stm32l4x.c **** + 234:../system/src/drivers/l4/serial_stm32l4x.c **** // if data at the input is too long to fit in the buffer + 235:../system/src/drivers/l4/serial_stm32l4x.c **** if (leng >= ctx->srl_rx_buf_ln) + 544 .loc 1 235 0 545 0008 838B ldrh r3, [r0, #28] 546 000a 9942 cmp r1, r3 547 000c 2DDA bge .L46 - 237:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_DATA_TOO_LONG; - 238:../system/src/drivers/l4/serial_stm32l4x.c **** - 239:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_req = leng; - 548 .loc 1 239 0 + 236:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_DATA_TOO_LONG; + 237:../system/src/drivers/l4/serial_stm32l4x.c **** + 238:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_req = leng; + 548 .loc 1 238 0 549 000e C184 strh r1, [r0, #38] @ movhi - 240:../system/src/drivers/l4/serial_stm32l4x.c **** - 241:../system/src/drivers/l4/serial_stm32l4x.c **** // setting a pointer to transmit buffer to the internal buffer inside the driver - 242:../system/src/drivers/l4/serial_stm32l4x.c **** //ctx->srl_tx_buf_pointer = srl_usart1_tx_buffer; - 243:../system/src/drivers/l4/serial_stm32l4x.c **** - 244:../system/src/drivers/l4/serial_stm32l4x.c **** // if (ctx->te_port != 0) - 245:../system/src/drivers/l4/serial_stm32l4x.c **** // GPIO_SetBits(ctx->te_port, ctx->te_pin); - 246:../system/src/drivers/l4/serial_stm32l4x.c **** - 247:../system/src/drivers/l4/serial_stm32l4x.c **** // check if delay should be applied to transmission - 248:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_tx_start_time == 0xFFFFFFFFu) { - 550 .loc 1 248 0 + 239:../system/src/drivers/l4/serial_stm32l4x.c **** + 240:../system/src/drivers/l4/serial_stm32l4x.c **** // setting a pointer to transmit buffer to the internal buffer inside the driver + 241:../system/src/drivers/l4/serial_stm32l4x.c **** //ctx->srl_tx_buf_pointer = srl_usart1_tx_buffer; + 242:../system/src/drivers/l4/serial_stm32l4x.c **** + 243:../system/src/drivers/l4/serial_stm32l4x.c **** // if (ctx->te_port != 0) + 244:../system/src/drivers/l4/serial_stm32l4x.c **** // GPIO_SetBits(ctx->te_port, ctx->te_pin); + 245:../system/src/drivers/l4/serial_stm32l4x.c **** + 246:../system/src/drivers/l4/serial_stm32l4x.c **** // check if delay should be applied to transmission + 247:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_tx_start_time == 0xFFFFFFFFu) { + 550 .loc 1 247 0 551 0010 036C ldr r3, [r0, #64] 552 0012 B3F1FF3F cmp r3, #-1 553 0016 07D0 beq .L47 - 249:../system/src/drivers/l4/serial_stm32l4x.c **** // 0xFFFFFFFF is a magic number which disables the pre-tx delay - 250:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TE; - 251:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); - 252:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->TDR = ctx->srl_tx_buf_pointer[0]; - 253:../system/src/drivers/l4/serial_stm32l4x.c **** - 254:../system/src/drivers/l4/serial_stm32l4x.c **** // the bytes counter needs to be set to 1 as the first byte is sent in this function - 255:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_counter = 1; - 256:../system/src/drivers/l4/serial_stm32l4x.c **** - 257:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TXING; - 258:../system/src/drivers/l4/serial_stm32l4x.c **** - 259:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TXEIE; - 260:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TCIE; - 261:../system/src/drivers/l4/serial_stm32l4x.c **** - 262:../system/src/drivers/l4/serial_stm32l4x.c **** } - 263:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 264:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TX_WAITING; - 554 .loc 1 264 0 + 248:../system/src/drivers/l4/serial_stm32l4x.c **** // 0xFFFFFFFF is a magic number which disables the pre-tx delay + 249:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TE; + 250:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); + 251:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->TDR = ctx->srl_tx_buf_pointer[0]; + 252:../system/src/drivers/l4/serial_stm32l4x.c **** + 253:../system/src/drivers/l4/serial_stm32l4x.c **** // the bytes counter needs to be set to 1 as the first byte is sent in this function + 254:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_counter = 1; + 255:../system/src/drivers/l4/serial_stm32l4x.c **** + 256:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TXING; + 257:../system/src/drivers/l4/serial_stm32l4x.c **** + 258:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TXEIE; + 259:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TCIE; + 260:../system/src/drivers/l4/serial_stm32l4x.c **** + 261:../system/src/drivers/l4/serial_stm32l4x.c **** } + 262:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 263:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TX_WAITING; + 554 .loc 1 263 0 555 0018 0223 movs r3, #2 556 001a 80F84530 strb r3, [r0, #69] 557 .LBB24: @@ -3548,12 +3547,12 @@ 6:../include/main.h **** #include "config_data.h" 7:../include/main.h **** 8:../include/main.h **** #define SW_VER "EA00" - 9:../include/main.h **** #define SW_DATE "23052021" + 9:../include/main.h **** #define SW_DATE "30062021" 10:../include/main.h **** 11:../include/main.h **** #define SYSTICK_TICKS_PER_SECONDS 100 12:../include/main.h **** #define SYSTICK_TICKS_PERIOD 10 13:../include/main.h **** - 14:../include/main.h **** #define INTERNAL_WATCHDOG + 14:../include/main.h **** //#define INTERNAL_WATCHDOG 15:../include/main.h **** #define EXTERNAL_WATCHDOG 16:../include/main.h **** 17:../include/main.h **** #define PWR_SWITCH_BOTH @@ -3608,75 +3607,75 @@ 562 0020 1B68 ldr r3, [r3] 563 .LBE25: 564 .LBE24: - 265:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_start_time = main_get_master_time(); - 565 .loc 1 265 0 + 264:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_start_time = main_get_master_time(); + 565 .loc 1 264 0 566 0022 0364 str r3, [r0, #64] - 266:../system/src/drivers/l4/serial_stm32l4x.c **** } - 267:../system/src/drivers/l4/serial_stm32l4x.c **** - 268:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_OK; - 567 .loc 1 268 0 + 265:../system/src/drivers/l4/serial_stm32l4x.c **** } + 266:../system/src/drivers/l4/serial_stm32l4x.c **** + 267:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_OK; + 567 .loc 1 267 0 568 0024 0020 movs r0, #0 569 .LVL41: 570 0026 7047 bx lr 571 .LVL42: 572 .L47: - 250:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); - 573 .loc 1 250 0 + 249:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); + 573 .loc 1 249 0 574 0028 0268 ldr r2, [r0] 575 002a 1368 ldr r3, [r2] 576 002c 43F00803 orr r3, r3, #8 577 0030 1360 str r3, [r2] - 251:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->TDR = ctx->srl_tx_buf_pointer[0]; - 578 .loc 1 251 0 + 250:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->TDR = ctx->srl_tx_buf_pointer[0]; + 578 .loc 1 250 0 579 0032 0268 ldr r2, [r0] 580 0034 D369 ldr r3, [r2, #28] 581 0036 23F04003 bic r3, r3, #64 582 003a D361 str r3, [r2, #28] - 252:../system/src/drivers/l4/serial_stm32l4x.c **** - 583 .loc 1 252 0 + 251:../system/src/drivers/l4/serial_stm32l4x.c **** + 583 .loc 1 251 0 584 003c 4269 ldr r2, [r0, #20] 585 003e 0368 ldr r3, [r0] 586 0040 1278 ldrb r2, [r2] @ zero_extendqisi2 587 0042 1A85 strh r2, [r3, #40] @ movhi - 255:../system/src/drivers/l4/serial_stm32l4x.c **** - 588 .loc 1 255 0 + 254:../system/src/drivers/l4/serial_stm32l4x.c **** + 588 .loc 1 254 0 589 0044 0123 movs r3, #1 590 0046 4384 strh r3, [r0, #34] @ movhi - 257:../system/src/drivers/l4/serial_stm32l4x.c **** - 591 .loc 1 257 0 + 256:../system/src/drivers/l4/serial_stm32l4x.c **** + 591 .loc 1 256 0 592 0048 0323 movs r3, #3 593 004a 80F84530 strb r3, [r0, #69] - 259:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TCIE; - 594 .loc 1 259 0 + 258:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TCIE; + 594 .loc 1 258 0 595 004e 0268 ldr r2, [r0] 596 0050 1368 ldr r3, [r2] 597 0052 43F08003 orr r3, r3, #128 598 0056 1360 str r3, [r2] - 260:../system/src/drivers/l4/serial_stm32l4x.c **** - 599 .loc 1 260 0 + 259:../system/src/drivers/l4/serial_stm32l4x.c **** + 599 .loc 1 259 0 600 0058 0268 ldr r2, [r0] 601 005a 1368 ldr r3, [r2] 602 005c 43F04003 orr r3, r3, #64 603 0060 1360 str r3, [r2] - 604 .loc 1 268 0 + 604 .loc 1 267 0 605 0062 0020 movs r0, #0 606 .LVL43: 607 0064 7047 bx lr 608 .LVL44: 609 .L45: - 233:../system/src/drivers/l4/serial_stm32l4x.c **** - 610 .loc 1 233 0 + 232:../system/src/drivers/l4/serial_stm32l4x.c **** + 610 .loc 1 232 0 611 0066 0220 movs r0, #2 612 .LVL45: 613 0068 7047 bx lr 614 .LVL46: 615 .L46: - 237:../system/src/drivers/l4/serial_stm32l4x.c **** - 616 .loc 1 237 0 + 236:../system/src/drivers/l4/serial_stm32l4x.c **** + 616 .loc 1 236 0 617 006a 0120 movs r0, #1 618 .LVL47: - 269:../system/src/drivers/l4/serial_stm32l4x.c **** } - 619 .loc 1 269 0 + 268:../system/src/drivers/l4/serial_stm32l4x.c **** } + 619 .loc 1 268 0 620 006c 7047 bx lr 621 .L49: 622 006e 00BF .align 2 @@ -3693,26 +3692,26 @@ 634 .fpu fpv4-sp-d16 636 srl_wait_for_tx_completion: 637 .LFB387: - 270:../system/src/drivers/l4/serial_stm32l4x.c **** - 271:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_wait_for_tx_completion(srl_context_t *ctx) { - 638 .loc 1 271 0 + 269:../system/src/drivers/l4/serial_stm32l4x.c **** + 270:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_wait_for_tx_completion(srl_context_t *ctx) { + 638 .loc 1 270 0 639 .cfi_startproc 640 @ args = 0, pretend = 0, frame = 0 641 @ frame_needed = 0, uses_anonymous_args = 0 642 @ link register save eliminated. 643 .LVL48: 644 .L52: - 272:../system/src/drivers/l4/serial_stm32l4x.c **** while(ctx->srl_tx_state != SRL_TX_IDLE && ctx->srl_tx_state != SRL_TX_ERROR); - 645 .loc 1 272 0 discriminator 2 + 271:../system/src/drivers/l4/serial_stm32l4x.c **** while(ctx->srl_tx_state != SRL_TX_IDLE && ctx->srl_tx_state != SRL_TX_ERROR); + 645 .loc 1 271 0 discriminator 2 646 0000 90F84530 ldrb r3, [r0, #69] @ zero_extendqisi2 647 0004 012B cmp r3, #1 648 0006 01D0 beq .L50 - 649 .loc 1 272 0 is_stmt 0 discriminator 1 + 649 .loc 1 271 0 is_stmt 0 discriminator 1 650 0008 042B cmp r3, #4 651 000a F9D1 bne .L52 652 .L50: - 273:../system/src/drivers/l4/serial_stm32l4x.c **** } - 653 .loc 1 273 0 is_stmt 1 + 272:../system/src/drivers/l4/serial_stm32l4x.c **** } + 653 .loc 1 272 0 is_stmt 1 654 000c 7047 bx lr 655 .cfi_endproc 656 .LFE387: @@ -3725,74 +3724,74 @@ 664 .fpu fpv4-sp-d16 666 srl_wait_for_rx_completion_or_timeout: 667 .LFB388: - 274:../system/src/drivers/l4/serial_stm32l4x.c **** - 275:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_wait_for_rx_completion_or_timeout(srl_context_t *ctx, uint8_t* output) { - 668 .loc 1 275 0 + 273:../system/src/drivers/l4/serial_stm32l4x.c **** + 274:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_wait_for_rx_completion_or_timeout(srl_context_t *ctx, uint8_t* output) { + 668 .loc 1 274 0 669 .cfi_startproc 670 @ args = 0, pretend = 0, frame = 0 671 @ frame_needed = 0, uses_anonymous_args = 0 672 @ link register save eliminated. 673 .LVL49: - 276:../system/src/drivers/l4/serial_stm32l4x.c **** - 277:../system/src/drivers/l4/serial_stm32l4x.c **** *output = SRL_UNINITIALIZED; - 674 .loc 1 277 0 + 275:../system/src/drivers/l4/serial_stm32l4x.c **** + 276:../system/src/drivers/l4/serial_stm32l4x.c **** *output = SRL_UNINITIALIZED; + 674 .loc 1 276 0 675 0000 7F23 movs r3, #127 676 0002 0B70 strb r3, [r1] 677 .L54: - 278:../system/src/drivers/l4/serial_stm32l4x.c **** - 279:../system/src/drivers/l4/serial_stm32l4x.c **** // block the execution until the - 280:../system/src/drivers/l4/serial_stm32l4x.c **** while(ctx->srl_rx_state != SRL_RX_DONE && ctx->srl_rx_state != SRL_RX_ERROR); - 678 .loc 1 280 0 discriminator 1 + 277:../system/src/drivers/l4/serial_stm32l4x.c **** + 278:../system/src/drivers/l4/serial_stm32l4x.c **** // block the execution until the + 279:../system/src/drivers/l4/serial_stm32l4x.c **** while(ctx->srl_rx_state != SRL_RX_DONE && ctx->srl_rx_state != SRL_RX_ERROR); + 678 .loc 1 279 0 discriminator 1 679 0004 90F84420 ldrb r2, [r0, #68] @ zero_extendqisi2 680 0008 131F subs r3, r2, #4 681 000a DBB2 uxtb r3, r3 682 000c 012B cmp r3, #1 683 000e F9D8 bhi .L54 - 281:../system/src/drivers/l4/serial_stm32l4x.c **** - 282:../system/src/drivers/l4/serial_stm32l4x.c **** switch (ctx->srl_rx_state) { - 684 .loc 1 282 0 + 280:../system/src/drivers/l4/serial_stm32l4x.c **** + 281:../system/src/drivers/l4/serial_stm32l4x.c **** switch (ctx->srl_rx_state) { + 684 .loc 1 281 0 685 0010 042A cmp r2, #4 686 0012 03D0 beq .L56 687 0014 052A cmp r2, #5 688 0016 04D0 beq .L57 689 .L55: - 283:../system/src/drivers/l4/serial_stm32l4x.c **** case SRL_RX_DONE: { - 284:../system/src/drivers/l4/serial_stm32l4x.c **** *output = SRL_OK; - 285:../system/src/drivers/l4/serial_stm32l4x.c **** break; - 286:../system/src/drivers/l4/serial_stm32l4x.c **** } - 287:../system/src/drivers/l4/serial_stm32l4x.c **** - 288:../system/src/drivers/l4/serial_stm32l4x.c **** case SRL_RX_ERROR: { - 289:../system/src/drivers/l4/serial_stm32l4x.c **** *output = SRL_TIMEOUT; - 290:../system/src/drivers/l4/serial_stm32l4x.c **** break; - 291:../system/src/drivers/l4/serial_stm32l4x.c **** } - 292:../system/src/drivers/l4/serial_stm32l4x.c **** - 293:../system/src/drivers/l4/serial_stm32l4x.c **** default: { - 294:../system/src/drivers/l4/serial_stm32l4x.c **** break; - 295:../system/src/drivers/l4/serial_stm32l4x.c **** } - 296:../system/src/drivers/l4/serial_stm32l4x.c **** } - 297:../system/src/drivers/l4/serial_stm32l4x.c **** - 298:../system/src/drivers/l4/serial_stm32l4x.c **** return *output; - 299:../system/src/drivers/l4/serial_stm32l4x.c **** } - 690 .loc 1 299 0 + 282:../system/src/drivers/l4/serial_stm32l4x.c **** case SRL_RX_DONE: { + 283:../system/src/drivers/l4/serial_stm32l4x.c **** *output = SRL_OK; + 284:../system/src/drivers/l4/serial_stm32l4x.c **** break; + 285:../system/src/drivers/l4/serial_stm32l4x.c **** } + 286:../system/src/drivers/l4/serial_stm32l4x.c **** + 287:../system/src/drivers/l4/serial_stm32l4x.c **** case SRL_RX_ERROR: { + 288:../system/src/drivers/l4/serial_stm32l4x.c **** *output = SRL_TIMEOUT; + 289:../system/src/drivers/l4/serial_stm32l4x.c **** break; + 290:../system/src/drivers/l4/serial_stm32l4x.c **** } + 291:../system/src/drivers/l4/serial_stm32l4x.c **** + 292:../system/src/drivers/l4/serial_stm32l4x.c **** default: { + 293:../system/src/drivers/l4/serial_stm32l4x.c **** break; + 294:../system/src/drivers/l4/serial_stm32l4x.c **** } + 295:../system/src/drivers/l4/serial_stm32l4x.c **** } + 296:../system/src/drivers/l4/serial_stm32l4x.c **** + 297:../system/src/drivers/l4/serial_stm32l4x.c **** return *output; + 298:../system/src/drivers/l4/serial_stm32l4x.c **** } + 690 .loc 1 298 0 691 0018 0878 ldrb r0, [r1] @ zero_extendqisi2 692 .LVL50: 693 001a 7047 bx lr 694 .LVL51: 695 .L56: - 284:../system/src/drivers/l4/serial_stm32l4x.c **** break; - 696 .loc 1 284 0 + 283:../system/src/drivers/l4/serial_stm32l4x.c **** break; + 696 .loc 1 283 0 697 001c 0023 movs r3, #0 698 001e 0B70 strb r3, [r1] - 285:../system/src/drivers/l4/serial_stm32l4x.c **** } - 699 .loc 1 285 0 + 284:../system/src/drivers/l4/serial_stm32l4x.c **** } + 699 .loc 1 284 0 700 0020 FAE7 b .L55 701 .L57: - 289:../system/src/drivers/l4/serial_stm32l4x.c **** break; - 702 .loc 1 289 0 + 288:../system/src/drivers/l4/serial_stm32l4x.c **** break; + 702 .loc 1 288 0 703 0022 0523 movs r3, #5 704 0024 0B70 strb r3, [r1] - 290:../system/src/drivers/l4/serial_stm32l4x.c **** } - 705 .loc 1 290 0 + 289:../system/src/drivers/l4/serial_stm32l4x.c **** } + 705 .loc 1 289 0 706 0026 F7E7 b .L55 707 .cfi_endproc 708 .LFE388: @@ -3805,9 +3804,9 @@ 716 .fpu fpv4-sp-d16 718 srl_receive_data: 719 .LFB389: - 300:../system/src/drivers/l4/serial_stm32l4x.c **** - 301:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_receive_data(srl_context_t *ctx, int num, char start, char stop, char echo, char len_ad - 720 .loc 1 301 0 + 299:../system/src/drivers/l4/serial_stm32l4x.c **** + 300:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_receive_data(srl_context_t *ctx, int num, char start, char stop, char echo, char len_ad + 720 .loc 1 300 0 721 .cfi_startproc 722 @ args = 12, pretend = 0, frame = 0 723 @ frame_needed = 0, uses_anonymous_args = 0 @@ -3823,81 +3822,81 @@ 733 .cfi_offset 9, -8 734 .cfi_offset 14, -4 735 0004 9DF92470 ldrsb r7, [sp, #36] - 302:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_state == SRL_RXING) - 736 .loc 1 302 0 + 301:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_state == SRL_RXING) + 736 .loc 1 301 0 737 0008 90F84440 ldrb r4, [r0, #68] @ zero_extendqisi2 738 000c 032C cmp r4, #3 739 000e 61D0 beq .L69 - 303:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_BUSY; - 304:../system/src/drivers/l4/serial_stm32l4x.c **** - 305:../system/src/drivers/l4/serial_stm32l4x.c **** //trace_printf("Serial:SrlReceiveData()\r\n"); - 306:../system/src/drivers/l4/serial_stm32l4x.c **** - 307:../system/src/drivers/l4/serial_stm32l4x.c **** if (num >= ctx->srl_rx_buf_ln) - 740 .loc 1 307 0 + 302:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_BUSY; + 303:../system/src/drivers/l4/serial_stm32l4x.c **** + 304:../system/src/drivers/l4/serial_stm32l4x.c **** //trace_printf("Serial:SrlReceiveData()\r\n"); + 305:../system/src/drivers/l4/serial_stm32l4x.c **** + 306:../system/src/drivers/l4/serial_stm32l4x.c **** if (num >= ctx->srl_rx_buf_ln) + 740 .loc 1 306 0 741 0010 868B ldrh r6, [r0, #28] 742 0012 8E42 cmp r6, r1 743 0014 02DC bgt .L73 - 308:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_DATA_TOO_LONG; - 744 .loc 1 308 0 + 307:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_DATA_TOO_LONG; + 744 .loc 1 307 0 745 0016 0120 movs r0, #1 746 .LVL53: 747 .L59: - 309:../system/src/drivers/l4/serial_stm32l4x.c **** - 310:../system/src/drivers/l4/serial_stm32l4x.c **** memset(ctx->srl_rx_buf_pointer, 0x00, ctx->srl_rx_buf_ln); - 311:../system/src/drivers/l4/serial_stm32l4x.c **** - 312:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_error_reason = SRL_ERR_NONE; - 313:../system/src/drivers/l4/serial_stm32l4x.c **** - 314:../system/src/drivers/l4/serial_stm32l4x.c **** // checking if user want - 315:../system/src/drivers/l4/serial_stm32l4x.c **** if (start != 0x00) { - 316:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_start = 1; - 317:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_start_trigger = start; - 318:../system/src/drivers/l4/serial_stm32l4x.c **** } - 319:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 320:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_start = 0; - 321:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_start_trigger = 0; - 322:../system/src/drivers/l4/serial_stm32l4x.c **** } - 323:../system/src/drivers/l4/serial_stm32l4x.c **** - 324:../system/src/drivers/l4/serial_stm32l4x.c **** if (stop != 0x00) { - 325:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 1; - 326:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_stop_trigger = stop; - 327:../system/src/drivers/l4/serial_stm32l4x.c **** } - 328:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 329:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 0; - 330:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_stop_trigger = 0; - 331:../system/src/drivers/l4/serial_stm32l4x.c **** } - 332:../system/src/drivers/l4/serial_stm32l4x.c **** - 333:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_triggered_start == 1 || ctx->srl_triggered_stop == 1) { - 334:../system/src/drivers/l4/serial_stm32l4x.c **** if (num < 3) - 335:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_WRONG_PARAMS_COMBINATION; - 336:../system/src/drivers/l4/serial_stm32l4x.c **** - 337:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_WAITING_TO_RX; - 338:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_waiting_start_time = master_time; - 339:../system/src/drivers/l4/serial_stm32l4x.c **** } - 340:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 341:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RXING; - 342:../system/src/drivers/l4/serial_stm32l4x.c **** } - 343:../system/src/drivers/l4/serial_stm32l4x.c **** - 344:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_enable_echo = echo; - 345:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter = 0; - 346:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_req = num; - 347:../system/src/drivers/l4/serial_stm32l4x.c **** - 348:../system/src/drivers/l4/serial_stm32l4x.c **** if (len_addr != 0) { - 349:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_addres = len_addr; - 350:../system/src/drivers/l4/serial_stm32l4x.c **** } - 351:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 352:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_addres = num + 1; - 353:../system/src/drivers/l4/serial_stm32l4x.c **** } - 354:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_modifier = len_modifier; - 355:../system/src/drivers/l4/serial_stm32l4x.c **** - 356:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_calc_started = 0; - 357:../system/src/drivers/l4/serial_stm32l4x.c **** - 358:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RE; // uruchamianie odbiornika - 359:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RXNEIE; // przerwanie od przepe�nionego bufora odbioru - 360:../system/src/drivers/l4/serial_stm32l4x.c **** // PORT->CR1 |= USART_CR1_IDLEIE; // przerwanie od bezczynno�ci szyny RS przy odbiorze - 361:../system/src/drivers/l4/serial_stm32l4x.c **** // spowodowanej zako�czeniem transmisji przez urz�dzenie - 362:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_OK; - 363:../system/src/drivers/l4/serial_stm32l4x.c **** } - 748 .loc 1 363 0 + 308:../system/src/drivers/l4/serial_stm32l4x.c **** + 309:../system/src/drivers/l4/serial_stm32l4x.c **** memset(ctx->srl_rx_buf_pointer, 0x00, ctx->srl_rx_buf_ln); + 310:../system/src/drivers/l4/serial_stm32l4x.c **** + 311:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_error_reason = SRL_ERR_NONE; + 312:../system/src/drivers/l4/serial_stm32l4x.c **** + 313:../system/src/drivers/l4/serial_stm32l4x.c **** // checking if user want + 314:../system/src/drivers/l4/serial_stm32l4x.c **** if (start != 0x00) { + 315:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_start = 1; + 316:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_start_trigger = start; + 317:../system/src/drivers/l4/serial_stm32l4x.c **** } + 318:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 319:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_start = 0; + 320:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_start_trigger = 0; + 321:../system/src/drivers/l4/serial_stm32l4x.c **** } + 322:../system/src/drivers/l4/serial_stm32l4x.c **** + 323:../system/src/drivers/l4/serial_stm32l4x.c **** if (stop != 0x00) { + 324:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 1; + 325:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_stop_trigger = stop; + 326:../system/src/drivers/l4/serial_stm32l4x.c **** } + 327:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 328:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 0; + 329:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_stop_trigger = 0; + 330:../system/src/drivers/l4/serial_stm32l4x.c **** } + 331:../system/src/drivers/l4/serial_stm32l4x.c **** + 332:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_triggered_start == 1 || ctx->srl_triggered_stop == 1) { + 333:../system/src/drivers/l4/serial_stm32l4x.c **** if (num < 3) + 334:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_WRONG_PARAMS_COMBINATION; + 335:../system/src/drivers/l4/serial_stm32l4x.c **** + 336:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_WAITING_TO_RX; + 337:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_waiting_start_time = master_time; + 338:../system/src/drivers/l4/serial_stm32l4x.c **** } + 339:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 340:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RXING; + 341:../system/src/drivers/l4/serial_stm32l4x.c **** } + 342:../system/src/drivers/l4/serial_stm32l4x.c **** + 343:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_enable_echo = echo; + 344:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter = 0; + 345:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_req = num; + 346:../system/src/drivers/l4/serial_stm32l4x.c **** + 347:../system/src/drivers/l4/serial_stm32l4x.c **** if (len_addr != 0) { + 348:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_addres = len_addr; + 349:../system/src/drivers/l4/serial_stm32l4x.c **** } + 350:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 351:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_addres = num + 1; + 352:../system/src/drivers/l4/serial_stm32l4x.c **** } + 353:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_modifier = len_modifier; + 354:../system/src/drivers/l4/serial_stm32l4x.c **** + 355:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_calc_started = 0; + 356:../system/src/drivers/l4/serial_stm32l4x.c **** + 357:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RE; // uruchamianie odbiornika + 358:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RXNEIE; // przerwanie od przepe�nionego bufora odbioru + 359:../system/src/drivers/l4/serial_stm32l4x.c **** // PORT->CR1 |= USART_CR1_IDLEIE; // przerwanie od bezczynno�ci szyny RS przy odbiorze + 360:../system/src/drivers/l4/serial_stm32l4x.c **** // spowodowanej zako�czeniem transmisji przez urz�dzenie + 361:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_OK; + 362:../system/src/drivers/l4/serial_stm32l4x.c **** } + 748 .loc 1 362 0 749 0018 BDE8F883 pop {r3, r4, r5, r6, r7, r8, r9, pc} 750 .LVL54: 751 .L73: @@ -3905,8 +3904,8 @@ 753 001e 9146 mov r9, r2 754 0020 0D46 mov r5, r1 755 0022 0446 mov r4, r0 - 310:../system/src/drivers/l4/serial_stm32l4x.c **** - 756 .loc 1 310 0 + 309:../system/src/drivers/l4/serial_stm32l4x.c **** + 756 .loc 1 309 0 757 0024 3246 mov r2, r6 758 .LVL55: 759 0026 0021 movs r1, #0 @@ -3915,140 +3914,140 @@ 762 .LVL57: 763 002a FFF7FEFF bl memset 764 .LVL58: - 312:../system/src/drivers/l4/serial_stm32l4x.c **** - 765 .loc 1 312 0 + 311:../system/src/drivers/l4/serial_stm32l4x.c **** + 765 .loc 1 311 0 766 002e 0023 movs r3, #0 767 0030 84F84630 strb r3, [r4, #70] - 315:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_start = 1; - 768 .loc 1 315 0 + 314:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_start = 1; + 768 .loc 1 314 0 769 0034 B9F1000F cmp r9, #0 770 0038 33D0 beq .L60 - 316:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_start_trigger = start; - 771 .loc 1 316 0 + 315:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_start_trigger = start; + 771 .loc 1 315 0 772 003a 0123 movs r3, #1 773 003c 84F82830 strb r3, [r4, #40] - 317:../system/src/drivers/l4/serial_stm32l4x.c **** } - 774 .loc 1 317 0 + 316:../system/src/drivers/l4/serial_stm32l4x.c **** } + 774 .loc 1 316 0 775 0040 84F82A90 strb r9, [r4, #42] 776 .L61: - 324:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 1; - 777 .loc 1 324 0 + 323:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 1; + 777 .loc 1 323 0 778 0044 B8F1000F cmp r8, #0 779 0048 31D0 beq .L62 - 325:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_stop_trigger = stop; - 780 .loc 1 325 0 + 324:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_stop_trigger = stop; + 780 .loc 1 324 0 781 004a 0123 movs r3, #1 782 004c 84F82930 strb r3, [r4, #41] - 326:../system/src/drivers/l4/serial_stm32l4x.c **** } - 783 .loc 1 326 0 + 325:../system/src/drivers/l4/serial_stm32l4x.c **** } + 783 .loc 1 325 0 784 0050 84F82B80 strb r8, [r4, #43] 785 .L63: - 333:../system/src/drivers/l4/serial_stm32l4x.c **** if (num < 3) - 786 .loc 1 333 0 + 332:../system/src/drivers/l4/serial_stm32l4x.c **** if (num < 3) + 786 .loc 1 332 0 787 0054 94F82830 ldrb r3, [r4, #40] @ zero_extendqisi2 788 0058 012B cmp r3, #1 789 005a 2ED0 beq .L64 - 333:../system/src/drivers/l4/serial_stm32l4x.c **** if (num < 3) - 790 .loc 1 333 0 is_stmt 0 discriminator 1 + 332:../system/src/drivers/l4/serial_stm32l4x.c **** if (num < 3) + 790 .loc 1 332 0 is_stmt 0 discriminator 1 791 005c 94F82930 ldrb r3, [r4, #41] @ zero_extendqisi2 792 0060 012B cmp r3, #1 793 0062 2AD0 beq .L64 - 341:../system/src/drivers/l4/serial_stm32l4x.c **** } - 794 .loc 1 341 0 is_stmt 1 + 340:../system/src/drivers/l4/serial_stm32l4x.c **** } + 794 .loc 1 340 0 is_stmt 1 795 0064 0323 movs r3, #3 796 0066 84F84430 strb r3, [r4, #68] 797 .L66: - 344:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter = 0; - 798 .loc 1 344 0 + 343:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter = 0; + 798 .loc 1 343 0 799 006a 9DF92030 ldrsb r3, [sp, #32] 800 006e 84F84830 strb r3, [r4, #72] - 345:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_req = num; - 801 .loc 1 345 0 + 344:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_req = num; + 801 .loc 1 344 0 802 0072 0023 movs r3, #0 803 0074 2384 strh r3, [r4, #32] @ movhi - 346:../system/src/drivers/l4/serial_stm32l4x.c **** - 804 .loc 1 346 0 + 345:../system/src/drivers/l4/serial_stm32l4x.c **** + 804 .loc 1 345 0 805 0076 A584 strh r5, [r4, #36] @ movhi - 348:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_addres = len_addr; - 806 .loc 1 348 0 + 347:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_addres = len_addr; + 806 .loc 1 347 0 807 0078 47B3 cbz r7, .L67 - 349:../system/src/drivers/l4/serial_stm32l4x.c **** } - 808 .loc 1 349 0 + 348:../system/src/drivers/l4/serial_stm32l4x.c **** } + 808 .loc 1 348 0 809 007a 84F84970 strb r7, [r4, #73] 810 .L68: - 354:../system/src/drivers/l4/serial_stm32l4x.c **** - 811 .loc 1 354 0 + 353:../system/src/drivers/l4/serial_stm32l4x.c **** + 811 .loc 1 353 0 812 007e 9DF92830 ldrsb r3, [sp, #40] 813 0082 84F84A30 strb r3, [r4, #74] - 356:../system/src/drivers/l4/serial_stm32l4x.c **** - 814 .loc 1 356 0 + 355:../system/src/drivers/l4/serial_stm32l4x.c **** + 814 .loc 1 355 0 815 0086 0020 movs r0, #0 816 0088 84F82F00 strb r0, [r4, #47] - 358:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RXNEIE; // przerwanie od przepe�nionego bufora odbioru - 817 .loc 1 358 0 + 357:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RXNEIE; // przerwanie od przepe�nionego bufora odbioru + 817 .loc 1 357 0 818 008c 2268 ldr r2, [r4] 819 008e 1368 ldr r3, [r2] 820 0090 43F00403 orr r3, r3, #4 821 0094 1360 str r3, [r2] - 359:../system/src/drivers/l4/serial_stm32l4x.c **** // PORT->CR1 |= USART_CR1_IDLEIE; // przerwanie od bezczynno�ci szyny RS przy odbiorze - 822 .loc 1 359 0 + 358:../system/src/drivers/l4/serial_stm32l4x.c **** // PORT->CR1 |= USART_CR1_IDLEIE; // przerwanie od bezczynno�ci szyny RS przy odbiorze + 822 .loc 1 358 0 823 0096 2268 ldr r2, [r4] 824 0098 1368 ldr r3, [r2] 825 009a 43F02003 orr r3, r3, #32 826 009e 1360 str r3, [r2] - 362:../system/src/drivers/l4/serial_stm32l4x.c **** } - 827 .loc 1 362 0 + 361:../system/src/drivers/l4/serial_stm32l4x.c **** } + 827 .loc 1 361 0 828 00a0 BAE7 b .L59 829 .L60: - 320:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_start_trigger = 0; - 830 .loc 1 320 0 + 319:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_start_trigger = 0; + 830 .loc 1 319 0 831 00a2 0023 movs r3, #0 832 00a4 84F82830 strb r3, [r4, #40] - 321:../system/src/drivers/l4/serial_stm32l4x.c **** } - 833 .loc 1 321 0 + 320:../system/src/drivers/l4/serial_stm32l4x.c **** } + 833 .loc 1 320 0 834 00a8 84F82A30 strb r3, [r4, #42] 835 00ac CAE7 b .L61 836 .L62: - 329:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_stop_trigger = 0; - 837 .loc 1 329 0 + 328:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_stop_trigger = 0; + 837 .loc 1 328 0 838 00ae 0023 movs r3, #0 839 00b0 84F82930 strb r3, [r4, #41] - 330:../system/src/drivers/l4/serial_stm32l4x.c **** } - 840 .loc 1 330 0 + 329:../system/src/drivers/l4/serial_stm32l4x.c **** } + 840 .loc 1 329 0 841 00b4 84F82B30 strb r3, [r4, #43] 842 00b8 CCE7 b .L63 843 .L64: - 334:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_WRONG_PARAMS_COMBINATION; - 844 .loc 1 334 0 + 333:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_WRONG_PARAMS_COMBINATION; + 844 .loc 1 333 0 845 00ba 022D cmp r5, #2 846 00bc 0CDD ble .L71 - 337:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_waiting_start_time = master_time; - 847 .loc 1 337 0 + 336:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_waiting_start_time = master_time; + 847 .loc 1 336 0 848 00be 0223 movs r3, #2 849 00c0 84F84430 strb r3, [r4, #68] - 338:../system/src/drivers/l4/serial_stm32l4x.c **** } - 850 .loc 1 338 0 + 337:../system/src/drivers/l4/serial_stm32l4x.c **** } + 850 .loc 1 337 0 851 00c4 054B ldr r3, .L74 852 00c6 1B68 ldr r3, [r3] 853 00c8 E363 str r3, [r4, #60] 854 00ca CEE7 b .L66 855 .L67: - 352:../system/src/drivers/l4/serial_stm32l4x.c **** } - 856 .loc 1 352 0 + 351:../system/src/drivers/l4/serial_stm32l4x.c **** } + 856 .loc 1 351 0 857 00cc 691C adds r1, r5, #1 858 00ce 84F84910 strb r1, [r4, #73] 859 00d2 D4E7 b .L68 860 .LVL59: 861 .L69: - 303:../system/src/drivers/l4/serial_stm32l4x.c **** - 862 .loc 1 303 0 + 302:../system/src/drivers/l4/serial_stm32l4x.c **** + 862 .loc 1 302 0 863 00d4 0220 movs r0, #2 864 .LVL60: 865 00d6 9FE7 b .L59 866 .LVL61: 867 .L71: - 335:../system/src/drivers/l4/serial_stm32l4x.c **** - 868 .loc 1 335 0 + 334:../system/src/drivers/l4/serial_stm32l4x.c **** + 868 .loc 1 334 0 869 00d8 0420 movs r0, #4 870 00da 9DE7 b .L59 871 .L75: @@ -4066,12 +4065,12 @@ 884 .fpu fpv4-sp-d16 886 srl_receive_data_with_instant_timeout: 887 .LFB390: - 364:../system/src/drivers/l4/serial_stm32l4x.c **** - 365:../system/src/drivers/l4/serial_stm32l4x.c **** /** - 366:../system/src/drivers/l4/serial_stm32l4x.c **** * This function start the transfer with - 367:../system/src/drivers/l4/serial_stm32l4x.c **** */ - 368:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_receive_data_with_instant_timeout(srl_context_t *ctx, int num, char start, char stop, c - 888 .loc 1 368 0 + 363:../system/src/drivers/l4/serial_stm32l4x.c **** + 364:../system/src/drivers/l4/serial_stm32l4x.c **** /** + 365:../system/src/drivers/l4/serial_stm32l4x.c **** * This function start the transfer with + 366:../system/src/drivers/l4/serial_stm32l4x.c **** */ + 367:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_receive_data_with_instant_timeout(srl_context_t *ctx, int num, char start, char stop, c + 888 .loc 1 367 0 889 .cfi_startproc 890 @ args = 12, pretend = 0, frame = 0 891 @ frame_needed = 0, uses_anonymous_args = 0 @@ -4087,78 +4086,78 @@ 901 .cfi_offset 9, -8 902 .cfi_offset 14, -4 903 0004 9DF92480 ldrsb r8, [sp, #36] - 369:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_state == SRL_RXING) - 904 .loc 1 369 0 + 368:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_state == SRL_RXING) + 904 .loc 1 368 0 905 0008 90F84440 ldrb r4, [r0, #68] @ zero_extendqisi2 906 000c 032C cmp r4, #3 907 000e 5FD0 beq .L87 - 370:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_BUSY; - 371:../system/src/drivers/l4/serial_stm32l4x.c **** - 372:../system/src/drivers/l4/serial_stm32l4x.c **** if (num >= ctx->srl_rx_buf_ln) - 908 .loc 1 372 0 + 369:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_BUSY; + 370:../system/src/drivers/l4/serial_stm32l4x.c **** + 371:../system/src/drivers/l4/serial_stm32l4x.c **** if (num >= ctx->srl_rx_buf_ln) + 908 .loc 1 371 0 909 0010 878B ldrh r7, [r0, #28] 910 0012 8F42 cmp r7, r1 911 0014 02DC bgt .L91 - 373:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_DATA_TOO_LONG; - 912 .loc 1 373 0 + 372:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_DATA_TOO_LONG; + 912 .loc 1 372 0 913 0016 0120 movs r0, #1 914 .LVL63: 915 .L77: - 374:../system/src/drivers/l4/serial_stm32l4x.c **** - 375:../system/src/drivers/l4/serial_stm32l4x.c **** memset(ctx->srl_rx_buf_pointer, 0x00, ctx->srl_rx_buf_ln); - 376:../system/src/drivers/l4/serial_stm32l4x.c **** - 377:../system/src/drivers/l4/serial_stm32l4x.c **** // checking if user want - 378:../system/src/drivers/l4/serial_stm32l4x.c **** if (start != 0x00) { - 379:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_start = 1; - 380:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_start_trigger = start; - 381:../system/src/drivers/l4/serial_stm32l4x.c **** } - 382:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 383:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_start = 0; - 384:../system/src/drivers/l4/serial_stm32l4x.c **** } - 385:../system/src/drivers/l4/serial_stm32l4x.c **** - 386:../system/src/drivers/l4/serial_stm32l4x.c **** if (stop != 0x00) { - 387:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 1; - 388:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_stop_trigger = stop; - 389:../system/src/drivers/l4/serial_stm32l4x.c **** } - 390:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 391:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 0; - 392:../system/src/drivers/l4/serial_stm32l4x.c **** } - 393:../system/src/drivers/l4/serial_stm32l4x.c **** - 394:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_triggered_start == 1 || ctx->srl_triggered_stop == 1) { - 395:../system/src/drivers/l4/serial_stm32l4x.c **** if (num < 3) - 396:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_WRONG_PARAMS_COMBINATION; - 397:../system/src/drivers/l4/serial_stm32l4x.c **** - 398:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_WAITING_TO_RX; - 399:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_waiting_start_time = master_time; - 400:../system/src/drivers/l4/serial_stm32l4x.c **** } - 401:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 402:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RXING; - 403:../system/src/drivers/l4/serial_stm32l4x.c **** } - 404:../system/src/drivers/l4/serial_stm32l4x.c **** - 405:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_enable_echo = echo; - 406:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter = 0; - 407:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_req = num; - 408:../system/src/drivers/l4/serial_stm32l4x.c **** - 409:../system/src/drivers/l4/serial_stm32l4x.c **** if (len_addr != 0) { - 410:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_addres = len_addr; - 411:../system/src/drivers/l4/serial_stm32l4x.c **** } - 412:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 413:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_addres = num + 1; - 414:../system/src/drivers/l4/serial_stm32l4x.c **** } - 415:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_modifier = len_modifier; - 416:../system/src/drivers/l4/serial_stm32l4x.c **** - 417:../system/src/drivers/l4/serial_stm32l4x.c **** // set current time as receive start time - 418:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_start_time = master_time; - 419:../system/src/drivers/l4/serial_stm32l4x.c **** - 420:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_calc_started = 1; - 421:../system/src/drivers/l4/serial_stm32l4x.c **** - 422:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RE; // uruchamianie odbiornika - 423:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RXNEIE; // przerwanie od przepe�nionego bufora odbioru - 424:../system/src/drivers/l4/serial_stm32l4x.c **** // PORT->CR1 |= USART_CR1_IDLEIE; // przerwanie od bezczynno�ci szyny RS przy odbiorze - 425:../system/src/drivers/l4/serial_stm32l4x.c **** // spowodowanej zako�czeniem transmisji przez urz�dzenie - 426:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_OK; - 427:../system/src/drivers/l4/serial_stm32l4x.c **** } - 916 .loc 1 427 0 + 373:../system/src/drivers/l4/serial_stm32l4x.c **** + 374:../system/src/drivers/l4/serial_stm32l4x.c **** memset(ctx->srl_rx_buf_pointer, 0x00, ctx->srl_rx_buf_ln); + 375:../system/src/drivers/l4/serial_stm32l4x.c **** + 376:../system/src/drivers/l4/serial_stm32l4x.c **** // checking if user want + 377:../system/src/drivers/l4/serial_stm32l4x.c **** if (start != 0x00) { + 378:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_start = 1; + 379:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_start_trigger = start; + 380:../system/src/drivers/l4/serial_stm32l4x.c **** } + 381:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 382:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_start = 0; + 383:../system/src/drivers/l4/serial_stm32l4x.c **** } + 384:../system/src/drivers/l4/serial_stm32l4x.c **** + 385:../system/src/drivers/l4/serial_stm32l4x.c **** if (stop != 0x00) { + 386:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 1; + 387:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_stop_trigger = stop; + 388:../system/src/drivers/l4/serial_stm32l4x.c **** } + 389:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 390:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 0; + 391:../system/src/drivers/l4/serial_stm32l4x.c **** } + 392:../system/src/drivers/l4/serial_stm32l4x.c **** + 393:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_triggered_start == 1 || ctx->srl_triggered_stop == 1) { + 394:../system/src/drivers/l4/serial_stm32l4x.c **** if (num < 3) + 395:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_WRONG_PARAMS_COMBINATION; + 396:../system/src/drivers/l4/serial_stm32l4x.c **** + 397:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_WAITING_TO_RX; + 398:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_waiting_start_time = master_time; + 399:../system/src/drivers/l4/serial_stm32l4x.c **** } + 400:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 401:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RXING; + 402:../system/src/drivers/l4/serial_stm32l4x.c **** } + 403:../system/src/drivers/l4/serial_stm32l4x.c **** + 404:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_enable_echo = echo; + 405:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter = 0; + 406:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_req = num; + 407:../system/src/drivers/l4/serial_stm32l4x.c **** + 408:../system/src/drivers/l4/serial_stm32l4x.c **** if (len_addr != 0) { + 409:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_addres = len_addr; + 410:../system/src/drivers/l4/serial_stm32l4x.c **** } + 411:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 412:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_addres = num + 1; + 413:../system/src/drivers/l4/serial_stm32l4x.c **** } + 414:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_modifier = len_modifier; + 415:../system/src/drivers/l4/serial_stm32l4x.c **** + 416:../system/src/drivers/l4/serial_stm32l4x.c **** // set current time as receive start time + 417:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_start_time = master_time; + 418:../system/src/drivers/l4/serial_stm32l4x.c **** + 419:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_calc_started = 1; + 420:../system/src/drivers/l4/serial_stm32l4x.c **** + 421:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RE; // uruchamianie odbiornika + 422:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RXNEIE; // przerwanie od przepe�nionego bufora odbioru + 423:../system/src/drivers/l4/serial_stm32l4x.c **** // PORT->CR1 |= USART_CR1_IDLEIE; // przerwanie od bezczynno�ci szyny RS przy odbiorze + 424:../system/src/drivers/l4/serial_stm32l4x.c **** // spowodowanej zako�czeniem transmisji przez urz�dzenie + 425:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_OK; + 426:../system/src/drivers/l4/serial_stm32l4x.c **** } + 916 .loc 1 426 0 917 0018 BDE8F883 pop {r3, r4, r5, r6, r7, r8, r9, pc} 918 .LVL64: 919 .L91: @@ -4166,8 +4165,8 @@ 921 001e 9146 mov r9, r2 922 0020 0D46 mov r5, r1 923 0022 0446 mov r4, r0 - 375:../system/src/drivers/l4/serial_stm32l4x.c **** - 924 .loc 1 375 0 + 374:../system/src/drivers/l4/serial_stm32l4x.c **** + 924 .loc 1 374 0 925 0024 3A46 mov r2, r7 926 .LVL65: 927 0026 0021 movs r1, #0 @@ -4176,137 +4175,137 @@ 930 .LVL67: 931 002a FFF7FEFF bl memset 932 .LVL68: - 378:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_start = 1; - 933 .loc 1 378 0 + 377:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_start = 1; + 933 .loc 1 377 0 934 002e B9F1000F cmp r9, #0 935 0032 38D0 beq .L78 - 379:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_start_trigger = start; - 936 .loc 1 379 0 + 378:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_start_trigger = start; + 936 .loc 1 378 0 937 0034 0123 movs r3, #1 938 0036 84F82830 strb r3, [r4, #40] - 380:../system/src/drivers/l4/serial_stm32l4x.c **** } - 939 .loc 1 380 0 + 379:../system/src/drivers/l4/serial_stm32l4x.c **** } + 939 .loc 1 379 0 940 003a 84F82A90 strb r9, [r4, #42] 941 .L79: - 386:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 1; - 942 .loc 1 386 0 + 385:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 1; + 942 .loc 1 385 0 943 003e 002E cmp r6, #0 944 0040 35D0 beq .L80 - 387:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_stop_trigger = stop; - 945 .loc 1 387 0 + 386:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_stop_trigger = stop; + 945 .loc 1 386 0 946 0042 0123 movs r3, #1 947 0044 84F82930 strb r3, [r4, #41] - 388:../system/src/drivers/l4/serial_stm32l4x.c **** } - 948 .loc 1 388 0 + 387:../system/src/drivers/l4/serial_stm32l4x.c **** } + 948 .loc 1 387 0 949 0048 84F82B60 strb r6, [r4, #43] 950 .L81: - 394:../system/src/drivers/l4/serial_stm32l4x.c **** if (num < 3) - 951 .loc 1 394 0 + 393:../system/src/drivers/l4/serial_stm32l4x.c **** if (num < 3) + 951 .loc 1 393 0 952 004c 94F82830 ldrb r3, [r4, #40] @ zero_extendqisi2 953 0050 012B cmp r3, #1 954 0052 30D0 beq .L82 - 394:../system/src/drivers/l4/serial_stm32l4x.c **** if (num < 3) - 955 .loc 1 394 0 is_stmt 0 discriminator 1 + 393:../system/src/drivers/l4/serial_stm32l4x.c **** if (num < 3) + 955 .loc 1 393 0 is_stmt 0 discriminator 1 956 0054 94F82930 ldrb r3, [r4, #41] @ zero_extendqisi2 957 0058 012B cmp r3, #1 958 005a 2CD0 beq .L82 - 402:../system/src/drivers/l4/serial_stm32l4x.c **** } - 959 .loc 1 402 0 is_stmt 1 + 401:../system/src/drivers/l4/serial_stm32l4x.c **** } + 959 .loc 1 401 0 is_stmt 1 960 005c 0323 movs r3, #3 961 005e 84F84430 strb r3, [r4, #68] 962 .L84: - 405:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter = 0; - 963 .loc 1 405 0 + 404:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter = 0; + 963 .loc 1 404 0 964 0062 9DF92030 ldrsb r3, [sp, #32] 965 0066 84F84830 strb r3, [r4, #72] - 406:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_req = num; - 966 .loc 1 406 0 + 405:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_req = num; + 966 .loc 1 405 0 967 006a 0023 movs r3, #0 968 006c 2384 strh r3, [r4, #32] @ movhi - 407:../system/src/drivers/l4/serial_stm32l4x.c **** - 969 .loc 1 407 0 + 406:../system/src/drivers/l4/serial_stm32l4x.c **** + 969 .loc 1 406 0 970 006e A584 strh r5, [r4, #36] @ movhi - 409:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_addres = len_addr; - 971 .loc 1 409 0 + 408:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_addres = len_addr; + 971 .loc 1 408 0 972 0070 B8F1000F cmp r8, #0 973 0074 28D0 beq .L85 - 410:../system/src/drivers/l4/serial_stm32l4x.c **** } - 974 .loc 1 410 0 + 409:../system/src/drivers/l4/serial_stm32l4x.c **** } + 974 .loc 1 409 0 975 0076 84F84980 strb r8, [r4, #73] 976 .L86: - 415:../system/src/drivers/l4/serial_stm32l4x.c **** - 977 .loc 1 415 0 + 414:../system/src/drivers/l4/serial_stm32l4x.c **** + 977 .loc 1 414 0 978 007a 9DF92830 ldrsb r3, [sp, #40] 979 007e 84F84A30 strb r3, [r4, #74] - 418:../system/src/drivers/l4/serial_stm32l4x.c **** - 980 .loc 1 418 0 + 417:../system/src/drivers/l4/serial_stm32l4x.c **** + 980 .loc 1 417 0 981 0082 154B ldr r3, .L92 982 0084 1B68 ldr r3, [r3] 983 0086 A363 str r3, [r4, #56] - 420:../system/src/drivers/l4/serial_stm32l4x.c **** - 984 .loc 1 420 0 + 419:../system/src/drivers/l4/serial_stm32l4x.c **** + 984 .loc 1 419 0 985 0088 0123 movs r3, #1 986 008a 84F82F30 strb r3, [r4, #47] - 422:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RXNEIE; // przerwanie od przepe�nionego bufora odbioru - 987 .loc 1 422 0 + 421:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RXNEIE; // przerwanie od przepe�nionego bufora odbioru + 987 .loc 1 421 0 988 008e 2268 ldr r2, [r4] 989 0090 1368 ldr r3, [r2] 990 0092 43F00403 orr r3, r3, #4 991 0096 1360 str r3, [r2] - 423:../system/src/drivers/l4/serial_stm32l4x.c **** // PORT->CR1 |= USART_CR1_IDLEIE; // przerwanie od bezczynno�ci szyny RS przy odbiorze - 992 .loc 1 423 0 + 422:../system/src/drivers/l4/serial_stm32l4x.c **** // PORT->CR1 |= USART_CR1_IDLEIE; // przerwanie od bezczynno�ci szyny RS przy odbiorze + 992 .loc 1 422 0 993 0098 2268 ldr r2, [r4] 994 009a 1368 ldr r3, [r2] 995 009c 43F02003 orr r3, r3, #32 996 00a0 1360 str r3, [r2] - 426:../system/src/drivers/l4/serial_stm32l4x.c **** } - 997 .loc 1 426 0 + 425:../system/src/drivers/l4/serial_stm32l4x.c **** } + 997 .loc 1 425 0 998 00a2 0020 movs r0, #0 999 00a4 B8E7 b .L77 1000 .L78: - 383:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1001 .loc 1 383 0 + 382:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1001 .loc 1 382 0 1002 00a6 0023 movs r3, #0 1003 00a8 84F82830 strb r3, [r4, #40] 1004 00ac C7E7 b .L79 1005 .L80: - 391:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1006 .loc 1 391 0 + 390:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1006 .loc 1 390 0 1007 00ae 0023 movs r3, #0 1008 00b0 84F82930 strb r3, [r4, #41] 1009 00b4 CAE7 b .L81 1010 .L82: - 395:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_WRONG_PARAMS_COMBINATION; - 1011 .loc 1 395 0 + 394:../system/src/drivers/l4/serial_stm32l4x.c **** return SRL_WRONG_PARAMS_COMBINATION; + 1011 .loc 1 394 0 1012 00b6 022D cmp r5, #2 1013 00b8 0CDD ble .L89 - 398:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_waiting_start_time = master_time; - 1014 .loc 1 398 0 + 397:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_waiting_start_time = master_time; + 1014 .loc 1 397 0 1015 00ba 0223 movs r3, #2 1016 00bc 84F84430 strb r3, [r4, #68] - 399:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1017 .loc 1 399 0 + 398:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1017 .loc 1 398 0 1018 00c0 054B ldr r3, .L92 1019 00c2 1B68 ldr r3, [r3] 1020 00c4 E363 str r3, [r4, #60] 1021 00c6 CCE7 b .L84 1022 .L85: - 413:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1023 .loc 1 413 0 + 412:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1023 .loc 1 412 0 1024 00c8 691C adds r1, r5, #1 1025 00ca 84F84910 strb r1, [r4, #73] 1026 00ce D4E7 b .L86 1027 .LVL69: 1028 .L87: - 370:../system/src/drivers/l4/serial_stm32l4x.c **** - 1029 .loc 1 370 0 + 369:../system/src/drivers/l4/serial_stm32l4x.c **** + 1029 .loc 1 369 0 1030 00d0 0220 movs r0, #2 1031 .LVL70: 1032 00d2 A1E7 b .L77 1033 .LVL71: 1034 .L89: - 396:../system/src/drivers/l4/serial_stm32l4x.c **** - 1035 .loc 1 396 0 + 395:../system/src/drivers/l4/serial_stm32l4x.c **** + 1035 .loc 1 395 0 1036 00d4 0420 movs r0, #4 1037 00d6 9FE7 b .L77 1038 .L93: @@ -4324,147 +4323,147 @@ 1051 .fpu fpv4-sp-d16 1053 srl_receive_data_with_callback: 1054 .LFB391: - 428:../system/src/drivers/l4/serial_stm32l4x.c **** - 429:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_receive_data_with_callback(srl_context_t *ctx, srl_rx_termination_callback_t cbk) { - 1055 .loc 1 429 0 + 427:../system/src/drivers/l4/serial_stm32l4x.c **** + 428:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t srl_receive_data_with_callback(srl_context_t *ctx, srl_rx_termination_callback_t cbk) { + 1055 .loc 1 428 0 1056 .cfi_startproc 1057 @ args = 0, pretend = 0, frame = 0 1058 @ frame_needed = 0, uses_anonymous_args = 0 1059 .LVL72: - 430:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t retval = SRL_OK; - 431:../system/src/drivers/l4/serial_stm32l4x.c **** - 432:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_state == SRL_RXING) { - 1060 .loc 1 432 0 + 429:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t retval = SRL_OK; + 430:../system/src/drivers/l4/serial_stm32l4x.c **** + 431:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_state == SRL_RXING) { + 1060 .loc 1 431 0 1061 0000 90F84430 ldrb r3, [r0, #68] @ zero_extendqisi2 1062 0004 032B cmp r3, #3 1063 0006 34D0 beq .L96 - 433:../system/src/drivers/l4/serial_stm32l4x.c **** retval = SRL_BUSY; - 434:../system/src/drivers/l4/serial_stm32l4x.c **** } - 435:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 436:../system/src/drivers/l4/serial_stm32l4x.c **** // check if input pointers were set to something - 437:../system/src/drivers/l4/serial_stm32l4x.c **** if (cbk == NULL || ctx == NULL) { - 1064 .loc 1 437 0 + 432:../system/src/drivers/l4/serial_stm32l4x.c **** retval = SRL_BUSY; + 433:../system/src/drivers/l4/serial_stm32l4x.c **** } + 434:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 435:../system/src/drivers/l4/serial_stm32l4x.c **** // check if input pointers were set to something + 436:../system/src/drivers/l4/serial_stm32l4x.c **** if (cbk == NULL || ctx == NULL) { + 1064 .loc 1 436 0 1065 0008 0029 cmp r1, #0 1066 000a 34D0 beq .L97 - 1067 .loc 1 437 0 is_stmt 0 discriminator 1 + 1067 .loc 1 436 0 is_stmt 0 discriminator 1 1068 000c 0028 cmp r0, #0 1069 000e 34D0 beq .L98 - 429:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t retval = SRL_OK; - 1070 .loc 1 429 0 is_stmt 1 + 428:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t retval = SRL_OK; + 1070 .loc 1 428 0 is_stmt 1 1071 0010 10B5 push {r4, lr} 1072 .cfi_def_cfa_offset 8 1073 .cfi_offset 4, -8 1074 .cfi_offset 14, -4 1075 0012 0446 mov r4, r0 - 438:../system/src/drivers/l4/serial_stm32l4x.c **** retval = SRL_WRONG_PARAMS_COMBINATION; - 439:../system/src/drivers/l4/serial_stm32l4x.c **** } - 440:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 441:../system/src/drivers/l4/serial_stm32l4x.c **** // set the callback pointer within the context - 442:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_term = cbk; - 1076 .loc 1 442 0 + 437:../system/src/drivers/l4/serial_stm32l4x.c **** retval = SRL_WRONG_PARAMS_COMBINATION; + 438:../system/src/drivers/l4/serial_stm32l4x.c **** } + 439:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 440:../system/src/drivers/l4/serial_stm32l4x.c **** // set the callback pointer within the context + 441:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_term = cbk; + 1076 .loc 1 441 0 1077 0014 C164 str r1, [r0, #76] - 443:../system/src/drivers/l4/serial_stm32l4x.c **** - 444:../system/src/drivers/l4/serial_stm32l4x.c **** // set the amount of bytes to be received as the size - 445:../system/src/drivers/l4/serial_stm32l4x.c **** // of the receive buffer (minus one byte for safety). - 446:../system/src/drivers/l4/serial_stm32l4x.c **** // it will be up to the callback function to terminate the receiving - 447:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_req = ctx->srl_rx_buf_ln - 1; - 1078 .loc 1 447 0 + 442:../system/src/drivers/l4/serial_stm32l4x.c **** + 443:../system/src/drivers/l4/serial_stm32l4x.c **** // set the amount of bytes to be received as the size + 444:../system/src/drivers/l4/serial_stm32l4x.c **** // of the receive buffer (minus one byte for safety). + 445:../system/src/drivers/l4/serial_stm32l4x.c **** // it will be up to the callback function to terminate the receiving + 446:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_req = ctx->srl_rx_buf_ln - 1; + 1078 .loc 1 446 0 1079 0016 828B ldrh r2, [r0, #28] 1080 0018 531E subs r3, r2, #1 1081 001a 8384 strh r3, [r0, #36] @ movhi - 448:../system/src/drivers/l4/serial_stm32l4x.c **** - 449:../system/src/drivers/l4/serial_stm32l4x.c **** // clear the rx buffer - 450:../system/src/drivers/l4/serial_stm32l4x.c **** memset(ctx->srl_rx_buf_pointer, 0x00, ctx->srl_rx_buf_ln); - 1082 .loc 1 450 0 + 447:../system/src/drivers/l4/serial_stm32l4x.c **** + 448:../system/src/drivers/l4/serial_stm32l4x.c **** // clear the rx buffer + 449:../system/src/drivers/l4/serial_stm32l4x.c **** memset(ctx->srl_rx_buf_pointer, 0x00, ctx->srl_rx_buf_ln); + 1082 .loc 1 449 0 1083 001c 0021 movs r1, #0 1084 .LVL73: 1085 001e 8069 ldr r0, [r0, #24] 1086 .LVL74: 1087 0020 FFF7FEFF bl memset 1088 .LVL75: - 451:../system/src/drivers/l4/serial_stm32l4x.c **** - 452:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter = 0; - 1089 .loc 1 452 0 + 450:../system/src/drivers/l4/serial_stm32l4x.c **** + 451:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter = 0; + 1089 .loc 1 451 0 1090 0024 0020 movs r0, #0 1091 0026 2084 strh r0, [r4, #32] @ movhi - 453:../system/src/drivers/l4/serial_stm32l4x.c **** - 454:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_addres = ctx->srl_rx_buf_ln - 1; - 1092 .loc 1 454 0 + 452:../system/src/drivers/l4/serial_stm32l4x.c **** + 453:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_addres = ctx->srl_rx_buf_ln - 1; + 1092 .loc 1 453 0 1093 0028 A38B ldrh r3, [r4, #28] 1094 002a 013B subs r3, r3, #1 1095 002c 84F84930 strb r3, [r4, #73] - 455:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_modifier = 0; - 1096 .loc 1 455 0 + 454:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_lenght_param_modifier = 0; + 1096 .loc 1 454 0 1097 0030 84F84A00 strb r0, [r4, #74] - 456:../system/src/drivers/l4/serial_stm32l4x.c **** - 457:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_start = 0; - 1098 .loc 1 457 0 + 455:../system/src/drivers/l4/serial_stm32l4x.c **** + 456:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_start = 0; + 1098 .loc 1 456 0 1099 0034 84F82800 strb r0, [r4, #40] - 458:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 0; - 1100 .loc 1 458 0 + 457:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 0; + 1100 .loc 1 457 0 1101 0038 84F82900 strb r0, [r4, #41] - 459:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_enable_echo = 0; - 1102 .loc 1 459 0 + 458:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_enable_echo = 0; + 1102 .loc 1 458 0 1103 003c 84F84800 strb r0, [r4, #72] - 460:../system/src/drivers/l4/serial_stm32l4x.c **** - 461:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_calc_started = 0; - 1104 .loc 1 461 0 + 459:../system/src/drivers/l4/serial_stm32l4x.c **** + 460:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_calc_started = 0; + 1104 .loc 1 460 0 1105 0040 84F82F00 strb r0, [r4, #47] - 462:../system/src/drivers/l4/serial_stm32l4x.c **** - 463:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RXING; - 1106 .loc 1 463 0 + 461:../system/src/drivers/l4/serial_stm32l4x.c **** + 462:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RXING; + 1106 .loc 1 462 0 1107 0044 0323 movs r3, #3 1108 0046 84F84430 strb r3, [r4, #68] - 464:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RE; // uruchamianie odbiornika - 1109 .loc 1 464 0 + 463:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RE; // uruchamianie odbiornika + 1109 .loc 1 463 0 1110 004a 2268 ldr r2, [r4] 1111 004c 1368 ldr r3, [r2] 1112 004e 43F00403 orr r3, r3, #4 1113 0052 1360 str r3, [r2] - 465:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RXNEIE; - 1114 .loc 1 465 0 + 464:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_RXNEIE; + 1114 .loc 1 464 0 1115 0054 2268 ldr r2, [r4] 1116 0056 1368 ldr r3, [r2] 1117 0058 43F02003 orr r3, r3, #32 1118 005c 1360 str r3, [r2] - 466:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_IDLEIE; - 1119 .loc 1 466 0 + 465:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_IDLEIE; + 1119 .loc 1 465 0 1120 005e 2268 ldr r2, [r4] 1121 0060 1368 ldr r3, [r2] 1122 0062 43F01003 orr r3, r3, #16 1123 0066 1360 str r3, [r2] - 467:../system/src/drivers/l4/serial_stm32l4x.c **** - 468:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_waiting_start_time = master_time; - 1124 .loc 1 468 0 + 466:../system/src/drivers/l4/serial_stm32l4x.c **** + 467:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_waiting_start_time = master_time; + 1124 .loc 1 467 0 1125 0068 054B ldr r3, .L103 1126 006a 1B68 ldr r3, [r3] 1127 006c E363 str r3, [r4, #60] - 469:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_start_time = master_time; - 1128 .loc 1 469 0 + 468:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_start_time = master_time; + 1128 .loc 1 468 0 1129 006e A363 str r3, [r4, #56] 1130 .LVL76: - 470:../system/src/drivers/l4/serial_stm32l4x.c **** - 471:../system/src/drivers/l4/serial_stm32l4x.c **** } - 472:../system/src/drivers/l4/serial_stm32l4x.c **** } - 473:../system/src/drivers/l4/serial_stm32l4x.c **** - 474:../system/src/drivers/l4/serial_stm32l4x.c **** return retval; - 475:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1131 .loc 1 475 0 + 469:../system/src/drivers/l4/serial_stm32l4x.c **** + 470:../system/src/drivers/l4/serial_stm32l4x.c **** } + 471:../system/src/drivers/l4/serial_stm32l4x.c **** } + 472:../system/src/drivers/l4/serial_stm32l4x.c **** + 473:../system/src/drivers/l4/serial_stm32l4x.c **** return retval; + 474:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1131 .loc 1 474 0 1132 0070 10BD pop {r4, pc} 1133 .LVL77: 1134 .L96: 1135 .cfi_def_cfa_offset 0 1136 .cfi_restore 4 1137 .cfi_restore 14 - 433:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1138 .loc 1 433 0 + 432:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1138 .loc 1 432 0 1139 0072 0220 movs r0, #2 1140 .LVL78: 1141 0074 7047 bx lr 1142 .LVL79: 1143 .L97: - 438:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1144 .loc 1 438 0 + 437:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1144 .loc 1 437 0 1145 0076 0420 movs r0, #4 1146 .LVL80: 1147 0078 7047 bx lr @@ -4472,7 +4471,7 @@ 1149 .L98: 1150 007a 0420 movs r0, #4 1151 .LVL82: - 1152 .loc 1 475 0 + 1152 .loc 1 474 0 1153 007c 7047 bx lr 1154 .L104: 1155 007e 00BF .align 2 @@ -4489,9 +4488,9 @@ 1167 .fpu fpv4-sp-d16 1169 srl_irq_handler: 1170 .LFB392: - 476:../system/src/drivers/l4/serial_stm32l4x.c **** - 477:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_irq_handler(srl_context_t *ctx) { - 1171 .loc 1 477 0 + 475:../system/src/drivers/l4/serial_stm32l4x.c **** + 476:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_irq_handler(srl_context_t *ctx) { + 1171 .loc 1 476 0 1172 .cfi_startproc 1173 @ args = 0, pretend = 0, frame = 0 1174 @ frame_needed = 0, uses_anonymous_args = 0 @@ -4504,129 +4503,129 @@ 1181 .cfi_offset 14, -4 1182 0002 0446 mov r4, r0 1183 .LVL84: - 478:../system/src/drivers/l4/serial_stm32l4x.c **** - 479:../system/src/drivers/l4/serial_stm32l4x.c **** // local variable for recalculating a stream length (how many bytes the driver should receives) - 480:../system/src/drivers/l4/serial_stm32l4x.c **** uint16_t len_temp = 0; - 481:../system/src/drivers/l4/serial_stm32l4x.c **** - 482:../system/src/drivers/l4/serial_stm32l4x.c **** // set to one if there are conditions to stop receiving - 483:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t stop_rxing = 0; - 484:../system/src/drivers/l4/serial_stm32l4x.c **** - 485:../system/src/drivers/l4/serial_stm32l4x.c **** // local variable to store - 486:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t value = 0; - 487:../system/src/drivers/l4/serial_stm32l4x.c **** - 488:../system/src/drivers/l4/serial_stm32l4x.c **** if ((ctx->port->ISR & USART_ISR_IDLE) == USART_ISR_IDLE) { - 1184 .loc 1 488 0 + 477:../system/src/drivers/l4/serial_stm32l4x.c **** + 478:../system/src/drivers/l4/serial_stm32l4x.c **** // local variable for recalculating a stream length (how many bytes the driver should receives) + 479:../system/src/drivers/l4/serial_stm32l4x.c **** uint16_t len_temp = 0; + 480:../system/src/drivers/l4/serial_stm32l4x.c **** + 481:../system/src/drivers/l4/serial_stm32l4x.c **** // set to one if there are conditions to stop receiving + 482:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t stop_rxing = 0; + 483:../system/src/drivers/l4/serial_stm32l4x.c **** + 484:../system/src/drivers/l4/serial_stm32l4x.c **** // local variable to store + 485:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t value = 0; + 486:../system/src/drivers/l4/serial_stm32l4x.c **** + 487:../system/src/drivers/l4/serial_stm32l4x.c **** if ((ctx->port->ISR & USART_ISR_IDLE) == USART_ISR_IDLE) { + 1184 .loc 1 487 0 1185 0004 0368 ldr r3, [r0] 1186 0006 DA69 ldr r2, [r3, #28] 1187 0008 12F0100F tst r2, #16 1188 000c 08D0 beq .L106 - 489:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_garbage_storage = (uint8_t)ctx->port->RDR; - 1189 .loc 1 489 0 + 488:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_garbage_storage = (uint8_t)ctx->port->RDR; + 1189 .loc 1 488 0 1190 000e 9A8C ldrh r2, [r3, #36] 1191 0010 D2B2 uxtb r2, r2 1192 0012 80F82C20 strb r2, [r0, #44] - 490:../system/src/drivers/l4/serial_stm32l4x.c **** - 491:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->total_idle_counter++; - 1193 .loc 1 491 0 + 489:../system/src/drivers/l4/serial_stm32l4x.c **** + 490:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->total_idle_counter++; + 1193 .loc 1 490 0 1194 0016 90F84720 ldrb r2, [r0, #71] @ zero_extendqisi2 1195 001a 0132 adds r2, r2, #1 1196 001c 80F84720 strb r2, [r0, #71] 1197 .L106: - 492:../system/src/drivers/l4/serial_stm32l4x.c **** } - 493:../system/src/drivers/l4/serial_stm32l4x.c **** - 494:../system/src/drivers/l4/serial_stm32l4x.c **** // if overrun happened, a byte hadn't been transferred from DR before the next byte is received - 495:../system/src/drivers/l4/serial_stm32l4x.c **** if ((ctx->port->ISR & USART_ISR_ORE) == USART_ISR_ORE) { - 1198 .loc 1 495 0 + 491:../system/src/drivers/l4/serial_stm32l4x.c **** } + 492:../system/src/drivers/l4/serial_stm32l4x.c **** + 493:../system/src/drivers/l4/serial_stm32l4x.c **** // if overrun happened, a byte hadn't been transferred from DR before the next byte is received + 494:../system/src/drivers/l4/serial_stm32l4x.c **** if ((ctx->port->ISR & USART_ISR_ORE) == USART_ISR_ORE) { + 1198 .loc 1 494 0 1199 0020 DA69 ldr r2, [r3, #28] 1200 0022 12F0080F tst r2, #8 1201 0026 08D0 beq .L107 - 496:../system/src/drivers/l4/serial_stm32l4x.c **** switch (ctx->srl_rx_state) { - 1202 .loc 1 496 0 + 495:../system/src/drivers/l4/serial_stm32l4x.c **** switch (ctx->srl_rx_state) { + 1202 .loc 1 495 0 1203 0028 94F84420 ldrb r2, [r4, #68] @ zero_extendqisi2 1204 002c 032A cmp r2, #3 1205 002e 40F09180 bne .L129 - 497:../system/src/drivers/l4/serial_stm32l4x.c **** case SRL_RXING: - 498:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_garbage_storage = (uint8_t)ctx->port->RDR; - 1206 .loc 1 498 0 + 496:../system/src/drivers/l4/serial_stm32l4x.c **** case SRL_RXING: + 497:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_garbage_storage = (uint8_t)ctx->port->RDR; + 1206 .loc 1 497 0 1207 0032 9A8C ldrh r2, [r3, #36] 1208 0034 D2B2 uxtb r2, r2 1209 0036 84F82C20 strb r2, [r4, #44] 1210 .L107: - 499:../system/src/drivers/l4/serial_stm32l4x.c **** - 500:../system/src/drivers/l4/serial_stm32l4x.c **** break; - 501:../system/src/drivers/l4/serial_stm32l4x.c **** default: - 502:../system/src/drivers/l4/serial_stm32l4x.c **** // if the UART driver is not receiving actually but hardware controler received any data - 503:../system/src/drivers/l4/serial_stm32l4x.c **** // it is required to read value of DR register to clear the interrupt - 504:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_garbage_storage = (uint8_t)ctx->port->RDR; - 505:../system/src/drivers/l4/serial_stm32l4x.c **** break; - 506:../system/src/drivers/l4/serial_stm32l4x.c **** } - 507:../system/src/drivers/l4/serial_stm32l4x.c **** } - 508:../system/src/drivers/l4/serial_stm32l4x.c **** - 509:../system/src/drivers/l4/serial_stm32l4x.c **** // if any data has been received by the UART controller - 510:../system/src/drivers/l4/serial_stm32l4x.c **** if ((ctx->port->ISR & USART_ISR_RXNE) == USART_ISR_RXNE) { - 1211 .loc 1 510 0 + 498:../system/src/drivers/l4/serial_stm32l4x.c **** + 499:../system/src/drivers/l4/serial_stm32l4x.c **** break; + 500:../system/src/drivers/l4/serial_stm32l4x.c **** default: + 501:../system/src/drivers/l4/serial_stm32l4x.c **** // if the UART driver is not receiving actually but hardware controler received any data + 502:../system/src/drivers/l4/serial_stm32l4x.c **** // it is required to read value of DR register to clear the interrupt + 503:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_garbage_storage = (uint8_t)ctx->port->RDR; + 504:../system/src/drivers/l4/serial_stm32l4x.c **** break; + 505:../system/src/drivers/l4/serial_stm32l4x.c **** } + 506:../system/src/drivers/l4/serial_stm32l4x.c **** } + 507:../system/src/drivers/l4/serial_stm32l4x.c **** + 508:../system/src/drivers/l4/serial_stm32l4x.c **** // if any data has been received by the UART controller + 509:../system/src/drivers/l4/serial_stm32l4x.c **** if ((ctx->port->ISR & USART_ISR_RXNE) == USART_ISR_RXNE) { + 1211 .loc 1 509 0 1212 003a DA69 ldr r2, [r3, #28] 1213 003c 12F0200F tst r2, #32 1214 0040 4AD0 beq .L110 - 511:../system/src/drivers/l4/serial_stm32l4x.c **** - 512:../system/src/drivers/l4/serial_stm32l4x.c **** // incremenet the received bytes counter - 513:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->total_rx_bytes++; - 1215 .loc 1 513 0 + 510:../system/src/drivers/l4/serial_stm32l4x.c **** + 511:../system/src/drivers/l4/serial_stm32l4x.c **** // incremenet the received bytes counter + 512:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->total_rx_bytes++; + 1215 .loc 1 512 0 1216 0042 226D ldr r2, [r4, #80] 1217 0044 0132 adds r2, r2, #1 1218 0046 2265 str r2, [r4, #80] - 514:../system/src/drivers/l4/serial_stm32l4x.c **** - 515:../system/src/drivers/l4/serial_stm32l4x.c **** switch (ctx->srl_rx_state) { - 1219 .loc 1 515 0 + 513:../system/src/drivers/l4/serial_stm32l4x.c **** + 514:../system/src/drivers/l4/serial_stm32l4x.c **** switch (ctx->srl_rx_state) { + 1219 .loc 1 514 0 1220 0048 94F84420 ldrb r2, [r4, #68] @ zero_extendqisi2 1221 004c 022A cmp r2, #2 1222 004e 00F0B580 beq .L111 1223 0052 032A cmp r2, #3 1224 0054 40D1 bne .L110 - 516:../system/src/drivers/l4/serial_stm32l4x.c **** case SRL_RXING: { - 517:../system/src/drivers/l4/serial_stm32l4x.c **** - 518:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_start_time = master_time; - 1225 .loc 1 518 0 + 515:../system/src/drivers/l4/serial_stm32l4x.c **** case SRL_RXING: { + 516:../system/src/drivers/l4/serial_stm32l4x.c **** + 517:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_start_time = master_time; + 1225 .loc 1 517 0 1226 0056 754A ldr r2, .L135 1227 0058 1268 ldr r2, [r2] 1228 005a A263 str r2, [r4, #56] - 519:../system/src/drivers/l4/serial_stm32l4x.c **** - 520:../system/src/drivers/l4/serial_stm32l4x.c **** // raise a flag to signalize that timeout shall be calulated from now. - 521:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_calc_started = 1; - 1229 .loc 1 521 0 + 518:../system/src/drivers/l4/serial_stm32l4x.c **** + 519:../system/src/drivers/l4/serial_stm32l4x.c **** // raise a flag to signalize that timeout shall be calulated from now. + 520:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_calc_started = 1; + 1229 .loc 1 520 0 1230 005c 0122 movs r2, #1 1231 005e 84F82F20 strb r2, [r4, #47] - 522:../system/src/drivers/l4/serial_stm32l4x.c **** - 523:../system/src/drivers/l4/serial_stm32l4x.c **** // disable the waiting timeout - 524:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_waiting_enable = 0; - 1232 .loc 1 524 0 + 521:../system/src/drivers/l4/serial_stm32l4x.c **** + 522:../system/src/drivers/l4/serial_stm32l4x.c **** // disable the waiting timeout + 523:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_waiting_enable = 0; + 1232 .loc 1 523 0 1233 0062 0022 movs r2, #0 1234 0064 84F82E20 strb r2, [r4, #46] - 525:../system/src/drivers/l4/serial_stm32l4x.c **** - 526:../system/src/drivers/l4/serial_stm32l4x.c **** // if there is any data remaining to receive - 527:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_bytes_counter < ctx->srl_rx_bytes_req) { - 1235 .loc 1 527 0 + 524:../system/src/drivers/l4/serial_stm32l4x.c **** + 525:../system/src/drivers/l4/serial_stm32l4x.c **** // if there is any data remaining to receive + 526:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_bytes_counter < ctx->srl_rx_bytes_req) { + 1235 .loc 1 526 0 1236 0068 228C ldrh r2, [r4, #32] 1237 006a A18C ldrh r1, [r4, #36] 1238 006c 8A42 cmp r2, r1 1239 006e 80F09180 bcs .L127 - 528:../system/src/drivers/l4/serial_stm32l4x.c **** - 529:../system/src/drivers/l4/serial_stm32l4x.c **** // storing received byte into buffer - 530:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_buf_pointer[ctx->srl_rx_bytes_counter] = (uint8_t)ctx->port->RDR; - 1240 .loc 1 530 0 + 527:../system/src/drivers/l4/serial_stm32l4x.c **** + 528:../system/src/drivers/l4/serial_stm32l4x.c **** // storing received byte into buffer + 529:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_buf_pointer[ctx->srl_rx_bytes_counter] = (uint8_t)ctx->port->RDR; + 1240 .loc 1 529 0 1241 0072 998C ldrh r1, [r3, #36] 1242 0074 A369 ldr r3, [r4, #24] 1243 0076 9954 strb r1, [r3, r2] - 531:../system/src/drivers/l4/serial_stm32l4x.c **** - 532:../system/src/drivers/l4/serial_stm32l4x.c **** // check if termination callback pointer has been set - 533:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_term != NULL) { - 1244 .loc 1 533 0 + 530:../system/src/drivers/l4/serial_stm32l4x.c **** + 531:../system/src/drivers/l4/serial_stm32l4x.c **** // check if termination callback pointer has been set + 532:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_term != NULL) { + 1244 .loc 1 532 0 1245 0078 E36C ldr r3, [r4, #76] 1246 007a 002B cmp r3, #0 1247 007c 76D0 beq .L128 - 534:../system/src/drivers/l4/serial_stm32l4x.c **** // if yes call it - 535:../system/src/drivers/l4/serial_stm32l4x.c **** stop_rxing = ctx->srl_rx_term( ctx->srl_rx_buf_pointer[ctx->srl_rx_bytes_counter], - 1248 .loc 1 535 0 + 533:../system/src/drivers/l4/serial_stm32l4x.c **** // if yes call it + 534:../system/src/drivers/l4/serial_stm32l4x.c **** stop_rxing = ctx->srl_rx_term( ctx->srl_rx_buf_pointer[ctx->srl_rx_bytes_counter], + 1248 .loc 1 534 0 1249 007e A569 ldr r5, [r4, #24] 1250 0080 208C ldrh r0, [r4, #32] 1251 .LVL85: @@ -4635,195 +4634,195 @@ 1254 0086 285C ldrb r0, [r5, r0] @ zero_extendqisi2 1255 0088 9847 blx r3 1256 .LVL86: - 536:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_buf_pointer, - 537:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter); - 538:../system/src/drivers/l4/serial_stm32l4x.c **** - 539:../system/src/drivers/l4/serial_stm32l4x.c **** // and check the return value - 540:../system/src/drivers/l4/serial_stm32l4x.c **** if (stop_rxing == 1) { - 1257 .loc 1 540 0 + 535:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_buf_pointer, + 536:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter); + 537:../system/src/drivers/l4/serial_stm32l4x.c **** + 538:../system/src/drivers/l4/serial_stm32l4x.c **** // and check the return value + 539:../system/src/drivers/l4/serial_stm32l4x.c **** if (stop_rxing == 1) { + 1257 .loc 1 539 0 1258 008a 0128 cmp r0, #1 1259 008c 67D0 beq .L131 1260 .LVL87: 1261 .L114: - 541:../system/src/drivers/l4/serial_stm32l4x.c **** // if this was the last byte of transmission switch the state - 542:../system/src/drivers/l4/serial_stm32l4x.c **** // of receiving part to done - 543:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_DONE; - 544:../system/src/drivers/l4/serial_stm32l4x.c **** - 545:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 0; - 546:../system/src/drivers/l4/serial_stm32l4x.c **** } - 547:../system/src/drivers/l4/serial_stm32l4x.c **** - 548:../system/src/drivers/l4/serial_stm32l4x.c **** } - 549:../system/src/drivers/l4/serial_stm32l4x.c **** - 550:../system/src/drivers/l4/serial_stm32l4x.c **** // checking if this byte in stream holds the protocol information about - 551:../system/src/drivers/l4/serial_stm32l4x.c **** // how many bytes needs to be received. - 552:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_lenght_param_addres == ctx->srl_rx_bytes_counter) { - 1262 .loc 1 552 0 + 540:../system/src/drivers/l4/serial_stm32l4x.c **** // if this was the last byte of transmission switch the state + 541:../system/src/drivers/l4/serial_stm32l4x.c **** // of receiving part to done + 542:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_DONE; + 543:../system/src/drivers/l4/serial_stm32l4x.c **** + 544:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_triggered_stop = 0; + 545:../system/src/drivers/l4/serial_stm32l4x.c **** } + 546:../system/src/drivers/l4/serial_stm32l4x.c **** + 547:../system/src/drivers/l4/serial_stm32l4x.c **** } + 548:../system/src/drivers/l4/serial_stm32l4x.c **** + 549:../system/src/drivers/l4/serial_stm32l4x.c **** // checking if this byte in stream holds the protocol information about + 550:../system/src/drivers/l4/serial_stm32l4x.c **** // how many bytes needs to be received. + 551:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_lenght_param_addres == ctx->srl_rx_bytes_counter) { + 1262 .loc 1 551 0 1263 008e 94F84920 ldrb r2, [r4, #73] @ zero_extendqisi2 1264 0092 238C ldrh r3, [r4, #32] 1265 0094 9A42 cmp r2, r3 1266 0096 6BD0 beq .L132 1267 .LVL88: 1268 .L115: - 553:../system/src/drivers/l4/serial_stm32l4x.c **** len_temp = ctx->srl_rx_buf_pointer[ctx->srl_rx_bytes_counter]; - 554:../system/src/drivers/l4/serial_stm32l4x.c **** - 555:../system/src/drivers/l4/serial_stm32l4x.c **** // adding (or substracting) a length modifier - 556:../system/src/drivers/l4/serial_stm32l4x.c **** len_temp += ctx->srl_rx_lenght_param_modifier; - 557:../system/src/drivers/l4/serial_stm32l4x.c **** - 558:../system/src/drivers/l4/serial_stm32l4x.c **** // if the target length is bigger than buffer size switch to error state - 559:../system/src/drivers/l4/serial_stm32l4x.c **** if (len_temp >= ctx->srl_rx_buf_ln) { - 560:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_error_reason = SRL_ERR_OVERFLOW; - 561:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_ERROR; - 562:../system/src/drivers/l4/serial_stm32l4x.c **** stop_rxing = 1; - 563:../system/src/drivers/l4/serial_stm32l4x.c **** } - 564:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 565:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_req = len_temp; - 566:../system/src/drivers/l4/serial_stm32l4x.c **** } - 567:../system/src/drivers/l4/serial_stm32l4x.c **** } - 568:../system/src/drivers/l4/serial_stm32l4x.c **** - 569:../system/src/drivers/l4/serial_stm32l4x.c **** // moving buffer pointer forward - 570:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter++; - 1269 .loc 1 570 0 + 552:../system/src/drivers/l4/serial_stm32l4x.c **** len_temp = ctx->srl_rx_buf_pointer[ctx->srl_rx_bytes_counter]; + 553:../system/src/drivers/l4/serial_stm32l4x.c **** + 554:../system/src/drivers/l4/serial_stm32l4x.c **** // adding (or substracting) a length modifier + 555:../system/src/drivers/l4/serial_stm32l4x.c **** len_temp += ctx->srl_rx_lenght_param_modifier; + 556:../system/src/drivers/l4/serial_stm32l4x.c **** + 557:../system/src/drivers/l4/serial_stm32l4x.c **** // if the target length is bigger than buffer size switch to error state + 558:../system/src/drivers/l4/serial_stm32l4x.c **** if (len_temp >= ctx->srl_rx_buf_ln) { + 559:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_error_reason = SRL_ERR_OVERFLOW; + 560:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_ERROR; + 561:../system/src/drivers/l4/serial_stm32l4x.c **** stop_rxing = 1; + 562:../system/src/drivers/l4/serial_stm32l4x.c **** } + 563:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 564:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_req = len_temp; + 565:../system/src/drivers/l4/serial_stm32l4x.c **** } + 566:../system/src/drivers/l4/serial_stm32l4x.c **** } + 567:../system/src/drivers/l4/serial_stm32l4x.c **** + 568:../system/src/drivers/l4/serial_stm32l4x.c **** // moving buffer pointer forward + 569:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter++; + 1269 .loc 1 569 0 1270 0098 0133 adds r3, r3, #1 1271 009a 2384 strh r3, [r4, #32] @ movhi 1272 .LVL89: 1273 .L113: - 571:../system/src/drivers/l4/serial_stm32l4x.c **** - 572:../system/src/drivers/l4/serial_stm32l4x.c **** } - 573:../system/src/drivers/l4/serial_stm32l4x.c **** - 574:../system/src/drivers/l4/serial_stm32l4x.c **** // if the user want the driver to stop receiving after certain is received - 575:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_triggered_stop == 1) { - 1274 .loc 1 575 0 + 570:../system/src/drivers/l4/serial_stm32l4x.c **** + 571:../system/src/drivers/l4/serial_stm32l4x.c **** } + 572:../system/src/drivers/l4/serial_stm32l4x.c **** + 573:../system/src/drivers/l4/serial_stm32l4x.c **** // if the user want the driver to stop receiving after certain is received + 574:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_triggered_stop == 1) { + 1274 .loc 1 574 0 1275 009c 94F82930 ldrb r3, [r4, #41] @ zero_extendqisi2 1276 00a0 012B cmp r3, #1 1277 00a2 79D0 beq .L133 1278 .LVL90: 1279 .L117: - 576:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_buf_pointer[ctx->srl_rx_bytes_counter - 1] == ctx->srl_stop_trigger) { - 577:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_DONE; - 578:../system/src/drivers/l4/serial_stm32l4x.c **** stop_rxing = 1; - 579:../system/src/drivers/l4/serial_stm32l4x.c **** } - 580:../system/src/drivers/l4/serial_stm32l4x.c **** } - 581:../system/src/drivers/l4/serial_stm32l4x.c **** - 582:../system/src/drivers/l4/serial_stm32l4x.c **** // if after incrementing a pointer we reached the end of the buffer - 583:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_bytes_counter >= ctx->srl_rx_bytes_req) { - 1280 .loc 1 583 0 + 575:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_buf_pointer[ctx->srl_rx_bytes_counter - 1] == ctx->srl_stop_trigger) { + 576:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_DONE; + 577:../system/src/drivers/l4/serial_stm32l4x.c **** stop_rxing = 1; + 578:../system/src/drivers/l4/serial_stm32l4x.c **** } + 579:../system/src/drivers/l4/serial_stm32l4x.c **** } + 580:../system/src/drivers/l4/serial_stm32l4x.c **** + 581:../system/src/drivers/l4/serial_stm32l4x.c **** // if after incrementing a pointer we reached the end of the buffer + 582:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_bytes_counter >= ctx->srl_rx_bytes_req) { + 1280 .loc 1 582 0 1281 00a4 228C ldrh r2, [r4, #32] 1282 00a6 A38C ldrh r3, [r4, #36] 1283 00a8 9A42 cmp r2, r3 1284 00aa C0F08480 bcc .L118 1285 .LVL91: - 584:../system/src/drivers/l4/serial_stm32l4x.c **** - 585:../system/src/drivers/l4/serial_stm32l4x.c **** // enabling a flag to disble receiver - 586:../system/src/drivers/l4/serial_stm32l4x.c **** stop_rxing = 1; - 587:../system/src/drivers/l4/serial_stm32l4x.c **** - 588:../system/src/drivers/l4/serial_stm32l4x.c **** // setting a state to receive done - 589:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_DONE; - 1286 .loc 1 589 0 + 583:../system/src/drivers/l4/serial_stm32l4x.c **** + 584:../system/src/drivers/l4/serial_stm32l4x.c **** // enabling a flag to disble receiver + 585:../system/src/drivers/l4/serial_stm32l4x.c **** stop_rxing = 1; + 586:../system/src/drivers/l4/serial_stm32l4x.c **** + 587:../system/src/drivers/l4/serial_stm32l4x.c **** // setting a state to receive done + 588:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_DONE; + 1286 .loc 1 588 0 1287 00ae 0423 movs r3, #4 1288 00b0 84F84430 strb r3, [r4, #68] 1289 .LVL92: 1290 .L119: - 590:../system/src/drivers/l4/serial_stm32l4x.c **** } - 591:../system/src/drivers/l4/serial_stm32l4x.c **** - 592:../system/src/drivers/l4/serial_stm32l4x.c **** if (stop_rxing == 1) { - 593:../system/src/drivers/l4/serial_stm32l4x.c **** - 594:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_calc_started = 0; - 1291 .loc 1 594 0 + 589:../system/src/drivers/l4/serial_stm32l4x.c **** } + 590:../system/src/drivers/l4/serial_stm32l4x.c **** + 591:../system/src/drivers/l4/serial_stm32l4x.c **** if (stop_rxing == 1) { + 592:../system/src/drivers/l4/serial_stm32l4x.c **** + 593:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_calc_started = 0; + 1291 .loc 1 593 0 1292 00b4 0023 movs r3, #0 1293 00b6 84F82F30 strb r3, [r4, #47] - 595:../system/src/drivers/l4/serial_stm32l4x.c **** - 596:../system/src/drivers/l4/serial_stm32l4x.c **** // disabling UART receiver and its interrupt - 597:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RE); - 1294 .loc 1 597 0 + 594:../system/src/drivers/l4/serial_stm32l4x.c **** + 595:../system/src/drivers/l4/serial_stm32l4x.c **** // disabling UART receiver and its interrupt + 596:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RE); + 1294 .loc 1 596 0 1295 00ba 2268 ldr r2, [r4] 1296 00bc 1368 ldr r3, [r2] 1297 00be 23F00403 bic r3, r3, #4 1298 00c2 1360 str r3, [r2] - 598:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RXNEIE); - 1299 .loc 1 598 0 + 597:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_RXNEIE); + 1299 .loc 1 597 0 1300 00c4 2268 ldr r2, [r4] 1301 00c6 1368 ldr r3, [r2] 1302 00c8 23F02003 bic r3, r3, #32 1303 00cc 1360 str r3, [r2] - 599:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_IDLEIE); - 1304 .loc 1 599 0 + 598:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_IDLEIE); + 1304 .loc 1 598 0 1305 00ce 2268 ldr r2, [r4] 1306 00d0 1368 ldr r3, [r2] 1307 00d2 23F01003 bic r3, r3, #16 1308 00d6 1360 str r3, [r2] 1309 .LVL93: 1310 .L110: - 600:../system/src/drivers/l4/serial_stm32l4x.c **** } - 601:../system/src/drivers/l4/serial_stm32l4x.c **** - 602:../system/src/drivers/l4/serial_stm32l4x.c **** break; - 603:../system/src/drivers/l4/serial_stm32l4x.c **** } - 604:../system/src/drivers/l4/serial_stm32l4x.c **** - 605:../system/src/drivers/l4/serial_stm32l4x.c **** // the state when a driver is waiting for start character to appear on serial link - 606:../system/src/drivers/l4/serial_stm32l4x.c **** case SRL_WAITING_TO_RX: { - 607:../system/src/drivers/l4/serial_stm32l4x.c **** - 608:../system/src/drivers/l4/serial_stm32l4x.c **** // storing the value of DR register into local variable to protect against data races - 609:../system/src/drivers/l4/serial_stm32l4x.c **** // which may happened if this IT routine will be preempted by another (long) one - 610:../system/src/drivers/l4/serial_stm32l4x.c **** value = (uint8_t)ctx->port->RDR; - 611:../system/src/drivers/l4/serial_stm32l4x.c **** - 612:../system/src/drivers/l4/serial_stm32l4x.c **** // checking if start character was received - 613:../system/src/drivers/l4/serial_stm32l4x.c **** if (value == ctx->srl_start_trigger) { - 614:../system/src/drivers/l4/serial_stm32l4x.c **** - 615:../system/src/drivers/l4/serial_stm32l4x.c **** // storing received byte in buffer as firts one - 616:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_buf_pointer[ctx->srl_rx_bytes_counter] = value; - 617:../system/src/drivers/l4/serial_stm32l4x.c **** - 618:../system/src/drivers/l4/serial_stm32l4x.c **** // increasing the counter value - 619:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter++; - 620:../system/src/drivers/l4/serial_stm32l4x.c **** - 621:../system/src/drivers/l4/serial_stm32l4x.c **** // change state to receiving - 622:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RXING; - 623:../system/src/drivers/l4/serial_stm32l4x.c **** - 624:../system/src/drivers/l4/serial_stm32l4x.c **** // as receiving is started there is no point to calculate waiting timeout - 625:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_waiting_enable = 0; - 626:../system/src/drivers/l4/serial_stm32l4x.c **** } - 627:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 628:../system/src/drivers/l4/serial_stm32l4x.c **** // if this is not start byte just store it in garbage buffer to clear interrupt condition - 629:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_garbage_storage = value; - 630:../system/src/drivers/l4/serial_stm32l4x.c **** } - 631:../system/src/drivers/l4/serial_stm32l4x.c **** break; - 632:../system/src/drivers/l4/serial_stm32l4x.c **** } - 633:../system/src/drivers/l4/serial_stm32l4x.c **** default: break; - 634:../system/src/drivers/l4/serial_stm32l4x.c **** } - 635:../system/src/drivers/l4/serial_stm32l4x.c **** - 636:../system/src/drivers/l4/serial_stm32l4x.c **** } - 637:../system/src/drivers/l4/serial_stm32l4x.c **** - 638:../system/src/drivers/l4/serial_stm32l4x.c **** // if one byte was successfully transferred from DR to shift register for transmission over USART - 639:../system/src/drivers/l4/serial_stm32l4x.c **** if ((ctx->port->ISR & USART_ISR_TXE) == USART_ISR_TXE) { - 1311 .loc 1 639 0 + 599:../system/src/drivers/l4/serial_stm32l4x.c **** } + 600:../system/src/drivers/l4/serial_stm32l4x.c **** + 601:../system/src/drivers/l4/serial_stm32l4x.c **** break; + 602:../system/src/drivers/l4/serial_stm32l4x.c **** } + 603:../system/src/drivers/l4/serial_stm32l4x.c **** + 604:../system/src/drivers/l4/serial_stm32l4x.c **** // the state when a driver is waiting for start character to appear on serial link + 605:../system/src/drivers/l4/serial_stm32l4x.c **** case SRL_WAITING_TO_RX: { + 606:../system/src/drivers/l4/serial_stm32l4x.c **** + 607:../system/src/drivers/l4/serial_stm32l4x.c **** // storing the value of DR register into local variable to protect against data races + 608:../system/src/drivers/l4/serial_stm32l4x.c **** // which may happened if this IT routine will be preempted by another (long) one + 609:../system/src/drivers/l4/serial_stm32l4x.c **** value = (uint8_t)ctx->port->RDR; + 610:../system/src/drivers/l4/serial_stm32l4x.c **** + 611:../system/src/drivers/l4/serial_stm32l4x.c **** // checking if start character was received + 612:../system/src/drivers/l4/serial_stm32l4x.c **** if (value == ctx->srl_start_trigger) { + 613:../system/src/drivers/l4/serial_stm32l4x.c **** + 614:../system/src/drivers/l4/serial_stm32l4x.c **** // storing received byte in buffer as firts one + 615:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_buf_pointer[ctx->srl_rx_bytes_counter] = value; + 616:../system/src/drivers/l4/serial_stm32l4x.c **** + 617:../system/src/drivers/l4/serial_stm32l4x.c **** // increasing the counter value + 618:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_bytes_counter++; + 619:../system/src/drivers/l4/serial_stm32l4x.c **** + 620:../system/src/drivers/l4/serial_stm32l4x.c **** // change state to receiving + 621:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RXING; + 622:../system/src/drivers/l4/serial_stm32l4x.c **** + 623:../system/src/drivers/l4/serial_stm32l4x.c **** // as receiving is started there is no point to calculate waiting timeout + 624:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_waiting_enable = 0; + 625:../system/src/drivers/l4/serial_stm32l4x.c **** } + 626:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 627:../system/src/drivers/l4/serial_stm32l4x.c **** // if this is not start byte just store it in garbage buffer to clear interrupt condition + 628:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_garbage_storage = value; + 629:../system/src/drivers/l4/serial_stm32l4x.c **** } + 630:../system/src/drivers/l4/serial_stm32l4x.c **** break; + 631:../system/src/drivers/l4/serial_stm32l4x.c **** } + 632:../system/src/drivers/l4/serial_stm32l4x.c **** default: break; + 633:../system/src/drivers/l4/serial_stm32l4x.c **** } + 634:../system/src/drivers/l4/serial_stm32l4x.c **** + 635:../system/src/drivers/l4/serial_stm32l4x.c **** } + 636:../system/src/drivers/l4/serial_stm32l4x.c **** + 637:../system/src/drivers/l4/serial_stm32l4x.c **** // if one byte was successfully transferred from DR to shift register for transmission over USART + 638:../system/src/drivers/l4/serial_stm32l4x.c **** if ((ctx->port->ISR & USART_ISR_TXE) == USART_ISR_TXE) { + 1311 .loc 1 638 0 1312 00d8 2368 ldr r3, [r4] 1313 00da DA69 ldr r2, [r3, #28] 1314 00dc 12F0800F tst r2, #128 1315 00e0 37D0 beq .L105 - 640:../system/src/drivers/l4/serial_stm32l4x.c **** - 641:../system/src/drivers/l4/serial_stm32l4x.c **** // increment the transmitted bytes counter - 642:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->total_tx_bytes++; - 1316 .loc 1 642 0 + 639:../system/src/drivers/l4/serial_stm32l4x.c **** + 640:../system/src/drivers/l4/serial_stm32l4x.c **** // increment the transmitted bytes counter + 641:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->total_tx_bytes++; + 1316 .loc 1 641 0 1317 00e2 626D ldr r2, [r4, #84] 1318 00e4 0132 adds r2, r2, #1 1319 00e6 6265 str r2, [r4, #84] - 643:../system/src/drivers/l4/serial_stm32l4x.c **** - 644:../system/src/drivers/l4/serial_stm32l4x.c **** switch (ctx->srl_tx_state) { - 1320 .loc 1 644 0 + 642:../system/src/drivers/l4/serial_stm32l4x.c **** + 643:../system/src/drivers/l4/serial_stm32l4x.c **** switch (ctx->srl_tx_state) { + 1320 .loc 1 643 0 1321 00e8 94F84520 ldrb r2, [r4, #69] @ zero_extendqisi2 1322 00ec 032A cmp r2, #3 1323 00ee 30D1 bne .L105 - 645:../system/src/drivers/l4/serial_stm32l4x.c **** case SRL_TXING: - 646:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_tx_bytes_counter < ctx->srl_tx_bytes_req) { - 1324 .loc 1 646 0 + 644:../system/src/drivers/l4/serial_stm32l4x.c **** case SRL_TXING: + 645:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_tx_bytes_counter < ctx->srl_tx_bytes_req) { + 1324 .loc 1 645 0 1325 00f0 618C ldrh r1, [r4, #34] 1326 00f2 E28C ldrh r2, [r4, #38] 1327 00f4 9142 cmp r1, r2 1328 00f6 77D2 bcs .L123 - 647:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->te_port != 0) { - 1329 .loc 1 647 0 + 646:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->te_port != 0) { + 1329 .loc 1 646 0 1330 00f8 E368 ldr r3, [r4, #12] 1331 00fa 0BB1 cbz r3, .L124 - 648:../system/src/drivers/l4/serial_stm32l4x.c **** LL_GPIO_SetOutputPin(ctx->te_port, ctx->te_pin); - 1332 .loc 1 648 0 + 647:../system/src/drivers/l4/serial_stm32l4x.c **** LL_GPIO_SetOutputPin(ctx->te_port, ctx->te_pin); + 1332 .loc 1 647 0 1333 00fc 228A ldrh r2, [r4, #16] 1334 .LVL94: 1335 .LBB26: @@ -4835,10 +4834,10 @@ 1340 .L124: 1341 .LBE27: 1342 .LBE26: - 649:../system/src/drivers/l4/serial_stm32l4x.c **** } - 650:../system/src/drivers/l4/serial_stm32l4x.c **** - 651:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->TDR = ctx->srl_tx_buf_pointer[ctx->srl_tx_bytes_counter++]; - 1343 .loc 1 651 0 + 648:../system/src/drivers/l4/serial_stm32l4x.c **** } + 649:../system/src/drivers/l4/serial_stm32l4x.c **** + 650:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->TDR = ctx->srl_tx_buf_pointer[ctx->srl_tx_bytes_counter++]; + 1343 .loc 1 650 0 1344 0100 6169 ldr r1, [r4, #20] 1345 0102 638C ldrh r3, [r4, #34] 1346 0104 5A1C adds r2, r3, #1 @@ -4847,71 +4846,71 @@ 1349 010a CB5C ldrb r3, [r1, r3] @ zero_extendqisi2 1350 010c 1385 strh r3, [r2, #40] @ movhi 1351 .L125: - 652:../system/src/drivers/l4/serial_stm32l4x.c **** } - 653:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 654:../system/src/drivers/l4/serial_stm32l4x.c **** while((ctx->port->ISR & USART_ISR_TC) != USART_ISR_TC); - 655:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TE); //wyġṗczanie nadajnika portu szeregowego - 656:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TXEIE); - 657:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TCIE); // wyġṗczanie przerwañ od portu szeregoweg - 658:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); - 659:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TX_IDLE; - 660:../system/src/drivers/l4/serial_stm32l4x.c **** - 661:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->te_port != 0) { - 662:../system/src/drivers/l4/serial_stm32l4x.c **** LL_GPIO_ResetOutputPin(ctx->te_port, ctx->te_pin); - 663:../system/src/drivers/l4/serial_stm32l4x.c **** } - 664:../system/src/drivers/l4/serial_stm32l4x.c **** - 665:../system/src/drivers/l4/serial_stm32l4x.c **** } - 666:../system/src/drivers/l4/serial_stm32l4x.c **** - 667:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_tx_bytes_counter >= ctx->srl_tx_buf_ln || - 1352 .loc 1 667 0 + 651:../system/src/drivers/l4/serial_stm32l4x.c **** } + 652:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 653:../system/src/drivers/l4/serial_stm32l4x.c **** while((ctx->port->ISR & USART_ISR_TC) != USART_ISR_TC); + 654:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TE); //wyġṗczanie nadajnika portu szeregowego + 655:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TXEIE); + 656:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TCIE); // wyġṗczanie przerwañ od portu szeregoweg + 657:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); + 658:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TX_IDLE; + 659:../system/src/drivers/l4/serial_stm32l4x.c **** + 660:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->te_port != 0) { + 661:../system/src/drivers/l4/serial_stm32l4x.c **** LL_GPIO_ResetOutputPin(ctx->te_port, ctx->te_pin); + 662:../system/src/drivers/l4/serial_stm32l4x.c **** } + 663:../system/src/drivers/l4/serial_stm32l4x.c **** + 664:../system/src/drivers/l4/serial_stm32l4x.c **** } + 665:../system/src/drivers/l4/serial_stm32l4x.c **** + 666:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_tx_bytes_counter >= ctx->srl_tx_buf_ln || + 1352 .loc 1 666 0 1353 010e 628C ldrh r2, [r4, #34] 1354 0110 E38B ldrh r3, [r4, #30] 1355 0112 9A42 cmp r2, r3 1356 0114 02D2 bcs .L126 - 668:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_req >= ctx->srl_tx_buf_ln) { - 1357 .loc 1 668 0 discriminator 1 - 1358 0116 E28C ldrh r2, [r4, #38] 667:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_req >= ctx->srl_tx_buf_ln) { - 1359 .loc 1 667 0 discriminator 1 + 1357 .loc 1 667 0 discriminator 1 + 1358 0116 E28C ldrh r2, [r4, #38] + 666:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_req >= ctx->srl_tx_buf_ln) { + 1359 .loc 1 666 0 discriminator 1 1360 0118 9342 cmp r3, r2 1361 011a 1AD8 bhi .L105 1362 .L126: - 669:../system/src/drivers/l4/serial_stm32l4x.c **** - 670:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TE); //wyġṗczanie nadajnika portu szeregowego - 1363 .loc 1 670 0 + 668:../system/src/drivers/l4/serial_stm32l4x.c **** + 669:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TE); //wyġṗczanie nadajnika portu szeregowego + 1363 .loc 1 669 0 1364 011c 2268 ldr r2, [r4] 1365 011e 1368 ldr r3, [r2] 1366 0120 23F00803 bic r3, r3, #8 1367 0124 1360 str r3, [r2] - 671:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TXEIE); - 1368 .loc 1 671 0 + 670:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TXEIE); + 1368 .loc 1 670 0 1369 0126 2268 ldr r2, [r4] 1370 0128 1368 ldr r3, [r2] 1371 012a 23F08003 bic r3, r3, #128 1372 012e 1360 str r3, [r2] - 672:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TCIE); // wyġṗczanie przerwañ od portu szeregoweg - 1373 .loc 1 672 0 + 671:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TCIE); // wyġṗczanie przerwañ od portu szeregoweg + 1373 .loc 1 671 0 1374 0130 2268 ldr r2, [r4] 1375 0132 1368 ldr r3, [r2] 1376 0134 23F04003 bic r3, r3, #64 1377 0138 1360 str r3, [r2] - 673:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); - 1378 .loc 1 673 0 + 672:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); + 1378 .loc 1 672 0 1379 013a 2268 ldr r2, [r4] 1380 013c D369 ldr r3, [r2, #28] 1381 013e 23F04003 bic r3, r3, #64 1382 0142 D361 str r3, [r2, #28] - 674:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TX_IDLE; - 1383 .loc 1 674 0 + 673:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TX_IDLE; + 1383 .loc 1 673 0 1384 0144 0123 movs r3, #1 1385 0146 84F84530 strb r3, [r4, #69] - 675:../system/src/drivers/l4/serial_stm32l4x.c **** - 676:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->te_port != 0) { - 1386 .loc 1 676 0 + 674:../system/src/drivers/l4/serial_stm32l4x.c **** + 675:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->te_port != 0) { + 1386 .loc 1 675 0 1387 014a E368 ldr r3, [r4, #12] 1388 014c 0BB1 cbz r3, .L105 - 677:../system/src/drivers/l4/serial_stm32l4x.c **** LL_GPIO_ResetOutputPin(ctx->te_port, ctx->te_pin); - 1389 .loc 1 677 0 + 676:../system/src/drivers/l4/serial_stm32l4x.c **** LL_GPIO_ResetOutputPin(ctx->te_port, ctx->te_pin); + 1389 .loc 1 676 0 1390 014e 228A ldrh r2, [r4, #16] 1391 .LVL96: 1392 .LBB28: @@ -4922,95 +4921,95 @@ 1397 .L105: 1398 .LBE29: 1399 .LBE28: - 678:../system/src/drivers/l4/serial_stm32l4x.c **** } - 679:../system/src/drivers/l4/serial_stm32l4x.c **** - 680:../system/src/drivers/l4/serial_stm32l4x.c **** } - 681:../system/src/drivers/l4/serial_stm32l4x.c **** - 682:../system/src/drivers/l4/serial_stm32l4x.c **** break; - 683:../system/src/drivers/l4/serial_stm32l4x.c **** default: break; - 684:../system/src/drivers/l4/serial_stm32l4x.c **** } - 685:../system/src/drivers/l4/serial_stm32l4x.c **** } - 686:../system/src/drivers/l4/serial_stm32l4x.c **** - 687:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1400 .loc 1 687 0 + 677:../system/src/drivers/l4/serial_stm32l4x.c **** } + 678:../system/src/drivers/l4/serial_stm32l4x.c **** + 679:../system/src/drivers/l4/serial_stm32l4x.c **** } + 680:../system/src/drivers/l4/serial_stm32l4x.c **** + 681:../system/src/drivers/l4/serial_stm32l4x.c **** break; + 682:../system/src/drivers/l4/serial_stm32l4x.c **** default: break; + 683:../system/src/drivers/l4/serial_stm32l4x.c **** } + 684:../system/src/drivers/l4/serial_stm32l4x.c **** } + 685:../system/src/drivers/l4/serial_stm32l4x.c **** + 686:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1400 .loc 1 686 0 1401 0152 38BD pop {r3, r4, r5, pc} 1402 .LVL98: 1403 .L129: - 504:../system/src/drivers/l4/serial_stm32l4x.c **** break; - 1404 .loc 1 504 0 + 503:../system/src/drivers/l4/serial_stm32l4x.c **** break; + 1404 .loc 1 503 0 1405 0154 9A8C ldrh r2, [r3, #36] 1406 0156 D2B2 uxtb r2, r2 1407 0158 84F82C20 strb r2, [r4, #44] - 505:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1408 .loc 1 505 0 + 504:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1408 .loc 1 504 0 1409 015c 6DE7 b .L107 1410 .LVL99: 1411 .L131: - 543:../system/src/drivers/l4/serial_stm32l4x.c **** - 1412 .loc 1 543 0 + 542:../system/src/drivers/l4/serial_stm32l4x.c **** + 1412 .loc 1 542 0 1413 015e 0423 movs r3, #4 1414 0160 84F84430 strb r3, [r4, #68] - 545:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1415 .loc 1 545 0 + 544:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1415 .loc 1 544 0 1416 0164 0023 movs r3, #0 1417 0166 84F82930 strb r3, [r4, #41] 1418 016a 90E7 b .L114 1419 .LVL100: 1420 .L128: - 483:../system/src/drivers/l4/serial_stm32l4x.c **** - 1421 .loc 1 483 0 + 482:../system/src/drivers/l4/serial_stm32l4x.c **** + 1421 .loc 1 482 0 1422 016c 0020 movs r0, #0 1423 .LVL101: 1424 016e 8EE7 b .L114 1425 .LVL102: 1426 .L132: - 553:../system/src/drivers/l4/serial_stm32l4x.c **** - 1427 .loc 1 553 0 + 552:../system/src/drivers/l4/serial_stm32l4x.c **** + 1427 .loc 1 552 0 1428 0170 A269 ldr r2, [r4, #24] 1429 0172 D15C ldrb r1, [r2, r3] @ zero_extendqisi2 1430 .LVL103: - 556:../system/src/drivers/l4/serial_stm32l4x.c **** - 1431 .loc 1 556 0 + 555:../system/src/drivers/l4/serial_stm32l4x.c **** + 1431 .loc 1 555 0 1432 0174 94F84A20 ldrb r2, [r4, #74] @ zero_extendqisi2 1433 0178 0A44 add r2, r2, r1 1434 .LVL104: - 559:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_error_reason = SRL_ERR_OVERFLOW; - 1435 .loc 1 559 0 + 558:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_error_reason = SRL_ERR_OVERFLOW; + 1435 .loc 1 558 0 1436 017a A18B ldrh r1, [r4, #28] 1437 017c 9142 cmp r1, r2 1438 017e 07D8 bhi .L116 - 560:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_ERROR; - 1439 .loc 1 560 0 + 559:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_ERROR; + 1439 .loc 1 559 0 1440 0180 0322 movs r2, #3 1441 .LVL105: 1442 0182 84F84620 strb r2, [r4, #70] 1443 .LVL106: - 561:../system/src/drivers/l4/serial_stm32l4x.c **** stop_rxing = 1; - 1444 .loc 1 561 0 + 560:../system/src/drivers/l4/serial_stm32l4x.c **** stop_rxing = 1; + 1444 .loc 1 560 0 1445 0186 0522 movs r2, #5 1446 0188 84F84420 strb r2, [r4, #68] 1447 .LVL107: - 562:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1448 .loc 1 562 0 + 561:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1448 .loc 1 561 0 1449 018c 0120 movs r0, #1 1450 018e 83E7 b .L115 1451 .LVL108: 1452 .L116: - 565:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1453 .loc 1 565 0 + 564:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1453 .loc 1 564 0 1454 0190 A284 strh r2, [r4, #36] @ movhi 1455 0192 81E7 b .L115 1456 .LVL109: 1457 .L127: - 483:../system/src/drivers/l4/serial_stm32l4x.c **** - 1458 .loc 1 483 0 + 482:../system/src/drivers/l4/serial_stm32l4x.c **** + 1458 .loc 1 482 0 1459 0194 0020 movs r0, #0 1460 .LVL110: 1461 0196 81E7 b .L113 1462 .LVL111: 1463 .L133: - 576:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_DONE; - 1464 .loc 1 576 0 + 575:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_state = SRL_RX_DONE; + 1464 .loc 1 575 0 1465 0198 A269 ldr r2, [r4, #24] 1466 019a 218C ldrh r1, [r4, #32] 1467 019c 0A44 add r2, r2, r1 @@ -5018,101 +5017,101 @@ 1469 01a2 94F82B20 ldrb r2, [r4, #43] @ zero_extendqisi2 1470 01a6 9142 cmp r1, r2 1471 01a8 7FF47CAF bne .L117 - 577:../system/src/drivers/l4/serial_stm32l4x.c **** stop_rxing = 1; - 1472 .loc 1 577 0 + 576:../system/src/drivers/l4/serial_stm32l4x.c **** stop_rxing = 1; + 1472 .loc 1 576 0 1473 01ac 0422 movs r2, #4 1474 01ae 84F84420 strb r2, [r4, #68] 1475 .LVL112: - 578:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1476 .loc 1 578 0 + 577:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1476 .loc 1 577 0 1477 01b2 1846 mov r0, r3 1478 01b4 76E7 b .L117 1479 .LVL113: 1480 .L118: - 592:../system/src/drivers/l4/serial_stm32l4x.c **** - 1481 .loc 1 592 0 + 591:../system/src/drivers/l4/serial_stm32l4x.c **** + 1481 .loc 1 591 0 1482 01b6 0128 cmp r0, #1 1483 01b8 8ED1 bne .L110 1484 01ba 7BE7 b .L119 1485 .LVL114: 1486 .L111: - 610:../system/src/drivers/l4/serial_stm32l4x.c **** - 1487 .loc 1 610 0 + 609:../system/src/drivers/l4/serial_stm32l4x.c **** + 1487 .loc 1 609 0 1488 01bc 9B8C ldrh r3, [r3, #36] 1489 01be DBB2 uxtb r3, r3 1490 .LVL115: - 613:../system/src/drivers/l4/serial_stm32l4x.c **** - 1491 .loc 1 613 0 + 612:../system/src/drivers/l4/serial_stm32l4x.c **** + 1491 .loc 1 612 0 1492 01c0 94F82A20 ldrb r2, [r4, #42] @ zero_extendqisi2 1493 01c4 9A42 cmp r2, r3 1494 01c6 02D0 beq .L134 - 629:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1495 .loc 1 629 0 + 628:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1495 .loc 1 628 0 1496 01c8 84F82C30 strb r3, [r4, #44] 1497 01cc 84E7 b .L110 1498 .L134: - 616:../system/src/drivers/l4/serial_stm32l4x.c **** - 1499 .loc 1 616 0 + 615:../system/src/drivers/l4/serial_stm32l4x.c **** + 1499 .loc 1 615 0 1500 01ce A169 ldr r1, [r4, #24] 1501 01d0 228C ldrh r2, [r4, #32] 1502 01d2 8B54 strb r3, [r1, r2] - 619:../system/src/drivers/l4/serial_stm32l4x.c **** - 1503 .loc 1 619 0 + 618:../system/src/drivers/l4/serial_stm32l4x.c **** + 1503 .loc 1 618 0 1504 01d4 238C ldrh r3, [r4, #32] 1505 .LVL116: 1506 01d6 0133 adds r3, r3, #1 1507 01d8 2384 strh r3, [r4, #32] @ movhi 1508 .LVL117: - 622:../system/src/drivers/l4/serial_stm32l4x.c **** - 1509 .loc 1 622 0 + 621:../system/src/drivers/l4/serial_stm32l4x.c **** + 1509 .loc 1 621 0 1510 01da 0323 movs r3, #3 1511 01dc 84F84430 strb r3, [r4, #68] - 625:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1512 .loc 1 625 0 + 624:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1512 .loc 1 624 0 1513 01e0 0023 movs r3, #0 1514 01e2 84F82E30 strb r3, [r4, #46] 1515 01e6 77E7 b .L110 1516 .LVL118: 1517 .L123: - 654:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TE); //wyġṗczanie nadajnika portu szeregowego - 1518 .loc 1 654 0 discriminator 1 + 653:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TE); //wyġṗczanie nadajnika portu szeregowego + 1518 .loc 1 653 0 discriminator 1 1519 01e8 DA69 ldr r2, [r3, #28] 1520 01ea 12F0400F tst r2, #64 1521 01ee FBD0 beq .L123 - 655:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TXEIE); - 1522 .loc 1 655 0 + 654:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TXEIE); + 1522 .loc 1 654 0 1523 01f0 1A68 ldr r2, [r3] 1524 01f2 22F00802 bic r2, r2, #8 1525 01f6 1A60 str r2, [r3] - 656:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TCIE); // wyġṗczanie przerwañ od portu szeregoweg - 1526 .loc 1 656 0 + 655:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 &= (0xFFFFFFFF ^ USART_CR1_TCIE); // wyġṗczanie przerwañ od portu szeregoweg + 1526 .loc 1 655 0 1527 01f8 2268 ldr r2, [r4] 1528 01fa 1368 ldr r3, [r2] 1529 01fc 23F08003 bic r3, r3, #128 1530 0200 1360 str r3, [r2] - 657:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); - 1531 .loc 1 657 0 + 656:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); + 1531 .loc 1 656 0 1532 0202 2268 ldr r2, [r4] 1533 0204 1368 ldr r3, [r2] 1534 0206 23F04003 bic r3, r3, #64 1535 020a 1360 str r3, [r2] - 658:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TX_IDLE; - 1536 .loc 1 658 0 + 657:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TX_IDLE; + 1536 .loc 1 657 0 1537 020c 2268 ldr r2, [r4] 1538 020e D369 ldr r3, [r2, #28] 1539 0210 23F04003 bic r3, r3, #64 1540 0214 D361 str r3, [r2, #28] - 659:../system/src/drivers/l4/serial_stm32l4x.c **** - 1541 .loc 1 659 0 + 658:../system/src/drivers/l4/serial_stm32l4x.c **** + 1541 .loc 1 658 0 1542 0216 0123 movs r3, #1 1543 0218 84F84530 strb r3, [r4, #69] - 661:../system/src/drivers/l4/serial_stm32l4x.c **** LL_GPIO_ResetOutputPin(ctx->te_port, ctx->te_pin); - 1544 .loc 1 661 0 + 660:../system/src/drivers/l4/serial_stm32l4x.c **** LL_GPIO_ResetOutputPin(ctx->te_port, ctx->te_pin); + 1544 .loc 1 660 0 1545 021c E368 ldr r3, [r4, #12] 1546 021e 002B cmp r3, #0 1547 0220 3FF475AF beq .L125 - 662:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1548 .loc 1 662 0 + 661:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1548 .loc 1 661 0 1549 0224 228A ldrh r2, [r4, #16] 1550 .LVL119: 1551 .LBB30: @@ -5137,17 +5136,17 @@ 1571 .fpu fpv4-sp-d16 1573 srl_get_num_bytes_rxed: 1574 .LFB393: - 688:../system/src/drivers/l4/serial_stm32l4x.c **** - 689:../system/src/drivers/l4/serial_stm32l4x.c **** uint16_t srl_get_num_bytes_rxed(srl_context_t *ctx) { - 1575 .loc 1 689 0 + 687:../system/src/drivers/l4/serial_stm32l4x.c **** + 688:../system/src/drivers/l4/serial_stm32l4x.c **** uint16_t srl_get_num_bytes_rxed(srl_context_t *ctx) { + 1575 .loc 1 688 0 1576 .cfi_startproc 1577 @ args = 0, pretend = 0, frame = 0 1578 @ frame_needed = 0, uses_anonymous_args = 0 1579 @ link register save eliminated. 1580 .LVL120: - 690:../system/src/drivers/l4/serial_stm32l4x.c **** return ctx->srl_rx_bytes_counter; - 691:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1581 .loc 1 691 0 + 689:../system/src/drivers/l4/serial_stm32l4x.c **** return ctx->srl_rx_bytes_counter; + 690:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1581 .loc 1 690 0 1582 0000 008C ldrh r0, [r0, #32] 1583 .LVL121: 1584 0002 7047 bx lr @@ -5162,17 +5161,17 @@ 1594 .fpu fpv4-sp-d16 1596 srl_get_rx_buffer: 1597 .LFB394: - 692:../system/src/drivers/l4/serial_stm32l4x.c **** - 693:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t* srl_get_rx_buffer(srl_context_t *ctx) { - 1598 .loc 1 693 0 + 691:../system/src/drivers/l4/serial_stm32l4x.c **** + 692:../system/src/drivers/l4/serial_stm32l4x.c **** uint8_t* srl_get_rx_buffer(srl_context_t *ctx) { + 1598 .loc 1 692 0 1599 .cfi_startproc 1600 @ args = 0, pretend = 0, frame = 0 1601 @ frame_needed = 0, uses_anonymous_args = 0 1602 @ link register save eliminated. 1603 .LVL122: - 694:../system/src/drivers/l4/serial_stm32l4x.c **** return ctx->srl_rx_buf_pointer; - 695:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1604 .loc 1 695 0 + 693:../system/src/drivers/l4/serial_stm32l4x.c **** return ctx->srl_rx_buf_pointer; + 694:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1604 .loc 1 694 0 1605 0000 8069 ldr r0, [r0, #24] 1606 .LVL123: 1607 0002 7047 bx lr @@ -5187,54 +5186,54 @@ 1617 .fpu fpv4-sp-d16 1619 srl_keep_tx_delay: 1620 .LFB395: - 696:../system/src/drivers/l4/serial_stm32l4x.c **** - 697:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_keep_tx_delay(srl_context_t *ctx) { - 1621 .loc 1 697 0 + 695:../system/src/drivers/l4/serial_stm32l4x.c **** + 696:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_keep_tx_delay(srl_context_t *ctx) { + 1621 .loc 1 696 0 1622 .cfi_startproc 1623 @ args = 0, pretend = 0, frame = 0 1624 @ frame_needed = 0, uses_anonymous_args = 0 1625 @ link register save eliminated. 1626 .LVL124: - 698:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx != 0) { - 1627 .loc 1 698 0 + 697:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx != 0) { + 1627 .loc 1 697 0 1628 0000 38B1 cbz r0, .L139 - 699:../system/src/drivers/l4/serial_stm32l4x.c **** - 700:../system/src/drivers/l4/serial_stm32l4x.c **** // check if pre tx delay is enabled by an user - 701:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_tx_start_time != 0xFFFFFFFFu) { - 1629 .loc 1 701 0 + 698:../system/src/drivers/l4/serial_stm32l4x.c **** + 699:../system/src/drivers/l4/serial_stm32l4x.c **** // check if pre tx delay is enabled by an user + 700:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_tx_start_time != 0xFFFFFFFFu) { + 1629 .loc 1 700 0 1630 0002 026C ldr r2, [r0, #64] 1631 0004 B2F1FF3F cmp r2, #-1 1632 0008 03D0 beq .L139 - 702:../system/src/drivers/l4/serial_stm32l4x.c **** - 703:../system/src/drivers/l4/serial_stm32l4x.c **** // if it is enabled then check if the serial port is currently set to waiting state - 704:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_tx_state == SRL_TX_WAITING) { - 1633 .loc 1 704 0 + 701:../system/src/drivers/l4/serial_stm32l4x.c **** + 702:../system/src/drivers/l4/serial_stm32l4x.c **** // if it is enabled then check if the serial port is currently set to waiting state + 703:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_tx_state == SRL_TX_WAITING) { + 1633 .loc 1 703 0 1634 000a 90F84510 ldrb r1, [r0, #69] @ zero_extendqisi2 1635 000e 0229 cmp r1, #2 1636 0010 00D0 beq .L141 1637 .L139: - 705:../system/src/drivers/l4/serial_stm32l4x.c **** - 706:../system/src/drivers/l4/serial_stm32l4x.c **** // check if a delay has expired - 707:../system/src/drivers/l4/serial_stm32l4x.c **** if (main_get_master_time() - ctx->srl_tx_start_time >= SRL_TX_DELAY_IN_MS) { - 708:../system/src/drivers/l4/serial_stm32l4x.c **** - 709:../system/src/drivers/l4/serial_stm32l4x.c **** // if yes start the transmission - 710:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TE; - 711:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); - 712:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->TDR = ctx->srl_tx_buf_pointer[0]; - 713:../system/src/drivers/l4/serial_stm32l4x.c **** - 714:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_counter = 1; - 715:../system/src/drivers/l4/serial_stm32l4x.c **** - 716:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TXING; - 717:../system/src/drivers/l4/serial_stm32l4x.c **** - 718:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TXEIE; - 719:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TCIE; - 720:../system/src/drivers/l4/serial_stm32l4x.c **** } - 721:../system/src/drivers/l4/serial_stm32l4x.c **** } - 722:../system/src/drivers/l4/serial_stm32l4x.c **** - 723:../system/src/drivers/l4/serial_stm32l4x.c **** } - 724:../system/src/drivers/l4/serial_stm32l4x.c **** } - 725:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1638 .loc 1 725 0 + 704:../system/src/drivers/l4/serial_stm32l4x.c **** + 705:../system/src/drivers/l4/serial_stm32l4x.c **** // check if a delay has expired + 706:../system/src/drivers/l4/serial_stm32l4x.c **** if (main_get_master_time() - ctx->srl_tx_start_time >= SRL_TX_DELAY_IN_MS) { + 707:../system/src/drivers/l4/serial_stm32l4x.c **** + 708:../system/src/drivers/l4/serial_stm32l4x.c **** // if yes start the transmission + 709:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TE; + 710:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); + 711:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->TDR = ctx->srl_tx_buf_pointer[0]; + 712:../system/src/drivers/l4/serial_stm32l4x.c **** + 713:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_bytes_counter = 1; + 714:../system/src/drivers/l4/serial_stm32l4x.c **** + 715:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_state = SRL_TXING; + 716:../system/src/drivers/l4/serial_stm32l4x.c **** + 717:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TXEIE; + 718:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TCIE; + 719:../system/src/drivers/l4/serial_stm32l4x.c **** } + 720:../system/src/drivers/l4/serial_stm32l4x.c **** } + 721:../system/src/drivers/l4/serial_stm32l4x.c **** + 722:../system/src/drivers/l4/serial_stm32l4x.c **** } + 723:../system/src/drivers/l4/serial_stm32l4x.c **** } + 724:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1638 .loc 1 724 0 1639 0012 7047 bx lr 1640 .L141: 1641 .LBB32: @@ -5244,50 +5243,50 @@ 1645 0016 0968 ldr r1, [r1] 1646 .LBE33: 1647 .LBE32: - 707:../system/src/drivers/l4/serial_stm32l4x.c **** - 1648 .loc 1 707 0 + 706:../system/src/drivers/l4/serial_stm32l4x.c **** + 1648 .loc 1 706 0 1649 0018 8A1A subs r2, r1, r2 1650 001a 1D2A cmp r2, #29 1651 001c F9D9 bls .L139 - 710:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); - 1652 .loc 1 710 0 + 709:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->ISR &= (0xFFFFFFFF ^ USART_ISR_TC); + 1652 .loc 1 709 0 1653 001e 0168 ldr r1, [r0] 1654 0020 0A68 ldr r2, [r1] 1655 0022 42F00802 orr r2, r2, #8 1656 0026 0A60 str r2, [r1] - 711:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->TDR = ctx->srl_tx_buf_pointer[0]; - 1657 .loc 1 711 0 + 710:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->TDR = ctx->srl_tx_buf_pointer[0]; + 1657 .loc 1 710 0 1658 0028 0168 ldr r1, [r0] 1659 002a CA69 ldr r2, [r1, #28] 1660 002c 22F04002 bic r2, r2, #64 1661 0030 CA61 str r2, [r1, #28] - 712:../system/src/drivers/l4/serial_stm32l4x.c **** - 1662 .loc 1 712 0 + 711:../system/src/drivers/l4/serial_stm32l4x.c **** + 1662 .loc 1 711 0 1663 0032 4169 ldr r1, [r0, #20] 1664 0034 0268 ldr r2, [r0] 1665 0036 0978 ldrb r1, [r1] @ zero_extendqisi2 1666 0038 1185 strh r1, [r2, #40] @ movhi - 714:../system/src/drivers/l4/serial_stm32l4x.c **** - 1667 .loc 1 714 0 + 713:../system/src/drivers/l4/serial_stm32l4x.c **** + 1667 .loc 1 713 0 1668 003a 0122 movs r2, #1 1669 003c 4284 strh r2, [r0, #34] @ movhi - 716:../system/src/drivers/l4/serial_stm32l4x.c **** - 1670 .loc 1 716 0 + 715:../system/src/drivers/l4/serial_stm32l4x.c **** + 1670 .loc 1 715 0 1671 003e 0322 movs r2, #3 1672 0040 80F84520 strb r2, [r0, #69] - 718:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TCIE; - 1673 .loc 1 718 0 + 717:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->port->CR1 |= USART_CR1_TCIE; + 1673 .loc 1 717 0 1674 0044 0168 ldr r1, [r0] 1675 0046 0A68 ldr r2, [r1] 1676 0048 42F08002 orr r2, r2, #128 1677 004c 0A60 str r2, [r1] - 719:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1678 .loc 1 719 0 + 718:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1678 .loc 1 718 0 1679 004e 0268 ldr r2, [r0] 1680 0050 1368 ldr r3, [r2] 1681 0052 43F04003 orr r3, r3, #64 1682 0056 1360 str r3, [r2] - 1683 .loc 1 725 0 + 1683 .loc 1 724 0 1684 0058 DBE7 b .L139 1685 .L143: 1686 005a 00BF .align 2 @@ -5304,38 +5303,38 @@ 1698 .fpu fpv4-sp-d16 1700 srl_switch_tx_delay: 1701 .LFB396: - 726:../system/src/drivers/l4/serial_stm32l4x.c **** - 727:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_switch_tx_delay(srl_context_t *ctx, uint8_t disable_enable) { - 1702 .loc 1 727 0 + 725:../system/src/drivers/l4/serial_stm32l4x.c **** + 726:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_switch_tx_delay(srl_context_t *ctx, uint8_t disable_enable) { + 1702 .loc 1 726 0 1703 .cfi_startproc 1704 @ args = 0, pretend = 0, frame = 0 1705 @ frame_needed = 0, uses_anonymous_args = 0 1706 @ link register save eliminated. 1707 .LVL125: - 728:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx != 0) { - 1708 .loc 1 728 0 + 727:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx != 0) { + 1708 .loc 1 727 0 1709 0000 20B1 cbz r0, .L144 - 729:../system/src/drivers/l4/serial_stm32l4x.c **** - 730:../system/src/drivers/l4/serial_stm32l4x.c **** if (disable_enable == 1) { - 1710 .loc 1 730 0 + 728:../system/src/drivers/l4/serial_stm32l4x.c **** + 729:../system/src/drivers/l4/serial_stm32l4x.c **** if (disable_enable == 1) { + 1710 .loc 1 729 0 1711 0002 0129 cmp r1, #1 1712 0004 03D0 beq .L147 - 731:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_start_time = 0x0u; - 732:../system/src/drivers/l4/serial_stm32l4x.c **** } - 733:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 734:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_start_time = 0xFFFFFFFFu; - 1713 .loc 1 734 0 + 730:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_start_time = 0x0u; + 731:../system/src/drivers/l4/serial_stm32l4x.c **** } + 732:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 733:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_start_time = 0xFFFFFFFFu; + 1713 .loc 1 733 0 1714 0006 4FF0FF32 mov r2, #-1 1715 000a 0264 str r2, [r0, #64] 1716 .L144: - 735:../system/src/drivers/l4/serial_stm32l4x.c **** } - 736:../system/src/drivers/l4/serial_stm32l4x.c **** } - 737:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1717 .loc 1 737 0 + 734:../system/src/drivers/l4/serial_stm32l4x.c **** } + 735:../system/src/drivers/l4/serial_stm32l4x.c **** } + 736:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1717 .loc 1 736 0 1718 000c 7047 bx lr 1719 .L147: - 731:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_start_time = 0x0u; - 1720 .loc 1 731 0 + 730:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_tx_start_time = 0x0u; + 1720 .loc 1 730 0 1721 000e 0022 movs r2, #0 1722 0010 0264 str r2, [r0, #64] 1723 0012 7047 bx lr @@ -5350,59 +5349,59 @@ 1733 .fpu fpv4-sp-d16 1735 srl_switch_timeout: 1736 .LFB397: - 738:../system/src/drivers/l4/serial_stm32l4x.c **** - 739:../system/src/drivers/l4/serial_stm32l4x.c **** /** - 740:../system/src/drivers/l4/serial_stm32l4x.c **** * This function controls the timeout which is calculated for data reception (when the - 741:../system/src/drivers/l4/serial_stm32l4x.c **** * state is set to SRL_RXING). The time starts ticking after the first byte appears in - 742:../system/src/drivers/l4/serial_stm32l4x.c **** * data register, so this protect against stalling in the middle of data transfer - 743:../system/src/drivers/l4/serial_stm32l4x.c **** */ - 744:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_switch_timeout(srl_context_t *ctx, uint8_t disable_enable, uint32_t value) { - 1737 .loc 1 744 0 + 737:../system/src/drivers/l4/serial_stm32l4x.c **** + 738:../system/src/drivers/l4/serial_stm32l4x.c **** /** + 739:../system/src/drivers/l4/serial_stm32l4x.c **** * This function controls the timeout which is calculated for data reception (when the + 740:../system/src/drivers/l4/serial_stm32l4x.c **** * state is set to SRL_RXING). The time starts ticking after the first byte appears in + 741:../system/src/drivers/l4/serial_stm32l4x.c **** * data register, so this protect against stalling in the middle of data transfer + 742:../system/src/drivers/l4/serial_stm32l4x.c **** */ + 743:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_switch_timeout(srl_context_t *ctx, uint8_t disable_enable, uint32_t value) { + 1737 .loc 1 743 0 1738 .cfi_startproc 1739 @ args = 0, pretend = 0, frame = 0 1740 @ frame_needed = 0, uses_anonymous_args = 0 1741 @ link register save eliminated. 1742 .LVL126: - 745:../system/src/drivers/l4/serial_stm32l4x.c **** if (disable_enable == 1) - 1743 .loc 1 745 0 + 744:../system/src/drivers/l4/serial_stm32l4x.c **** if (disable_enable == 1) + 1743 .loc 1 744 0 1744 0000 0129 cmp r1, #1 1745 0002 04D0 beq .L153 - 746:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_enable = 1; - 747:../system/src/drivers/l4/serial_stm32l4x.c **** else if (disable_enable == 0) - 1746 .loc 1 747 0 + 745:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_enable = 1; + 746:../system/src/drivers/l4/serial_stm32l4x.c **** else if (disable_enable == 0) + 1746 .loc 1 746 0 1747 0004 31B9 cbnz r1, .L150 - 748:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_enable = 0; - 1748 .loc 1 748 0 + 747:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_enable = 0; + 1748 .loc 1 747 0 1749 0006 0023 movs r3, #0 1750 0008 80F82D30 strb r3, [r0, #45] 1751 000c 02E0 b .L150 1752 .L153: - 746:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_enable = 1; - 1753 .loc 1 746 0 + 745:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_enable = 1; + 1753 .loc 1 745 0 1754 000e 0123 movs r3, #1 1755 0010 80F82D30 strb r3, [r0, #45] 1756 .L150: - 749:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 750:../system/src/drivers/l4/serial_stm32l4x.c **** ; - 751:../system/src/drivers/l4/serial_stm32l4x.c **** } - 752:../system/src/drivers/l4/serial_stm32l4x.c **** - 753:../system/src/drivers/l4/serial_stm32l4x.c **** if (value != 0) { - 1757 .loc 1 753 0 + 748:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 749:../system/src/drivers/l4/serial_stm32l4x.c **** ; + 750:../system/src/drivers/l4/serial_stm32l4x.c **** } + 751:../system/src/drivers/l4/serial_stm32l4x.c **** + 752:../system/src/drivers/l4/serial_stm32l4x.c **** if (value != 0) { + 1757 .loc 1 752 0 1758 0014 1AB9 cbnz r2, .L154 - 754:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_trigger_value_in_msec = value; - 755:../system/src/drivers/l4/serial_stm32l4x.c **** } - 756:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 757:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_trigger_value_in_msec = SRL_DEFAULT_RX_TIMEOUT_IN_MS; - 1759 .loc 1 757 0 + 753:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_trigger_value_in_msec = value; + 754:../system/src/drivers/l4/serial_stm32l4x.c **** } + 755:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 756:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_trigger_value_in_msec = SRL_DEFAULT_RX_TIMEOUT_IN_MS; + 1759 .loc 1 756 0 1760 0016 4FF49663 mov r3, #1200 1761 001a 4363 str r3, [r0, #52] - 758:../system/src/drivers/l4/serial_stm32l4x.c **** } - 759:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1762 .loc 1 759 0 + 757:../system/src/drivers/l4/serial_stm32l4x.c **** } + 758:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1762 .loc 1 758 0 1763 001c 7047 bx lr 1764 .L154: - 754:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_trigger_value_in_msec = value; - 1765 .loc 1 754 0 + 753:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_trigger_value_in_msec = value; + 1765 .loc 1 753 0 1766 001e 4263 str r2, [r0, #52] 1767 0020 7047 bx lr 1768 .cfi_endproc @@ -5416,56 +5415,56 @@ 1777 .fpu fpv4-sp-d16 1779 srl_switch_timeout_for_waiting: 1780 .LFB398: - 760:../system/src/drivers/l4/serial_stm32l4x.c **** - 761:../system/src/drivers/l4/serial_stm32l4x.c **** /** - 762:../system/src/drivers/l4/serial_stm32l4x.c **** * This function enables the timeout which is calculated for the waiting state for - 763:../system/src/drivers/l4/serial_stm32l4x.c **** * the data reception to begin (the first byte on serial port). It must be called for - 764:../system/src/drivers/l4/serial_stm32l4x.c **** * each RX transaction it is required as this timeout is cleared/disabled after the first - 765:../system/src/drivers/l4/serial_stm32l4x.c **** * byte received by the serial port (when the state changes from SRL_WAITING_TO_RX to - 766:../system/src/drivers/l4/serial_stm32l4x.c **** * SRL_RXING) - 767:../system/src/drivers/l4/serial_stm32l4x.c **** */ - 768:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_switch_timeout_for_waiting(srl_context_t *ctx, uint8_t disable_enable) { - 1781 .loc 1 768 0 + 759:../system/src/drivers/l4/serial_stm32l4x.c **** + 760:../system/src/drivers/l4/serial_stm32l4x.c **** /** + 761:../system/src/drivers/l4/serial_stm32l4x.c **** * This function enables the timeout which is calculated for the waiting state for + 762:../system/src/drivers/l4/serial_stm32l4x.c **** * the data reception to begin (the first byte on serial port). It must be called for + 763:../system/src/drivers/l4/serial_stm32l4x.c **** * each RX transaction it is required as this timeout is cleared/disabled after the first + 764:../system/src/drivers/l4/serial_stm32l4x.c **** * byte received by the serial port (when the state changes from SRL_WAITING_TO_RX to + 765:../system/src/drivers/l4/serial_stm32l4x.c **** * SRL_RXING) + 766:../system/src/drivers/l4/serial_stm32l4x.c **** */ + 767:../system/src/drivers/l4/serial_stm32l4x.c **** void srl_switch_timeout_for_waiting(srl_context_t *ctx, uint8_t disable_enable) { + 1781 .loc 1 767 0 1782 .cfi_startproc 1783 @ args = 0, pretend = 0, frame = 0 1784 @ frame_needed = 0, uses_anonymous_args = 0 1785 @ link register save eliminated. 1786 .LVL127: - 769:../system/src/drivers/l4/serial_stm32l4x.c **** if (disable_enable == 1) - 1787 .loc 1 769 0 + 768:../system/src/drivers/l4/serial_stm32l4x.c **** if (disable_enable == 1) + 1787 .loc 1 768 0 1788 0000 0129 cmp r1, #1 1789 0002 04D0 beq .L159 - 770:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_waiting_enable = 1; - 771:../system/src/drivers/l4/serial_stm32l4x.c **** else if (disable_enable == 0) - 1790 .loc 1 771 0 + 769:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_waiting_enable = 1; + 770:../system/src/drivers/l4/serial_stm32l4x.c **** else if (disable_enable == 0) + 1790 .loc 1 770 0 1791 0004 31B9 cbnz r1, .L157 - 772:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_waiting_enable = 0; - 1792 .loc 1 772 0 + 771:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_waiting_enable = 0; + 1792 .loc 1 771 0 1793 0006 0023 movs r3, #0 1794 0008 80F82E30 strb r3, [r0, #46] 1795 000c 02E0 b .L157 1796 .L159: - 770:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_waiting_enable = 1; - 1797 .loc 1 770 0 + 769:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_waiting_enable = 1; + 1797 .loc 1 769 0 1798 000e 0123 movs r3, #1 1799 0010 80F82E30 strb r3, [r0, #46] 1800 .L157: - 773:../system/src/drivers/l4/serial_stm32l4x.c **** else { - 774:../system/src/drivers/l4/serial_stm32l4x.c **** ; - 775:../system/src/drivers/l4/serial_stm32l4x.c **** } - 776:../system/src/drivers/l4/serial_stm32l4x.c **** - 777:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_timeout_trigger_value_in_msec == 0) - 1801 .loc 1 777 0 + 772:../system/src/drivers/l4/serial_stm32l4x.c **** else { + 773:../system/src/drivers/l4/serial_stm32l4x.c **** ; + 774:../system/src/drivers/l4/serial_stm32l4x.c **** } + 775:../system/src/drivers/l4/serial_stm32l4x.c **** + 776:../system/src/drivers/l4/serial_stm32l4x.c **** if (ctx->srl_rx_timeout_trigger_value_in_msec == 0) + 1801 .loc 1 776 0 1802 0014 436B ldr r3, [r0, #52] 1803 0016 13B9 cbnz r3, .L155 - 778:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_trigger_value_in_msec = SRL_DEFAULT_RX_TIMEOUT_IN_MS; - 1804 .loc 1 778 0 + 777:../system/src/drivers/l4/serial_stm32l4x.c **** ctx->srl_rx_timeout_trigger_value_in_msec = SRL_DEFAULT_RX_TIMEOUT_IN_MS; + 1804 .loc 1 777 0 1805 0018 4FF49663 mov r3, #1200 1806 001c 4363 str r3, [r0, #52] 1807 .L155: - 779:../system/src/drivers/l4/serial_stm32l4x.c **** - 780:../system/src/drivers/l4/serial_stm32l4x.c **** } - 1808 .loc 1 780 0 + 778:../system/src/drivers/l4/serial_stm32l4x.c **** + 779:../system/src/drivers/l4/serial_stm32l4x.c **** } + 1808 .loc 1 779 0 1809 001e 7047 bx lr 1810 .cfi_endproc 1811 .LFE398: @@ -5525,57 +5524,57 @@ 1858 .file 19 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/string.h" DEFINED SYMBOLS *ABS*:0000000000000000 serial_stm32l4x.c - /tmp/cc69WLle.s:18 .text.srl_init:0000000000000000 $t - /tmp/cc69WLle.s:25 .text.srl_init:0000000000000000 srl_init - /tmp/cc69WLle.s:187 .text.srl_init:00000000000000bc $d - /tmp/cc69WLle.s:196 .text.srl_close:0000000000000000 $t - /tmp/cc69WLle.s:203 .text.srl_close:0000000000000000 srl_close - /tmp/cc69WLle.s:247 .text.srl_keep_timeout:0000000000000000 $t - /tmp/cc69WLle.s:254 .text.srl_keep_timeout:0000000000000000 srl_keep_timeout - /tmp/cc69WLle.s:343 .text.srl_keep_timeout:000000000000008c $d - /tmp/cc69WLle.s:348 .text.srl_send_data:0000000000000000 $t - /tmp/cc69WLle.s:355 .text.srl_send_data:0000000000000000 srl_send_data - /tmp/cc69WLle.s:520 .text.srl_send_data:00000000000000c0 $d - /tmp/cc69WLle.s:525 .text.srl_start_tx:0000000000000000 $t - /tmp/cc69WLle.s:532 .text.srl_start_tx:0000000000000000 srl_start_tx - /tmp/cc69WLle.s:624 .text.srl_start_tx:0000000000000070 $d - /tmp/cc69WLle.s:629 .text.srl_wait_for_tx_completion:0000000000000000 $t - /tmp/cc69WLle.s:636 .text.srl_wait_for_tx_completion:0000000000000000 srl_wait_for_tx_completion - /tmp/cc69WLle.s:659 .text.srl_wait_for_rx_completion_or_timeout:0000000000000000 $t - /tmp/cc69WLle.s:666 .text.srl_wait_for_rx_completion_or_timeout:0000000000000000 srl_wait_for_rx_completion_or_timeout - /tmp/cc69WLle.s:711 .text.srl_receive_data:0000000000000000 $t - /tmp/cc69WLle.s:718 .text.srl_receive_data:0000000000000000 srl_receive_data - /tmp/cc69WLle.s:874 .text.srl_receive_data:00000000000000dc $d - /tmp/cc69WLle.s:879 .text.srl_receive_data_with_instant_timeout:0000000000000000 $t - /tmp/cc69WLle.s:886 .text.srl_receive_data_with_instant_timeout:0000000000000000 srl_receive_data_with_instant_timeout - /tmp/cc69WLle.s:1041 .text.srl_receive_data_with_instant_timeout:00000000000000d8 $d - /tmp/cc69WLle.s:1046 .text.srl_receive_data_with_callback:0000000000000000 $t - /tmp/cc69WLle.s:1053 .text.srl_receive_data_with_callback:0000000000000000 srl_receive_data_with_callback - /tmp/cc69WLle.s:1157 .text.srl_receive_data_with_callback:0000000000000080 $d - /tmp/cc69WLle.s:1162 .text.srl_irq_handler:0000000000000000 $t - /tmp/cc69WLle.s:1169 .text.srl_irq_handler:0000000000000000 srl_irq_handler - /tmp/cc69WLle.s:1559 .text.srl_irq_handler:000000000000022c $d - /tmp/cc69WLle.s:1566 .text.srl_get_num_bytes_rxed:0000000000000000 $t - /tmp/cc69WLle.s:1573 .text.srl_get_num_bytes_rxed:0000000000000000 srl_get_num_bytes_rxed - /tmp/cc69WLle.s:1589 .text.srl_get_rx_buffer:0000000000000000 $t - /tmp/cc69WLle.s:1596 .text.srl_get_rx_buffer:0000000000000000 srl_get_rx_buffer - /tmp/cc69WLle.s:1612 .text.srl_keep_tx_delay:0000000000000000 $t - /tmp/cc69WLle.s:1619 .text.srl_keep_tx_delay:0000000000000000 srl_keep_tx_delay - /tmp/cc69WLle.s:1688 .text.srl_keep_tx_delay:000000000000005c $d - /tmp/cc69WLle.s:1693 .text.srl_switch_tx_delay:0000000000000000 $t - /tmp/cc69WLle.s:1700 .text.srl_switch_tx_delay:0000000000000000 srl_switch_tx_delay - /tmp/cc69WLle.s:1728 .text.srl_switch_timeout:0000000000000000 $t - /tmp/cc69WLle.s:1735 .text.srl_switch_timeout:0000000000000000 srl_switch_timeout - /tmp/cc69WLle.s:1772 .text.srl_switch_timeout_for_waiting:0000000000000000 $t - /tmp/cc69WLle.s:1779 .text.srl_switch_timeout_for_waiting:0000000000000000 srl_switch_timeout_for_waiting - /tmp/cc69WLle.s:1834 .bss.srl_usart2_rx_buffer:0000000000000000 srl_usart2_rx_buffer - /tmp/cc69WLle.s:1840 .bss.srl_usart2_tx_buffer:0000000000000000 srl_usart2_tx_buffer - /tmp/cc69WLle.s:1821 .bss.srl_usart1_rx_buffer:0000000000000000 srl_usart1_rx_buffer - /tmp/cc69WLle.s:1828 .bss.srl_usart1_tx_buffer:0000000000000000 srl_usart1_tx_buffer - /tmp/cc69WLle.s:1818 .bss.srl_usart1_rx_buffer:0000000000000000 $d - /tmp/cc69WLle.s:1824 .bss.srl_usart1_tx_buffer:0000000000000000 $d - /tmp/cc69WLle.s:1831 .bss.srl_usart2_rx_buffer:0000000000000000 $d - /tmp/cc69WLle.s:1837 .bss.srl_usart2_tx_buffer:0000000000000000 $d + /tmp/ccgFNoYO.s:18 .text.srl_init:0000000000000000 $t + /tmp/ccgFNoYO.s:25 .text.srl_init:0000000000000000 srl_init + /tmp/ccgFNoYO.s:187 .text.srl_init:00000000000000bc $d + /tmp/ccgFNoYO.s:196 .text.srl_close:0000000000000000 $t + /tmp/ccgFNoYO.s:203 .text.srl_close:0000000000000000 srl_close + /tmp/ccgFNoYO.s:247 .text.srl_keep_timeout:0000000000000000 $t + /tmp/ccgFNoYO.s:254 .text.srl_keep_timeout:0000000000000000 srl_keep_timeout + /tmp/ccgFNoYO.s:343 .text.srl_keep_timeout:000000000000008c $d + /tmp/ccgFNoYO.s:348 .text.srl_send_data:0000000000000000 $t + /tmp/ccgFNoYO.s:355 .text.srl_send_data:0000000000000000 srl_send_data + /tmp/ccgFNoYO.s:520 .text.srl_send_data:00000000000000c0 $d + /tmp/ccgFNoYO.s:525 .text.srl_start_tx:0000000000000000 $t + /tmp/ccgFNoYO.s:532 .text.srl_start_tx:0000000000000000 srl_start_tx + /tmp/ccgFNoYO.s:624 .text.srl_start_tx:0000000000000070 $d + /tmp/ccgFNoYO.s:629 .text.srl_wait_for_tx_completion:0000000000000000 $t + /tmp/ccgFNoYO.s:636 .text.srl_wait_for_tx_completion:0000000000000000 srl_wait_for_tx_completion + /tmp/ccgFNoYO.s:659 .text.srl_wait_for_rx_completion_or_timeout:0000000000000000 $t + /tmp/ccgFNoYO.s:666 .text.srl_wait_for_rx_completion_or_timeout:0000000000000000 srl_wait_for_rx_completion_or_timeout + /tmp/ccgFNoYO.s:711 .text.srl_receive_data:0000000000000000 $t + /tmp/ccgFNoYO.s:718 .text.srl_receive_data:0000000000000000 srl_receive_data + /tmp/ccgFNoYO.s:874 .text.srl_receive_data:00000000000000dc $d + /tmp/ccgFNoYO.s:879 .text.srl_receive_data_with_instant_timeout:0000000000000000 $t + /tmp/ccgFNoYO.s:886 .text.srl_receive_data_with_instant_timeout:0000000000000000 srl_receive_data_with_instant_timeout + /tmp/ccgFNoYO.s:1041 .text.srl_receive_data_with_instant_timeout:00000000000000d8 $d + /tmp/ccgFNoYO.s:1046 .text.srl_receive_data_with_callback:0000000000000000 $t + /tmp/ccgFNoYO.s:1053 .text.srl_receive_data_with_callback:0000000000000000 srl_receive_data_with_callback + /tmp/ccgFNoYO.s:1157 .text.srl_receive_data_with_callback:0000000000000080 $d + /tmp/ccgFNoYO.s:1162 .text.srl_irq_handler:0000000000000000 $t + /tmp/ccgFNoYO.s:1169 .text.srl_irq_handler:0000000000000000 srl_irq_handler + /tmp/ccgFNoYO.s:1559 .text.srl_irq_handler:000000000000022c $d + /tmp/ccgFNoYO.s:1566 .text.srl_get_num_bytes_rxed:0000000000000000 $t + /tmp/ccgFNoYO.s:1573 .text.srl_get_num_bytes_rxed:0000000000000000 srl_get_num_bytes_rxed + /tmp/ccgFNoYO.s:1589 .text.srl_get_rx_buffer:0000000000000000 $t + /tmp/ccgFNoYO.s:1596 .text.srl_get_rx_buffer:0000000000000000 srl_get_rx_buffer + /tmp/ccgFNoYO.s:1612 .text.srl_keep_tx_delay:0000000000000000 $t + /tmp/ccgFNoYO.s:1619 .text.srl_keep_tx_delay:0000000000000000 srl_keep_tx_delay + /tmp/ccgFNoYO.s:1688 .text.srl_keep_tx_delay:000000000000005c $d + /tmp/ccgFNoYO.s:1693 .text.srl_switch_tx_delay:0000000000000000 $t + /tmp/ccgFNoYO.s:1700 .text.srl_switch_tx_delay:0000000000000000 srl_switch_tx_delay + /tmp/ccgFNoYO.s:1728 .text.srl_switch_timeout:0000000000000000 $t + /tmp/ccgFNoYO.s:1735 .text.srl_switch_timeout:0000000000000000 srl_switch_timeout + /tmp/ccgFNoYO.s:1772 .text.srl_switch_timeout_for_waiting:0000000000000000 $t + /tmp/ccgFNoYO.s:1779 .text.srl_switch_timeout_for_waiting:0000000000000000 srl_switch_timeout_for_waiting + /tmp/ccgFNoYO.s:1834 .bss.srl_usart2_rx_buffer:0000000000000000 srl_usart2_rx_buffer + /tmp/ccgFNoYO.s:1840 .bss.srl_usart2_tx_buffer:0000000000000000 srl_usart2_tx_buffer + /tmp/ccgFNoYO.s:1821 .bss.srl_usart1_rx_buffer:0000000000000000 srl_usart1_rx_buffer + /tmp/ccgFNoYO.s:1828 .bss.srl_usart1_tx_buffer:0000000000000000 srl_usart1_tx_buffer + /tmp/ccgFNoYO.s:1818 .bss.srl_usart1_rx_buffer:0000000000000000 $d + /tmp/ccgFNoYO.s:1824 .bss.srl_usart1_tx_buffer:0000000000000000 $d + /tmp/ccgFNoYO.s:1831 .bss.srl_usart2_rx_buffer:0000000000000000 $d + /tmp/ccgFNoYO.s:1837 .bss.srl_usart2_tx_buffer:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 @@ -5623,7 +5622,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.afsk.h.17.cf8f922069680e152e1eddb76cd4cc82 .group:0000000000000000 wm4.ax25.h.18.077e42a4af9016476e34900472a8fe18 .group:0000000000000000 wm4.config_data.h.9.6026a38cd2f39158a8fd50707ba1bf90 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 .group:0000000000000000 wm4.reent.h.17.90c2574d4acdcfce933db5cb09ff35fe .group:0000000000000000 wm4.string.h.15.dab3980bf35408a4c507182805e2de3a .group:0000000000000000 wm4.stddef.h.161.19e15733342b50ead2919490b095303e diff --git a/STM32L476_ParaMETEO/system/src/drivers/ms5611.o.lst b/STM32L476_ParaMETEO/system/src/drivers/ms5611.o.lst index adbe66b..b4ca910 100644 --- a/STM32L476_ParaMETEO/system/src/drivers/ms5611.o.lst +++ b/STM32L476_ParaMETEO/system/src/drivers/ms5611.o.lst @@ -1806,32 +1806,32 @@ 1358 .file 28 "../include/rte_wx.h" DEFINED SYMBOLS *ABS*:0000000000000000 ms5611.c - /tmp/ccDDCtud.s:18 .text.ms5611_reset:0000000000000000 $t - /tmp/ccDDCtud.s:25 .text.ms5611_reset:0000000000000000 ms5611_reset - /tmp/ccDDCtud.s:96 .text.ms5611_reset:0000000000000048 $d - /tmp/ccDDCtud.s:102 .text.ms5611_trigger_measure:0000000000000000 $t - /tmp/ccDDCtud.s:109 .text.ms5611_trigger_measure:0000000000000000 ms5611_trigger_measure - /tmp/ccDDCtud.s:405 .text.ms5611_trigger_measure:0000000000000198 $d - /tmp/ccDDCtud.s:418 .text.ms5611_get_temperature:0000000000000000 $t - /tmp/ccDDCtud.s:425 .text.ms5611_get_temperature:0000000000000000 ms5611_get_temperature - /tmp/ccDDCtud.s:602 .text.ms5611_get_temperature:0000000000000110 $d + /tmp/cceJ53Q2.s:18 .text.ms5611_reset:0000000000000000 $t + /tmp/cceJ53Q2.s:25 .text.ms5611_reset:0000000000000000 ms5611_reset + /tmp/cceJ53Q2.s:96 .text.ms5611_reset:0000000000000048 $d + /tmp/cceJ53Q2.s:102 .text.ms5611_trigger_measure:0000000000000000 $t + /tmp/cceJ53Q2.s:109 .text.ms5611_trigger_measure:0000000000000000 ms5611_trigger_measure + /tmp/cceJ53Q2.s:405 .text.ms5611_trigger_measure:0000000000000198 $d + /tmp/cceJ53Q2.s:418 .text.ms5611_get_temperature:0000000000000000 $t + /tmp/cceJ53Q2.s:425 .text.ms5611_get_temperature:0000000000000000 ms5611_get_temperature + /tmp/cceJ53Q2.s:602 .text.ms5611_get_temperature:0000000000000110 $d *COM*:0000000000000020 SensorCalData - /tmp/ccDDCtud.s:621 .text.ms5611_get_pressure:0000000000000000 $t - /tmp/ccDDCtud.s:628 .text.ms5611_get_pressure:0000000000000000 ms5611_get_pressure - /tmp/ccDDCtud.s:891 .text.ms5611_get_pressure:0000000000000200 $d - /tmp/ccDDCtud.s:913 .text.crc4:0000000000000000 $t - /tmp/ccDDCtud.s:920 .text.crc4:0000000000000000 crc4 - /tmp/ccDDCtud.s:1016 .text.ms5611_read_calibration:0000000000000000 $t - /tmp/ccDDCtud.s:1023 .text.ms5611_read_calibration:0000000000000000 ms5611_read_calibration - /tmp/ccDDCtud.s:1190 .text.ms5611_read_calibration:00000000000000b8 $d - /tmp/ccDDCtud.s:1198 .text.CalcQNHFromQFE:0000000000000000 $t - /tmp/ccDDCtud.s:1205 .text.CalcQNHFromQFE:0000000000000000 CalcQNHFromQFE - /tmp/ccDDCtud.s:1305 .text.CalcQNHFromQFE:00000000000000a0 $d - /tmp/ccDDCtud.s:1328 .bss.ms5611_sensor_avaliable:0000000000000000 ms5611_sensor_avaliable - /tmp/ccDDCtud.s:1322 .bss.SensorDT:0000000000000000 SensorDT + /tmp/cceJ53Q2.s:621 .text.ms5611_get_pressure:0000000000000000 $t + /tmp/cceJ53Q2.s:628 .text.ms5611_get_pressure:0000000000000000 ms5611_get_pressure + /tmp/cceJ53Q2.s:891 .text.ms5611_get_pressure:0000000000000200 $d + /tmp/cceJ53Q2.s:913 .text.crc4:0000000000000000 $t + /tmp/cceJ53Q2.s:920 .text.crc4:0000000000000000 crc4 + /tmp/cceJ53Q2.s:1016 .text.ms5611_read_calibration:0000000000000000 $t + /tmp/cceJ53Q2.s:1023 .text.ms5611_read_calibration:0000000000000000 ms5611_read_calibration + /tmp/cceJ53Q2.s:1190 .text.ms5611_read_calibration:00000000000000b8 $d + /tmp/cceJ53Q2.s:1198 .text.CalcQNHFromQFE:0000000000000000 $t + /tmp/cceJ53Q2.s:1205 .text.CalcQNHFromQFE:0000000000000000 CalcQNHFromQFE + /tmp/cceJ53Q2.s:1305 .text.CalcQNHFromQFE:00000000000000a0 $d + /tmp/cceJ53Q2.s:1328 .bss.ms5611_sensor_avaliable:0000000000000000 ms5611_sensor_avaliable + /tmp/cceJ53Q2.s:1322 .bss.SensorDT:0000000000000000 SensorDT *COM*:0000000000000001 state - /tmp/ccDDCtud.s:1318 .bss.SensorDT:0000000000000000 $d - /tmp/ccDDCtud.s:1329 .bss.ms5611_sensor_avaliable:0000000000000000 $d + /tmp/cceJ53Q2.s:1318 .bss.SensorDT:0000000000000000 $d + /tmp/cceJ53Q2.s:1329 .bss.ms5611_sensor_avaliable:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4._newlib_version.h.4.875b979a44719054cd750d0952ad3fd6 .group:0000000000000000 wm4.newlib.h.18.5e5ca3429233af402d406a202f1fc1ac @@ -1867,7 +1867,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.stm32l4xx_ll_usart.h.22.ec2beebcd146668c5b35749264d7b58a .group:0000000000000000 wm4.serial.h.14.eed7cf013c382ed4598746b40f0e2523 .group:0000000000000000 wm4.config_data.h.9.6026a38cd2f39158a8fd50707ba1bf90 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 .group:0000000000000000 wm4.station_config.h.9.d547169434a316afe56104ac612d2109 .group:0000000000000000 wm4.station_config_target_hw.h.9.a20e080416e80d1cff9c1b51cc464832 .group:0000000000000000 wm4.stm32l4xx_ll_gpio.h.22.6ea69d1eee1200f6e0d48e871aaa811d diff --git a/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_getters.o.lst b/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_getters.o.lst index 10790a4..33f0126 100644 --- a/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_getters.o.lst +++ b/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_getters.o.lst @@ -452,12 +452,12 @@ 6:../include/main.h **** #include "config_data.h" 7:../include/main.h **** 8:../include/main.h **** #define SW_VER "EA00" - 9:../include/main.h **** #define SW_DATE "23052021" + 9:../include/main.h **** #define SW_DATE "30062021" 10:../include/main.h **** 11:../include/main.h **** #define SYSTICK_TICKS_PER_SECONDS 100 12:../include/main.h **** #define SYSTICK_TICKS_PERIOD 10 13:../include/main.h **** - 14:../include/main.h **** #define INTERNAL_WATCHDOG + 14:../include/main.h **** //#define INTERNAL_WATCHDOG 15:../include/main.h **** #define EXTERNAL_WATCHDOG 16:../include/main.h **** 17:../include/main.h **** #define PWR_SWITCH_BOTH @@ -3072,40 +3072,40 @@ 1977 .file 32 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/stdio.h" DEFINED SYMBOLS *ABS*:0000000000000000 rtu_getters.c - /tmp/ccQeCoHd.s:18 .text.rtu_get_temperature:0000000000000000 $t - /tmp/ccQeCoHd.s:25 .text.rtu_get_temperature:0000000000000000 rtu_get_temperature - /tmp/ccQeCoHd.s:50 .text.rtu_get_temperature:0000000000000010 $d - /tmp/ccQeCoHd.s:56 .text.rtu_get_temperature:0000000000000016 $t - /tmp/ccQeCoHd.s:330 .text.rtu_get_temperature:0000000000000154 $d - /tmp/ccQeCoHd.s:346 .text.rtu_get_pressure:0000000000000000 $t - /tmp/ccQeCoHd.s:353 .text.rtu_get_pressure:0000000000000000 rtu_get_pressure - /tmp/ccQeCoHd.s:377 .text.rtu_get_pressure:0000000000000010 $d - /tmp/ccQeCoHd.s:383 .text.rtu_get_pressure:0000000000000016 $t - /tmp/ccQeCoHd.s:656 .text.rtu_get_pressure:0000000000000154 $d - /tmp/ccQeCoHd.s:673 .text.rtu_get_wind_direction:0000000000000000 $t - /tmp/ccQeCoHd.s:680 .text.rtu_get_wind_direction:0000000000000000 rtu_get_wind_direction - /tmp/ccQeCoHd.s:704 .text.rtu_get_wind_direction:0000000000000010 $d - /tmp/ccQeCoHd.s:710 .text.rtu_get_wind_direction:0000000000000016 $t - /tmp/ccQeCoHd.s:946 .text.rtu_get_wind_direction:0000000000000110 $d - /tmp/ccQeCoHd.s:961 .text.rtu_get_wind_speed:0000000000000000 $t - /tmp/ccQeCoHd.s:968 .text.rtu_get_wind_speed:0000000000000000 rtu_get_wind_speed - /tmp/ccQeCoHd.s:992 .text.rtu_get_wind_speed:0000000000000010 $d - /tmp/ccQeCoHd.s:998 .text.rtu_get_wind_speed:0000000000000016 $t - /tmp/ccQeCoHd.s:1238 .text.rtu_get_wind_speed:000000000000010c $d - /tmp/ccQeCoHd.s:1253 .text.rtu_get_wind_gusts:0000000000000000 $t - /tmp/ccQeCoHd.s:1260 .text.rtu_get_wind_gusts:0000000000000000 rtu_get_wind_gusts - /tmp/ccQeCoHd.s:1284 .text.rtu_get_wind_gusts:0000000000000010 $d - /tmp/ccQeCoHd.s:1290 .text.rtu_get_wind_gusts:0000000000000016 $t - /tmp/ccQeCoHd.s:1530 .text.rtu_get_wind_gusts:000000000000010c $d - /tmp/ccQeCoHd.s:1545 .text.rtu_get_humidity:0000000000000000 $t - /tmp/ccQeCoHd.s:1552 .text.rtu_get_humidity:0000000000000000 rtu_get_humidity - /tmp/ccQeCoHd.s:1582 .text.rtu_get_humidity:0000000000000018 $d - /tmp/ccQeCoHd.s:1588 .text.rtu_get_humidity:000000000000001e $t - /tmp/ccQeCoHd.s:1846 .text.rtu_get_humidity:0000000000000130 $d - /tmp/ccQeCoHd.s:1861 .text.rtu_get_raw_values_string:0000000000000000 $t - /tmp/ccQeCoHd.s:1868 .text.rtu_get_raw_values_string:0000000000000000 rtu_get_raw_values_string - /tmp/ccQeCoHd.s:1932 .text.rtu_get_raw_values_string:000000000000003c $d - /tmp/ccQeCoHd.s:1943 .rodata.rtu_get_raw_values_string.str1.4:0000000000000000 $d + /tmp/ccHDXGX2.s:18 .text.rtu_get_temperature:0000000000000000 $t + /tmp/ccHDXGX2.s:25 .text.rtu_get_temperature:0000000000000000 rtu_get_temperature + /tmp/ccHDXGX2.s:50 .text.rtu_get_temperature:0000000000000010 $d + /tmp/ccHDXGX2.s:56 .text.rtu_get_temperature:0000000000000016 $t + /tmp/ccHDXGX2.s:330 .text.rtu_get_temperature:0000000000000154 $d + /tmp/ccHDXGX2.s:346 .text.rtu_get_pressure:0000000000000000 $t + /tmp/ccHDXGX2.s:353 .text.rtu_get_pressure:0000000000000000 rtu_get_pressure + /tmp/ccHDXGX2.s:377 .text.rtu_get_pressure:0000000000000010 $d + /tmp/ccHDXGX2.s:383 .text.rtu_get_pressure:0000000000000016 $t + /tmp/ccHDXGX2.s:656 .text.rtu_get_pressure:0000000000000154 $d + /tmp/ccHDXGX2.s:673 .text.rtu_get_wind_direction:0000000000000000 $t + /tmp/ccHDXGX2.s:680 .text.rtu_get_wind_direction:0000000000000000 rtu_get_wind_direction + /tmp/ccHDXGX2.s:704 .text.rtu_get_wind_direction:0000000000000010 $d + /tmp/ccHDXGX2.s:710 .text.rtu_get_wind_direction:0000000000000016 $t + /tmp/ccHDXGX2.s:946 .text.rtu_get_wind_direction:0000000000000110 $d + /tmp/ccHDXGX2.s:961 .text.rtu_get_wind_speed:0000000000000000 $t + /tmp/ccHDXGX2.s:968 .text.rtu_get_wind_speed:0000000000000000 rtu_get_wind_speed + /tmp/ccHDXGX2.s:992 .text.rtu_get_wind_speed:0000000000000010 $d + /tmp/ccHDXGX2.s:998 .text.rtu_get_wind_speed:0000000000000016 $t + /tmp/ccHDXGX2.s:1238 .text.rtu_get_wind_speed:000000000000010c $d + /tmp/ccHDXGX2.s:1253 .text.rtu_get_wind_gusts:0000000000000000 $t + /tmp/ccHDXGX2.s:1260 .text.rtu_get_wind_gusts:0000000000000000 rtu_get_wind_gusts + /tmp/ccHDXGX2.s:1284 .text.rtu_get_wind_gusts:0000000000000010 $d + /tmp/ccHDXGX2.s:1290 .text.rtu_get_wind_gusts:0000000000000016 $t + /tmp/ccHDXGX2.s:1530 .text.rtu_get_wind_gusts:000000000000010c $d + /tmp/ccHDXGX2.s:1545 .text.rtu_get_humidity:0000000000000000 $t + /tmp/ccHDXGX2.s:1552 .text.rtu_get_humidity:0000000000000000 rtu_get_humidity + /tmp/ccHDXGX2.s:1582 .text.rtu_get_humidity:0000000000000018 $d + /tmp/ccHDXGX2.s:1588 .text.rtu_get_humidity:000000000000001e $t + /tmp/ccHDXGX2.s:1846 .text.rtu_get_humidity:0000000000000130 $d + /tmp/ccHDXGX2.s:1861 .text.rtu_get_raw_values_string:0000000000000000 $t + /tmp/ccHDXGX2.s:1868 .text.rtu_get_raw_values_string:0000000000000000 rtu_get_raw_values_string + /tmp/ccHDXGX2.s:1932 .text.rtu_get_raw_values_string:000000000000003c $d + /tmp/ccHDXGX2.s:1943 .rodata.rtu_get_raw_values_string.str1.4:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4._newlib_version.h.4.875b979a44719054cd750d0952ad3fd6 .group:0000000000000000 wm4.newlib.h.18.5e5ca3429233af402d406a202f1fc1ac @@ -3167,7 +3167,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.config.h.2.f5e96167cb26b0ce97c5e7513a95bc8b .group:0000000000000000 wm4.afsk.h.17.cf8f922069680e152e1eddb76cd4cc82 .group:0000000000000000 wm4.ax25.h.18.077e42a4af9016476e34900472a8fe18 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 UNDEFINED SYMBOLS rte_rtu_pool_queue diff --git a/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_parser.o.lst b/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_parser.o.lst index 2768306..7f0f9cb 100644 --- a/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_parser.o.lst +++ b/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_parser.o.lst @@ -463,8 +463,8 @@ 272 .file 4 "../system/include/modbus_rtu/rtu_register_data_t.h" DEFINED SYMBOLS *ABS*:0000000000000000 rtu_parser.c - /tmp/ccyYztzP.s:18 .text.rtu_parser_03_04_registers:0000000000000000 $t - /tmp/ccyYztzP.s:25 .text.rtu_parser_03_04_registers:0000000000000000 rtu_parser_03_04_registers + /tmp/ccMp9dpE.s:18 .text.rtu_parser_03_04_registers:0000000000000000 $t + /tmp/ccMp9dpE.s:25 .text.rtu_parser_03_04_registers:0000000000000000 rtu_parser_03_04_registers .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.rtu_configuration.h.9.225559723a46d58da796e0ac6cb0b2ac diff --git a/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_request.o.lst b/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_request.o.lst index 661a238..6f79482 100644 --- a/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_request.o.lst +++ b/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_request.o.lst @@ -297,8 +297,8 @@ 175 .file 8 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/string.h" DEFINED SYMBOLS *ABS*:0000000000000000 rtu_request.c - /tmp/ccHpmVyo.s:18 .text.rtu_request_03_04_registers:0000000000000000 $t - /tmp/ccHpmVyo.s:25 .text.rtu_request_03_04_registers:0000000000000000 rtu_request_03_04_registers + /tmp/ccmm8UMg.s:18 .text.rtu_request_03_04_registers:0000000000000000 $t + /tmp/ccmm8UMg.s:25 .text.rtu_request_03_04_registers:0000000000000000 rtu_request_03_04_registers .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdarg.h.31.b55da1089056868966f25de5dbfc7d3c .group:0000000000000000 wm4._newlib_version.h.4.875b979a44719054cd750d0952ad3fd6 diff --git a/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_serial_io.o.lst b/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_serial_io.o.lst index 6da7823..81fbc6d 100644 --- a/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_serial_io.o.lst +++ b/STM32L476_ParaMETEO/system/src/modbus_rtu/rtu_serial_io.o.lst @@ -1387,12 +1387,12 @@ 6:../include/main.h **** #include "config_data.h" 7:../include/main.h **** 8:../include/main.h **** #define SW_VER "EA00" - 9:../include/main.h **** #define SW_DATE "23052021" + 9:../include/main.h **** #define SW_DATE "30062021" 10:../include/main.h **** 11:../include/main.h **** #define SYSTICK_TICKS_PER_SECONDS 100 12:../include/main.h **** #define SYSTICK_TICKS_PERIOD 10 13:../include/main.h **** - 14:../include/main.h **** #define INTERNAL_WATCHDOG + 14:../include/main.h **** //#define INTERNAL_WATCHDOG 15:../include/main.h **** #define EXTERNAL_WATCHDOG 16:../include/main.h **** 17:../include/main.h **** #define PWR_SWITCH_BOTH @@ -1965,44 +1965,44 @@ 1219 .file 37 "../system/include/modbus_rtu/rtu_parser.h" DEFINED SYMBOLS *ABS*:0000000000000000 rtu_serial_io.c - /tmp/ccw05pnZ.s:18 .text.rtu_serial_callback:0000000000000000 $t - /tmp/ccw05pnZ.s:25 .text.rtu_serial_callback:0000000000000000 rtu_serial_callback - /tmp/ccw05pnZ.s:133 .text.rtu_serial_callback:0000000000000058 $d - /tmp/ccw05pnZ.s:139 .text.rtu_serial_init:0000000000000000 $t - /tmp/ccw05pnZ.s:146 .text.rtu_serial_init:0000000000000000 rtu_serial_init - /tmp/ccw05pnZ.s:279 .text.rtu_serial_init:00000000000000b4 $d + /tmp/ccATSLQQ.s:18 .text.rtu_serial_callback:0000000000000000 $t + /tmp/ccATSLQQ.s:25 .text.rtu_serial_callback:0000000000000000 rtu_serial_callback + /tmp/ccATSLQQ.s:133 .text.rtu_serial_callback:0000000000000058 $d + /tmp/ccATSLQQ.s:139 .text.rtu_serial_init:0000000000000000 $t + /tmp/ccATSLQQ.s:146 .text.rtu_serial_init:0000000000000000 rtu_serial_init + /tmp/ccATSLQQ.s:279 .text.rtu_serial_init:00000000000000b4 $d *COM*:0000000000000004 rtu_used_queue *COM*:0000000000000004 rtu_used_serial_context - /tmp/ccw05pnZ.s:292 .text.rtu_serial_blocking_io:0000000000000000 $t - /tmp/ccw05pnZ.s:299 .text.rtu_serial_blocking_io:0000000000000000 rtu_serial_blocking_io - /tmp/ccw05pnZ.s:384 .text.rtu_serial_blocking_io:0000000000000068 $d - /tmp/ccw05pnZ.s:391 .text.rtu_serial_pool:0000000000000000 $t - /tmp/ccw05pnZ.s:398 .text.rtu_serial_pool:0000000000000000 rtu_serial_pool - /tmp/ccw05pnZ.s:468 .text.rtu_serial_pool:0000000000000058 $d - /tmp/ccw05pnZ.s:474 .text.rtu_serial_pool:0000000000000064 $t - /tmp/ccw05pnZ.s:822 .text.rtu_serial_pool:0000000000000290 $d + /tmp/ccATSLQQ.s:292 .text.rtu_serial_blocking_io:0000000000000000 $t + /tmp/ccATSLQQ.s:299 .text.rtu_serial_blocking_io:0000000000000000 rtu_serial_blocking_io + /tmp/ccATSLQQ.s:384 .text.rtu_serial_blocking_io:0000000000000068 $d + /tmp/ccATSLQQ.s:391 .text.rtu_serial_pool:0000000000000000 $t + /tmp/ccATSLQQ.s:398 .text.rtu_serial_pool:0000000000000000 rtu_serial_pool + /tmp/ccATSLQQ.s:468 .text.rtu_serial_pool:0000000000000058 $d + /tmp/ccATSLQQ.s:474 .text.rtu_serial_pool:0000000000000064 $t + /tmp/ccATSLQQ.s:822 .text.rtu_serial_pool:0000000000000290 $d *COM*:0000000000000001 rtu_current_03_slave_address *COM*:0000000000000002 rtu_current_03_base_register *COM*:0000000000000001 rtu_current_03_number_of_registers - /tmp/ccw05pnZ.s:845 .text.rtu_serial_pool:00000000000002d8 $t - /tmp/ccw05pnZ.s:995 .text.rtu_serial_pool:000000000000039c $d - /tmp/ccw05pnZ.s:1006 .text.rtu_serial_start:0000000000000000 $t - /tmp/ccw05pnZ.s:1013 .text.rtu_serial_start:0000000000000000 rtu_serial_start - /tmp/ccw05pnZ.s:1031 .text.rtu_serial_start:000000000000000c $d - /tmp/ccw05pnZ.s:1036 .text.rtu_serial_get_status_string:0000000000000000 $t - /tmp/ccw05pnZ.s:1043 .text.rtu_serial_get_status_string:0000000000000000 rtu_serial_get_status_string - /tmp/ccw05pnZ.s:1120 .text.rtu_serial_get_status_string:0000000000000054 $d - /tmp/ccw05pnZ.s:1177 .data.rtu_waiting_for_slave_addr:0000000000000000 rtu_waiting_for_slave_addr - /tmp/ccw05pnZ.s:1171 .data.rtu_serial_previous_crc:0000000000000000 rtu_serial_previous_crc - /tmp/ccw05pnZ.s:1151 .bss.rtu_time_of_last_succ_comm_at_previous_error_status:0000000000000000 rtu_time_of_last_succ_comm_at_previous_error_status - /tmp/ccw05pnZ.s:1158 .bss.rtu_time_of_last_successfull_comm:0000000000000000 rtu_time_of_last_successfull_comm - /tmp/ccw05pnZ.s:1144 .bss.rtu_blocking_io:0000000000000000 rtu_blocking_io - /tmp/ccw05pnZ.s:1164 .data.rtu_pool_state:0000000000000000 rtu_pool_state - /tmp/ccw05pnZ.s:1145 .bss.rtu_blocking_io:0000000000000000 $d - /tmp/ccw05pnZ.s:1147 .bss.rtu_time_of_last_succ_comm_at_previous_error_status:0000000000000000 $d - /tmp/ccw05pnZ.s:1154 .bss.rtu_time_of_last_successfull_comm:0000000000000000 $d - /tmp/ccw05pnZ.s:1167 .data.rtu_serial_previous_crc:0000000000000000 $d - /tmp/ccw05pnZ.s:1180 .rodata.rtu_serial_get_status_string.str1.4:0000000000000000 $d + /tmp/ccATSLQQ.s:845 .text.rtu_serial_pool:00000000000002d8 $t + /tmp/ccATSLQQ.s:995 .text.rtu_serial_pool:000000000000039c $d + /tmp/ccATSLQQ.s:1006 .text.rtu_serial_start:0000000000000000 $t + /tmp/ccATSLQQ.s:1013 .text.rtu_serial_start:0000000000000000 rtu_serial_start + /tmp/ccATSLQQ.s:1031 .text.rtu_serial_start:000000000000000c $d + /tmp/ccATSLQQ.s:1036 .text.rtu_serial_get_status_string:0000000000000000 $t + /tmp/ccATSLQQ.s:1043 .text.rtu_serial_get_status_string:0000000000000000 rtu_serial_get_status_string + /tmp/ccATSLQQ.s:1120 .text.rtu_serial_get_status_string:0000000000000054 $d + /tmp/ccATSLQQ.s:1177 .data.rtu_waiting_for_slave_addr:0000000000000000 rtu_waiting_for_slave_addr + /tmp/ccATSLQQ.s:1171 .data.rtu_serial_previous_crc:0000000000000000 rtu_serial_previous_crc + /tmp/ccATSLQQ.s:1151 .bss.rtu_time_of_last_succ_comm_at_previous_error_status:0000000000000000 rtu_time_of_last_succ_comm_at_previous_error_status + /tmp/ccATSLQQ.s:1158 .bss.rtu_time_of_last_successfull_comm:0000000000000000 rtu_time_of_last_successfull_comm + /tmp/ccATSLQQ.s:1144 .bss.rtu_blocking_io:0000000000000000 rtu_blocking_io + /tmp/ccATSLQQ.s:1164 .data.rtu_pool_state:0000000000000000 rtu_pool_state + /tmp/ccATSLQQ.s:1145 .bss.rtu_blocking_io:0000000000000000 $d + /tmp/ccATSLQQ.s:1147 .bss.rtu_time_of_last_succ_comm_at_previous_error_status:0000000000000000 $d + /tmp/ccATSLQQ.s:1154 .bss.rtu_time_of_last_successfull_comm:0000000000000000 $d + /tmp/ccATSLQQ.s:1167 .data.rtu_serial_previous_crc:0000000000000000 $d + /tmp/ccATSLQQ.s:1180 .rodata.rtu_serial_get_status_string.str1.4:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.rtu_configuration.h.9.225559723a46d58da796e0ac6cb0b2ac .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f @@ -2024,7 +2024,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.config.h.2.f5e96167cb26b0ce97c5e7513a95bc8b .group:0000000000000000 wm4.afsk.h.17.cf8f922069680e152e1eddb76cd4cc82 .group:0000000000000000 wm4.ax25.h.18.077e42a4af9016476e34900472a8fe18 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 .group:0000000000000000 wm4.station_config.h.9.d547169434a316afe56104ac612d2109 .group:0000000000000000 wm4.station_config_target_hw.h.9.a20e080416e80d1cff9c1b51cc464832 .group:0000000000000000 wm4.stm32l4xx_ll_gpio.h.22.6ea69d1eee1200f6e0d48e871aaa811d diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal.o.lst index 4b91fe1..72c6371 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal.o.lst @@ -1907,112 +1907,112 @@ 1206 .file 9 "../system/include/stm32l4-hal-driver/stm32l4xx_hal_cortex.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal.c - /tmp/ccV1ZcXZ.s:18 .text.HAL_MspInit:0000000000000000 $t - /tmp/ccV1ZcXZ.s:25 .text.HAL_MspInit:0000000000000000 HAL_MspInit - /tmp/ccV1ZcXZ.s:39 .text.HAL_MspDeInit:0000000000000000 $t - /tmp/ccV1ZcXZ.s:46 .text.HAL_MspDeInit:0000000000000000 HAL_MspDeInit - /tmp/ccV1ZcXZ.s:59 .text.HAL_DeInit:0000000000000000 $t - /tmp/ccV1ZcXZ.s:66 .text.HAL_DeInit:0000000000000000 HAL_DeInit - /tmp/ccV1ZcXZ.s:110 .text.HAL_DeInit:000000000000002c $d - /tmp/ccV1ZcXZ.s:115 .text.HAL_InitTick:0000000000000000 $t - /tmp/ccV1ZcXZ.s:122 .text.HAL_InitTick:0000000000000000 HAL_InitTick - /tmp/ccV1ZcXZ.s:186 .text.HAL_InitTick:0000000000000044 $d - /tmp/ccV1ZcXZ.s:193 .text.HAL_Init:0000000000000000 $t - /tmp/ccV1ZcXZ.s:200 .text.HAL_Init:0000000000000000 HAL_Init - /tmp/ccV1ZcXZ.s:238 .text.HAL_IncTick:0000000000000000 $t - /tmp/ccV1ZcXZ.s:245 .text.HAL_IncTick:0000000000000000 HAL_IncTick - /tmp/ccV1ZcXZ.s:264 .text.HAL_IncTick:0000000000000010 $d + /tmp/ccKQodh9.s:18 .text.HAL_MspInit:0000000000000000 $t + /tmp/ccKQodh9.s:25 .text.HAL_MspInit:0000000000000000 HAL_MspInit + /tmp/ccKQodh9.s:39 .text.HAL_MspDeInit:0000000000000000 $t + /tmp/ccKQodh9.s:46 .text.HAL_MspDeInit:0000000000000000 HAL_MspDeInit + /tmp/ccKQodh9.s:59 .text.HAL_DeInit:0000000000000000 $t + /tmp/ccKQodh9.s:66 .text.HAL_DeInit:0000000000000000 HAL_DeInit + /tmp/ccKQodh9.s:110 .text.HAL_DeInit:000000000000002c $d + /tmp/ccKQodh9.s:115 .text.HAL_InitTick:0000000000000000 $t + /tmp/ccKQodh9.s:122 .text.HAL_InitTick:0000000000000000 HAL_InitTick + /tmp/ccKQodh9.s:186 .text.HAL_InitTick:0000000000000044 $d + /tmp/ccKQodh9.s:193 .text.HAL_Init:0000000000000000 $t + /tmp/ccKQodh9.s:200 .text.HAL_Init:0000000000000000 HAL_Init + /tmp/ccKQodh9.s:238 .text.HAL_IncTick:0000000000000000 $t + /tmp/ccKQodh9.s:245 .text.HAL_IncTick:0000000000000000 HAL_IncTick + /tmp/ccKQodh9.s:264 .text.HAL_IncTick:0000000000000010 $d *COM*:0000000000000004 uwTick - /tmp/ccV1ZcXZ.s:270 .text.HAL_GetTick:0000000000000000 $t - /tmp/ccV1ZcXZ.s:277 .text.HAL_GetTick:0000000000000000 HAL_GetTick - /tmp/ccV1ZcXZ.s:292 .text.HAL_GetTick:0000000000000008 $d - /tmp/ccV1ZcXZ.s:297 .text.HAL_GetTickPrio:0000000000000000 $t - /tmp/ccV1ZcXZ.s:304 .text.HAL_GetTickPrio:0000000000000000 HAL_GetTickPrio - /tmp/ccV1ZcXZ.s:318 .text.HAL_GetTickPrio:0000000000000008 $d - /tmp/ccV1ZcXZ.s:323 .text.HAL_SetTickFreq:0000000000000000 $t - /tmp/ccV1ZcXZ.s:330 .text.HAL_SetTickFreq:0000000000000000 HAL_SetTickFreq - /tmp/ccV1ZcXZ.s:375 .text.HAL_SetTickFreq:0000000000000024 $d - /tmp/ccV1ZcXZ.s:381 .text.HAL_GetTickFreq:0000000000000000 $t - /tmp/ccV1ZcXZ.s:388 .text.HAL_GetTickFreq:0000000000000000 HAL_GetTickFreq - /tmp/ccV1ZcXZ.s:402 .text.HAL_GetTickFreq:0000000000000008 $d - /tmp/ccV1ZcXZ.s:407 .text.HAL_Delay:0000000000000000 $t - /tmp/ccV1ZcXZ.s:414 .text.HAL_Delay:0000000000000000 HAL_Delay - /tmp/ccV1ZcXZ.s:454 .text.HAL_Delay:0000000000000024 $d - /tmp/ccV1ZcXZ.s:459 .text.HAL_SuspendTick:0000000000000000 $t - /tmp/ccV1ZcXZ.s:466 .text.HAL_SuspendTick:0000000000000000 HAL_SuspendTick - /tmp/ccV1ZcXZ.s:483 .text.HAL_SuspendTick:000000000000000c $d - /tmp/ccV1ZcXZ.s:488 .text.HAL_ResumeTick:0000000000000000 $t - /tmp/ccV1ZcXZ.s:495 .text.HAL_ResumeTick:0000000000000000 HAL_ResumeTick - /tmp/ccV1ZcXZ.s:512 .text.HAL_ResumeTick:000000000000000c $d - /tmp/ccV1ZcXZ.s:517 .text.HAL_GetHalVersion:0000000000000000 $t - /tmp/ccV1ZcXZ.s:524 .text.HAL_GetHalVersion:0000000000000000 HAL_GetHalVersion - /tmp/ccV1ZcXZ.s:537 .text.HAL_GetHalVersion:0000000000000004 $d - /tmp/ccV1ZcXZ.s:542 .text.HAL_GetREVID:0000000000000000 $t - /tmp/ccV1ZcXZ.s:549 .text.HAL_GetREVID:0000000000000000 HAL_GetREVID - /tmp/ccV1ZcXZ.s:565 .text.HAL_GetREVID:0000000000000008 $d - /tmp/ccV1ZcXZ.s:570 .text.HAL_GetDEVID:0000000000000000 $t - /tmp/ccV1ZcXZ.s:577 .text.HAL_GetDEVID:0000000000000000 HAL_GetDEVID - /tmp/ccV1ZcXZ.s:593 .text.HAL_GetDEVID:000000000000000c $d - /tmp/ccV1ZcXZ.s:598 .text.HAL_GetUIDw0:0000000000000000 $t - /tmp/ccV1ZcXZ.s:605 .text.HAL_GetUIDw0:0000000000000000 HAL_GetUIDw0 - /tmp/ccV1ZcXZ.s:619 .text.HAL_GetUIDw0:0000000000000008 $d - /tmp/ccV1ZcXZ.s:624 .text.HAL_GetUIDw1:0000000000000000 $t - /tmp/ccV1ZcXZ.s:631 .text.HAL_GetUIDw1:0000000000000000 HAL_GetUIDw1 - /tmp/ccV1ZcXZ.s:645 .text.HAL_GetUIDw1:0000000000000008 $d - /tmp/ccV1ZcXZ.s:650 .text.HAL_GetUIDw2:0000000000000000 $t - /tmp/ccV1ZcXZ.s:657 .text.HAL_GetUIDw2:0000000000000000 HAL_GetUIDw2 - /tmp/ccV1ZcXZ.s:671 .text.HAL_GetUIDw2:0000000000000008 $d - /tmp/ccV1ZcXZ.s:676 .text.HAL_DBGMCU_EnableDBGSleepMode:0000000000000000 $t - /tmp/ccV1ZcXZ.s:683 .text.HAL_DBGMCU_EnableDBGSleepMode:0000000000000000 HAL_DBGMCU_EnableDBGSleepMode - /tmp/ccV1ZcXZ.s:700 .text.HAL_DBGMCU_EnableDBGSleepMode:000000000000000c $d - /tmp/ccV1ZcXZ.s:705 .text.HAL_DBGMCU_DisableDBGSleepMode:0000000000000000 $t - /tmp/ccV1ZcXZ.s:712 .text.HAL_DBGMCU_DisableDBGSleepMode:0000000000000000 HAL_DBGMCU_DisableDBGSleepMode - /tmp/ccV1ZcXZ.s:729 .text.HAL_DBGMCU_DisableDBGSleepMode:000000000000000c $d - /tmp/ccV1ZcXZ.s:734 .text.HAL_DBGMCU_EnableDBGStopMode:0000000000000000 $t - /tmp/ccV1ZcXZ.s:741 .text.HAL_DBGMCU_EnableDBGStopMode:0000000000000000 HAL_DBGMCU_EnableDBGStopMode - /tmp/ccV1ZcXZ.s:758 .text.HAL_DBGMCU_EnableDBGStopMode:000000000000000c $d - /tmp/ccV1ZcXZ.s:763 .text.HAL_DBGMCU_DisableDBGStopMode:0000000000000000 $t - /tmp/ccV1ZcXZ.s:770 .text.HAL_DBGMCU_DisableDBGStopMode:0000000000000000 HAL_DBGMCU_DisableDBGStopMode - /tmp/ccV1ZcXZ.s:787 .text.HAL_DBGMCU_DisableDBGStopMode:000000000000000c $d - /tmp/ccV1ZcXZ.s:792 .text.HAL_DBGMCU_EnableDBGStandbyMode:0000000000000000 $t - /tmp/ccV1ZcXZ.s:799 .text.HAL_DBGMCU_EnableDBGStandbyMode:0000000000000000 HAL_DBGMCU_EnableDBGStandbyMode - /tmp/ccV1ZcXZ.s:816 .text.HAL_DBGMCU_EnableDBGStandbyMode:000000000000000c $d - /tmp/ccV1ZcXZ.s:821 .text.HAL_DBGMCU_DisableDBGStandbyMode:0000000000000000 $t - /tmp/ccV1ZcXZ.s:828 .text.HAL_DBGMCU_DisableDBGStandbyMode:0000000000000000 HAL_DBGMCU_DisableDBGStandbyMode - /tmp/ccV1ZcXZ.s:845 .text.HAL_DBGMCU_DisableDBGStandbyMode:000000000000000c $d - /tmp/ccV1ZcXZ.s:850 .text.HAL_SYSCFG_SRAM2Erase:0000000000000000 $t - /tmp/ccV1ZcXZ.s:857 .text.HAL_SYSCFG_SRAM2Erase:0000000000000000 HAL_SYSCFG_SRAM2Erase - /tmp/ccV1ZcXZ.s:881 .text.HAL_SYSCFG_SRAM2Erase:0000000000000018 $d - /tmp/ccV1ZcXZ.s:886 .text.HAL_SYSCFG_EnableMemorySwappingBank:0000000000000000 $t - /tmp/ccV1ZcXZ.s:893 .text.HAL_SYSCFG_EnableMemorySwappingBank:0000000000000000 HAL_SYSCFG_EnableMemorySwappingBank - /tmp/ccV1ZcXZ.s:909 .text.HAL_SYSCFG_EnableMemorySwappingBank:0000000000000008 $d - /tmp/ccV1ZcXZ.s:914 .text.HAL_SYSCFG_DisableMemorySwappingBank:0000000000000000 $t - /tmp/ccV1ZcXZ.s:921 .text.HAL_SYSCFG_DisableMemorySwappingBank:0000000000000000 HAL_SYSCFG_DisableMemorySwappingBank - /tmp/ccV1ZcXZ.s:937 .text.HAL_SYSCFG_DisableMemorySwappingBank:0000000000000008 $d - /tmp/ccV1ZcXZ.s:942 .text.HAL_SYSCFG_VREFBUF_VoltageScalingConfig:0000000000000000 $t - /tmp/ccV1ZcXZ.s:949 .text.HAL_SYSCFG_VREFBUF_VoltageScalingConfig:0000000000000000 HAL_SYSCFG_VREFBUF_VoltageScalingConfig - /tmp/ccV1ZcXZ.s:969 .text.HAL_SYSCFG_VREFBUF_VoltageScalingConfig:0000000000000010 $d - /tmp/ccV1ZcXZ.s:974 .text.HAL_SYSCFG_VREFBUF_HighImpedanceConfig:0000000000000000 $t - /tmp/ccV1ZcXZ.s:981 .text.HAL_SYSCFG_VREFBUF_HighImpedanceConfig:0000000000000000 HAL_SYSCFG_VREFBUF_HighImpedanceConfig - /tmp/ccV1ZcXZ.s:1001 .text.HAL_SYSCFG_VREFBUF_HighImpedanceConfig:0000000000000010 $d - /tmp/ccV1ZcXZ.s:1006 .text.HAL_SYSCFG_VREFBUF_TrimmingConfig:0000000000000000 $t - /tmp/ccV1ZcXZ.s:1013 .text.HAL_SYSCFG_VREFBUF_TrimmingConfig:0000000000000000 HAL_SYSCFG_VREFBUF_TrimmingConfig - /tmp/ccV1ZcXZ.s:1033 .text.HAL_SYSCFG_VREFBUF_TrimmingConfig:0000000000000010 $d - /tmp/ccV1ZcXZ.s:1038 .text.HAL_SYSCFG_EnableVREFBUF:0000000000000000 $t - /tmp/ccV1ZcXZ.s:1045 .text.HAL_SYSCFG_EnableVREFBUF:0000000000000000 HAL_SYSCFG_EnableVREFBUF - /tmp/ccV1ZcXZ.s:1090 .text.HAL_SYSCFG_EnableVREFBUF:0000000000000030 $d - /tmp/ccV1ZcXZ.s:1095 .text.HAL_SYSCFG_DisableVREFBUF:0000000000000000 $t - /tmp/ccV1ZcXZ.s:1102 .text.HAL_SYSCFG_DisableVREFBUF:0000000000000000 HAL_SYSCFG_DisableVREFBUF - /tmp/ccV1ZcXZ.s:1119 .text.HAL_SYSCFG_DisableVREFBUF:000000000000000c $d - /tmp/ccV1ZcXZ.s:1124 .text.HAL_SYSCFG_EnableIOAnalogSwitchBooster:0000000000000000 $t - /tmp/ccV1ZcXZ.s:1131 .text.HAL_SYSCFG_EnableIOAnalogSwitchBooster:0000000000000000 HAL_SYSCFG_EnableIOAnalogSwitchBooster - /tmp/ccV1ZcXZ.s:1148 .text.HAL_SYSCFG_EnableIOAnalogSwitchBooster:000000000000000c $d - /tmp/ccV1ZcXZ.s:1153 .text.HAL_SYSCFG_DisableIOAnalogSwitchBooster:0000000000000000 $t - /tmp/ccV1ZcXZ.s:1160 .text.HAL_SYSCFG_DisableIOAnalogSwitchBooster:0000000000000000 HAL_SYSCFG_DisableIOAnalogSwitchBooster - /tmp/ccV1ZcXZ.s:1177 .text.HAL_SYSCFG_DisableIOAnalogSwitchBooster:000000000000000c $d - /tmp/ccV1ZcXZ.s:1188 .data.uwTickFreq:0000000000000000 uwTickFreq - /tmp/ccV1ZcXZ.s:1195 .data.uwTickPrio:0000000000000000 uwTickPrio - /tmp/ccV1ZcXZ.s:1191 .data.uwTickPrio:0000000000000000 $d + /tmp/ccKQodh9.s:270 .text.HAL_GetTick:0000000000000000 $t + /tmp/ccKQodh9.s:277 .text.HAL_GetTick:0000000000000000 HAL_GetTick + /tmp/ccKQodh9.s:292 .text.HAL_GetTick:0000000000000008 $d + /tmp/ccKQodh9.s:297 .text.HAL_GetTickPrio:0000000000000000 $t + /tmp/ccKQodh9.s:304 .text.HAL_GetTickPrio:0000000000000000 HAL_GetTickPrio + /tmp/ccKQodh9.s:318 .text.HAL_GetTickPrio:0000000000000008 $d + /tmp/ccKQodh9.s:323 .text.HAL_SetTickFreq:0000000000000000 $t + /tmp/ccKQodh9.s:330 .text.HAL_SetTickFreq:0000000000000000 HAL_SetTickFreq + /tmp/ccKQodh9.s:375 .text.HAL_SetTickFreq:0000000000000024 $d + /tmp/ccKQodh9.s:381 .text.HAL_GetTickFreq:0000000000000000 $t + /tmp/ccKQodh9.s:388 .text.HAL_GetTickFreq:0000000000000000 HAL_GetTickFreq + /tmp/ccKQodh9.s:402 .text.HAL_GetTickFreq:0000000000000008 $d + /tmp/ccKQodh9.s:407 .text.HAL_Delay:0000000000000000 $t + /tmp/ccKQodh9.s:414 .text.HAL_Delay:0000000000000000 HAL_Delay + /tmp/ccKQodh9.s:454 .text.HAL_Delay:0000000000000024 $d + /tmp/ccKQodh9.s:459 .text.HAL_SuspendTick:0000000000000000 $t + /tmp/ccKQodh9.s:466 .text.HAL_SuspendTick:0000000000000000 HAL_SuspendTick + /tmp/ccKQodh9.s:483 .text.HAL_SuspendTick:000000000000000c $d + /tmp/ccKQodh9.s:488 .text.HAL_ResumeTick:0000000000000000 $t + /tmp/ccKQodh9.s:495 .text.HAL_ResumeTick:0000000000000000 HAL_ResumeTick + /tmp/ccKQodh9.s:512 .text.HAL_ResumeTick:000000000000000c $d + /tmp/ccKQodh9.s:517 .text.HAL_GetHalVersion:0000000000000000 $t + /tmp/ccKQodh9.s:524 .text.HAL_GetHalVersion:0000000000000000 HAL_GetHalVersion + /tmp/ccKQodh9.s:537 .text.HAL_GetHalVersion:0000000000000004 $d + /tmp/ccKQodh9.s:542 .text.HAL_GetREVID:0000000000000000 $t + /tmp/ccKQodh9.s:549 .text.HAL_GetREVID:0000000000000000 HAL_GetREVID + /tmp/ccKQodh9.s:565 .text.HAL_GetREVID:0000000000000008 $d + /tmp/ccKQodh9.s:570 .text.HAL_GetDEVID:0000000000000000 $t + /tmp/ccKQodh9.s:577 .text.HAL_GetDEVID:0000000000000000 HAL_GetDEVID + /tmp/ccKQodh9.s:593 .text.HAL_GetDEVID:000000000000000c $d + /tmp/ccKQodh9.s:598 .text.HAL_GetUIDw0:0000000000000000 $t + /tmp/ccKQodh9.s:605 .text.HAL_GetUIDw0:0000000000000000 HAL_GetUIDw0 + /tmp/ccKQodh9.s:619 .text.HAL_GetUIDw0:0000000000000008 $d + /tmp/ccKQodh9.s:624 .text.HAL_GetUIDw1:0000000000000000 $t + /tmp/ccKQodh9.s:631 .text.HAL_GetUIDw1:0000000000000000 HAL_GetUIDw1 + /tmp/ccKQodh9.s:645 .text.HAL_GetUIDw1:0000000000000008 $d + /tmp/ccKQodh9.s:650 .text.HAL_GetUIDw2:0000000000000000 $t + /tmp/ccKQodh9.s:657 .text.HAL_GetUIDw2:0000000000000000 HAL_GetUIDw2 + /tmp/ccKQodh9.s:671 .text.HAL_GetUIDw2:0000000000000008 $d + /tmp/ccKQodh9.s:676 .text.HAL_DBGMCU_EnableDBGSleepMode:0000000000000000 $t + /tmp/ccKQodh9.s:683 .text.HAL_DBGMCU_EnableDBGSleepMode:0000000000000000 HAL_DBGMCU_EnableDBGSleepMode + /tmp/ccKQodh9.s:700 .text.HAL_DBGMCU_EnableDBGSleepMode:000000000000000c $d + /tmp/ccKQodh9.s:705 .text.HAL_DBGMCU_DisableDBGSleepMode:0000000000000000 $t + /tmp/ccKQodh9.s:712 .text.HAL_DBGMCU_DisableDBGSleepMode:0000000000000000 HAL_DBGMCU_DisableDBGSleepMode + /tmp/ccKQodh9.s:729 .text.HAL_DBGMCU_DisableDBGSleepMode:000000000000000c $d + /tmp/ccKQodh9.s:734 .text.HAL_DBGMCU_EnableDBGStopMode:0000000000000000 $t + /tmp/ccKQodh9.s:741 .text.HAL_DBGMCU_EnableDBGStopMode:0000000000000000 HAL_DBGMCU_EnableDBGStopMode + /tmp/ccKQodh9.s:758 .text.HAL_DBGMCU_EnableDBGStopMode:000000000000000c $d + /tmp/ccKQodh9.s:763 .text.HAL_DBGMCU_DisableDBGStopMode:0000000000000000 $t + /tmp/ccKQodh9.s:770 .text.HAL_DBGMCU_DisableDBGStopMode:0000000000000000 HAL_DBGMCU_DisableDBGStopMode + /tmp/ccKQodh9.s:787 .text.HAL_DBGMCU_DisableDBGStopMode:000000000000000c $d + /tmp/ccKQodh9.s:792 .text.HAL_DBGMCU_EnableDBGStandbyMode:0000000000000000 $t + /tmp/ccKQodh9.s:799 .text.HAL_DBGMCU_EnableDBGStandbyMode:0000000000000000 HAL_DBGMCU_EnableDBGStandbyMode + /tmp/ccKQodh9.s:816 .text.HAL_DBGMCU_EnableDBGStandbyMode:000000000000000c $d + /tmp/ccKQodh9.s:821 .text.HAL_DBGMCU_DisableDBGStandbyMode:0000000000000000 $t + /tmp/ccKQodh9.s:828 .text.HAL_DBGMCU_DisableDBGStandbyMode:0000000000000000 HAL_DBGMCU_DisableDBGStandbyMode + /tmp/ccKQodh9.s:845 .text.HAL_DBGMCU_DisableDBGStandbyMode:000000000000000c $d + /tmp/ccKQodh9.s:850 .text.HAL_SYSCFG_SRAM2Erase:0000000000000000 $t + /tmp/ccKQodh9.s:857 .text.HAL_SYSCFG_SRAM2Erase:0000000000000000 HAL_SYSCFG_SRAM2Erase + /tmp/ccKQodh9.s:881 .text.HAL_SYSCFG_SRAM2Erase:0000000000000018 $d + /tmp/ccKQodh9.s:886 .text.HAL_SYSCFG_EnableMemorySwappingBank:0000000000000000 $t + /tmp/ccKQodh9.s:893 .text.HAL_SYSCFG_EnableMemorySwappingBank:0000000000000000 HAL_SYSCFG_EnableMemorySwappingBank + /tmp/ccKQodh9.s:909 .text.HAL_SYSCFG_EnableMemorySwappingBank:0000000000000008 $d + /tmp/ccKQodh9.s:914 .text.HAL_SYSCFG_DisableMemorySwappingBank:0000000000000000 $t + /tmp/ccKQodh9.s:921 .text.HAL_SYSCFG_DisableMemorySwappingBank:0000000000000000 HAL_SYSCFG_DisableMemorySwappingBank + /tmp/ccKQodh9.s:937 .text.HAL_SYSCFG_DisableMemorySwappingBank:0000000000000008 $d + /tmp/ccKQodh9.s:942 .text.HAL_SYSCFG_VREFBUF_VoltageScalingConfig:0000000000000000 $t + /tmp/ccKQodh9.s:949 .text.HAL_SYSCFG_VREFBUF_VoltageScalingConfig:0000000000000000 HAL_SYSCFG_VREFBUF_VoltageScalingConfig + /tmp/ccKQodh9.s:969 .text.HAL_SYSCFG_VREFBUF_VoltageScalingConfig:0000000000000010 $d + /tmp/ccKQodh9.s:974 .text.HAL_SYSCFG_VREFBUF_HighImpedanceConfig:0000000000000000 $t + /tmp/ccKQodh9.s:981 .text.HAL_SYSCFG_VREFBUF_HighImpedanceConfig:0000000000000000 HAL_SYSCFG_VREFBUF_HighImpedanceConfig + /tmp/ccKQodh9.s:1001 .text.HAL_SYSCFG_VREFBUF_HighImpedanceConfig:0000000000000010 $d + /tmp/ccKQodh9.s:1006 .text.HAL_SYSCFG_VREFBUF_TrimmingConfig:0000000000000000 $t + /tmp/ccKQodh9.s:1013 .text.HAL_SYSCFG_VREFBUF_TrimmingConfig:0000000000000000 HAL_SYSCFG_VREFBUF_TrimmingConfig + /tmp/ccKQodh9.s:1033 .text.HAL_SYSCFG_VREFBUF_TrimmingConfig:0000000000000010 $d + /tmp/ccKQodh9.s:1038 .text.HAL_SYSCFG_EnableVREFBUF:0000000000000000 $t + /tmp/ccKQodh9.s:1045 .text.HAL_SYSCFG_EnableVREFBUF:0000000000000000 HAL_SYSCFG_EnableVREFBUF + /tmp/ccKQodh9.s:1090 .text.HAL_SYSCFG_EnableVREFBUF:0000000000000030 $d + /tmp/ccKQodh9.s:1095 .text.HAL_SYSCFG_DisableVREFBUF:0000000000000000 $t + /tmp/ccKQodh9.s:1102 .text.HAL_SYSCFG_DisableVREFBUF:0000000000000000 HAL_SYSCFG_DisableVREFBUF + /tmp/ccKQodh9.s:1119 .text.HAL_SYSCFG_DisableVREFBUF:000000000000000c $d + /tmp/ccKQodh9.s:1124 .text.HAL_SYSCFG_EnableIOAnalogSwitchBooster:0000000000000000 $t + /tmp/ccKQodh9.s:1131 .text.HAL_SYSCFG_EnableIOAnalogSwitchBooster:0000000000000000 HAL_SYSCFG_EnableIOAnalogSwitchBooster + /tmp/ccKQodh9.s:1148 .text.HAL_SYSCFG_EnableIOAnalogSwitchBooster:000000000000000c $d + /tmp/ccKQodh9.s:1153 .text.HAL_SYSCFG_DisableIOAnalogSwitchBooster:0000000000000000 $t + /tmp/ccKQodh9.s:1160 .text.HAL_SYSCFG_DisableIOAnalogSwitchBooster:0000000000000000 HAL_SYSCFG_DisableIOAnalogSwitchBooster + /tmp/ccKQodh9.s:1177 .text.HAL_SYSCFG_DisableIOAnalogSwitchBooster:000000000000000c $d + /tmp/ccKQodh9.s:1188 .data.uwTickFreq:0000000000000000 uwTickFreq + /tmp/ccKQodh9.s:1195 .data.uwTickPrio:0000000000000000 uwTickPrio + /tmp/ccKQodh9.s:1191 .data.uwTickPrio:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_adc.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_adc.o.lst index 8b49fc0..d70d8b1 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_adc.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_adc.o.lst @@ -18152,78 +18152,78 @@ 5401 .file 14 "../system/include/stm32l4-hal-driver/stm32l4xx_hal_adc_ex.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_adc.c - /tmp/ccRMRfJB.s:18 .text.HAL_ADC_MspInit:0000000000000000 $t - /tmp/ccRMRfJB.s:25 .text.HAL_ADC_MspInit:0000000000000000 HAL_ADC_MspInit - /tmp/ccRMRfJB.s:40 .text.HAL_ADC_Init:0000000000000000 $t - /tmp/ccRMRfJB.s:47 .text.HAL_ADC_Init:0000000000000000 HAL_ADC_Init - /tmp/ccRMRfJB.s:503 .text.HAL_ADC_Init:0000000000000200 $d - /tmp/ccRMRfJB.s:514 .text.HAL_ADC_MspDeInit:0000000000000000 $t - /tmp/ccRMRfJB.s:521 .text.HAL_ADC_MspDeInit:0000000000000000 HAL_ADC_MspDeInit - /tmp/ccRMRfJB.s:535 .text.HAL_ADC_PollForConversion:0000000000000000 $t - /tmp/ccRMRfJB.s:542 .text.HAL_ADC_PollForConversion:0000000000000000 HAL_ADC_PollForConversion - /tmp/ccRMRfJB.s:769 .text.HAL_ADC_PollForConversion:000000000000010c $d - /tmp/ccRMRfJB.s:775 .text.HAL_ADC_PollForEvent:0000000000000000 $t - /tmp/ccRMRfJB.s:782 .text.HAL_ADC_PollForEvent:0000000000000000 HAL_ADC_PollForEvent - /tmp/ccRMRfJB.s:944 .text.HAL_ADC_GetValue:0000000000000000 $t - /tmp/ccRMRfJB.s:951 .text.HAL_ADC_GetValue:0000000000000000 HAL_ADC_GetValue - /tmp/ccRMRfJB.s:969 .text.HAL_ADC_ConvCpltCallback:0000000000000000 $t - /tmp/ccRMRfJB.s:976 .text.HAL_ADC_ConvCpltCallback:0000000000000000 HAL_ADC_ConvCpltCallback - /tmp/ccRMRfJB.s:990 .text.HAL_ADC_ConvHalfCpltCallback:0000000000000000 $t - /tmp/ccRMRfJB.s:997 .text.HAL_ADC_ConvHalfCpltCallback:0000000000000000 HAL_ADC_ConvHalfCpltCallback - /tmp/ccRMRfJB.s:1011 .text.ADC_DMAHalfConvCplt:0000000000000000 $t - /tmp/ccRMRfJB.s:1018 .text.ADC_DMAHalfConvCplt:0000000000000000 ADC_DMAHalfConvCplt - /tmp/ccRMRfJB.s:1041 .text.HAL_ADC_LevelOutOfWindowCallback:0000000000000000 $t - /tmp/ccRMRfJB.s:1048 .text.HAL_ADC_LevelOutOfWindowCallback:0000000000000000 HAL_ADC_LevelOutOfWindowCallback - /tmp/ccRMRfJB.s:1062 .text.HAL_ADC_ErrorCallback:0000000000000000 $t - /tmp/ccRMRfJB.s:1069 .text.HAL_ADC_ErrorCallback:0000000000000000 HAL_ADC_ErrorCallback - /tmp/ccRMRfJB.s:1083 .text.HAL_ADC_IRQHandler:0000000000000000 $t - /tmp/ccRMRfJB.s:1090 .text.HAL_ADC_IRQHandler:0000000000000000 HAL_ADC_IRQHandler - /tmp/ccRMRfJB.s:1579 .text.HAL_ADC_IRQHandler:000000000000028c $d - /tmp/ccRMRfJB.s:1585 .text.ADC_DMAConvCplt:0000000000000000 $t - /tmp/ccRMRfJB.s:1592 .text.ADC_DMAConvCplt:0000000000000000 ADC_DMAConvCplt - /tmp/ccRMRfJB.s:1698 .text.ADC_DMAError:0000000000000000 $t - /tmp/ccRMRfJB.s:1705 .text.ADC_DMAError:0000000000000000 ADC_DMAError - /tmp/ccRMRfJB.s:1736 .text.HAL_ADC_ConfigChannel:0000000000000000 $t - /tmp/ccRMRfJB.s:1743 .text.HAL_ADC_ConfigChannel:0000000000000000 HAL_ADC_ConfigChannel - /tmp/ccRMRfJB.s:1824 .text.HAL_ADC_ConfigChannel:000000000000004c $d - /tmp/ccRMRfJB.s:1828 .text.HAL_ADC_ConfigChannel:0000000000000050 $t - /tmp/ccRMRfJB.s:2652 .text.HAL_ADC_ConfigChannel:0000000000000390 $d - /tmp/ccRMRfJB.s:2667 .text.HAL_ADC_ConfigChannel:00000000000003b0 $t - /tmp/ccRMRfJB.s:2835 .text.HAL_ADC_ConfigChannel:000000000000048c $d - /tmp/ccRMRfJB.s:2843 .text.HAL_ADC_AnalogWDGConfig:0000000000000000 $t - /tmp/ccRMRfJB.s:2850 .text.HAL_ADC_AnalogWDGConfig:0000000000000000 HAL_ADC_AnalogWDGConfig - /tmp/ccRMRfJB.s:3478 .text.HAL_ADC_AnalogWDGConfig:0000000000000324 $d - /tmp/ccRMRfJB.s:3483 .text.HAL_ADC_AnalogWDGConfig:000000000000032c $t - /tmp/ccRMRfJB.s:3529 .text.HAL_ADC_GetState:0000000000000000 $t - /tmp/ccRMRfJB.s:3536 .text.HAL_ADC_GetState:0000000000000000 HAL_ADC_GetState - /tmp/ccRMRfJB.s:3553 .text.HAL_ADC_GetError:0000000000000000 $t - /tmp/ccRMRfJB.s:3560 .text.HAL_ADC_GetError:0000000000000000 HAL_ADC_GetError - /tmp/ccRMRfJB.s:3577 .text.ADC_ConversionStop:0000000000000000 $t - /tmp/ccRMRfJB.s:3584 .text.ADC_ConversionStop:0000000000000000 ADC_ConversionStop - /tmp/ccRMRfJB.s:3842 .text.ADC_ConversionStop:0000000000000104 $d - /tmp/ccRMRfJB.s:3847 .text.ADC_Enable:0000000000000000 $t - /tmp/ccRMRfJB.s:3854 .text.ADC_Enable:0000000000000000 ADC_Enable - /tmp/ccRMRfJB.s:3980 .text.ADC_Enable:0000000000000094 $d - /tmp/ccRMRfJB.s:3985 .text.HAL_ADC_Start:0000000000000000 $t - /tmp/ccRMRfJB.s:3992 .text.HAL_ADC_Start:0000000000000000 HAL_ADC_Start - /tmp/ccRMRfJB.s:4175 .text.HAL_ADC_Start:00000000000000f0 $d - /tmp/ccRMRfJB.s:4181 .text.HAL_ADC_Start_IT:0000000000000000 $t - /tmp/ccRMRfJB.s:4188 .text.HAL_ADC_Start_IT:0000000000000000 HAL_ADC_Start_IT - /tmp/ccRMRfJB.s:4460 .text.HAL_ADC_Start_IT:0000000000000184 $d - /tmp/ccRMRfJB.s:4466 .text.HAL_ADC_Start_DMA:0000000000000000 $t - /tmp/ccRMRfJB.s:4473 .text.HAL_ADC_Start_DMA:0000000000000000 HAL_ADC_Start_DMA - /tmp/ccRMRfJB.s:4664 .text.HAL_ADC_Start_DMA:00000000000000f4 $d - /tmp/ccRMRfJB.s:4673 .text.ADC_Disable:0000000000000000 $t - /tmp/ccRMRfJB.s:4680 .text.ADC_Disable:0000000000000000 ADC_Disable - /tmp/ccRMRfJB.s:4818 .text.HAL_ADC_DeInit:0000000000000000 $t - /tmp/ccRMRfJB.s:4825 .text.HAL_ADC_DeInit:0000000000000000 HAL_ADC_DeInit - /tmp/ccRMRfJB.s:5112 .text.HAL_ADC_DeInit:00000000000001ac $d - /tmp/ccRMRfJB.s:5124 .text.HAL_ADC_Stop:0000000000000000 $t - /tmp/ccRMRfJB.s:5131 .text.HAL_ADC_Stop:0000000000000000 HAL_ADC_Stop - /tmp/ccRMRfJB.s:5199 .text.HAL_ADC_Stop_IT:0000000000000000 $t - /tmp/ccRMRfJB.s:5206 .text.HAL_ADC_Stop_IT:0000000000000000 HAL_ADC_Stop_IT - /tmp/ccRMRfJB.s:5279 .text.HAL_ADC_Stop_DMA:0000000000000000 $t - /tmp/ccRMRfJB.s:5286 .text.HAL_ADC_Stop_DMA:0000000000000000 HAL_ADC_Stop_DMA + /tmp/ccdUEopH.s:18 .text.HAL_ADC_MspInit:0000000000000000 $t + /tmp/ccdUEopH.s:25 .text.HAL_ADC_MspInit:0000000000000000 HAL_ADC_MspInit + /tmp/ccdUEopH.s:40 .text.HAL_ADC_Init:0000000000000000 $t + /tmp/ccdUEopH.s:47 .text.HAL_ADC_Init:0000000000000000 HAL_ADC_Init + /tmp/ccdUEopH.s:503 .text.HAL_ADC_Init:0000000000000200 $d + /tmp/ccdUEopH.s:514 .text.HAL_ADC_MspDeInit:0000000000000000 $t + /tmp/ccdUEopH.s:521 .text.HAL_ADC_MspDeInit:0000000000000000 HAL_ADC_MspDeInit + /tmp/ccdUEopH.s:535 .text.HAL_ADC_PollForConversion:0000000000000000 $t + /tmp/ccdUEopH.s:542 .text.HAL_ADC_PollForConversion:0000000000000000 HAL_ADC_PollForConversion + /tmp/ccdUEopH.s:769 .text.HAL_ADC_PollForConversion:000000000000010c $d + /tmp/ccdUEopH.s:775 .text.HAL_ADC_PollForEvent:0000000000000000 $t + /tmp/ccdUEopH.s:782 .text.HAL_ADC_PollForEvent:0000000000000000 HAL_ADC_PollForEvent + /tmp/ccdUEopH.s:944 .text.HAL_ADC_GetValue:0000000000000000 $t + /tmp/ccdUEopH.s:951 .text.HAL_ADC_GetValue:0000000000000000 HAL_ADC_GetValue + /tmp/ccdUEopH.s:969 .text.HAL_ADC_ConvCpltCallback:0000000000000000 $t + /tmp/ccdUEopH.s:976 .text.HAL_ADC_ConvCpltCallback:0000000000000000 HAL_ADC_ConvCpltCallback + /tmp/ccdUEopH.s:990 .text.HAL_ADC_ConvHalfCpltCallback:0000000000000000 $t + /tmp/ccdUEopH.s:997 .text.HAL_ADC_ConvHalfCpltCallback:0000000000000000 HAL_ADC_ConvHalfCpltCallback + /tmp/ccdUEopH.s:1011 .text.ADC_DMAHalfConvCplt:0000000000000000 $t + /tmp/ccdUEopH.s:1018 .text.ADC_DMAHalfConvCplt:0000000000000000 ADC_DMAHalfConvCplt + /tmp/ccdUEopH.s:1041 .text.HAL_ADC_LevelOutOfWindowCallback:0000000000000000 $t + /tmp/ccdUEopH.s:1048 .text.HAL_ADC_LevelOutOfWindowCallback:0000000000000000 HAL_ADC_LevelOutOfWindowCallback + /tmp/ccdUEopH.s:1062 .text.HAL_ADC_ErrorCallback:0000000000000000 $t + /tmp/ccdUEopH.s:1069 .text.HAL_ADC_ErrorCallback:0000000000000000 HAL_ADC_ErrorCallback + /tmp/ccdUEopH.s:1083 .text.HAL_ADC_IRQHandler:0000000000000000 $t + /tmp/ccdUEopH.s:1090 .text.HAL_ADC_IRQHandler:0000000000000000 HAL_ADC_IRQHandler + /tmp/ccdUEopH.s:1579 .text.HAL_ADC_IRQHandler:000000000000028c $d + /tmp/ccdUEopH.s:1585 .text.ADC_DMAConvCplt:0000000000000000 $t + /tmp/ccdUEopH.s:1592 .text.ADC_DMAConvCplt:0000000000000000 ADC_DMAConvCplt + /tmp/ccdUEopH.s:1698 .text.ADC_DMAError:0000000000000000 $t + /tmp/ccdUEopH.s:1705 .text.ADC_DMAError:0000000000000000 ADC_DMAError + /tmp/ccdUEopH.s:1736 .text.HAL_ADC_ConfigChannel:0000000000000000 $t + /tmp/ccdUEopH.s:1743 .text.HAL_ADC_ConfigChannel:0000000000000000 HAL_ADC_ConfigChannel + /tmp/ccdUEopH.s:1824 .text.HAL_ADC_ConfigChannel:000000000000004c $d + /tmp/ccdUEopH.s:1828 .text.HAL_ADC_ConfigChannel:0000000000000050 $t + /tmp/ccdUEopH.s:2652 .text.HAL_ADC_ConfigChannel:0000000000000390 $d + /tmp/ccdUEopH.s:2667 .text.HAL_ADC_ConfigChannel:00000000000003b0 $t + /tmp/ccdUEopH.s:2835 .text.HAL_ADC_ConfigChannel:000000000000048c $d + /tmp/ccdUEopH.s:2843 .text.HAL_ADC_AnalogWDGConfig:0000000000000000 $t + /tmp/ccdUEopH.s:2850 .text.HAL_ADC_AnalogWDGConfig:0000000000000000 HAL_ADC_AnalogWDGConfig + /tmp/ccdUEopH.s:3478 .text.HAL_ADC_AnalogWDGConfig:0000000000000324 $d + /tmp/ccdUEopH.s:3483 .text.HAL_ADC_AnalogWDGConfig:000000000000032c $t + /tmp/ccdUEopH.s:3529 .text.HAL_ADC_GetState:0000000000000000 $t + /tmp/ccdUEopH.s:3536 .text.HAL_ADC_GetState:0000000000000000 HAL_ADC_GetState + /tmp/ccdUEopH.s:3553 .text.HAL_ADC_GetError:0000000000000000 $t + /tmp/ccdUEopH.s:3560 .text.HAL_ADC_GetError:0000000000000000 HAL_ADC_GetError + /tmp/ccdUEopH.s:3577 .text.ADC_ConversionStop:0000000000000000 $t + /tmp/ccdUEopH.s:3584 .text.ADC_ConversionStop:0000000000000000 ADC_ConversionStop + /tmp/ccdUEopH.s:3842 .text.ADC_ConversionStop:0000000000000104 $d + /tmp/ccdUEopH.s:3847 .text.ADC_Enable:0000000000000000 $t + /tmp/ccdUEopH.s:3854 .text.ADC_Enable:0000000000000000 ADC_Enable + /tmp/ccdUEopH.s:3980 .text.ADC_Enable:0000000000000094 $d + /tmp/ccdUEopH.s:3985 .text.HAL_ADC_Start:0000000000000000 $t + /tmp/ccdUEopH.s:3992 .text.HAL_ADC_Start:0000000000000000 HAL_ADC_Start + /tmp/ccdUEopH.s:4175 .text.HAL_ADC_Start:00000000000000f0 $d + /tmp/ccdUEopH.s:4181 .text.HAL_ADC_Start_IT:0000000000000000 $t + /tmp/ccdUEopH.s:4188 .text.HAL_ADC_Start_IT:0000000000000000 HAL_ADC_Start_IT + /tmp/ccdUEopH.s:4460 .text.HAL_ADC_Start_IT:0000000000000184 $d + /tmp/ccdUEopH.s:4466 .text.HAL_ADC_Start_DMA:0000000000000000 $t + /tmp/ccdUEopH.s:4473 .text.HAL_ADC_Start_DMA:0000000000000000 HAL_ADC_Start_DMA + /tmp/ccdUEopH.s:4664 .text.HAL_ADC_Start_DMA:00000000000000f4 $d + /tmp/ccdUEopH.s:4673 .text.ADC_Disable:0000000000000000 $t + /tmp/ccdUEopH.s:4680 .text.ADC_Disable:0000000000000000 ADC_Disable + /tmp/ccdUEopH.s:4818 .text.HAL_ADC_DeInit:0000000000000000 $t + /tmp/ccdUEopH.s:4825 .text.HAL_ADC_DeInit:0000000000000000 HAL_ADC_DeInit + /tmp/ccdUEopH.s:5112 .text.HAL_ADC_DeInit:00000000000001ac $d + /tmp/ccdUEopH.s:5124 .text.HAL_ADC_Stop:0000000000000000 $t + /tmp/ccdUEopH.s:5131 .text.HAL_ADC_Stop:0000000000000000 HAL_ADC_Stop + /tmp/ccdUEopH.s:5199 .text.HAL_ADC_Stop_IT:0000000000000000 $t + /tmp/ccdUEopH.s:5206 .text.HAL_ADC_Stop_IT:0000000000000000 HAL_ADC_Stop_IT + /tmp/ccdUEopH.s:5279 .text.HAL_ADC_Stop_DMA:0000000000000000 $t + /tmp/ccdUEopH.s:5286 .text.HAL_ADC_Stop_DMA:0000000000000000 HAL_ADC_Stop_DMA .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_adc_ex.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_adc_ex.o.lst index a50da24..1833923 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_adc_ex.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_adc_ex.o.lst @@ -14433,71 +14433,71 @@ 4384 .file 14 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_adc_ex.c - /tmp/ccTZ5b8g.s:18 .text.HAL_ADCEx_Calibration_Start:0000000000000000 $t - /tmp/ccTZ5b8g.s:25 .text.HAL_ADCEx_Calibration_Start:0000000000000000 HAL_ADCEx_Calibration_Start - /tmp/ccTZ5b8g.s:152 .text.HAL_ADCEx_Calibration_GetValue:0000000000000000 $t - /tmp/ccTZ5b8g.s:159 .text.HAL_ADCEx_Calibration_GetValue:0000000000000000 HAL_ADCEx_Calibration_GetValue - /tmp/ccTZ5b8g.s:191 .text.HAL_ADCEx_Calibration_SetValue:0000000000000000 $t - /tmp/ccTZ5b8g.s:198 .text.HAL_ADCEx_Calibration_SetValue:0000000000000000 HAL_ADCEx_Calibration_SetValue - /tmp/ccTZ5b8g.s:337 .text.HAL_ADCEx_InjectedStart:0000000000000000 $t - /tmp/ccTZ5b8g.s:344 .text.HAL_ADCEx_InjectedStart:0000000000000000 HAL_ADCEx_InjectedStart - /tmp/ccTZ5b8g.s:534 .text.HAL_ADCEx_InjectedStart:00000000000000ec $d - /tmp/ccTZ5b8g.s:540 .text.HAL_ADCEx_InjectedStop:0000000000000000 $t - /tmp/ccTZ5b8g.s:547 .text.HAL_ADCEx_InjectedStop:0000000000000000 HAL_ADCEx_InjectedStop - /tmp/ccTZ5b8g.s:632 .text.HAL_ADCEx_InjectedPollForConversion:0000000000000000 $t - /tmp/ccTZ5b8g.s:639 .text.HAL_ADCEx_InjectedPollForConversion:0000000000000000 HAL_ADCEx_InjectedPollForConversion - /tmp/ccTZ5b8g.s:857 .text.HAL_ADCEx_InjectedPollForConversion:00000000000000f8 $d - /tmp/ccTZ5b8g.s:863 .text.HAL_ADCEx_InjectedStart_IT:0000000000000000 $t - /tmp/ccTZ5b8g.s:870 .text.HAL_ADCEx_InjectedStart_IT:0000000000000000 HAL_ADCEx_InjectedStart_IT - /tmp/ccTZ5b8g.s:1098 .text.HAL_ADCEx_InjectedStart_IT:0000000000000130 $d - /tmp/ccTZ5b8g.s:1104 .text.HAL_ADCEx_InjectedStop_IT:0000000000000000 $t - /tmp/ccTZ5b8g.s:1111 .text.HAL_ADCEx_InjectedStop_IT:0000000000000000 HAL_ADCEx_InjectedStop_IT - /tmp/ccTZ5b8g.s:1201 .text.HAL_ADCEx_MultiModeStart_DMA:0000000000000000 $t - /tmp/ccTZ5b8g.s:1208 .text.HAL_ADCEx_MultiModeStart_DMA:0000000000000000 HAL_ADCEx_MultiModeStart_DMA - /tmp/ccTZ5b8g.s:1383 .text.HAL_ADCEx_MultiModeStart_DMA:00000000000000d8 $d - /tmp/ccTZ5b8g.s:1392 .text.HAL_ADCEx_MultiModeStop_DMA:0000000000000000 $t - /tmp/ccTZ5b8g.s:1399 .text.HAL_ADCEx_MultiModeStop_DMA:0000000000000000 HAL_ADCEx_MultiModeStop_DMA - /tmp/ccTZ5b8g.s:1673 .text.HAL_ADCEx_MultiModeStop_DMA:0000000000000124 $d - /tmp/ccTZ5b8g.s:1678 .text.HAL_ADCEx_MultiModeGetValue:0000000000000000 $t - /tmp/ccTZ5b8g.s:1685 .text.HAL_ADCEx_MultiModeGetValue:0000000000000000 HAL_ADCEx_MultiModeGetValue - /tmp/ccTZ5b8g.s:1702 .text.HAL_ADCEx_MultiModeGetValue:0000000000000008 $d - /tmp/ccTZ5b8g.s:1707 .text.HAL_ADCEx_InjectedGetValue:0000000000000000 $t - /tmp/ccTZ5b8g.s:1714 .text.HAL_ADCEx_InjectedGetValue:0000000000000000 HAL_ADCEx_InjectedGetValue - /tmp/ccTZ5b8g.s:1764 .text.HAL_ADCEx_InjectedConvCpltCallback:0000000000000000 $t - /tmp/ccTZ5b8g.s:1771 .text.HAL_ADCEx_InjectedConvCpltCallback:0000000000000000 HAL_ADCEx_InjectedConvCpltCallback - /tmp/ccTZ5b8g.s:1785 .text.HAL_ADCEx_InjectedQueueOverflowCallback:0000000000000000 $t - /tmp/ccTZ5b8g.s:1792 .text.HAL_ADCEx_InjectedQueueOverflowCallback:0000000000000000 HAL_ADCEx_InjectedQueueOverflowCallback - /tmp/ccTZ5b8g.s:1806 .text.HAL_ADCEx_LevelOutOfWindow2Callback:0000000000000000 $t - /tmp/ccTZ5b8g.s:1813 .text.HAL_ADCEx_LevelOutOfWindow2Callback:0000000000000000 HAL_ADCEx_LevelOutOfWindow2Callback - /tmp/ccTZ5b8g.s:1827 .text.HAL_ADCEx_LevelOutOfWindow3Callback:0000000000000000 $t - /tmp/ccTZ5b8g.s:1834 .text.HAL_ADCEx_LevelOutOfWindow3Callback:0000000000000000 HAL_ADCEx_LevelOutOfWindow3Callback - /tmp/ccTZ5b8g.s:1848 .text.HAL_ADCEx_EndOfSamplingCallback:0000000000000000 $t - /tmp/ccTZ5b8g.s:1855 .text.HAL_ADCEx_EndOfSamplingCallback:0000000000000000 HAL_ADCEx_EndOfSamplingCallback - /tmp/ccTZ5b8g.s:1869 .text.HAL_ADCEx_RegularStop:0000000000000000 $t - /tmp/ccTZ5b8g.s:1876 .text.HAL_ADCEx_RegularStop:0000000000000000 HAL_ADCEx_RegularStop - /tmp/ccTZ5b8g.s:1964 .text.HAL_ADCEx_RegularStop_IT:0000000000000000 $t - /tmp/ccTZ5b8g.s:1971 .text.HAL_ADCEx_RegularStop_IT:0000000000000000 HAL_ADCEx_RegularStop_IT - /tmp/ccTZ5b8g.s:2064 .text.HAL_ADCEx_RegularStop_DMA:0000000000000000 $t - /tmp/ccTZ5b8g.s:2071 .text.HAL_ADCEx_RegularStop_DMA:0000000000000000 HAL_ADCEx_RegularStop_DMA - /tmp/ccTZ5b8g.s:2194 .text.HAL_ADCEx_RegularMultiModeStop_DMA:0000000000000000 $t - /tmp/ccTZ5b8g.s:2201 .text.HAL_ADCEx_RegularMultiModeStop_DMA:0000000000000000 HAL_ADCEx_RegularMultiModeStop_DMA - /tmp/ccTZ5b8g.s:2499 .text.HAL_ADCEx_RegularMultiModeStop_DMA:0000000000000130 $d - /tmp/ccTZ5b8g.s:2504 .text.HAL_ADCEx_InjectedConfigChannel:0000000000000000 $t - /tmp/ccTZ5b8g.s:2511 .text.HAL_ADCEx_InjectedConfigChannel:0000000000000000 HAL_ADCEx_InjectedConfigChannel - /tmp/ccTZ5b8g.s:3328 .text.HAL_ADCEx_InjectedConfigChannel:00000000000003c4 $d - /tmp/ccTZ5b8g.s:3345 .text.HAL_ADCEx_InjectedConfigChannel:00000000000003e4 $t - /tmp/ccTZ5b8g.s:3748 .text.HAL_ADCEx_InjectedConfigChannel:000000000000058c $d - /tmp/ccTZ5b8g.s:3756 .text.HAL_ADCEx_MultiModeConfigChannel:0000000000000000 $t - /tmp/ccTZ5b8g.s:3763 .text.HAL_ADCEx_MultiModeConfigChannel:0000000000000000 HAL_ADCEx_MultiModeConfigChannel - /tmp/ccTZ5b8g.s:4075 .text.HAL_ADCEx_MultiModeConfigChannel:0000000000000140 $d - /tmp/ccTZ5b8g.s:4083 .text.HAL_ADCEx_EnableInjectedQueue:0000000000000000 $t - /tmp/ccTZ5b8g.s:4090 .text.HAL_ADCEx_EnableInjectedQueue:0000000000000000 HAL_ADCEx_EnableInjectedQueue - /tmp/ccTZ5b8g.s:4174 .text.HAL_ADCEx_DisableInjectedQueue:0000000000000000 $t - /tmp/ccTZ5b8g.s:4181 .text.HAL_ADCEx_DisableInjectedQueue:0000000000000000 HAL_ADCEx_DisableInjectedQueue - /tmp/ccTZ5b8g.s:4268 .text.HAL_ADCEx_DisableVoltageRegulator:0000000000000000 $t - /tmp/ccTZ5b8g.s:4275 .text.HAL_ADCEx_DisableVoltageRegulator:0000000000000000 HAL_ADCEx_DisableVoltageRegulator - /tmp/ccTZ5b8g.s:4320 .text.HAL_ADCEx_EnterADCDeepPowerDownMode:0000000000000000 $t - /tmp/ccTZ5b8g.s:4327 .text.HAL_ADCEx_EnterADCDeepPowerDownMode:0000000000000000 HAL_ADCEx_EnterADCDeepPowerDownMode + /tmp/ccAfM4Yv.s:18 .text.HAL_ADCEx_Calibration_Start:0000000000000000 $t + /tmp/ccAfM4Yv.s:25 .text.HAL_ADCEx_Calibration_Start:0000000000000000 HAL_ADCEx_Calibration_Start + /tmp/ccAfM4Yv.s:152 .text.HAL_ADCEx_Calibration_GetValue:0000000000000000 $t + /tmp/ccAfM4Yv.s:159 .text.HAL_ADCEx_Calibration_GetValue:0000000000000000 HAL_ADCEx_Calibration_GetValue + /tmp/ccAfM4Yv.s:191 .text.HAL_ADCEx_Calibration_SetValue:0000000000000000 $t + /tmp/ccAfM4Yv.s:198 .text.HAL_ADCEx_Calibration_SetValue:0000000000000000 HAL_ADCEx_Calibration_SetValue + /tmp/ccAfM4Yv.s:337 .text.HAL_ADCEx_InjectedStart:0000000000000000 $t + /tmp/ccAfM4Yv.s:344 .text.HAL_ADCEx_InjectedStart:0000000000000000 HAL_ADCEx_InjectedStart + /tmp/ccAfM4Yv.s:534 .text.HAL_ADCEx_InjectedStart:00000000000000ec $d + /tmp/ccAfM4Yv.s:540 .text.HAL_ADCEx_InjectedStop:0000000000000000 $t + /tmp/ccAfM4Yv.s:547 .text.HAL_ADCEx_InjectedStop:0000000000000000 HAL_ADCEx_InjectedStop + /tmp/ccAfM4Yv.s:632 .text.HAL_ADCEx_InjectedPollForConversion:0000000000000000 $t + /tmp/ccAfM4Yv.s:639 .text.HAL_ADCEx_InjectedPollForConversion:0000000000000000 HAL_ADCEx_InjectedPollForConversion + /tmp/ccAfM4Yv.s:857 .text.HAL_ADCEx_InjectedPollForConversion:00000000000000f8 $d + /tmp/ccAfM4Yv.s:863 .text.HAL_ADCEx_InjectedStart_IT:0000000000000000 $t + /tmp/ccAfM4Yv.s:870 .text.HAL_ADCEx_InjectedStart_IT:0000000000000000 HAL_ADCEx_InjectedStart_IT + /tmp/ccAfM4Yv.s:1098 .text.HAL_ADCEx_InjectedStart_IT:0000000000000130 $d + /tmp/ccAfM4Yv.s:1104 .text.HAL_ADCEx_InjectedStop_IT:0000000000000000 $t + /tmp/ccAfM4Yv.s:1111 .text.HAL_ADCEx_InjectedStop_IT:0000000000000000 HAL_ADCEx_InjectedStop_IT + /tmp/ccAfM4Yv.s:1201 .text.HAL_ADCEx_MultiModeStart_DMA:0000000000000000 $t + /tmp/ccAfM4Yv.s:1208 .text.HAL_ADCEx_MultiModeStart_DMA:0000000000000000 HAL_ADCEx_MultiModeStart_DMA + /tmp/ccAfM4Yv.s:1383 .text.HAL_ADCEx_MultiModeStart_DMA:00000000000000d8 $d + /tmp/ccAfM4Yv.s:1392 .text.HAL_ADCEx_MultiModeStop_DMA:0000000000000000 $t + /tmp/ccAfM4Yv.s:1399 .text.HAL_ADCEx_MultiModeStop_DMA:0000000000000000 HAL_ADCEx_MultiModeStop_DMA + /tmp/ccAfM4Yv.s:1673 .text.HAL_ADCEx_MultiModeStop_DMA:0000000000000124 $d + /tmp/ccAfM4Yv.s:1678 .text.HAL_ADCEx_MultiModeGetValue:0000000000000000 $t + /tmp/ccAfM4Yv.s:1685 .text.HAL_ADCEx_MultiModeGetValue:0000000000000000 HAL_ADCEx_MultiModeGetValue + /tmp/ccAfM4Yv.s:1702 .text.HAL_ADCEx_MultiModeGetValue:0000000000000008 $d + /tmp/ccAfM4Yv.s:1707 .text.HAL_ADCEx_InjectedGetValue:0000000000000000 $t + /tmp/ccAfM4Yv.s:1714 .text.HAL_ADCEx_InjectedGetValue:0000000000000000 HAL_ADCEx_InjectedGetValue + /tmp/ccAfM4Yv.s:1764 .text.HAL_ADCEx_InjectedConvCpltCallback:0000000000000000 $t + /tmp/ccAfM4Yv.s:1771 .text.HAL_ADCEx_InjectedConvCpltCallback:0000000000000000 HAL_ADCEx_InjectedConvCpltCallback + /tmp/ccAfM4Yv.s:1785 .text.HAL_ADCEx_InjectedQueueOverflowCallback:0000000000000000 $t + /tmp/ccAfM4Yv.s:1792 .text.HAL_ADCEx_InjectedQueueOverflowCallback:0000000000000000 HAL_ADCEx_InjectedQueueOverflowCallback + /tmp/ccAfM4Yv.s:1806 .text.HAL_ADCEx_LevelOutOfWindow2Callback:0000000000000000 $t + /tmp/ccAfM4Yv.s:1813 .text.HAL_ADCEx_LevelOutOfWindow2Callback:0000000000000000 HAL_ADCEx_LevelOutOfWindow2Callback + /tmp/ccAfM4Yv.s:1827 .text.HAL_ADCEx_LevelOutOfWindow3Callback:0000000000000000 $t + /tmp/ccAfM4Yv.s:1834 .text.HAL_ADCEx_LevelOutOfWindow3Callback:0000000000000000 HAL_ADCEx_LevelOutOfWindow3Callback + /tmp/ccAfM4Yv.s:1848 .text.HAL_ADCEx_EndOfSamplingCallback:0000000000000000 $t + /tmp/ccAfM4Yv.s:1855 .text.HAL_ADCEx_EndOfSamplingCallback:0000000000000000 HAL_ADCEx_EndOfSamplingCallback + /tmp/ccAfM4Yv.s:1869 .text.HAL_ADCEx_RegularStop:0000000000000000 $t + /tmp/ccAfM4Yv.s:1876 .text.HAL_ADCEx_RegularStop:0000000000000000 HAL_ADCEx_RegularStop + /tmp/ccAfM4Yv.s:1964 .text.HAL_ADCEx_RegularStop_IT:0000000000000000 $t + /tmp/ccAfM4Yv.s:1971 .text.HAL_ADCEx_RegularStop_IT:0000000000000000 HAL_ADCEx_RegularStop_IT + /tmp/ccAfM4Yv.s:2064 .text.HAL_ADCEx_RegularStop_DMA:0000000000000000 $t + /tmp/ccAfM4Yv.s:2071 .text.HAL_ADCEx_RegularStop_DMA:0000000000000000 HAL_ADCEx_RegularStop_DMA + /tmp/ccAfM4Yv.s:2194 .text.HAL_ADCEx_RegularMultiModeStop_DMA:0000000000000000 $t + /tmp/ccAfM4Yv.s:2201 .text.HAL_ADCEx_RegularMultiModeStop_DMA:0000000000000000 HAL_ADCEx_RegularMultiModeStop_DMA + /tmp/ccAfM4Yv.s:2499 .text.HAL_ADCEx_RegularMultiModeStop_DMA:0000000000000130 $d + /tmp/ccAfM4Yv.s:2504 .text.HAL_ADCEx_InjectedConfigChannel:0000000000000000 $t + /tmp/ccAfM4Yv.s:2511 .text.HAL_ADCEx_InjectedConfigChannel:0000000000000000 HAL_ADCEx_InjectedConfigChannel + /tmp/ccAfM4Yv.s:3328 .text.HAL_ADCEx_InjectedConfigChannel:00000000000003c4 $d + /tmp/ccAfM4Yv.s:3345 .text.HAL_ADCEx_InjectedConfigChannel:00000000000003e4 $t + /tmp/ccAfM4Yv.s:3748 .text.HAL_ADCEx_InjectedConfigChannel:000000000000058c $d + /tmp/ccAfM4Yv.s:3756 .text.HAL_ADCEx_MultiModeConfigChannel:0000000000000000 $t + /tmp/ccAfM4Yv.s:3763 .text.HAL_ADCEx_MultiModeConfigChannel:0000000000000000 HAL_ADCEx_MultiModeConfigChannel + /tmp/ccAfM4Yv.s:4075 .text.HAL_ADCEx_MultiModeConfigChannel:0000000000000140 $d + /tmp/ccAfM4Yv.s:4083 .text.HAL_ADCEx_EnableInjectedQueue:0000000000000000 $t + /tmp/ccAfM4Yv.s:4090 .text.HAL_ADCEx_EnableInjectedQueue:0000000000000000 HAL_ADCEx_EnableInjectedQueue + /tmp/ccAfM4Yv.s:4174 .text.HAL_ADCEx_DisableInjectedQueue:0000000000000000 $t + /tmp/ccAfM4Yv.s:4181 .text.HAL_ADCEx_DisableInjectedQueue:0000000000000000 HAL_ADCEx_DisableInjectedQueue + /tmp/ccAfM4Yv.s:4268 .text.HAL_ADCEx_DisableVoltageRegulator:0000000000000000 $t + /tmp/ccAfM4Yv.s:4275 .text.HAL_ADCEx_DisableVoltageRegulator:0000000000000000 HAL_ADCEx_DisableVoltageRegulator + /tmp/ccAfM4Yv.s:4320 .text.HAL_ADCEx_EnterADCDeepPowerDownMode:0000000000000000 $t + /tmp/ccAfM4Yv.s:4327 .text.HAL_ADCEx_EnterADCDeepPowerDownMode:0000000000000000 HAL_ADCEx_EnterADCDeepPowerDownMode .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_cortex.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_cortex.o.lst index b7590ed..4aca21b 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_cortex.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_cortex.o.lst @@ -4522,58 +4522,58 @@ 1014 .file 11 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_cortex.c - /tmp/cc9IPn76.s:18 .text.HAL_NVIC_SetPriorityGrouping:0000000000000000 $t - /tmp/cc9IPn76.s:25 .text.HAL_NVIC_SetPriorityGrouping:0000000000000000 HAL_NVIC_SetPriorityGrouping - /tmp/cc9IPn76.s:67 .text.HAL_NVIC_SetPriorityGrouping:0000000000000020 $d - /tmp/cc9IPn76.s:72 .text.HAL_NVIC_SetPriority:0000000000000000 $t - /tmp/cc9IPn76.s:79 .text.HAL_NVIC_SetPriority:0000000000000000 HAL_NVIC_SetPriority - /tmp/cc9IPn76.s:186 .text.HAL_NVIC_SetPriority:0000000000000060 $d - /tmp/cc9IPn76.s:192 .text.HAL_NVIC_EnableIRQ:0000000000000000 $t - /tmp/cc9IPn76.s:199 .text.HAL_NVIC_EnableIRQ:0000000000000000 HAL_NVIC_EnableIRQ - /tmp/cc9IPn76.s:231 .text.HAL_NVIC_EnableIRQ:0000000000000018 $d - /tmp/cc9IPn76.s:236 .text.HAL_NVIC_DisableIRQ:0000000000000000 $t - /tmp/cc9IPn76.s:243 .text.HAL_NVIC_DisableIRQ:0000000000000000 HAL_NVIC_DisableIRQ - /tmp/cc9IPn76.s:297 .text.HAL_NVIC_DisableIRQ:0000000000000020 $d - /tmp/cc9IPn76.s:302 .text.HAL_NVIC_SystemReset:0000000000000000 $t - /tmp/cc9IPn76.s:309 .text.HAL_NVIC_SystemReset:0000000000000000 HAL_NVIC_SystemReset - /tmp/cc9IPn76.s:361 .text.HAL_NVIC_SystemReset:000000000000001c $d - /tmp/cc9IPn76.s:369 .text.HAL_SYSTICK_Config:0000000000000000 $t - /tmp/cc9IPn76.s:376 .text.HAL_SYSTICK_Config:0000000000000000 HAL_SYSTICK_Config - /tmp/cc9IPn76.s:423 .text.HAL_SYSTICK_Config:0000000000000024 $d - /tmp/cc9IPn76.s:429 .text.HAL_NVIC_GetPriorityGrouping:0000000000000000 $t - /tmp/cc9IPn76.s:436 .text.HAL_NVIC_GetPriorityGrouping:0000000000000000 HAL_NVIC_GetPriorityGrouping - /tmp/cc9IPn76.s:456 .text.HAL_NVIC_GetPriorityGrouping:000000000000000c $d - /tmp/cc9IPn76.s:461 .text.HAL_NVIC_GetPriority:0000000000000000 $t - /tmp/cc9IPn76.s:468 .text.HAL_NVIC_GetPriority:0000000000000000 HAL_NVIC_GetPriority - /tmp/cc9IPn76.s:563 .text.HAL_NVIC_GetPriority:000000000000005c $d - /tmp/cc9IPn76.s:570 .text.HAL_NVIC_SetPendingIRQ:0000000000000000 $t - /tmp/cc9IPn76.s:577 .text.HAL_NVIC_SetPendingIRQ:0000000000000000 HAL_NVIC_SetPendingIRQ - /tmp/cc9IPn76.s:608 .text.HAL_NVIC_SetPendingIRQ:0000000000000018 $d - /tmp/cc9IPn76.s:613 .text.HAL_NVIC_GetPendingIRQ:0000000000000000 $t - /tmp/cc9IPn76.s:620 .text.HAL_NVIC_GetPendingIRQ:0000000000000000 HAL_NVIC_GetPendingIRQ - /tmp/cc9IPn76.s:654 .text.HAL_NVIC_GetPendingIRQ:0000000000000020 $d - /tmp/cc9IPn76.s:659 .text.HAL_NVIC_ClearPendingIRQ:0000000000000000 $t - /tmp/cc9IPn76.s:666 .text.HAL_NVIC_ClearPendingIRQ:0000000000000000 HAL_NVIC_ClearPendingIRQ - /tmp/cc9IPn76.s:697 .text.HAL_NVIC_ClearPendingIRQ:0000000000000018 $d - /tmp/cc9IPn76.s:702 .text.HAL_NVIC_GetActive:0000000000000000 $t - /tmp/cc9IPn76.s:709 .text.HAL_NVIC_GetActive:0000000000000000 HAL_NVIC_GetActive - /tmp/cc9IPn76.s:743 .text.HAL_NVIC_GetActive:0000000000000020 $d - /tmp/cc9IPn76.s:748 .text.HAL_SYSTICK_CLKSourceConfig:0000000000000000 $t - /tmp/cc9IPn76.s:755 .text.HAL_SYSTICK_CLKSourceConfig:0000000000000000 HAL_SYSTICK_CLKSourceConfig - /tmp/cc9IPn76.s:783 .text.HAL_SYSTICK_CLKSourceConfig:000000000000001c $d - /tmp/cc9IPn76.s:788 .text.HAL_SYSTICK_Callback:0000000000000000 $t - /tmp/cc9IPn76.s:795 .text.HAL_SYSTICK_Callback:0000000000000000 HAL_SYSTICK_Callback - /tmp/cc9IPn76.s:808 .text.HAL_SYSTICK_IRQHandler:0000000000000000 $t - /tmp/cc9IPn76.s:815 .text.HAL_SYSTICK_IRQHandler:0000000000000000 HAL_SYSTICK_IRQHandler - /tmp/cc9IPn76.s:834 .text.HAL_MPU_Enable:0000000000000000 $t - /tmp/cc9IPn76.s:841 .text.HAL_MPU_Enable:0000000000000000 HAL_MPU_Enable - /tmp/cc9IPn76.s:881 .text.HAL_MPU_Enable:0000000000000014 $d - /tmp/cc9IPn76.s:886 .text.HAL_MPU_Disable:0000000000000000 $t - /tmp/cc9IPn76.s:893 .text.HAL_MPU_Disable:0000000000000000 HAL_MPU_Disable - /tmp/cc9IPn76.s:920 .text.HAL_MPU_Disable:000000000000000c $d - /tmp/cc9IPn76.s:925 .text.HAL_MPU_ConfigRegion:0000000000000000 $t - /tmp/cc9IPn76.s:932 .text.HAL_MPU_ConfigRegion:0000000000000000 HAL_MPU_ConfigRegion - /tmp/cc9IPn76.s:1001 .text.HAL_MPU_ConfigRegion:0000000000000050 $d + /tmp/ccwFwgYg.s:18 .text.HAL_NVIC_SetPriorityGrouping:0000000000000000 $t + /tmp/ccwFwgYg.s:25 .text.HAL_NVIC_SetPriorityGrouping:0000000000000000 HAL_NVIC_SetPriorityGrouping + /tmp/ccwFwgYg.s:67 .text.HAL_NVIC_SetPriorityGrouping:0000000000000020 $d + /tmp/ccwFwgYg.s:72 .text.HAL_NVIC_SetPriority:0000000000000000 $t + /tmp/ccwFwgYg.s:79 .text.HAL_NVIC_SetPriority:0000000000000000 HAL_NVIC_SetPriority + /tmp/ccwFwgYg.s:186 .text.HAL_NVIC_SetPriority:0000000000000060 $d + /tmp/ccwFwgYg.s:192 .text.HAL_NVIC_EnableIRQ:0000000000000000 $t + /tmp/ccwFwgYg.s:199 .text.HAL_NVIC_EnableIRQ:0000000000000000 HAL_NVIC_EnableIRQ + /tmp/ccwFwgYg.s:231 .text.HAL_NVIC_EnableIRQ:0000000000000018 $d + /tmp/ccwFwgYg.s:236 .text.HAL_NVIC_DisableIRQ:0000000000000000 $t + /tmp/ccwFwgYg.s:243 .text.HAL_NVIC_DisableIRQ:0000000000000000 HAL_NVIC_DisableIRQ + /tmp/ccwFwgYg.s:297 .text.HAL_NVIC_DisableIRQ:0000000000000020 $d + /tmp/ccwFwgYg.s:302 .text.HAL_NVIC_SystemReset:0000000000000000 $t + /tmp/ccwFwgYg.s:309 .text.HAL_NVIC_SystemReset:0000000000000000 HAL_NVIC_SystemReset + /tmp/ccwFwgYg.s:361 .text.HAL_NVIC_SystemReset:000000000000001c $d + /tmp/ccwFwgYg.s:369 .text.HAL_SYSTICK_Config:0000000000000000 $t + /tmp/ccwFwgYg.s:376 .text.HAL_SYSTICK_Config:0000000000000000 HAL_SYSTICK_Config + /tmp/ccwFwgYg.s:423 .text.HAL_SYSTICK_Config:0000000000000024 $d + /tmp/ccwFwgYg.s:429 .text.HAL_NVIC_GetPriorityGrouping:0000000000000000 $t + /tmp/ccwFwgYg.s:436 .text.HAL_NVIC_GetPriorityGrouping:0000000000000000 HAL_NVIC_GetPriorityGrouping + /tmp/ccwFwgYg.s:456 .text.HAL_NVIC_GetPriorityGrouping:000000000000000c $d + /tmp/ccwFwgYg.s:461 .text.HAL_NVIC_GetPriority:0000000000000000 $t + /tmp/ccwFwgYg.s:468 .text.HAL_NVIC_GetPriority:0000000000000000 HAL_NVIC_GetPriority + /tmp/ccwFwgYg.s:563 .text.HAL_NVIC_GetPriority:000000000000005c $d + /tmp/ccwFwgYg.s:570 .text.HAL_NVIC_SetPendingIRQ:0000000000000000 $t + /tmp/ccwFwgYg.s:577 .text.HAL_NVIC_SetPendingIRQ:0000000000000000 HAL_NVIC_SetPendingIRQ + /tmp/ccwFwgYg.s:608 .text.HAL_NVIC_SetPendingIRQ:0000000000000018 $d + /tmp/ccwFwgYg.s:613 .text.HAL_NVIC_GetPendingIRQ:0000000000000000 $t + /tmp/ccwFwgYg.s:620 .text.HAL_NVIC_GetPendingIRQ:0000000000000000 HAL_NVIC_GetPendingIRQ + /tmp/ccwFwgYg.s:654 .text.HAL_NVIC_GetPendingIRQ:0000000000000020 $d + /tmp/ccwFwgYg.s:659 .text.HAL_NVIC_ClearPendingIRQ:0000000000000000 $t + /tmp/ccwFwgYg.s:666 .text.HAL_NVIC_ClearPendingIRQ:0000000000000000 HAL_NVIC_ClearPendingIRQ + /tmp/ccwFwgYg.s:697 .text.HAL_NVIC_ClearPendingIRQ:0000000000000018 $d + /tmp/ccwFwgYg.s:702 .text.HAL_NVIC_GetActive:0000000000000000 $t + /tmp/ccwFwgYg.s:709 .text.HAL_NVIC_GetActive:0000000000000000 HAL_NVIC_GetActive + /tmp/ccwFwgYg.s:743 .text.HAL_NVIC_GetActive:0000000000000020 $d + /tmp/ccwFwgYg.s:748 .text.HAL_SYSTICK_CLKSourceConfig:0000000000000000 $t + /tmp/ccwFwgYg.s:755 .text.HAL_SYSTICK_CLKSourceConfig:0000000000000000 HAL_SYSTICK_CLKSourceConfig + /tmp/ccwFwgYg.s:783 .text.HAL_SYSTICK_CLKSourceConfig:000000000000001c $d + /tmp/ccwFwgYg.s:788 .text.HAL_SYSTICK_Callback:0000000000000000 $t + /tmp/ccwFwgYg.s:795 .text.HAL_SYSTICK_Callback:0000000000000000 HAL_SYSTICK_Callback + /tmp/ccwFwgYg.s:808 .text.HAL_SYSTICK_IRQHandler:0000000000000000 $t + /tmp/ccwFwgYg.s:815 .text.HAL_SYSTICK_IRQHandler:0000000000000000 HAL_SYSTICK_IRQHandler + /tmp/ccwFwgYg.s:834 .text.HAL_MPU_Enable:0000000000000000 $t + /tmp/ccwFwgYg.s:841 .text.HAL_MPU_Enable:0000000000000000 HAL_MPU_Enable + /tmp/ccwFwgYg.s:881 .text.HAL_MPU_Enable:0000000000000014 $d + /tmp/ccwFwgYg.s:886 .text.HAL_MPU_Disable:0000000000000000 $t + /tmp/ccwFwgYg.s:893 .text.HAL_MPU_Disable:0000000000000000 HAL_MPU_Disable + /tmp/ccwFwgYg.s:920 .text.HAL_MPU_Disable:000000000000000c $d + /tmp/ccwFwgYg.s:925 .text.HAL_MPU_ConfigRegion:0000000000000000 $t + /tmp/ccwFwgYg.s:932 .text.HAL_MPU_ConfigRegion:0000000000000000 HAL_MPU_ConfigRegion + /tmp/ccwFwgYg.s:1001 .text.HAL_MPU_ConfigRegion:0000000000000050 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_crc.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_crc.o.lst index 455fcf1..863c9f6 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_crc.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_crc.o.lst @@ -1260,25 +1260,25 @@ 679 .file 10 "../system/include/stm32l4-hal-driver/stm32l4xx_hal_crc_ex.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_crc.c - /tmp/ccO8URWL.s:18 .text.CRC_Handle_8:0000000000000000 $t - /tmp/ccO8URWL.s:24 .text.CRC_Handle_8:0000000000000000 CRC_Handle_8 - /tmp/ccO8URWL.s:147 .text.CRC_Handle_16:0000000000000000 $t - /tmp/ccO8URWL.s:153 .text.CRC_Handle_16:0000000000000000 CRC_Handle_16 - /tmp/ccO8URWL.s:232 .text.HAL_CRC_MspInit:0000000000000000 $t - /tmp/ccO8URWL.s:239 .text.HAL_CRC_MspInit:0000000000000000 HAL_CRC_MspInit - /tmp/ccO8URWL.s:253 .text.HAL_CRC_Init:0000000000000000 $t - /tmp/ccO8URWL.s:260 .text.HAL_CRC_Init:0000000000000000 HAL_CRC_Init - /tmp/ccO8URWL.s:366 .text.HAL_CRC_Init:000000000000007c $d - /tmp/ccO8URWL.s:371 .text.HAL_CRC_MspDeInit:0000000000000000 $t - /tmp/ccO8URWL.s:378 .text.HAL_CRC_MspDeInit:0000000000000000 HAL_CRC_MspDeInit - /tmp/ccO8URWL.s:392 .text.HAL_CRC_DeInit:0000000000000000 $t - /tmp/ccO8URWL.s:399 .text.HAL_CRC_DeInit:0000000000000000 HAL_CRC_DeInit - /tmp/ccO8URWL.s:473 .text.HAL_CRC_Accumulate:0000000000000000 $t - /tmp/ccO8URWL.s:480 .text.HAL_CRC_Accumulate:0000000000000000 HAL_CRC_Accumulate - /tmp/ccO8URWL.s:556 .text.HAL_CRC_Calculate:0000000000000000 $t - /tmp/ccO8URWL.s:563 .text.HAL_CRC_Calculate:0000000000000000 HAL_CRC_Calculate - /tmp/ccO8URWL.s:646 .text.HAL_CRC_GetState:0000000000000000 $t - /tmp/ccO8URWL.s:653 .text.HAL_CRC_GetState:0000000000000000 HAL_CRC_GetState + /tmp/ccC9voaT.s:18 .text.CRC_Handle_8:0000000000000000 $t + /tmp/ccC9voaT.s:24 .text.CRC_Handle_8:0000000000000000 CRC_Handle_8 + /tmp/ccC9voaT.s:147 .text.CRC_Handle_16:0000000000000000 $t + /tmp/ccC9voaT.s:153 .text.CRC_Handle_16:0000000000000000 CRC_Handle_16 + /tmp/ccC9voaT.s:232 .text.HAL_CRC_MspInit:0000000000000000 $t + /tmp/ccC9voaT.s:239 .text.HAL_CRC_MspInit:0000000000000000 HAL_CRC_MspInit + /tmp/ccC9voaT.s:253 .text.HAL_CRC_Init:0000000000000000 $t + /tmp/ccC9voaT.s:260 .text.HAL_CRC_Init:0000000000000000 HAL_CRC_Init + /tmp/ccC9voaT.s:366 .text.HAL_CRC_Init:000000000000007c $d + /tmp/ccC9voaT.s:371 .text.HAL_CRC_MspDeInit:0000000000000000 $t + /tmp/ccC9voaT.s:378 .text.HAL_CRC_MspDeInit:0000000000000000 HAL_CRC_MspDeInit + /tmp/ccC9voaT.s:392 .text.HAL_CRC_DeInit:0000000000000000 $t + /tmp/ccC9voaT.s:399 .text.HAL_CRC_DeInit:0000000000000000 HAL_CRC_DeInit + /tmp/ccC9voaT.s:473 .text.HAL_CRC_Accumulate:0000000000000000 $t + /tmp/ccC9voaT.s:480 .text.HAL_CRC_Accumulate:0000000000000000 HAL_CRC_Accumulate + /tmp/ccC9voaT.s:556 .text.HAL_CRC_Calculate:0000000000000000 $t + /tmp/ccC9voaT.s:563 .text.HAL_CRC_Calculate:0000000000000000 HAL_CRC_Calculate + /tmp/ccC9voaT.s:646 .text.HAL_CRC_GetState:0000000000000000 $t + /tmp/ccC9voaT.s:653 .text.HAL_CRC_GetState:0000000000000000 HAL_CRC_GetState .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_crc_ex.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_crc_ex.o.lst index e9bb8ed..8a6ce06 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_crc_ex.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_crc_ex.o.lst @@ -429,15 +429,15 @@ 228 .file 9 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_crc_ex.c - /tmp/ccv1Ae7n.s:18 .text.HAL_CRCEx_Polynomial_Set:0000000000000000 $t - /tmp/ccv1Ae7n.s:25 .text.HAL_CRCEx_Polynomial_Set:0000000000000000 HAL_CRCEx_Polynomial_Set - /tmp/ccv1Ae7n.s:59 .text.HAL_CRCEx_Polynomial_Set:0000000000000020 $d - /tmp/ccv1Ae7n.s:148 .text.HAL_CRCEx_Input_Data_Reverse:0000000000000000 $t - /tmp/ccv1Ae7n.s:155 .text.HAL_CRCEx_Input_Data_Reverse:0000000000000000 HAL_CRCEx_Input_Data_Reverse - /tmp/ccv1Ae7n.s:184 .text.HAL_CRCEx_Output_Data_Reverse:0000000000000000 $t - /tmp/ccv1Ae7n.s:191 .text.HAL_CRCEx_Output_Data_Reverse:0000000000000000 HAL_CRCEx_Output_Data_Reverse - /tmp/ccv1Ae7n.s:84 .text.HAL_CRCEx_Polynomial_Set:0000000000000039 $d - /tmp/ccv1Ae7n.s:84 .text.HAL_CRCEx_Polynomial_Set:000000000000003a $t + /tmp/ccAVytMt.s:18 .text.HAL_CRCEx_Polynomial_Set:0000000000000000 $t + /tmp/ccAVytMt.s:25 .text.HAL_CRCEx_Polynomial_Set:0000000000000000 HAL_CRCEx_Polynomial_Set + /tmp/ccAVytMt.s:59 .text.HAL_CRCEx_Polynomial_Set:0000000000000020 $d + /tmp/ccAVytMt.s:148 .text.HAL_CRCEx_Input_Data_Reverse:0000000000000000 $t + /tmp/ccAVytMt.s:155 .text.HAL_CRCEx_Input_Data_Reverse:0000000000000000 HAL_CRCEx_Input_Data_Reverse + /tmp/ccAVytMt.s:184 .text.HAL_CRCEx_Output_Data_Reverse:0000000000000000 $t + /tmp/ccAVytMt.s:191 .text.HAL_CRCEx_Output_Data_Reverse:0000000000000000 HAL_CRCEx_Output_Data_Reverse + /tmp/ccAVytMt.s:84 .text.HAL_CRCEx_Polynomial_Set:0000000000000039 $d + /tmp/ccAVytMt.s:84 .text.HAL_CRCEx_Polynomial_Set:000000000000003a $t .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_dac.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_dac.o.lst index eeb890a..2a54ed5 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_dac.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_dac.o.lst @@ -3107,49 +3107,49 @@ 1274 .file 11 "../system/include/stm32l4-hal-driver/stm32l4xx_hal_dac_ex.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_dac.c - /tmp/ccTTVCye.s:18 .text.HAL_DAC_MspInit:0000000000000000 $t - /tmp/ccTTVCye.s:25 .text.HAL_DAC_MspInit:0000000000000000 HAL_DAC_MspInit - /tmp/ccTTVCye.s:40 .text.HAL_DAC_Init:0000000000000000 $t - /tmp/ccTTVCye.s:47 .text.HAL_DAC_Init:0000000000000000 HAL_DAC_Init - /tmp/ccTTVCye.s:100 .text.HAL_DAC_MspDeInit:0000000000000000 $t - /tmp/ccTTVCye.s:107 .text.HAL_DAC_MspDeInit:0000000000000000 HAL_DAC_MspDeInit - /tmp/ccTTVCye.s:121 .text.HAL_DAC_DeInit:0000000000000000 $t - /tmp/ccTTVCye.s:128 .text.HAL_DAC_DeInit:0000000000000000 HAL_DAC_DeInit - /tmp/ccTTVCye.s:172 .text.HAL_DAC_Start:0000000000000000 $t - /tmp/ccTTVCye.s:179 .text.HAL_DAC_Start:0000000000000000 HAL_DAC_Start - /tmp/ccTTVCye.s:267 .text.HAL_DAC_Stop:0000000000000000 $t - /tmp/ccTTVCye.s:274 .text.HAL_DAC_Stop:0000000000000000 HAL_DAC_Stop - /tmp/ccTTVCye.s:307 .text.HAL_DAC_Start_DMA:0000000000000000 $t - /tmp/ccTTVCye.s:314 .text.HAL_DAC_Start_DMA:0000000000000000 HAL_DAC_Start_DMA - /tmp/ccTTVCye.s:515 .text.HAL_DAC_Start_DMA:00000000000000ec $d - /tmp/ccTTVCye.s:686 .text.DAC_DMAConvCpltCh1:0000000000000000 DAC_DMAConvCpltCh1 - /tmp/ccTTVCye.s:742 .text.DAC_DMAHalfConvCpltCh1:0000000000000000 DAC_DMAHalfConvCpltCh1 - /tmp/ccTTVCye.s:793 .text.DAC_DMAErrorCh1:0000000000000000 DAC_DMAErrorCh1 - /tmp/ccTTVCye.s:525 .text.HAL_DAC_Stop_DMA:0000000000000000 $t - /tmp/ccTTVCye.s:532 .text.HAL_DAC_Stop_DMA:0000000000000000 HAL_DAC_Stop_DMA - /tmp/ccTTVCye.s:593 .text.HAL_DAC_SetValue:0000000000000000 $t - /tmp/ccTTVCye.s:600 .text.HAL_DAC_SetValue:0000000000000000 HAL_DAC_SetValue - /tmp/ccTTVCye.s:658 .text.HAL_DAC_ConvCpltCallbackCh1:0000000000000000 $t - /tmp/ccTTVCye.s:665 .text.HAL_DAC_ConvCpltCallbackCh1:0000000000000000 HAL_DAC_ConvCpltCallbackCh1 - /tmp/ccTTVCye.s:679 .text.DAC_DMAConvCpltCh1:0000000000000000 $t - /tmp/ccTTVCye.s:714 .text.HAL_DAC_ConvHalfCpltCallbackCh1:0000000000000000 $t - /tmp/ccTTVCye.s:721 .text.HAL_DAC_ConvHalfCpltCallbackCh1:0000000000000000 HAL_DAC_ConvHalfCpltCallbackCh1 - /tmp/ccTTVCye.s:735 .text.DAC_DMAHalfConvCpltCh1:0000000000000000 $t - /tmp/ccTTVCye.s:765 .text.HAL_DAC_ErrorCallbackCh1:0000000000000000 $t - /tmp/ccTTVCye.s:772 .text.HAL_DAC_ErrorCallbackCh1:0000000000000000 HAL_DAC_ErrorCallbackCh1 - /tmp/ccTTVCye.s:786 .text.DAC_DMAErrorCh1:0000000000000000 $t - /tmp/ccTTVCye.s:825 .text.HAL_DAC_DMAUnderrunCallbackCh1:0000000000000000 $t - /tmp/ccTTVCye.s:832 .text.HAL_DAC_DMAUnderrunCallbackCh1:0000000000000000 HAL_DAC_DMAUnderrunCallbackCh1 - /tmp/ccTTVCye.s:846 .text.HAL_DAC_IRQHandler:0000000000000000 $t - /tmp/ccTTVCye.s:853 .text.HAL_DAC_IRQHandler:0000000000000000 HAL_DAC_IRQHandler - /tmp/ccTTVCye.s:935 .text.HAL_DAC_GetValue:0000000000000000 $t - /tmp/ccTTVCye.s:942 .text.HAL_DAC_GetValue:0000000000000000 HAL_DAC_GetValue - /tmp/ccTTVCye.s:969 .text.HAL_DAC_ConfigChannel:0000000000000000 $t - /tmp/ccTTVCye.s:976 .text.HAL_DAC_ConfigChannel:0000000000000000 HAL_DAC_ConfigChannel - /tmp/ccTTVCye.s:1216 .text.HAL_DAC_GetState:0000000000000000 $t - /tmp/ccTTVCye.s:1223 .text.HAL_DAC_GetState:0000000000000000 HAL_DAC_GetState - /tmp/ccTTVCye.s:1240 .text.HAL_DAC_GetError:0000000000000000 $t - /tmp/ccTTVCye.s:1247 .text.HAL_DAC_GetError:0000000000000000 HAL_DAC_GetError + /tmp/ccyKvrPq.s:18 .text.HAL_DAC_MspInit:0000000000000000 $t + /tmp/ccyKvrPq.s:25 .text.HAL_DAC_MspInit:0000000000000000 HAL_DAC_MspInit + /tmp/ccyKvrPq.s:40 .text.HAL_DAC_Init:0000000000000000 $t + /tmp/ccyKvrPq.s:47 .text.HAL_DAC_Init:0000000000000000 HAL_DAC_Init + /tmp/ccyKvrPq.s:100 .text.HAL_DAC_MspDeInit:0000000000000000 $t + /tmp/ccyKvrPq.s:107 .text.HAL_DAC_MspDeInit:0000000000000000 HAL_DAC_MspDeInit + /tmp/ccyKvrPq.s:121 .text.HAL_DAC_DeInit:0000000000000000 $t + /tmp/ccyKvrPq.s:128 .text.HAL_DAC_DeInit:0000000000000000 HAL_DAC_DeInit + /tmp/ccyKvrPq.s:172 .text.HAL_DAC_Start:0000000000000000 $t + /tmp/ccyKvrPq.s:179 .text.HAL_DAC_Start:0000000000000000 HAL_DAC_Start + /tmp/ccyKvrPq.s:267 .text.HAL_DAC_Stop:0000000000000000 $t + /tmp/ccyKvrPq.s:274 .text.HAL_DAC_Stop:0000000000000000 HAL_DAC_Stop + /tmp/ccyKvrPq.s:307 .text.HAL_DAC_Start_DMA:0000000000000000 $t + /tmp/ccyKvrPq.s:314 .text.HAL_DAC_Start_DMA:0000000000000000 HAL_DAC_Start_DMA + /tmp/ccyKvrPq.s:515 .text.HAL_DAC_Start_DMA:00000000000000ec $d + /tmp/ccyKvrPq.s:686 .text.DAC_DMAConvCpltCh1:0000000000000000 DAC_DMAConvCpltCh1 + /tmp/ccyKvrPq.s:742 .text.DAC_DMAHalfConvCpltCh1:0000000000000000 DAC_DMAHalfConvCpltCh1 + /tmp/ccyKvrPq.s:793 .text.DAC_DMAErrorCh1:0000000000000000 DAC_DMAErrorCh1 + /tmp/ccyKvrPq.s:525 .text.HAL_DAC_Stop_DMA:0000000000000000 $t + /tmp/ccyKvrPq.s:532 .text.HAL_DAC_Stop_DMA:0000000000000000 HAL_DAC_Stop_DMA + /tmp/ccyKvrPq.s:593 .text.HAL_DAC_SetValue:0000000000000000 $t + /tmp/ccyKvrPq.s:600 .text.HAL_DAC_SetValue:0000000000000000 HAL_DAC_SetValue + /tmp/ccyKvrPq.s:658 .text.HAL_DAC_ConvCpltCallbackCh1:0000000000000000 $t + /tmp/ccyKvrPq.s:665 .text.HAL_DAC_ConvCpltCallbackCh1:0000000000000000 HAL_DAC_ConvCpltCallbackCh1 + /tmp/ccyKvrPq.s:679 .text.DAC_DMAConvCpltCh1:0000000000000000 $t + /tmp/ccyKvrPq.s:714 .text.HAL_DAC_ConvHalfCpltCallbackCh1:0000000000000000 $t + /tmp/ccyKvrPq.s:721 .text.HAL_DAC_ConvHalfCpltCallbackCh1:0000000000000000 HAL_DAC_ConvHalfCpltCallbackCh1 + /tmp/ccyKvrPq.s:735 .text.DAC_DMAHalfConvCpltCh1:0000000000000000 $t + /tmp/ccyKvrPq.s:765 .text.HAL_DAC_ErrorCallbackCh1:0000000000000000 $t + /tmp/ccyKvrPq.s:772 .text.HAL_DAC_ErrorCallbackCh1:0000000000000000 HAL_DAC_ErrorCallbackCh1 + /tmp/ccyKvrPq.s:786 .text.DAC_DMAErrorCh1:0000000000000000 $t + /tmp/ccyKvrPq.s:825 .text.HAL_DAC_DMAUnderrunCallbackCh1:0000000000000000 $t + /tmp/ccyKvrPq.s:832 .text.HAL_DAC_DMAUnderrunCallbackCh1:0000000000000000 HAL_DAC_DMAUnderrunCallbackCh1 + /tmp/ccyKvrPq.s:846 .text.HAL_DAC_IRQHandler:0000000000000000 $t + /tmp/ccyKvrPq.s:853 .text.HAL_DAC_IRQHandler:0000000000000000 HAL_DAC_IRQHandler + /tmp/ccyKvrPq.s:935 .text.HAL_DAC_GetValue:0000000000000000 $t + /tmp/ccyKvrPq.s:942 .text.HAL_DAC_GetValue:0000000000000000 HAL_DAC_GetValue + /tmp/ccyKvrPq.s:969 .text.HAL_DAC_ConfigChannel:0000000000000000 $t + /tmp/ccyKvrPq.s:976 .text.HAL_DAC_ConfigChannel:0000000000000000 HAL_DAC_ConfigChannel + /tmp/ccyKvrPq.s:1216 .text.HAL_DAC_GetState:0000000000000000 $t + /tmp/ccyKvrPq.s:1223 .text.HAL_DAC_GetState:0000000000000000 HAL_DAC_GetState + /tmp/ccyKvrPq.s:1240 .text.HAL_DAC_GetError:0000000000000000 $t + /tmp/ccyKvrPq.s:1247 .text.HAL_DAC_GetError:0000000000000000 HAL_DAC_GetError .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_dac_ex.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_dac_ex.o.lst index 19b3d12..6b65de9 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_dac_ex.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_dac_ex.o.lst @@ -1406,34 +1406,34 @@ 778 .file 10 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_dac_ex.c - /tmp/ccrPAmKQ.s:18 .text.HAL_DACEx_TriangleWaveGenerate:0000000000000000 $t - /tmp/ccrPAmKQ.s:25 .text.HAL_DACEx_TriangleWaveGenerate:0000000000000000 HAL_DACEx_TriangleWaveGenerate - /tmp/ccrPAmKQ.s:89 .text.HAL_DACEx_NoiseWaveGenerate:0000000000000000 $t - /tmp/ccrPAmKQ.s:96 .text.HAL_DACEx_NoiseWaveGenerate:0000000000000000 HAL_DACEx_NoiseWaveGenerate - /tmp/ccrPAmKQ.s:159 .text.HAL_DACEx_DualSetValue:0000000000000000 $t - /tmp/ccrPAmKQ.s:166 .text.HAL_DACEx_DualSetValue:0000000000000000 HAL_DACEx_DualSetValue - /tmp/ccrPAmKQ.s:203 .text.HAL_DACEx_ConvCpltCallbackCh2:0000000000000000 $t - /tmp/ccrPAmKQ.s:210 .text.HAL_DACEx_ConvCpltCallbackCh2:0000000000000000 HAL_DACEx_ConvCpltCallbackCh2 - /tmp/ccrPAmKQ.s:224 .text.HAL_DACEx_ConvHalfCpltCallbackCh2:0000000000000000 $t - /tmp/ccrPAmKQ.s:231 .text.HAL_DACEx_ConvHalfCpltCallbackCh2:0000000000000000 HAL_DACEx_ConvHalfCpltCallbackCh2 - /tmp/ccrPAmKQ.s:245 .text.HAL_DACEx_ErrorCallbackCh2:0000000000000000 $t - /tmp/ccrPAmKQ.s:252 .text.HAL_DACEx_ErrorCallbackCh2:0000000000000000 HAL_DACEx_ErrorCallbackCh2 - /tmp/ccrPAmKQ.s:266 .text.HAL_DACEx_DMAUnderrunCallbackCh2:0000000000000000 $t - /tmp/ccrPAmKQ.s:273 .text.HAL_DACEx_DMAUnderrunCallbackCh2:0000000000000000 HAL_DACEx_DMAUnderrunCallbackCh2 - /tmp/ccrPAmKQ.s:287 .text.HAL_DACEx_SelfCalibrate:0000000000000000 $t - /tmp/ccrPAmKQ.s:294 .text.HAL_DACEx_SelfCalibrate:0000000000000000 HAL_DACEx_SelfCalibrate - /tmp/ccrPAmKQ.s:522 .text.HAL_DACEx_SetUserTrimming:0000000000000000 $t - /tmp/ccrPAmKQ.s:529 .text.HAL_DACEx_SetUserTrimming:0000000000000000 HAL_DACEx_SetUserTrimming - /tmp/ccrPAmKQ.s:604 .text.HAL_DACEx_GetTrimOffset:0000000000000000 $t - /tmp/ccrPAmKQ.s:611 .text.HAL_DACEx_GetTrimOffset:0000000000000000 HAL_DACEx_GetTrimOffset - /tmp/ccrPAmKQ.s:635 .text.HAL_DACEx_DualGetValue:0000000000000000 $t - /tmp/ccrPAmKQ.s:642 .text.HAL_DACEx_DualGetValue:0000000000000000 HAL_DACEx_DualGetValue - /tmp/ccrPAmKQ.s:665 .text.DAC_DMAConvCpltCh2:0000000000000000 $t - /tmp/ccrPAmKQ.s:672 .text.DAC_DMAConvCpltCh2:0000000000000000 DAC_DMAConvCpltCh2 - /tmp/ccrPAmKQ.s:700 .text.DAC_DMAHalfConvCpltCh2:0000000000000000 $t - /tmp/ccrPAmKQ.s:707 .text.DAC_DMAHalfConvCpltCh2:0000000000000000 DAC_DMAHalfConvCpltCh2 - /tmp/ccrPAmKQ.s:730 .text.DAC_DMAErrorCh2:0000000000000000 $t - /tmp/ccrPAmKQ.s:737 .text.DAC_DMAErrorCh2:0000000000000000 DAC_DMAErrorCh2 + /tmp/ccwMNLE6.s:18 .text.HAL_DACEx_TriangleWaveGenerate:0000000000000000 $t + /tmp/ccwMNLE6.s:25 .text.HAL_DACEx_TriangleWaveGenerate:0000000000000000 HAL_DACEx_TriangleWaveGenerate + /tmp/ccwMNLE6.s:89 .text.HAL_DACEx_NoiseWaveGenerate:0000000000000000 $t + /tmp/ccwMNLE6.s:96 .text.HAL_DACEx_NoiseWaveGenerate:0000000000000000 HAL_DACEx_NoiseWaveGenerate + /tmp/ccwMNLE6.s:159 .text.HAL_DACEx_DualSetValue:0000000000000000 $t + /tmp/ccwMNLE6.s:166 .text.HAL_DACEx_DualSetValue:0000000000000000 HAL_DACEx_DualSetValue + /tmp/ccwMNLE6.s:203 .text.HAL_DACEx_ConvCpltCallbackCh2:0000000000000000 $t + /tmp/ccwMNLE6.s:210 .text.HAL_DACEx_ConvCpltCallbackCh2:0000000000000000 HAL_DACEx_ConvCpltCallbackCh2 + /tmp/ccwMNLE6.s:224 .text.HAL_DACEx_ConvHalfCpltCallbackCh2:0000000000000000 $t + /tmp/ccwMNLE6.s:231 .text.HAL_DACEx_ConvHalfCpltCallbackCh2:0000000000000000 HAL_DACEx_ConvHalfCpltCallbackCh2 + /tmp/ccwMNLE6.s:245 .text.HAL_DACEx_ErrorCallbackCh2:0000000000000000 $t + /tmp/ccwMNLE6.s:252 .text.HAL_DACEx_ErrorCallbackCh2:0000000000000000 HAL_DACEx_ErrorCallbackCh2 + /tmp/ccwMNLE6.s:266 .text.HAL_DACEx_DMAUnderrunCallbackCh2:0000000000000000 $t + /tmp/ccwMNLE6.s:273 .text.HAL_DACEx_DMAUnderrunCallbackCh2:0000000000000000 HAL_DACEx_DMAUnderrunCallbackCh2 + /tmp/ccwMNLE6.s:287 .text.HAL_DACEx_SelfCalibrate:0000000000000000 $t + /tmp/ccwMNLE6.s:294 .text.HAL_DACEx_SelfCalibrate:0000000000000000 HAL_DACEx_SelfCalibrate + /tmp/ccwMNLE6.s:522 .text.HAL_DACEx_SetUserTrimming:0000000000000000 $t + /tmp/ccwMNLE6.s:529 .text.HAL_DACEx_SetUserTrimming:0000000000000000 HAL_DACEx_SetUserTrimming + /tmp/ccwMNLE6.s:604 .text.HAL_DACEx_GetTrimOffset:0000000000000000 $t + /tmp/ccwMNLE6.s:611 .text.HAL_DACEx_GetTrimOffset:0000000000000000 HAL_DACEx_GetTrimOffset + /tmp/ccwMNLE6.s:635 .text.HAL_DACEx_DualGetValue:0000000000000000 $t + /tmp/ccwMNLE6.s:642 .text.HAL_DACEx_DualGetValue:0000000000000000 HAL_DACEx_DualGetValue + /tmp/ccwMNLE6.s:665 .text.DAC_DMAConvCpltCh2:0000000000000000 $t + /tmp/ccwMNLE6.s:672 .text.DAC_DMAConvCpltCh2:0000000000000000 DAC_DMAConvCpltCh2 + /tmp/ccwMNLE6.s:700 .text.DAC_DMAHalfConvCpltCh2:0000000000000000 $t + /tmp/ccwMNLE6.s:707 .text.DAC_DMAHalfConvCpltCh2:0000000000000000 DAC_DMAHalfConvCpltCh2 + /tmp/ccwMNLE6.s:730 .text.DAC_DMAErrorCh2:0000000000000000 $t + /tmp/ccwMNLE6.s:737 .text.DAC_DMAErrorCh2:0000000000000000 DAC_DMAErrorCh2 .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_dma.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_dma.o.lst index 17fcb71..0b69567 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_dma.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_dma.o.lst @@ -2636,39 +2636,39 @@ 1322 .file 9 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_dma.c - /tmp/ccFxVBGf.s:18 .text.DMA_SetConfig:0000000000000000 $t - /tmp/ccFxVBGf.s:24 .text.DMA_SetConfig:0000000000000000 DMA_SetConfig - /tmp/ccFxVBGf.s:84 .text.HAL_DMA_Init:0000000000000000 $t - /tmp/ccFxVBGf.s:91 .text.HAL_DMA_Init:0000000000000000 HAL_DMA_Init - /tmp/ccFxVBGf.s:256 .text.HAL_DMA_Init:00000000000000dc $d - /tmp/ccFxVBGf.s:267 .text.HAL_DMA_DeInit:0000000000000000 $t - /tmp/ccFxVBGf.s:274 .text.HAL_DMA_DeInit:0000000000000000 HAL_DMA_DeInit - /tmp/ccFxVBGf.s:399 .text.HAL_DMA_DeInit:00000000000000a8 $d - /tmp/ccFxVBGf.s:411 .text.HAL_DMA_Start:0000000000000000 $t - /tmp/ccFxVBGf.s:418 .text.HAL_DMA_Start:0000000000000000 HAL_DMA_Start - /tmp/ccFxVBGf.s:492 .text.HAL_DMA_Start_IT:0000000000000000 $t - /tmp/ccFxVBGf.s:499 .text.HAL_DMA_Start_IT:0000000000000000 HAL_DMA_Start_IT - /tmp/ccFxVBGf.s:594 .text.HAL_DMA_Abort:0000000000000000 $t - /tmp/ccFxVBGf.s:601 .text.HAL_DMA_Abort:0000000000000000 HAL_DMA_Abort - /tmp/ccFxVBGf.s:657 .text.HAL_DMA_Abort_IT:0000000000000000 $t - /tmp/ccFxVBGf.s:664 .text.HAL_DMA_Abort_IT:0000000000000000 HAL_DMA_Abort_IT - /tmp/ccFxVBGf.s:733 .text.HAL_DMA_PollForTransfer:0000000000000000 $t - /tmp/ccFxVBGf.s:740 .text.HAL_DMA_PollForTransfer:0000000000000000 HAL_DMA_PollForTransfer - /tmp/ccFxVBGf.s:913 .text.HAL_DMA_IRQHandler:0000000000000000 $t - /tmp/ccFxVBGf.s:920 .text.HAL_DMA_IRQHandler:0000000000000000 HAL_DMA_IRQHandler - /tmp/ccFxVBGf.s:1060 .text.HAL_DMA_RegisterCallback:0000000000000000 $t - /tmp/ccFxVBGf.s:1067 .text.HAL_DMA_RegisterCallback:0000000000000000 HAL_DMA_RegisterCallback - /tmp/ccFxVBGf.s:1107 .text.HAL_DMA_RegisterCallback:000000000000002c $d - /tmp/ccFxVBGf.s:1111 .text.HAL_DMA_RegisterCallback:0000000000000030 $t - /tmp/ccFxVBGf.s:1153 .text.HAL_DMA_UnRegisterCallback:0000000000000000 $t - /tmp/ccFxVBGf.s:1160 .text.HAL_DMA_UnRegisterCallback:0000000000000000 HAL_DMA_UnRegisterCallback - /tmp/ccFxVBGf.s:1198 .text.HAL_DMA_UnRegisterCallback:000000000000002c $d - /tmp/ccFxVBGf.s:1266 .text.HAL_DMA_GetState:0000000000000000 $t - /tmp/ccFxVBGf.s:1273 .text.HAL_DMA_GetState:0000000000000000 HAL_DMA_GetState - /tmp/ccFxVBGf.s:1290 .text.HAL_DMA_GetError:0000000000000000 $t - /tmp/ccFxVBGf.s:1297 .text.HAL_DMA_GetError:0000000000000000 HAL_DMA_GetError - /tmp/ccFxVBGf.s:1203 .text.HAL_DMA_UnRegisterCallback:0000000000000031 $d - /tmp/ccFxVBGf.s:1203 .text.HAL_DMA_UnRegisterCallback:0000000000000032 $t + /tmp/cc7CR3Vw.s:18 .text.DMA_SetConfig:0000000000000000 $t + /tmp/cc7CR3Vw.s:24 .text.DMA_SetConfig:0000000000000000 DMA_SetConfig + /tmp/cc7CR3Vw.s:84 .text.HAL_DMA_Init:0000000000000000 $t + /tmp/cc7CR3Vw.s:91 .text.HAL_DMA_Init:0000000000000000 HAL_DMA_Init + /tmp/cc7CR3Vw.s:256 .text.HAL_DMA_Init:00000000000000dc $d + /tmp/cc7CR3Vw.s:267 .text.HAL_DMA_DeInit:0000000000000000 $t + /tmp/cc7CR3Vw.s:274 .text.HAL_DMA_DeInit:0000000000000000 HAL_DMA_DeInit + /tmp/cc7CR3Vw.s:399 .text.HAL_DMA_DeInit:00000000000000a8 $d + /tmp/cc7CR3Vw.s:411 .text.HAL_DMA_Start:0000000000000000 $t + /tmp/cc7CR3Vw.s:418 .text.HAL_DMA_Start:0000000000000000 HAL_DMA_Start + /tmp/cc7CR3Vw.s:492 .text.HAL_DMA_Start_IT:0000000000000000 $t + /tmp/cc7CR3Vw.s:499 .text.HAL_DMA_Start_IT:0000000000000000 HAL_DMA_Start_IT + /tmp/cc7CR3Vw.s:594 .text.HAL_DMA_Abort:0000000000000000 $t + /tmp/cc7CR3Vw.s:601 .text.HAL_DMA_Abort:0000000000000000 HAL_DMA_Abort + /tmp/cc7CR3Vw.s:657 .text.HAL_DMA_Abort_IT:0000000000000000 $t + /tmp/cc7CR3Vw.s:664 .text.HAL_DMA_Abort_IT:0000000000000000 HAL_DMA_Abort_IT + /tmp/cc7CR3Vw.s:733 .text.HAL_DMA_PollForTransfer:0000000000000000 $t + /tmp/cc7CR3Vw.s:740 .text.HAL_DMA_PollForTransfer:0000000000000000 HAL_DMA_PollForTransfer + /tmp/cc7CR3Vw.s:913 .text.HAL_DMA_IRQHandler:0000000000000000 $t + /tmp/cc7CR3Vw.s:920 .text.HAL_DMA_IRQHandler:0000000000000000 HAL_DMA_IRQHandler + /tmp/cc7CR3Vw.s:1060 .text.HAL_DMA_RegisterCallback:0000000000000000 $t + /tmp/cc7CR3Vw.s:1067 .text.HAL_DMA_RegisterCallback:0000000000000000 HAL_DMA_RegisterCallback + /tmp/cc7CR3Vw.s:1107 .text.HAL_DMA_RegisterCallback:000000000000002c $d + /tmp/cc7CR3Vw.s:1111 .text.HAL_DMA_RegisterCallback:0000000000000030 $t + /tmp/cc7CR3Vw.s:1153 .text.HAL_DMA_UnRegisterCallback:0000000000000000 $t + /tmp/cc7CR3Vw.s:1160 .text.HAL_DMA_UnRegisterCallback:0000000000000000 HAL_DMA_UnRegisterCallback + /tmp/cc7CR3Vw.s:1198 .text.HAL_DMA_UnRegisterCallback:000000000000002c $d + /tmp/cc7CR3Vw.s:1266 .text.HAL_DMA_GetState:0000000000000000 $t + /tmp/cc7CR3Vw.s:1273 .text.HAL_DMA_GetState:0000000000000000 HAL_DMA_GetState + /tmp/cc7CR3Vw.s:1290 .text.HAL_DMA_GetError:0000000000000000 $t + /tmp/cc7CR3Vw.s:1297 .text.HAL_DMA_GetError:0000000000000000 HAL_DMA_GetError + /tmp/cc7CR3Vw.s:1203 .text.HAL_DMA_UnRegisterCallback:0000000000000031 $d + /tmp/cc7CR3Vw.s:1203 .text.HAL_DMA_UnRegisterCallback:0000000000000032 $t .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_exti.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_exti.o.lst index 8210133..1a52da1 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_exti.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_exti.o.lst @@ -1474,31 +1474,31 @@ 843 .file 9 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_exti.c - /tmp/cc2NeAWI.s:18 .text.HAL_EXTI_SetConfigLine:0000000000000000 $t - /tmp/cc2NeAWI.s:25 .text.HAL_EXTI_SetConfigLine:0000000000000000 HAL_EXTI_SetConfigLine - /tmp/cc2NeAWI.s:225 .text.HAL_EXTI_SetConfigLine:00000000000000cc $d - /tmp/cc2NeAWI.s:233 .text.HAL_EXTI_GetConfigLine:0000000000000000 $t - /tmp/cc2NeAWI.s:240 .text.HAL_EXTI_GetConfigLine:0000000000000000 HAL_EXTI_GetConfigLine - /tmp/cc2NeAWI.s:410 .text.HAL_EXTI_GetConfigLine:00000000000000ac $d - /tmp/cc2NeAWI.s:418 .text.HAL_EXTI_ClearConfigLine:0000000000000000 $t - /tmp/cc2NeAWI.s:425 .text.HAL_EXTI_ClearConfigLine:0000000000000000 HAL_EXTI_ClearConfigLine - /tmp/cc2NeAWI.s:566 .text.HAL_EXTI_ClearConfigLine:0000000000000084 $d - /tmp/cc2NeAWI.s:573 .text.HAL_EXTI_RegisterCallback:0000000000000000 $t - /tmp/cc2NeAWI.s:580 .text.HAL_EXTI_RegisterCallback:0000000000000000 HAL_EXTI_RegisterCallback - /tmp/cc2NeAWI.s:608 .text.HAL_EXTI_GetHandle:0000000000000000 $t - /tmp/cc2NeAWI.s:615 .text.HAL_EXTI_GetHandle:0000000000000000 HAL_EXTI_GetHandle - /tmp/cc2NeAWI.s:642 .text.HAL_EXTI_IRQHandler:0000000000000000 $t - /tmp/cc2NeAWI.s:649 .text.HAL_EXTI_IRQHandler:0000000000000000 HAL_EXTI_IRQHandler - /tmp/cc2NeAWI.s:696 .text.HAL_EXTI_IRQHandler:0000000000000028 $d - /tmp/cc2NeAWI.s:701 .text.HAL_EXTI_GetPending:0000000000000000 $t - /tmp/cc2NeAWI.s:708 .text.HAL_EXTI_GetPending:0000000000000000 HAL_EXTI_GetPending - /tmp/cc2NeAWI.s:745 .text.HAL_EXTI_GetPending:000000000000001c $d - /tmp/cc2NeAWI.s:750 .text.HAL_EXTI_ClearPending:0000000000000000 $t - /tmp/cc2NeAWI.s:757 .text.HAL_EXTI_ClearPending:0000000000000000 HAL_EXTI_ClearPending - /tmp/cc2NeAWI.s:788 .text.HAL_EXTI_ClearPending:0000000000000018 $d - /tmp/cc2NeAWI.s:793 .text.HAL_EXTI_GenerateSWI:0000000000000000 $t - /tmp/cc2NeAWI.s:800 .text.HAL_EXTI_GenerateSWI:0000000000000000 HAL_EXTI_GenerateSWI - /tmp/cc2NeAWI.s:830 .text.HAL_EXTI_GenerateSWI:0000000000000018 $d + /tmp/ccjRyZG3.s:18 .text.HAL_EXTI_SetConfigLine:0000000000000000 $t + /tmp/ccjRyZG3.s:25 .text.HAL_EXTI_SetConfigLine:0000000000000000 HAL_EXTI_SetConfigLine + /tmp/ccjRyZG3.s:225 .text.HAL_EXTI_SetConfigLine:00000000000000cc $d + /tmp/ccjRyZG3.s:233 .text.HAL_EXTI_GetConfigLine:0000000000000000 $t + /tmp/ccjRyZG3.s:240 .text.HAL_EXTI_GetConfigLine:0000000000000000 HAL_EXTI_GetConfigLine + /tmp/ccjRyZG3.s:410 .text.HAL_EXTI_GetConfigLine:00000000000000ac $d + /tmp/ccjRyZG3.s:418 .text.HAL_EXTI_ClearConfigLine:0000000000000000 $t + /tmp/ccjRyZG3.s:425 .text.HAL_EXTI_ClearConfigLine:0000000000000000 HAL_EXTI_ClearConfigLine + /tmp/ccjRyZG3.s:566 .text.HAL_EXTI_ClearConfigLine:0000000000000084 $d + /tmp/ccjRyZG3.s:573 .text.HAL_EXTI_RegisterCallback:0000000000000000 $t + /tmp/ccjRyZG3.s:580 .text.HAL_EXTI_RegisterCallback:0000000000000000 HAL_EXTI_RegisterCallback + /tmp/ccjRyZG3.s:608 .text.HAL_EXTI_GetHandle:0000000000000000 $t + /tmp/ccjRyZG3.s:615 .text.HAL_EXTI_GetHandle:0000000000000000 HAL_EXTI_GetHandle + /tmp/ccjRyZG3.s:642 .text.HAL_EXTI_IRQHandler:0000000000000000 $t + /tmp/ccjRyZG3.s:649 .text.HAL_EXTI_IRQHandler:0000000000000000 HAL_EXTI_IRQHandler + /tmp/ccjRyZG3.s:696 .text.HAL_EXTI_IRQHandler:0000000000000028 $d + /tmp/ccjRyZG3.s:701 .text.HAL_EXTI_GetPending:0000000000000000 $t + /tmp/ccjRyZG3.s:708 .text.HAL_EXTI_GetPending:0000000000000000 HAL_EXTI_GetPending + /tmp/ccjRyZG3.s:745 .text.HAL_EXTI_GetPending:000000000000001c $d + /tmp/ccjRyZG3.s:750 .text.HAL_EXTI_ClearPending:0000000000000000 $t + /tmp/ccjRyZG3.s:757 .text.HAL_EXTI_ClearPending:0000000000000000 HAL_EXTI_ClearPending + /tmp/ccjRyZG3.s:788 .text.HAL_EXTI_ClearPending:0000000000000018 $d + /tmp/ccjRyZG3.s:793 .text.HAL_EXTI_GenerateSWI:0000000000000000 $t + /tmp/ccjRyZG3.s:800 .text.HAL_EXTI_GenerateSWI:0000000000000000 HAL_EXTI_GenerateSWI + /tmp/ccjRyZG3.s:830 .text.HAL_EXTI_GenerateSWI:0000000000000018 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_flash.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_flash.o.lst index 116d662..5ca8bcc 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_flash.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_flash.o.lst @@ -2864,48 +2864,48 @@ 1064 .file 10 "../system/include/stm32l4-hal-driver/stm32l4xx_hal_flash_ex.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_flash.c - /tmp/cczPLVz9.s:18 .text.FLASH_Program_DoubleWord:0000000000000000 $t - /tmp/cczPLVz9.s:24 .text.FLASH_Program_DoubleWord:0000000000000000 FLASH_Program_DoubleWord - /tmp/cczPLVz9.s:65 .text.FLASH_Program_DoubleWord:000000000000001c $d - /tmp/cczPLVz9.s:70 .text.FLASH_Program_Fast:0000000000000000 $t - /tmp/cczPLVz9.s:76 .text.FLASH_Program_Fast:0000000000000000 FLASH_Program_Fast - /tmp/cczPLVz9.s:152 .text.FLASH_Program_Fast:0000000000000030 $d - /tmp/cczPLVz9.s:157 .text.HAL_FLASH_Program_IT:0000000000000000 $t - /tmp/cczPLVz9.s:164 .text.HAL_FLASH_Program_IT:0000000000000000 HAL_FLASH_Program_IT - /tmp/cczPLVz9.s:278 .text.HAL_FLASH_Program_IT:0000000000000080 $d - /tmp/cczPLVz9.s:284 .text.HAL_FLASH_EndOfOperationCallback:0000000000000000 $t - /tmp/cczPLVz9.s:291 .text.HAL_FLASH_EndOfOperationCallback:0000000000000000 HAL_FLASH_EndOfOperationCallback - /tmp/cczPLVz9.s:305 .text.HAL_FLASH_OperationErrorCallback:0000000000000000 $t - /tmp/cczPLVz9.s:312 .text.HAL_FLASH_OperationErrorCallback:0000000000000000 HAL_FLASH_OperationErrorCallback - /tmp/cczPLVz9.s:326 .text.HAL_FLASH_IRQHandler:0000000000000000 $t - /tmp/cczPLVz9.s:333 .text.HAL_FLASH_IRQHandler:0000000000000000 HAL_FLASH_IRQHandler - /tmp/cczPLVz9.s:560 .text.HAL_FLASH_IRQHandler:0000000000000128 $d - /tmp/cczPLVz9.s:566 .text.HAL_FLASH_Unlock:0000000000000000 $t - /tmp/cczPLVz9.s:573 .text.HAL_FLASH_Unlock:0000000000000000 HAL_FLASH_Unlock - /tmp/cczPLVz9.s:613 .text.HAL_FLASH_Unlock:0000000000000028 $d - /tmp/cczPLVz9.s:619 .text.HAL_FLASH_Lock:0000000000000000 $t - /tmp/cczPLVz9.s:626 .text.HAL_FLASH_Lock:0000000000000000 HAL_FLASH_Lock - /tmp/cczPLVz9.s:644 .text.HAL_FLASH_Lock:0000000000000010 $d - /tmp/cczPLVz9.s:649 .text.HAL_FLASH_OB_Unlock:0000000000000000 $t - /tmp/cczPLVz9.s:656 .text.HAL_FLASH_OB_Unlock:0000000000000000 HAL_FLASH_OB_Unlock - /tmp/cczPLVz9.s:686 .text.HAL_FLASH_OB_Unlock:0000000000000020 $d - /tmp/cczPLVz9.s:692 .text.HAL_FLASH_OB_Lock:0000000000000000 $t - /tmp/cczPLVz9.s:699 .text.HAL_FLASH_OB_Lock:0000000000000000 HAL_FLASH_OB_Lock - /tmp/cczPLVz9.s:717 .text.HAL_FLASH_OB_Lock:0000000000000010 $d - /tmp/cczPLVz9.s:722 .text.HAL_FLASH_GetError:0000000000000000 $t - /tmp/cczPLVz9.s:729 .text.HAL_FLASH_GetError:0000000000000000 HAL_FLASH_GetError - /tmp/cczPLVz9.s:744 .text.HAL_FLASH_GetError:0000000000000008 $d - /tmp/cczPLVz9.s:749 .text.FLASH_WaitForLastOperation:0000000000000000 $t - /tmp/cczPLVz9.s:756 .text.FLASH_WaitForLastOperation:0000000000000000 FLASH_WaitForLastOperation - /tmp/cczPLVz9.s:840 .text.FLASH_WaitForLastOperation:000000000000005c $d - /tmp/cczPLVz9.s:846 .text.HAL_FLASH_Program:0000000000000000 $t - /tmp/cczPLVz9.s:853 .text.HAL_FLASH_Program:0000000000000000 HAL_FLASH_Program - /tmp/cczPLVz9.s:996 .text.HAL_FLASH_Program:00000000000000a8 $d - /tmp/cczPLVz9.s:1002 .text.HAL_FLASH_OB_Launch:0000000000000000 $t - /tmp/cczPLVz9.s:1009 .text.HAL_FLASH_OB_Launch:0000000000000000 HAL_FLASH_OB_Launch - /tmp/cczPLVz9.s:1033 .text.HAL_FLASH_OB_Launch:0000000000000018 $d - /tmp/cczPLVz9.s:1043 .data.pFlash:0000000000000000 pFlash - /tmp/cczPLVz9.s:1039 .data.pFlash:0000000000000000 $d + /tmp/ccsGVWyw.s:18 .text.FLASH_Program_DoubleWord:0000000000000000 $t + /tmp/ccsGVWyw.s:24 .text.FLASH_Program_DoubleWord:0000000000000000 FLASH_Program_DoubleWord + /tmp/ccsGVWyw.s:65 .text.FLASH_Program_DoubleWord:000000000000001c $d + /tmp/ccsGVWyw.s:70 .text.FLASH_Program_Fast:0000000000000000 $t + /tmp/ccsGVWyw.s:76 .text.FLASH_Program_Fast:0000000000000000 FLASH_Program_Fast + /tmp/ccsGVWyw.s:152 .text.FLASH_Program_Fast:0000000000000030 $d + /tmp/ccsGVWyw.s:157 .text.HAL_FLASH_Program_IT:0000000000000000 $t + /tmp/ccsGVWyw.s:164 .text.HAL_FLASH_Program_IT:0000000000000000 HAL_FLASH_Program_IT + /tmp/ccsGVWyw.s:278 .text.HAL_FLASH_Program_IT:0000000000000080 $d + /tmp/ccsGVWyw.s:284 .text.HAL_FLASH_EndOfOperationCallback:0000000000000000 $t + /tmp/ccsGVWyw.s:291 .text.HAL_FLASH_EndOfOperationCallback:0000000000000000 HAL_FLASH_EndOfOperationCallback + /tmp/ccsGVWyw.s:305 .text.HAL_FLASH_OperationErrorCallback:0000000000000000 $t + /tmp/ccsGVWyw.s:312 .text.HAL_FLASH_OperationErrorCallback:0000000000000000 HAL_FLASH_OperationErrorCallback + /tmp/ccsGVWyw.s:326 .text.HAL_FLASH_IRQHandler:0000000000000000 $t + /tmp/ccsGVWyw.s:333 .text.HAL_FLASH_IRQHandler:0000000000000000 HAL_FLASH_IRQHandler + /tmp/ccsGVWyw.s:560 .text.HAL_FLASH_IRQHandler:0000000000000128 $d + /tmp/ccsGVWyw.s:566 .text.HAL_FLASH_Unlock:0000000000000000 $t + /tmp/ccsGVWyw.s:573 .text.HAL_FLASH_Unlock:0000000000000000 HAL_FLASH_Unlock + /tmp/ccsGVWyw.s:613 .text.HAL_FLASH_Unlock:0000000000000028 $d + /tmp/ccsGVWyw.s:619 .text.HAL_FLASH_Lock:0000000000000000 $t + /tmp/ccsGVWyw.s:626 .text.HAL_FLASH_Lock:0000000000000000 HAL_FLASH_Lock + /tmp/ccsGVWyw.s:644 .text.HAL_FLASH_Lock:0000000000000010 $d + /tmp/ccsGVWyw.s:649 .text.HAL_FLASH_OB_Unlock:0000000000000000 $t + /tmp/ccsGVWyw.s:656 .text.HAL_FLASH_OB_Unlock:0000000000000000 HAL_FLASH_OB_Unlock + /tmp/ccsGVWyw.s:686 .text.HAL_FLASH_OB_Unlock:0000000000000020 $d + /tmp/ccsGVWyw.s:692 .text.HAL_FLASH_OB_Lock:0000000000000000 $t + /tmp/ccsGVWyw.s:699 .text.HAL_FLASH_OB_Lock:0000000000000000 HAL_FLASH_OB_Lock + /tmp/ccsGVWyw.s:717 .text.HAL_FLASH_OB_Lock:0000000000000010 $d + /tmp/ccsGVWyw.s:722 .text.HAL_FLASH_GetError:0000000000000000 $t + /tmp/ccsGVWyw.s:729 .text.HAL_FLASH_GetError:0000000000000000 HAL_FLASH_GetError + /tmp/ccsGVWyw.s:744 .text.HAL_FLASH_GetError:0000000000000008 $d + /tmp/ccsGVWyw.s:749 .text.FLASH_WaitForLastOperation:0000000000000000 $t + /tmp/ccsGVWyw.s:756 .text.FLASH_WaitForLastOperation:0000000000000000 FLASH_WaitForLastOperation + /tmp/ccsGVWyw.s:840 .text.FLASH_WaitForLastOperation:000000000000005c $d + /tmp/ccsGVWyw.s:846 .text.HAL_FLASH_Program:0000000000000000 $t + /tmp/ccsGVWyw.s:853 .text.HAL_FLASH_Program:0000000000000000 HAL_FLASH_Program + /tmp/ccsGVWyw.s:996 .text.HAL_FLASH_Program:00000000000000a8 $d + /tmp/ccsGVWyw.s:1002 .text.HAL_FLASH_OB_Launch:0000000000000000 $t + /tmp/ccsGVWyw.s:1009 .text.HAL_FLASH_OB_Launch:0000000000000000 HAL_FLASH_OB_Launch + /tmp/ccsGVWyw.s:1033 .text.HAL_FLASH_OB_Launch:0000000000000018 $d + /tmp/ccsGVWyw.s:1043 .data.pFlash:0000000000000000 pFlash + /tmp/ccsGVWyw.s:1039 .data.pFlash:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_flash_ex.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_flash_ex.o.lst index 3e2c71b..c437c91 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_flash_ex.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_flash_ex.o.lst @@ -3163,50 +3163,50 @@ 1650 .file 8 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_flash_ex.c - /tmp/cc8jpBII.s:18 .text.FLASH_MassErase:0000000000000000 $t - /tmp/cc8jpBII.s:24 .text.FLASH_MassErase:0000000000000000 FLASH_MassErase - /tmp/cc8jpBII.s:61 .text.FLASH_MassErase:000000000000002c $d - /tmp/cc8jpBII.s:66 .text.FLASH_OB_GetWRP:0000000000000000 $t - /tmp/cc8jpBII.s:72 .text.FLASH_OB_GetWRP:0000000000000000 FLASH_OB_GetWRP - /tmp/cc8jpBII.s:151 .text.FLASH_OB_GetWRP:0000000000000058 $d - /tmp/cc8jpBII.s:156 .text.FLASH_OB_GetRDP:0000000000000000 $t - /tmp/cc8jpBII.s:162 .text.FLASH_OB_GetRDP:0000000000000000 FLASH_OB_GetRDP - /tmp/cc8jpBII.s:196 .text.FLASH_OB_GetRDP:000000000000001c $d - /tmp/cc8jpBII.s:201 .text.FLASH_OB_GetUser:0000000000000000 $t - /tmp/cc8jpBII.s:207 .text.FLASH_OB_GetUser:0000000000000000 FLASH_OB_GetUser - /tmp/cc8jpBII.s:225 .text.FLASH_OB_GetUser:000000000000000c $d - /tmp/cc8jpBII.s:230 .text.FLASH_OB_GetPCROP:0000000000000000 $t - /tmp/cc8jpBII.s:236 .text.FLASH_OB_GetPCROP:0000000000000000 FLASH_OB_GetPCROP - /tmp/cc8jpBII.s:374 .text.FLASH_OB_GetPCROP:00000000000000b0 $d - /tmp/cc8jpBII.s:384 .text.FLASH_OB_WRPConfig:0000000000000000 $t - /tmp/cc8jpBII.s:390 .text.FLASH_OB_WRPConfig:0000000000000000 FLASH_OB_WRPConfig - /tmp/cc8jpBII.s:491 .text.FLASH_OB_WRPConfig:0000000000000088 $d - /tmp/cc8jpBII.s:496 .text.FLASH_OB_RDPConfig:0000000000000000 $t - /tmp/cc8jpBII.s:502 .text.FLASH_OB_RDPConfig:0000000000000000 FLASH_OB_RDPConfig - /tmp/cc8jpBII.s:556 .text.FLASH_OB_RDPConfig:000000000000003c $d - /tmp/cc8jpBII.s:561 .text.FLASH_OB_UserConfig:0000000000000000 $t - /tmp/cc8jpBII.s:567 .text.FLASH_OB_UserConfig:0000000000000000 FLASH_OB_UserConfig - /tmp/cc8jpBII.s:774 .text.FLASH_OB_UserConfig:0000000000000114 $d - /tmp/cc8jpBII.s:779 .text.FLASH_OB_PCROPConfig:0000000000000000 $t - /tmp/cc8jpBII.s:785 .text.FLASH_OB_PCROPConfig:0000000000000000 FLASH_OB_PCROPConfig - /tmp/cc8jpBII.s:950 .text.FLASH_OB_PCROPConfig:00000000000000e4 $d - /tmp/cc8jpBII.s:959 .text.HAL_FLASHEx_OBProgram:0000000000000000 $t - /tmp/cc8jpBII.s:966 .text.HAL_FLASHEx_OBProgram:0000000000000000 HAL_FLASHEx_OBProgram - /tmp/cc8jpBII.s:1093 .text.HAL_FLASHEx_OBProgram:0000000000000090 $d - /tmp/cc8jpBII.s:1098 .text.HAL_FLASHEx_OBGetConfig:0000000000000000 $t - /tmp/cc8jpBII.s:1105 .text.HAL_FLASHEx_OBGetConfig:0000000000000000 HAL_FLASHEx_OBGetConfig - /tmp/cc8jpBII.s:1172 .text.FLASH_PageErase:0000000000000000 $t - /tmp/cc8jpBII.s:1179 .text.FLASH_PageErase:0000000000000000 FLASH_PageErase - /tmp/cc8jpBII.s:1226 .text.FLASH_PageErase:0000000000000040 $d - /tmp/cc8jpBII.s:1231 .text.HAL_FLASHEx_Erase_IT:0000000000000000 $t - /tmp/cc8jpBII.s:1238 .text.HAL_FLASHEx_Erase_IT:0000000000000000 HAL_FLASHEx_Erase_IT - /tmp/cc8jpBII.s:1371 .text.HAL_FLASHEx_Erase_IT:00000000000000b0 $d - /tmp/cc8jpBII.s:1377 .text.FLASH_FlushCaches:0000000000000000 $t - /tmp/cc8jpBII.s:1384 .text.FLASH_FlushCaches:0000000000000000 FLASH_FlushCaches - /tmp/cc8jpBII.s:1447 .text.FLASH_FlushCaches:0000000000000054 $d - /tmp/cc8jpBII.s:1453 .text.HAL_FLASHEx_Erase:0000000000000000 $t - /tmp/cc8jpBII.s:1460 .text.HAL_FLASHEx_Erase:0000000000000000 HAL_FLASHEx_Erase - /tmp/cc8jpBII.s:1637 .text.HAL_FLASHEx_Erase:00000000000000f4 $d + /tmp/cc6M9uY4.s:18 .text.FLASH_MassErase:0000000000000000 $t + /tmp/cc6M9uY4.s:24 .text.FLASH_MassErase:0000000000000000 FLASH_MassErase + /tmp/cc6M9uY4.s:61 .text.FLASH_MassErase:000000000000002c $d + /tmp/cc6M9uY4.s:66 .text.FLASH_OB_GetWRP:0000000000000000 $t + /tmp/cc6M9uY4.s:72 .text.FLASH_OB_GetWRP:0000000000000000 FLASH_OB_GetWRP + /tmp/cc6M9uY4.s:151 .text.FLASH_OB_GetWRP:0000000000000058 $d + /tmp/cc6M9uY4.s:156 .text.FLASH_OB_GetRDP:0000000000000000 $t + /tmp/cc6M9uY4.s:162 .text.FLASH_OB_GetRDP:0000000000000000 FLASH_OB_GetRDP + /tmp/cc6M9uY4.s:196 .text.FLASH_OB_GetRDP:000000000000001c $d + /tmp/cc6M9uY4.s:201 .text.FLASH_OB_GetUser:0000000000000000 $t + /tmp/cc6M9uY4.s:207 .text.FLASH_OB_GetUser:0000000000000000 FLASH_OB_GetUser + /tmp/cc6M9uY4.s:225 .text.FLASH_OB_GetUser:000000000000000c $d + /tmp/cc6M9uY4.s:230 .text.FLASH_OB_GetPCROP:0000000000000000 $t + /tmp/cc6M9uY4.s:236 .text.FLASH_OB_GetPCROP:0000000000000000 FLASH_OB_GetPCROP + /tmp/cc6M9uY4.s:374 .text.FLASH_OB_GetPCROP:00000000000000b0 $d + /tmp/cc6M9uY4.s:384 .text.FLASH_OB_WRPConfig:0000000000000000 $t + /tmp/cc6M9uY4.s:390 .text.FLASH_OB_WRPConfig:0000000000000000 FLASH_OB_WRPConfig + /tmp/cc6M9uY4.s:491 .text.FLASH_OB_WRPConfig:0000000000000088 $d + /tmp/cc6M9uY4.s:496 .text.FLASH_OB_RDPConfig:0000000000000000 $t + /tmp/cc6M9uY4.s:502 .text.FLASH_OB_RDPConfig:0000000000000000 FLASH_OB_RDPConfig + /tmp/cc6M9uY4.s:556 .text.FLASH_OB_RDPConfig:000000000000003c $d + /tmp/cc6M9uY4.s:561 .text.FLASH_OB_UserConfig:0000000000000000 $t + /tmp/cc6M9uY4.s:567 .text.FLASH_OB_UserConfig:0000000000000000 FLASH_OB_UserConfig + /tmp/cc6M9uY4.s:774 .text.FLASH_OB_UserConfig:0000000000000114 $d + /tmp/cc6M9uY4.s:779 .text.FLASH_OB_PCROPConfig:0000000000000000 $t + /tmp/cc6M9uY4.s:785 .text.FLASH_OB_PCROPConfig:0000000000000000 FLASH_OB_PCROPConfig + /tmp/cc6M9uY4.s:950 .text.FLASH_OB_PCROPConfig:00000000000000e4 $d + /tmp/cc6M9uY4.s:959 .text.HAL_FLASHEx_OBProgram:0000000000000000 $t + /tmp/cc6M9uY4.s:966 .text.HAL_FLASHEx_OBProgram:0000000000000000 HAL_FLASHEx_OBProgram + /tmp/cc6M9uY4.s:1093 .text.HAL_FLASHEx_OBProgram:0000000000000090 $d + /tmp/cc6M9uY4.s:1098 .text.HAL_FLASHEx_OBGetConfig:0000000000000000 $t + /tmp/cc6M9uY4.s:1105 .text.HAL_FLASHEx_OBGetConfig:0000000000000000 HAL_FLASHEx_OBGetConfig + /tmp/cc6M9uY4.s:1172 .text.FLASH_PageErase:0000000000000000 $t + /tmp/cc6M9uY4.s:1179 .text.FLASH_PageErase:0000000000000000 FLASH_PageErase + /tmp/cc6M9uY4.s:1226 .text.FLASH_PageErase:0000000000000040 $d + /tmp/cc6M9uY4.s:1231 .text.HAL_FLASHEx_Erase_IT:0000000000000000 $t + /tmp/cc6M9uY4.s:1238 .text.HAL_FLASHEx_Erase_IT:0000000000000000 HAL_FLASHEx_Erase_IT + /tmp/cc6M9uY4.s:1371 .text.HAL_FLASHEx_Erase_IT:00000000000000b0 $d + /tmp/cc6M9uY4.s:1377 .text.FLASH_FlushCaches:0000000000000000 $t + /tmp/cc6M9uY4.s:1384 .text.FLASH_FlushCaches:0000000000000000 FLASH_FlushCaches + /tmp/cc6M9uY4.s:1447 .text.FLASH_FlushCaches:0000000000000054 $d + /tmp/cc6M9uY4.s:1453 .text.HAL_FLASHEx_Erase:0000000000000000 $t + /tmp/cc6M9uY4.s:1460 .text.HAL_FLASHEx_Erase:0000000000000000 HAL_FLASHEx_Erase + /tmp/cc6M9uY4.s:1637 .text.HAL_FLASHEx_Erase:00000000000000f4 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_flash_ramfunc.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_flash_ramfunc.o.lst index e05f456..5038418 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_flash_ramfunc.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_flash_ramfunc.o.lst @@ -206,12 +206,12 @@ 97 .file 8 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_flash_ramfunc.c - /tmp/ccocRTIn.s:18 .RamFunc:0000000000000000 $t - /tmp/ccocRTIn.s:25 .RamFunc:0000000000000000 HAL_FLASHEx_EnableRunPowerDown - /tmp/ccocRTIn.s:48 .RamFunc:0000000000000018 $d - /tmp/ccocRTIn.s:54 .RamFunc:0000000000000024 $t - /tmp/ccocRTIn.s:61 .RamFunc:0000000000000024 HAL_FLASHEx_DisableRunPowerDown - /tmp/ccocRTIn.s:83 .RamFunc:000000000000003c $d + /tmp/cc3k3z8Q.s:18 .RamFunc:0000000000000000 $t + /tmp/cc3k3z8Q.s:25 .RamFunc:0000000000000000 HAL_FLASHEx_EnableRunPowerDown + /tmp/cc3k3z8Q.s:48 .RamFunc:0000000000000018 $d + /tmp/cc3k3z8Q.s:54 .RamFunc:0000000000000024 $t + /tmp/cc3k3z8Q.s:61 .RamFunc:0000000000000024 HAL_FLASHEx_DisableRunPowerDown + /tmp/cc3k3z8Q.s:83 .RamFunc:000000000000003c $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_gpio.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_gpio.o.lst index 8ee5338..a3499ce 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_gpio.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_gpio.o.lst @@ -1393,25 +1393,25 @@ 804 .file 9 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_gpio.c - /tmp/ccwwbWbx.s:18 .text.HAL_GPIO_Init:0000000000000000 $t - /tmp/ccwwbWbx.s:25 .text.HAL_GPIO_Init:0000000000000000 HAL_GPIO_Init - /tmp/ccwwbWbx.s:365 .text.HAL_GPIO_Init:00000000000001b8 $d - /tmp/ccwwbWbx.s:373 .text.HAL_GPIO_DeInit:0000000000000000 $t - /tmp/ccwwbWbx.s:380 .text.HAL_GPIO_DeInit:0000000000000000 HAL_GPIO_DeInit - /tmp/ccwwbWbx.s:570 .text.HAL_GPIO_DeInit:0000000000000114 $d - /tmp/ccwwbWbx.s:577 .text.HAL_GPIO_ReadPin:0000000000000000 $t - /tmp/ccwwbWbx.s:584 .text.HAL_GPIO_ReadPin:0000000000000000 HAL_GPIO_ReadPin - /tmp/ccwwbWbx.s:611 .text.HAL_GPIO_WritePin:0000000000000000 $t - /tmp/ccwwbWbx.s:618 .text.HAL_GPIO_WritePin:0000000000000000 HAL_GPIO_WritePin - /tmp/ccwwbWbx.s:640 .text.HAL_GPIO_TogglePin:0000000000000000 $t - /tmp/ccwwbWbx.s:647 .text.HAL_GPIO_TogglePin:0000000000000000 HAL_GPIO_TogglePin - /tmp/ccwwbWbx.s:670 .text.HAL_GPIO_LockPin:0000000000000000 $t - /tmp/ccwwbWbx.s:677 .text.HAL_GPIO_LockPin:0000000000000000 HAL_GPIO_LockPin - /tmp/ccwwbWbx.s:730 .text.HAL_GPIO_EXTI_Callback:0000000000000000 $t - /tmp/ccwwbWbx.s:737 .text.HAL_GPIO_EXTI_Callback:0000000000000000 HAL_GPIO_EXTI_Callback - /tmp/ccwwbWbx.s:751 .text.HAL_GPIO_EXTI_IRQHandler:0000000000000000 $t - /tmp/ccwwbWbx.s:758 .text.HAL_GPIO_EXTI_IRQHandler:0000000000000000 HAL_GPIO_EXTI_IRQHandler - /tmp/ccwwbWbx.s:791 .text.HAL_GPIO_EXTI_IRQHandler:0000000000000018 $d + /tmp/ccc4Trx2.s:18 .text.HAL_GPIO_Init:0000000000000000 $t + /tmp/ccc4Trx2.s:25 .text.HAL_GPIO_Init:0000000000000000 HAL_GPIO_Init + /tmp/ccc4Trx2.s:365 .text.HAL_GPIO_Init:00000000000001b8 $d + /tmp/ccc4Trx2.s:373 .text.HAL_GPIO_DeInit:0000000000000000 $t + /tmp/ccc4Trx2.s:380 .text.HAL_GPIO_DeInit:0000000000000000 HAL_GPIO_DeInit + /tmp/ccc4Trx2.s:570 .text.HAL_GPIO_DeInit:0000000000000114 $d + /tmp/ccc4Trx2.s:577 .text.HAL_GPIO_ReadPin:0000000000000000 $t + /tmp/ccc4Trx2.s:584 .text.HAL_GPIO_ReadPin:0000000000000000 HAL_GPIO_ReadPin + /tmp/ccc4Trx2.s:611 .text.HAL_GPIO_WritePin:0000000000000000 $t + /tmp/ccc4Trx2.s:618 .text.HAL_GPIO_WritePin:0000000000000000 HAL_GPIO_WritePin + /tmp/ccc4Trx2.s:640 .text.HAL_GPIO_TogglePin:0000000000000000 $t + /tmp/ccc4Trx2.s:647 .text.HAL_GPIO_TogglePin:0000000000000000 HAL_GPIO_TogglePin + /tmp/ccc4Trx2.s:670 .text.HAL_GPIO_LockPin:0000000000000000 $t + /tmp/ccc4Trx2.s:677 .text.HAL_GPIO_LockPin:0000000000000000 HAL_GPIO_LockPin + /tmp/ccc4Trx2.s:730 .text.HAL_GPIO_EXTI_Callback:0000000000000000 $t + /tmp/ccc4Trx2.s:737 .text.HAL_GPIO_EXTI_Callback:0000000000000000 HAL_GPIO_EXTI_Callback + /tmp/ccc4Trx2.s:751 .text.HAL_GPIO_EXTI_IRQHandler:0000000000000000 $t + /tmp/ccc4Trx2.s:758 .text.HAL_GPIO_EXTI_IRQHandler:0000000000000000 HAL_GPIO_EXTI_IRQHandler + /tmp/ccc4Trx2.s:791 .text.HAL_GPIO_EXTI_IRQHandler:0000000000000018 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_i2c.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_i2c.o.lst index 7710130..f1765b8 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_i2c.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_i2c.o.lst @@ -18743,195 +18743,195 @@ 10361 .file 11 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_i2c.c - /tmp/ccRG9Oc0.s:18 .text.I2C_Flush_TXDR:0000000000000000 $t - /tmp/ccRG9Oc0.s:24 .text.I2C_Flush_TXDR:0000000000000000 I2C_Flush_TXDR - /tmp/ccRG9Oc0.s:58 .text.I2C_TransferConfig:0000000000000000 $t - /tmp/ccRG9Oc0.s:64 .text.I2C_TransferConfig:0000000000000000 I2C_TransferConfig - /tmp/ccRG9Oc0.s:108 .text.I2C_Enable_IRQ:0000000000000000 $t - /tmp/ccRG9Oc0.s:114 .text.I2C_Enable_IRQ:0000000000000000 I2C_Enable_IRQ - /tmp/ccRG9Oc0.s:218 .text.I2C_Enable_IRQ:000000000000006c $d - /tmp/ccRG9Oc0.s:9694 .text.I2C_Master_ISR_DMA:0000000000000000 I2C_Master_ISR_DMA - /tmp/ccRG9Oc0.s:9456 .text.I2C_Slave_ISR_DMA:0000000000000000 I2C_Slave_ISR_DMA - /tmp/ccRG9Oc0.s:224 .text.I2C_Disable_IRQ:0000000000000000 $t - /tmp/ccRG9Oc0.s:230 .text.I2C_Disable_IRQ:0000000000000000 I2C_Disable_IRQ - /tmp/ccRG9Oc0.s:387 .text.I2C_ConvertOtherXferOptions:0000000000000000 $t - /tmp/ccRG9Oc0.s:393 .text.I2C_ConvertOtherXferOptions:0000000000000000 I2C_ConvertOtherXferOptions - /tmp/ccRG9Oc0.s:427 .text.I2C_IsAcknowledgeFailed:0000000000000000 $t - /tmp/ccRG9Oc0.s:433 .text.I2C_IsAcknowledgeFailed:0000000000000000 I2C_IsAcknowledgeFailed - /tmp/ccRG9Oc0.s:537 .text.I2C_WaitOnTXISFlagUntilTimeout:0000000000000000 $t - /tmp/ccRG9Oc0.s:543 .text.I2C_WaitOnTXISFlagUntilTimeout:0000000000000000 I2C_WaitOnTXISFlagUntilTimeout - /tmp/ccRG9Oc0.s:616 .text.I2C_WaitOnFlagUntilTimeout:0000000000000000 $t - /tmp/ccRG9Oc0.s:622 .text.I2C_WaitOnFlagUntilTimeout:0000000000000000 I2C_WaitOnFlagUntilTimeout - /tmp/ccRG9Oc0.s:691 .text.I2C_RequestMemoryWrite:0000000000000000 $t - /tmp/ccRG9Oc0.s:697 .text.I2C_RequestMemoryWrite:0000000000000000 I2C_RequestMemoryWrite - /tmp/ccRG9Oc0.s:790 .text.I2C_RequestMemoryWrite:000000000000006c $d - /tmp/ccRG9Oc0.s:795 .text.I2C_RequestMemoryRead:0000000000000000 $t - /tmp/ccRG9Oc0.s:801 .text.I2C_RequestMemoryRead:0000000000000000 I2C_RequestMemoryRead - /tmp/ccRG9Oc0.s:894 .text.I2C_RequestMemoryRead:000000000000006c $d - /tmp/ccRG9Oc0.s:899 .text.I2C_WaitOnSTOPFlagUntilTimeout:0000000000000000 $t - /tmp/ccRG9Oc0.s:905 .text.I2C_WaitOnSTOPFlagUntilTimeout:0000000000000000 I2C_WaitOnSTOPFlagUntilTimeout - /tmp/ccRG9Oc0.s:975 .text.I2C_WaitOnRXNEFlagUntilTimeout:0000000000000000 $t - /tmp/ccRG9Oc0.s:981 .text.I2C_WaitOnRXNEFlagUntilTimeout:0000000000000000 I2C_WaitOnRXNEFlagUntilTimeout - /tmp/ccRG9Oc0.s:1090 .text.HAL_I2C_MspInit:0000000000000000 $t - /tmp/ccRG9Oc0.s:1097 .text.HAL_I2C_MspInit:0000000000000000 HAL_I2C_MspInit - /tmp/ccRG9Oc0.s:1111 .text.HAL_I2C_Init:0000000000000000 $t - /tmp/ccRG9Oc0.s:1118 .text.HAL_I2C_Init:0000000000000000 HAL_I2C_Init - /tmp/ccRG9Oc0.s:1250 .text.HAL_I2C_MspDeInit:0000000000000000 $t - /tmp/ccRG9Oc0.s:1257 .text.HAL_I2C_MspDeInit:0000000000000000 HAL_I2C_MspDeInit - /tmp/ccRG9Oc0.s:1271 .text.HAL_I2C_DeInit:0000000000000000 $t - /tmp/ccRG9Oc0.s:1278 .text.HAL_I2C_DeInit:0000000000000000 HAL_I2C_DeInit - /tmp/ccRG9Oc0.s:1331 .text.HAL_I2C_Master_Transmit:0000000000000000 $t - /tmp/ccRG9Oc0.s:1338 .text.HAL_I2C_Master_Transmit:0000000000000000 HAL_I2C_Master_Transmit - /tmp/ccRG9Oc0.s:1587 .text.HAL_I2C_Master_Transmit:0000000000000178 $d - /tmp/ccRG9Oc0.s:1592 .text.HAL_I2C_Master_Receive:0000000000000000 $t - /tmp/ccRG9Oc0.s:1599 .text.HAL_I2C_Master_Receive:0000000000000000 HAL_I2C_Master_Receive - /tmp/ccRG9Oc0.s:1848 .text.HAL_I2C_Master_Receive:0000000000000178 $d - /tmp/ccRG9Oc0.s:1853 .text.HAL_I2C_Slave_Transmit:0000000000000000 $t - /tmp/ccRG9Oc0.s:1860 .text.HAL_I2C_Slave_Transmit:0000000000000000 HAL_I2C_Slave_Transmit - /tmp/ccRG9Oc0.s:2131 .text.HAL_I2C_Slave_Receive:0000000000000000 $t - /tmp/ccRG9Oc0.s:2138 .text.HAL_I2C_Slave_Receive:0000000000000000 HAL_I2C_Slave_Receive - /tmp/ccRG9Oc0.s:2388 .text.HAL_I2C_Master_Transmit_IT:0000000000000000 $t - /tmp/ccRG9Oc0.s:2395 .text.HAL_I2C_Master_Transmit_IT:0000000000000000 HAL_I2C_Master_Transmit_IT - /tmp/ccRG9Oc0.s:2520 .text.HAL_I2C_Master_Transmit_IT:000000000000008c $d - /tmp/ccRG9Oc0.s:9164 .text.I2C_Master_ISR_IT:0000000000000000 I2C_Master_ISR_IT - /tmp/ccRG9Oc0.s:2527 .text.HAL_I2C_Master_Receive_IT:0000000000000000 $t - /tmp/ccRG9Oc0.s:2534 .text.HAL_I2C_Master_Receive_IT:0000000000000000 HAL_I2C_Master_Receive_IT - /tmp/ccRG9Oc0.s:2659 .text.HAL_I2C_Master_Receive_IT:000000000000008c $d - /tmp/ccRG9Oc0.s:2666 .text.HAL_I2C_Slave_Transmit_IT:0000000000000000 $t - /tmp/ccRG9Oc0.s:2673 .text.HAL_I2C_Slave_Transmit_IT:0000000000000000 HAL_I2C_Slave_Transmit_IT - /tmp/ccRG9Oc0.s:2752 .text.HAL_I2C_Slave_Transmit_IT:000000000000005c $d - /tmp/ccRG9Oc0.s:8707 .text.I2C_Slave_ISR_IT:0000000000000000 I2C_Slave_ISR_IT - /tmp/ccRG9Oc0.s:2758 .text.HAL_I2C_Slave_Receive_IT:0000000000000000 $t - /tmp/ccRG9Oc0.s:2765 .text.HAL_I2C_Slave_Receive_IT:0000000000000000 HAL_I2C_Slave_Receive_IT - /tmp/ccRG9Oc0.s:2844 .text.HAL_I2C_Slave_Receive_IT:000000000000005c $d - /tmp/ccRG9Oc0.s:2850 .text.HAL_I2C_Master_Transmit_DMA:0000000000000000 $t - /tmp/ccRG9Oc0.s:2857 .text.HAL_I2C_Master_Transmit_DMA:0000000000000000 HAL_I2C_Master_Transmit_DMA - /tmp/ccRG9Oc0.s:3087 .text.HAL_I2C_Master_Transmit_DMA:0000000000000138 $d - /tmp/ccRG9Oc0.s:9977 .text.I2C_DMAMasterTransmitCplt:0000000000000000 I2C_DMAMasterTransmitCplt - /tmp/ccRG9Oc0.s:9942 .text.I2C_DMAError:0000000000000000 I2C_DMAError - /tmp/ccRG9Oc0.s:3097 .text.HAL_I2C_Master_Receive_DMA:0000000000000000 $t - /tmp/ccRG9Oc0.s:3104 .text.HAL_I2C_Master_Receive_DMA:0000000000000000 HAL_I2C_Master_Receive_DMA - /tmp/ccRG9Oc0.s:3330 .text.HAL_I2C_Master_Receive_DMA:0000000000000138 $d - /tmp/ccRG9Oc0.s:10064 .text.I2C_DMAMasterReceiveCplt:0000000000000000 I2C_DMAMasterReceiveCplt - /tmp/ccRG9Oc0.s:3340 .text.HAL_I2C_Slave_Transmit_DMA:0000000000000000 $t - /tmp/ccRG9Oc0.s:3347 .text.HAL_I2C_Slave_Transmit_DMA:0000000000000000 HAL_I2C_Slave_Transmit_DMA - /tmp/ccRG9Oc0.s:3510 .text.HAL_I2C_Slave_Transmit_DMA:00000000000000e0 $d - /tmp/ccRG9Oc0.s:7767 .text.I2C_DMASlaveTransmitCplt:0000000000000000 I2C_DMASlaveTransmitCplt - /tmp/ccRG9Oc0.s:3518 .text.HAL_I2C_Slave_Receive_DMA:0000000000000000 $t - /tmp/ccRG9Oc0.s:3525 .text.HAL_I2C_Slave_Receive_DMA:0000000000000000 HAL_I2C_Slave_Receive_DMA - /tmp/ccRG9Oc0.s:3690 .text.HAL_I2C_Slave_Receive_DMA:00000000000000e0 $d - /tmp/ccRG9Oc0.s:7812 .text.I2C_DMASlaveReceiveCplt:0000000000000000 I2C_DMASlaveReceiveCplt - /tmp/ccRG9Oc0.s:3698 .text.HAL_I2C_Mem_Write:0000000000000000 $t - /tmp/ccRG9Oc0.s:3705 .text.HAL_I2C_Mem_Write:0000000000000000 HAL_I2C_Mem_Write - /tmp/ccRG9Oc0.s:3990 .text.HAL_I2C_Mem_Read:0000000000000000 $t - /tmp/ccRG9Oc0.s:3997 .text.HAL_I2C_Mem_Read:0000000000000000 HAL_I2C_Mem_Read - /tmp/ccRG9Oc0.s:4283 .text.HAL_I2C_Mem_Read:00000000000001a8 $d - /tmp/ccRG9Oc0.s:4288 .text.HAL_I2C_Mem_Write_IT:0000000000000000 $t - /tmp/ccRG9Oc0.s:4295 .text.HAL_I2C_Mem_Write_IT:0000000000000000 HAL_I2C_Mem_Write_IT - /tmp/ccRG9Oc0.s:4461 .text.HAL_I2C_Mem_Write_IT:00000000000000dc $d - /tmp/ccRG9Oc0.s:4467 .text.HAL_I2C_Mem_Read_IT:0000000000000000 $t - /tmp/ccRG9Oc0.s:4474 .text.HAL_I2C_Mem_Read_IT:0000000000000000 HAL_I2C_Mem_Read_IT - /tmp/ccRG9Oc0.s:4641 .text.HAL_I2C_Mem_Read_IT:00000000000000d8 $d - /tmp/ccRG9Oc0.s:4648 .text.HAL_I2C_Mem_Write_DMA:0000000000000000 $t - /tmp/ccRG9Oc0.s:4655 .text.HAL_I2C_Mem_Write_DMA:0000000000000000 HAL_I2C_Mem_Write_DMA - /tmp/ccRG9Oc0.s:4895 .text.HAL_I2C_Mem_Write_DMA:0000000000000158 $d - /tmp/ccRG9Oc0.s:4903 .text.HAL_I2C_Mem_Read_DMA:0000000000000000 $t - /tmp/ccRG9Oc0.s:4910 .text.HAL_I2C_Mem_Read_DMA:0000000000000000 HAL_I2C_Mem_Read_DMA - /tmp/ccRG9Oc0.s:5151 .text.HAL_I2C_Mem_Read_DMA:0000000000000158 $d - /tmp/ccRG9Oc0.s:5160 .text.HAL_I2C_IsDeviceReady:0000000000000000 $t - /tmp/ccRG9Oc0.s:5167 .text.HAL_I2C_IsDeviceReady:0000000000000000 HAL_I2C_IsDeviceReady - /tmp/ccRG9Oc0.s:5435 .text.HAL_I2C_Master_Seq_Transmit_IT:0000000000000000 $t - /tmp/ccRG9Oc0.s:5442 .text.HAL_I2C_Master_Seq_Transmit_IT:0000000000000000 HAL_I2C_Master_Seq_Transmit_IT - /tmp/ccRG9Oc0.s:5595 .text.HAL_I2C_Master_Seq_Transmit_IT:00000000000000ac $d - /tmp/ccRG9Oc0.s:5601 .text.HAL_I2C_Master_Seq_Transmit_DMA:0000000000000000 $t - /tmp/ccRG9Oc0.s:5608 .text.HAL_I2C_Master_Seq_Transmit_DMA:0000000000000000 HAL_I2C_Master_Seq_Transmit_DMA - /tmp/ccRG9Oc0.s:5865 .text.HAL_I2C_Master_Seq_Transmit_DMA:0000000000000158 $d - /tmp/ccRG9Oc0.s:5874 .text.HAL_I2C_Master_Seq_Receive_IT:0000000000000000 $t - /tmp/ccRG9Oc0.s:5881 .text.HAL_I2C_Master_Seq_Receive_IT:0000000000000000 HAL_I2C_Master_Seq_Receive_IT - /tmp/ccRG9Oc0.s:6034 .text.HAL_I2C_Master_Seq_Receive_IT:00000000000000ac $d - /tmp/ccRG9Oc0.s:6040 .text.HAL_I2C_Master_Seq_Receive_DMA:0000000000000000 $t - /tmp/ccRG9Oc0.s:6047 .text.HAL_I2C_Master_Seq_Receive_DMA:0000000000000000 HAL_I2C_Master_Seq_Receive_DMA - /tmp/ccRG9Oc0.s:6304 .text.HAL_I2C_Master_Seq_Receive_DMA:0000000000000158 $d - /tmp/ccRG9Oc0.s:6313 .text.HAL_I2C_Slave_Seq_Transmit_IT:0000000000000000 $t - /tmp/ccRG9Oc0.s:6320 .text.HAL_I2C_Slave_Seq_Transmit_IT:0000000000000000 HAL_I2C_Slave_Seq_Transmit_IT - /tmp/ccRG9Oc0.s:6474 .text.HAL_I2C_Slave_Seq_Transmit_IT:00000000000000cc $d - /tmp/ccRG9Oc0.s:10242 .text.I2C_DMAAbort:0000000000000000 I2C_DMAAbort - /tmp/ccRG9Oc0.s:6480 .text.HAL_I2C_Slave_Seq_Transmit_DMA:0000000000000000 $t - /tmp/ccRG9Oc0.s:6487 .text.HAL_I2C_Slave_Seq_Transmit_DMA:0000000000000000 HAL_I2C_Slave_Seq_Transmit_DMA - /tmp/ccRG9Oc0.s:6759 .text.HAL_I2C_Slave_Seq_Transmit_DMA:000000000000018c $d - /tmp/ccRG9Oc0.s:6767 .text.HAL_I2C_Slave_Seq_Receive_IT:0000000000000000 $t - /tmp/ccRG9Oc0.s:6774 .text.HAL_I2C_Slave_Seq_Receive_IT:0000000000000000 HAL_I2C_Slave_Seq_Receive_IT - /tmp/ccRG9Oc0.s:6928 .text.HAL_I2C_Slave_Seq_Receive_IT:00000000000000cc $d - /tmp/ccRG9Oc0.s:6934 .text.HAL_I2C_Slave_Seq_Receive_DMA:0000000000000000 $t - /tmp/ccRG9Oc0.s:6941 .text.HAL_I2C_Slave_Seq_Receive_DMA:0000000000000000 HAL_I2C_Slave_Seq_Receive_DMA - /tmp/ccRG9Oc0.s:7212 .text.HAL_I2C_Slave_Seq_Receive_DMA:0000000000000188 $d - /tmp/ccRG9Oc0.s:7220 .text.HAL_I2C_EnableListen_IT:0000000000000000 $t - /tmp/ccRG9Oc0.s:7227 .text.HAL_I2C_EnableListen_IT:0000000000000000 HAL_I2C_EnableListen_IT - /tmp/ccRG9Oc0.s:7267 .text.HAL_I2C_EnableListen_IT:0000000000000028 $d - /tmp/ccRG9Oc0.s:7272 .text.HAL_I2C_DisableListen_IT:0000000000000000 $t - /tmp/ccRG9Oc0.s:7279 .text.HAL_I2C_DisableListen_IT:0000000000000000 HAL_I2C_DisableListen_IT - /tmp/ccRG9Oc0.s:7332 .text.HAL_I2C_Master_Abort_IT:0000000000000000 $t - /tmp/ccRG9Oc0.s:7339 .text.HAL_I2C_Master_Abort_IT:0000000000000000 HAL_I2C_Master_Abort_IT - /tmp/ccRG9Oc0.s:7451 .text.HAL_I2C_Master_Abort_IT:0000000000000080 $d - /tmp/ccRG9Oc0.s:7456 .text.HAL_I2C_EV_IRQHandler:0000000000000000 $t - /tmp/ccRG9Oc0.s:7463 .text.HAL_I2C_EV_IRQHandler:0000000000000000 HAL_I2C_EV_IRQHandler - /tmp/ccRG9Oc0.s:7494 .text.HAL_I2C_MasterTxCpltCallback:0000000000000000 $t - /tmp/ccRG9Oc0.s:7501 .text.HAL_I2C_MasterTxCpltCallback:0000000000000000 HAL_I2C_MasterTxCpltCallback - /tmp/ccRG9Oc0.s:7515 .text.HAL_I2C_MasterRxCpltCallback:0000000000000000 $t - /tmp/ccRG9Oc0.s:7522 .text.HAL_I2C_MasterRxCpltCallback:0000000000000000 HAL_I2C_MasterRxCpltCallback - /tmp/ccRG9Oc0.s:7536 .text.I2C_ITMasterSeqCplt:0000000000000000 $t - /tmp/ccRG9Oc0.s:7542 .text.I2C_ITMasterSeqCplt:0000000000000000 I2C_ITMasterSeqCplt - /tmp/ccRG9Oc0.s:7612 .text.HAL_I2C_SlaveTxCpltCallback:0000000000000000 $t - /tmp/ccRG9Oc0.s:7619 .text.HAL_I2C_SlaveTxCpltCallback:0000000000000000 HAL_I2C_SlaveTxCpltCallback - /tmp/ccRG9Oc0.s:7633 .text.HAL_I2C_SlaveRxCpltCallback:0000000000000000 $t - /tmp/ccRG9Oc0.s:7640 .text.HAL_I2C_SlaveRxCpltCallback:0000000000000000 HAL_I2C_SlaveRxCpltCallback - /tmp/ccRG9Oc0.s:7654 .text.I2C_ITSlaveSeqCplt:0000000000000000 $t - /tmp/ccRG9Oc0.s:7660 .text.I2C_ITSlaveSeqCplt:0000000000000000 I2C_ITSlaveSeqCplt - /tmp/ccRG9Oc0.s:7761 .text.I2C_DMASlaveTransmitCplt:0000000000000000 $t - /tmp/ccRG9Oc0.s:7806 .text.I2C_DMASlaveReceiveCplt:0000000000000000 $t - /tmp/ccRG9Oc0.s:7853 .text.HAL_I2C_AddrCallback:0000000000000000 $t - /tmp/ccRG9Oc0.s:7860 .text.HAL_I2C_AddrCallback:0000000000000000 HAL_I2C_AddrCallback - /tmp/ccRG9Oc0.s:7874 .text.I2C_ITAddrCplt:0000000000000000 $t - /tmp/ccRG9Oc0.s:7880 .text.I2C_ITAddrCplt:0000000000000000 I2C_ITAddrCplt - /tmp/ccRG9Oc0.s:8002 .text.HAL_I2C_ListenCpltCallback:0000000000000000 $t - /tmp/ccRG9Oc0.s:8009 .text.HAL_I2C_ListenCpltCallback:0000000000000000 HAL_I2C_ListenCpltCallback - /tmp/ccRG9Oc0.s:8023 .text.I2C_ITListenCplt:0000000000000000 $t - /tmp/ccRG9Oc0.s:8029 .text.I2C_ITListenCplt:0000000000000000 I2C_ITListenCplt - /tmp/ccRG9Oc0.s:8106 .text.I2C_ITListenCplt:0000000000000064 $d - /tmp/ccRG9Oc0.s:8111 .text.HAL_I2C_MemTxCpltCallback:0000000000000000 $t - /tmp/ccRG9Oc0.s:8118 .text.HAL_I2C_MemTxCpltCallback:0000000000000000 HAL_I2C_MemTxCpltCallback - /tmp/ccRG9Oc0.s:8132 .text.HAL_I2C_MemRxCpltCallback:0000000000000000 $t - /tmp/ccRG9Oc0.s:8139 .text.HAL_I2C_MemRxCpltCallback:0000000000000000 HAL_I2C_MemRxCpltCallback - /tmp/ccRG9Oc0.s:8153 .text.HAL_I2C_ErrorCallback:0000000000000000 $t - /tmp/ccRG9Oc0.s:8160 .text.HAL_I2C_ErrorCallback:0000000000000000 HAL_I2C_ErrorCallback - /tmp/ccRG9Oc0.s:8174 .text.HAL_I2C_AbortCpltCallback:0000000000000000 $t - /tmp/ccRG9Oc0.s:8181 .text.HAL_I2C_AbortCpltCallback:0000000000000000 HAL_I2C_AbortCpltCallback - /tmp/ccRG9Oc0.s:8195 .text.I2C_TreatErrorCallback:0000000000000000 $t - /tmp/ccRG9Oc0.s:8201 .text.I2C_TreatErrorCallback:0000000000000000 I2C_TreatErrorCallback - /tmp/ccRG9Oc0.s:8246 .text.I2C_ITError:0000000000000000 $t - /tmp/ccRG9Oc0.s:8252 .text.I2C_ITError:0000000000000000 I2C_ITError - /tmp/ccRG9Oc0.s:8441 .text.I2C_ITError:00000000000000fc $d - /tmp/ccRG9Oc0.s:8448 .text.I2C_ITSlaveCplt:0000000000000000 $t - /tmp/ccRG9Oc0.s:8454 .text.I2C_ITSlaveCplt:0000000000000000 I2C_ITSlaveCplt - /tmp/ccRG9Oc0.s:8696 .text.I2C_ITSlaveCplt:0000000000000160 $d - /tmp/ccRG9Oc0.s:8701 .text.I2C_Slave_ISR_IT:0000000000000000 $t - /tmp/ccRG9Oc0.s:8941 .text.I2C_ITMasterCplt:0000000000000000 $t - /tmp/ccRG9Oc0.s:8947 .text.I2C_ITMasterCplt:0000000000000000 I2C_ITMasterCplt - /tmp/ccRG9Oc0.s:9158 .text.I2C_Master_ISR_IT:0000000000000000 $t - /tmp/ccRG9Oc0.s:9450 .text.I2C_Slave_ISR_DMA:0000000000000000 $t - /tmp/ccRG9Oc0.s:9688 .text.I2C_Master_ISR_DMA:0000000000000000 $t - /tmp/ccRG9Oc0.s:9936 .text.I2C_DMAError:0000000000000000 $t - /tmp/ccRG9Oc0.s:9971 .text.I2C_DMAMasterTransmitCplt:0000000000000000 $t - /tmp/ccRG9Oc0.s:10058 .text.I2C_DMAMasterReceiveCplt:0000000000000000 $t - /tmp/ccRG9Oc0.s:10145 .text.HAL_I2C_ER_IRQHandler:0000000000000000 $t - /tmp/ccRG9Oc0.s:10152 .text.HAL_I2C_ER_IRQHandler:0000000000000000 HAL_I2C_ER_IRQHandler - /tmp/ccRG9Oc0.s:10236 .text.I2C_DMAAbort:0000000000000000 $t - /tmp/ccRG9Oc0.s:10279 .text.HAL_I2C_GetState:0000000000000000 $t - /tmp/ccRG9Oc0.s:10286 .text.HAL_I2C_GetState:0000000000000000 HAL_I2C_GetState - /tmp/ccRG9Oc0.s:10303 .text.HAL_I2C_GetMode:0000000000000000 $t - /tmp/ccRG9Oc0.s:10310 .text.HAL_I2C_GetMode:0000000000000000 HAL_I2C_GetMode - /tmp/ccRG9Oc0.s:10327 .text.HAL_I2C_GetError:0000000000000000 $t - /tmp/ccRG9Oc0.s:10334 .text.HAL_I2C_GetError:0000000000000000 HAL_I2C_GetError + /tmp/ccKZwhcw.s:18 .text.I2C_Flush_TXDR:0000000000000000 $t + /tmp/ccKZwhcw.s:24 .text.I2C_Flush_TXDR:0000000000000000 I2C_Flush_TXDR + /tmp/ccKZwhcw.s:58 .text.I2C_TransferConfig:0000000000000000 $t + /tmp/ccKZwhcw.s:64 .text.I2C_TransferConfig:0000000000000000 I2C_TransferConfig + /tmp/ccKZwhcw.s:108 .text.I2C_Enable_IRQ:0000000000000000 $t + /tmp/ccKZwhcw.s:114 .text.I2C_Enable_IRQ:0000000000000000 I2C_Enable_IRQ + /tmp/ccKZwhcw.s:218 .text.I2C_Enable_IRQ:000000000000006c $d + /tmp/ccKZwhcw.s:9694 .text.I2C_Master_ISR_DMA:0000000000000000 I2C_Master_ISR_DMA + /tmp/ccKZwhcw.s:9456 .text.I2C_Slave_ISR_DMA:0000000000000000 I2C_Slave_ISR_DMA + /tmp/ccKZwhcw.s:224 .text.I2C_Disable_IRQ:0000000000000000 $t + /tmp/ccKZwhcw.s:230 .text.I2C_Disable_IRQ:0000000000000000 I2C_Disable_IRQ + /tmp/ccKZwhcw.s:387 .text.I2C_ConvertOtherXferOptions:0000000000000000 $t + /tmp/ccKZwhcw.s:393 .text.I2C_ConvertOtherXferOptions:0000000000000000 I2C_ConvertOtherXferOptions + /tmp/ccKZwhcw.s:427 .text.I2C_IsAcknowledgeFailed:0000000000000000 $t + /tmp/ccKZwhcw.s:433 .text.I2C_IsAcknowledgeFailed:0000000000000000 I2C_IsAcknowledgeFailed + /tmp/ccKZwhcw.s:537 .text.I2C_WaitOnTXISFlagUntilTimeout:0000000000000000 $t + /tmp/ccKZwhcw.s:543 .text.I2C_WaitOnTXISFlagUntilTimeout:0000000000000000 I2C_WaitOnTXISFlagUntilTimeout + /tmp/ccKZwhcw.s:616 .text.I2C_WaitOnFlagUntilTimeout:0000000000000000 $t + /tmp/ccKZwhcw.s:622 .text.I2C_WaitOnFlagUntilTimeout:0000000000000000 I2C_WaitOnFlagUntilTimeout + /tmp/ccKZwhcw.s:691 .text.I2C_RequestMemoryWrite:0000000000000000 $t + /tmp/ccKZwhcw.s:697 .text.I2C_RequestMemoryWrite:0000000000000000 I2C_RequestMemoryWrite + /tmp/ccKZwhcw.s:790 .text.I2C_RequestMemoryWrite:000000000000006c $d + /tmp/ccKZwhcw.s:795 .text.I2C_RequestMemoryRead:0000000000000000 $t + /tmp/ccKZwhcw.s:801 .text.I2C_RequestMemoryRead:0000000000000000 I2C_RequestMemoryRead + /tmp/ccKZwhcw.s:894 .text.I2C_RequestMemoryRead:000000000000006c $d + /tmp/ccKZwhcw.s:899 .text.I2C_WaitOnSTOPFlagUntilTimeout:0000000000000000 $t + /tmp/ccKZwhcw.s:905 .text.I2C_WaitOnSTOPFlagUntilTimeout:0000000000000000 I2C_WaitOnSTOPFlagUntilTimeout + /tmp/ccKZwhcw.s:975 .text.I2C_WaitOnRXNEFlagUntilTimeout:0000000000000000 $t + /tmp/ccKZwhcw.s:981 .text.I2C_WaitOnRXNEFlagUntilTimeout:0000000000000000 I2C_WaitOnRXNEFlagUntilTimeout + /tmp/ccKZwhcw.s:1090 .text.HAL_I2C_MspInit:0000000000000000 $t + /tmp/ccKZwhcw.s:1097 .text.HAL_I2C_MspInit:0000000000000000 HAL_I2C_MspInit + /tmp/ccKZwhcw.s:1111 .text.HAL_I2C_Init:0000000000000000 $t + /tmp/ccKZwhcw.s:1118 .text.HAL_I2C_Init:0000000000000000 HAL_I2C_Init + /tmp/ccKZwhcw.s:1250 .text.HAL_I2C_MspDeInit:0000000000000000 $t + /tmp/ccKZwhcw.s:1257 .text.HAL_I2C_MspDeInit:0000000000000000 HAL_I2C_MspDeInit + /tmp/ccKZwhcw.s:1271 .text.HAL_I2C_DeInit:0000000000000000 $t + /tmp/ccKZwhcw.s:1278 .text.HAL_I2C_DeInit:0000000000000000 HAL_I2C_DeInit + /tmp/ccKZwhcw.s:1331 .text.HAL_I2C_Master_Transmit:0000000000000000 $t + /tmp/ccKZwhcw.s:1338 .text.HAL_I2C_Master_Transmit:0000000000000000 HAL_I2C_Master_Transmit + /tmp/ccKZwhcw.s:1587 .text.HAL_I2C_Master_Transmit:0000000000000178 $d + /tmp/ccKZwhcw.s:1592 .text.HAL_I2C_Master_Receive:0000000000000000 $t + /tmp/ccKZwhcw.s:1599 .text.HAL_I2C_Master_Receive:0000000000000000 HAL_I2C_Master_Receive + /tmp/ccKZwhcw.s:1848 .text.HAL_I2C_Master_Receive:0000000000000178 $d + /tmp/ccKZwhcw.s:1853 .text.HAL_I2C_Slave_Transmit:0000000000000000 $t + /tmp/ccKZwhcw.s:1860 .text.HAL_I2C_Slave_Transmit:0000000000000000 HAL_I2C_Slave_Transmit + /tmp/ccKZwhcw.s:2131 .text.HAL_I2C_Slave_Receive:0000000000000000 $t + /tmp/ccKZwhcw.s:2138 .text.HAL_I2C_Slave_Receive:0000000000000000 HAL_I2C_Slave_Receive + /tmp/ccKZwhcw.s:2388 .text.HAL_I2C_Master_Transmit_IT:0000000000000000 $t + /tmp/ccKZwhcw.s:2395 .text.HAL_I2C_Master_Transmit_IT:0000000000000000 HAL_I2C_Master_Transmit_IT + /tmp/ccKZwhcw.s:2520 .text.HAL_I2C_Master_Transmit_IT:000000000000008c $d + /tmp/ccKZwhcw.s:9164 .text.I2C_Master_ISR_IT:0000000000000000 I2C_Master_ISR_IT + /tmp/ccKZwhcw.s:2527 .text.HAL_I2C_Master_Receive_IT:0000000000000000 $t + /tmp/ccKZwhcw.s:2534 .text.HAL_I2C_Master_Receive_IT:0000000000000000 HAL_I2C_Master_Receive_IT + /tmp/ccKZwhcw.s:2659 .text.HAL_I2C_Master_Receive_IT:000000000000008c $d + /tmp/ccKZwhcw.s:2666 .text.HAL_I2C_Slave_Transmit_IT:0000000000000000 $t + /tmp/ccKZwhcw.s:2673 .text.HAL_I2C_Slave_Transmit_IT:0000000000000000 HAL_I2C_Slave_Transmit_IT + /tmp/ccKZwhcw.s:2752 .text.HAL_I2C_Slave_Transmit_IT:000000000000005c $d + /tmp/ccKZwhcw.s:8707 .text.I2C_Slave_ISR_IT:0000000000000000 I2C_Slave_ISR_IT + /tmp/ccKZwhcw.s:2758 .text.HAL_I2C_Slave_Receive_IT:0000000000000000 $t + /tmp/ccKZwhcw.s:2765 .text.HAL_I2C_Slave_Receive_IT:0000000000000000 HAL_I2C_Slave_Receive_IT + /tmp/ccKZwhcw.s:2844 .text.HAL_I2C_Slave_Receive_IT:000000000000005c $d + /tmp/ccKZwhcw.s:2850 .text.HAL_I2C_Master_Transmit_DMA:0000000000000000 $t + /tmp/ccKZwhcw.s:2857 .text.HAL_I2C_Master_Transmit_DMA:0000000000000000 HAL_I2C_Master_Transmit_DMA + /tmp/ccKZwhcw.s:3087 .text.HAL_I2C_Master_Transmit_DMA:0000000000000138 $d + /tmp/ccKZwhcw.s:9977 .text.I2C_DMAMasterTransmitCplt:0000000000000000 I2C_DMAMasterTransmitCplt + /tmp/ccKZwhcw.s:9942 .text.I2C_DMAError:0000000000000000 I2C_DMAError + /tmp/ccKZwhcw.s:3097 .text.HAL_I2C_Master_Receive_DMA:0000000000000000 $t + /tmp/ccKZwhcw.s:3104 .text.HAL_I2C_Master_Receive_DMA:0000000000000000 HAL_I2C_Master_Receive_DMA + /tmp/ccKZwhcw.s:3330 .text.HAL_I2C_Master_Receive_DMA:0000000000000138 $d + /tmp/ccKZwhcw.s:10064 .text.I2C_DMAMasterReceiveCplt:0000000000000000 I2C_DMAMasterReceiveCplt + /tmp/ccKZwhcw.s:3340 .text.HAL_I2C_Slave_Transmit_DMA:0000000000000000 $t + /tmp/ccKZwhcw.s:3347 .text.HAL_I2C_Slave_Transmit_DMA:0000000000000000 HAL_I2C_Slave_Transmit_DMA + /tmp/ccKZwhcw.s:3510 .text.HAL_I2C_Slave_Transmit_DMA:00000000000000e0 $d + /tmp/ccKZwhcw.s:7767 .text.I2C_DMASlaveTransmitCplt:0000000000000000 I2C_DMASlaveTransmitCplt + /tmp/ccKZwhcw.s:3518 .text.HAL_I2C_Slave_Receive_DMA:0000000000000000 $t + /tmp/ccKZwhcw.s:3525 .text.HAL_I2C_Slave_Receive_DMA:0000000000000000 HAL_I2C_Slave_Receive_DMA + /tmp/ccKZwhcw.s:3690 .text.HAL_I2C_Slave_Receive_DMA:00000000000000e0 $d + /tmp/ccKZwhcw.s:7812 .text.I2C_DMASlaveReceiveCplt:0000000000000000 I2C_DMASlaveReceiveCplt + /tmp/ccKZwhcw.s:3698 .text.HAL_I2C_Mem_Write:0000000000000000 $t + /tmp/ccKZwhcw.s:3705 .text.HAL_I2C_Mem_Write:0000000000000000 HAL_I2C_Mem_Write + /tmp/ccKZwhcw.s:3990 .text.HAL_I2C_Mem_Read:0000000000000000 $t + /tmp/ccKZwhcw.s:3997 .text.HAL_I2C_Mem_Read:0000000000000000 HAL_I2C_Mem_Read + /tmp/ccKZwhcw.s:4283 .text.HAL_I2C_Mem_Read:00000000000001a8 $d + /tmp/ccKZwhcw.s:4288 .text.HAL_I2C_Mem_Write_IT:0000000000000000 $t + /tmp/ccKZwhcw.s:4295 .text.HAL_I2C_Mem_Write_IT:0000000000000000 HAL_I2C_Mem_Write_IT + /tmp/ccKZwhcw.s:4461 .text.HAL_I2C_Mem_Write_IT:00000000000000dc $d + /tmp/ccKZwhcw.s:4467 .text.HAL_I2C_Mem_Read_IT:0000000000000000 $t + /tmp/ccKZwhcw.s:4474 .text.HAL_I2C_Mem_Read_IT:0000000000000000 HAL_I2C_Mem_Read_IT + /tmp/ccKZwhcw.s:4641 .text.HAL_I2C_Mem_Read_IT:00000000000000d8 $d + /tmp/ccKZwhcw.s:4648 .text.HAL_I2C_Mem_Write_DMA:0000000000000000 $t + /tmp/ccKZwhcw.s:4655 .text.HAL_I2C_Mem_Write_DMA:0000000000000000 HAL_I2C_Mem_Write_DMA + /tmp/ccKZwhcw.s:4895 .text.HAL_I2C_Mem_Write_DMA:0000000000000158 $d + /tmp/ccKZwhcw.s:4903 .text.HAL_I2C_Mem_Read_DMA:0000000000000000 $t + /tmp/ccKZwhcw.s:4910 .text.HAL_I2C_Mem_Read_DMA:0000000000000000 HAL_I2C_Mem_Read_DMA + /tmp/ccKZwhcw.s:5151 .text.HAL_I2C_Mem_Read_DMA:0000000000000158 $d + /tmp/ccKZwhcw.s:5160 .text.HAL_I2C_IsDeviceReady:0000000000000000 $t + /tmp/ccKZwhcw.s:5167 .text.HAL_I2C_IsDeviceReady:0000000000000000 HAL_I2C_IsDeviceReady + /tmp/ccKZwhcw.s:5435 .text.HAL_I2C_Master_Seq_Transmit_IT:0000000000000000 $t + /tmp/ccKZwhcw.s:5442 .text.HAL_I2C_Master_Seq_Transmit_IT:0000000000000000 HAL_I2C_Master_Seq_Transmit_IT + /tmp/ccKZwhcw.s:5595 .text.HAL_I2C_Master_Seq_Transmit_IT:00000000000000ac $d + /tmp/ccKZwhcw.s:5601 .text.HAL_I2C_Master_Seq_Transmit_DMA:0000000000000000 $t + /tmp/ccKZwhcw.s:5608 .text.HAL_I2C_Master_Seq_Transmit_DMA:0000000000000000 HAL_I2C_Master_Seq_Transmit_DMA + /tmp/ccKZwhcw.s:5865 .text.HAL_I2C_Master_Seq_Transmit_DMA:0000000000000158 $d + /tmp/ccKZwhcw.s:5874 .text.HAL_I2C_Master_Seq_Receive_IT:0000000000000000 $t + /tmp/ccKZwhcw.s:5881 .text.HAL_I2C_Master_Seq_Receive_IT:0000000000000000 HAL_I2C_Master_Seq_Receive_IT + /tmp/ccKZwhcw.s:6034 .text.HAL_I2C_Master_Seq_Receive_IT:00000000000000ac $d + /tmp/ccKZwhcw.s:6040 .text.HAL_I2C_Master_Seq_Receive_DMA:0000000000000000 $t + /tmp/ccKZwhcw.s:6047 .text.HAL_I2C_Master_Seq_Receive_DMA:0000000000000000 HAL_I2C_Master_Seq_Receive_DMA + /tmp/ccKZwhcw.s:6304 .text.HAL_I2C_Master_Seq_Receive_DMA:0000000000000158 $d + /tmp/ccKZwhcw.s:6313 .text.HAL_I2C_Slave_Seq_Transmit_IT:0000000000000000 $t + /tmp/ccKZwhcw.s:6320 .text.HAL_I2C_Slave_Seq_Transmit_IT:0000000000000000 HAL_I2C_Slave_Seq_Transmit_IT + /tmp/ccKZwhcw.s:6474 .text.HAL_I2C_Slave_Seq_Transmit_IT:00000000000000cc $d + /tmp/ccKZwhcw.s:10242 .text.I2C_DMAAbort:0000000000000000 I2C_DMAAbort + /tmp/ccKZwhcw.s:6480 .text.HAL_I2C_Slave_Seq_Transmit_DMA:0000000000000000 $t + /tmp/ccKZwhcw.s:6487 .text.HAL_I2C_Slave_Seq_Transmit_DMA:0000000000000000 HAL_I2C_Slave_Seq_Transmit_DMA + /tmp/ccKZwhcw.s:6759 .text.HAL_I2C_Slave_Seq_Transmit_DMA:000000000000018c $d + /tmp/ccKZwhcw.s:6767 .text.HAL_I2C_Slave_Seq_Receive_IT:0000000000000000 $t + /tmp/ccKZwhcw.s:6774 .text.HAL_I2C_Slave_Seq_Receive_IT:0000000000000000 HAL_I2C_Slave_Seq_Receive_IT + /tmp/ccKZwhcw.s:6928 .text.HAL_I2C_Slave_Seq_Receive_IT:00000000000000cc $d + /tmp/ccKZwhcw.s:6934 .text.HAL_I2C_Slave_Seq_Receive_DMA:0000000000000000 $t + /tmp/ccKZwhcw.s:6941 .text.HAL_I2C_Slave_Seq_Receive_DMA:0000000000000000 HAL_I2C_Slave_Seq_Receive_DMA + /tmp/ccKZwhcw.s:7212 .text.HAL_I2C_Slave_Seq_Receive_DMA:0000000000000188 $d + /tmp/ccKZwhcw.s:7220 .text.HAL_I2C_EnableListen_IT:0000000000000000 $t + /tmp/ccKZwhcw.s:7227 .text.HAL_I2C_EnableListen_IT:0000000000000000 HAL_I2C_EnableListen_IT + /tmp/ccKZwhcw.s:7267 .text.HAL_I2C_EnableListen_IT:0000000000000028 $d + /tmp/ccKZwhcw.s:7272 .text.HAL_I2C_DisableListen_IT:0000000000000000 $t + /tmp/ccKZwhcw.s:7279 .text.HAL_I2C_DisableListen_IT:0000000000000000 HAL_I2C_DisableListen_IT + /tmp/ccKZwhcw.s:7332 .text.HAL_I2C_Master_Abort_IT:0000000000000000 $t + /tmp/ccKZwhcw.s:7339 .text.HAL_I2C_Master_Abort_IT:0000000000000000 HAL_I2C_Master_Abort_IT + /tmp/ccKZwhcw.s:7451 .text.HAL_I2C_Master_Abort_IT:0000000000000080 $d + /tmp/ccKZwhcw.s:7456 .text.HAL_I2C_EV_IRQHandler:0000000000000000 $t + /tmp/ccKZwhcw.s:7463 .text.HAL_I2C_EV_IRQHandler:0000000000000000 HAL_I2C_EV_IRQHandler + /tmp/ccKZwhcw.s:7494 .text.HAL_I2C_MasterTxCpltCallback:0000000000000000 $t + /tmp/ccKZwhcw.s:7501 .text.HAL_I2C_MasterTxCpltCallback:0000000000000000 HAL_I2C_MasterTxCpltCallback + /tmp/ccKZwhcw.s:7515 .text.HAL_I2C_MasterRxCpltCallback:0000000000000000 $t + /tmp/ccKZwhcw.s:7522 .text.HAL_I2C_MasterRxCpltCallback:0000000000000000 HAL_I2C_MasterRxCpltCallback + /tmp/ccKZwhcw.s:7536 .text.I2C_ITMasterSeqCplt:0000000000000000 $t + /tmp/ccKZwhcw.s:7542 .text.I2C_ITMasterSeqCplt:0000000000000000 I2C_ITMasterSeqCplt + /tmp/ccKZwhcw.s:7612 .text.HAL_I2C_SlaveTxCpltCallback:0000000000000000 $t + /tmp/ccKZwhcw.s:7619 .text.HAL_I2C_SlaveTxCpltCallback:0000000000000000 HAL_I2C_SlaveTxCpltCallback + /tmp/ccKZwhcw.s:7633 .text.HAL_I2C_SlaveRxCpltCallback:0000000000000000 $t + /tmp/ccKZwhcw.s:7640 .text.HAL_I2C_SlaveRxCpltCallback:0000000000000000 HAL_I2C_SlaveRxCpltCallback + /tmp/ccKZwhcw.s:7654 .text.I2C_ITSlaveSeqCplt:0000000000000000 $t + /tmp/ccKZwhcw.s:7660 .text.I2C_ITSlaveSeqCplt:0000000000000000 I2C_ITSlaveSeqCplt + /tmp/ccKZwhcw.s:7761 .text.I2C_DMASlaveTransmitCplt:0000000000000000 $t + /tmp/ccKZwhcw.s:7806 .text.I2C_DMASlaveReceiveCplt:0000000000000000 $t + /tmp/ccKZwhcw.s:7853 .text.HAL_I2C_AddrCallback:0000000000000000 $t + /tmp/ccKZwhcw.s:7860 .text.HAL_I2C_AddrCallback:0000000000000000 HAL_I2C_AddrCallback + /tmp/ccKZwhcw.s:7874 .text.I2C_ITAddrCplt:0000000000000000 $t + /tmp/ccKZwhcw.s:7880 .text.I2C_ITAddrCplt:0000000000000000 I2C_ITAddrCplt + /tmp/ccKZwhcw.s:8002 .text.HAL_I2C_ListenCpltCallback:0000000000000000 $t + /tmp/ccKZwhcw.s:8009 .text.HAL_I2C_ListenCpltCallback:0000000000000000 HAL_I2C_ListenCpltCallback + /tmp/ccKZwhcw.s:8023 .text.I2C_ITListenCplt:0000000000000000 $t + /tmp/ccKZwhcw.s:8029 .text.I2C_ITListenCplt:0000000000000000 I2C_ITListenCplt + /tmp/ccKZwhcw.s:8106 .text.I2C_ITListenCplt:0000000000000064 $d + /tmp/ccKZwhcw.s:8111 .text.HAL_I2C_MemTxCpltCallback:0000000000000000 $t + /tmp/ccKZwhcw.s:8118 .text.HAL_I2C_MemTxCpltCallback:0000000000000000 HAL_I2C_MemTxCpltCallback + /tmp/ccKZwhcw.s:8132 .text.HAL_I2C_MemRxCpltCallback:0000000000000000 $t + /tmp/ccKZwhcw.s:8139 .text.HAL_I2C_MemRxCpltCallback:0000000000000000 HAL_I2C_MemRxCpltCallback + /tmp/ccKZwhcw.s:8153 .text.HAL_I2C_ErrorCallback:0000000000000000 $t + /tmp/ccKZwhcw.s:8160 .text.HAL_I2C_ErrorCallback:0000000000000000 HAL_I2C_ErrorCallback + /tmp/ccKZwhcw.s:8174 .text.HAL_I2C_AbortCpltCallback:0000000000000000 $t + /tmp/ccKZwhcw.s:8181 .text.HAL_I2C_AbortCpltCallback:0000000000000000 HAL_I2C_AbortCpltCallback + /tmp/ccKZwhcw.s:8195 .text.I2C_TreatErrorCallback:0000000000000000 $t + /tmp/ccKZwhcw.s:8201 .text.I2C_TreatErrorCallback:0000000000000000 I2C_TreatErrorCallback + /tmp/ccKZwhcw.s:8246 .text.I2C_ITError:0000000000000000 $t + /tmp/ccKZwhcw.s:8252 .text.I2C_ITError:0000000000000000 I2C_ITError + /tmp/ccKZwhcw.s:8441 .text.I2C_ITError:00000000000000fc $d + /tmp/ccKZwhcw.s:8448 .text.I2C_ITSlaveCplt:0000000000000000 $t + /tmp/ccKZwhcw.s:8454 .text.I2C_ITSlaveCplt:0000000000000000 I2C_ITSlaveCplt + /tmp/ccKZwhcw.s:8696 .text.I2C_ITSlaveCplt:0000000000000160 $d + /tmp/ccKZwhcw.s:8701 .text.I2C_Slave_ISR_IT:0000000000000000 $t + /tmp/ccKZwhcw.s:8941 .text.I2C_ITMasterCplt:0000000000000000 $t + /tmp/ccKZwhcw.s:8947 .text.I2C_ITMasterCplt:0000000000000000 I2C_ITMasterCplt + /tmp/ccKZwhcw.s:9158 .text.I2C_Master_ISR_IT:0000000000000000 $t + /tmp/ccKZwhcw.s:9450 .text.I2C_Slave_ISR_DMA:0000000000000000 $t + /tmp/ccKZwhcw.s:9688 .text.I2C_Master_ISR_DMA:0000000000000000 $t + /tmp/ccKZwhcw.s:9936 .text.I2C_DMAError:0000000000000000 $t + /tmp/ccKZwhcw.s:9971 .text.I2C_DMAMasterTransmitCplt:0000000000000000 $t + /tmp/ccKZwhcw.s:10058 .text.I2C_DMAMasterReceiveCplt:0000000000000000 $t + /tmp/ccKZwhcw.s:10145 .text.HAL_I2C_ER_IRQHandler:0000000000000000 $t + /tmp/ccKZwhcw.s:10152 .text.HAL_I2C_ER_IRQHandler:0000000000000000 HAL_I2C_ER_IRQHandler + /tmp/ccKZwhcw.s:10236 .text.I2C_DMAAbort:0000000000000000 $t + /tmp/ccKZwhcw.s:10279 .text.HAL_I2C_GetState:0000000000000000 $t + /tmp/ccKZwhcw.s:10286 .text.HAL_I2C_GetState:0000000000000000 HAL_I2C_GetState + /tmp/ccKZwhcw.s:10303 .text.HAL_I2C_GetMode:0000000000000000 $t + /tmp/ccKZwhcw.s:10310 .text.HAL_I2C_GetMode:0000000000000000 HAL_I2C_GetMode + /tmp/ccKZwhcw.s:10327 .text.HAL_I2C_GetError:0000000000000000 $t + /tmp/ccKZwhcw.s:10334 .text.HAL_I2C_GetError:0000000000000000 HAL_I2C_GetError .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_i2c_ex.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_i2c_ex.o.lst index daa2da1..dd02b5e 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_i2c_ex.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_i2c_ex.o.lst @@ -737,20 +737,20 @@ 425 .file 10 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_i2c_ex.c - /tmp/ccfQeOQC.s:18 .text.HAL_I2CEx_ConfigAnalogFilter:0000000000000000 $t - /tmp/ccfQeOQC.s:25 .text.HAL_I2CEx_ConfigAnalogFilter:0000000000000000 HAL_I2CEx_ConfigAnalogFilter - /tmp/ccfQeOQC.s:97 .text.HAL_I2CEx_ConfigDigitalFilter:0000000000000000 $t - /tmp/ccfQeOQC.s:104 .text.HAL_I2CEx_ConfigDigitalFilter:0000000000000000 HAL_I2CEx_ConfigDigitalFilter - /tmp/ccfQeOQC.s:176 .text.HAL_I2CEx_EnableWakeUp:0000000000000000 $t - /tmp/ccfQeOQC.s:183 .text.HAL_I2CEx_EnableWakeUp:0000000000000000 HAL_I2CEx_EnableWakeUp - /tmp/ccfQeOQC.s:248 .text.HAL_I2CEx_DisableWakeUp:0000000000000000 $t - /tmp/ccfQeOQC.s:255 .text.HAL_I2CEx_DisableWakeUp:0000000000000000 HAL_I2CEx_DisableWakeUp - /tmp/ccfQeOQC.s:320 .text.HAL_I2CEx_EnableFastModePlus:0000000000000000 $t - /tmp/ccfQeOQC.s:327 .text.HAL_I2CEx_EnableFastModePlus:0000000000000000 HAL_I2CEx_EnableFastModePlus - /tmp/ccfQeOQC.s:362 .text.HAL_I2CEx_EnableFastModePlus:0000000000000024 $d - /tmp/ccfQeOQC.s:368 .text.HAL_I2CEx_DisableFastModePlus:0000000000000000 $t - /tmp/ccfQeOQC.s:375 .text.HAL_I2CEx_DisableFastModePlus:0000000000000000 HAL_I2CEx_DisableFastModePlus - /tmp/ccfQeOQC.s:410 .text.HAL_I2CEx_DisableFastModePlus:0000000000000024 $d + /tmp/ccfCfvy5.s:18 .text.HAL_I2CEx_ConfigAnalogFilter:0000000000000000 $t + /tmp/ccfCfvy5.s:25 .text.HAL_I2CEx_ConfigAnalogFilter:0000000000000000 HAL_I2CEx_ConfigAnalogFilter + /tmp/ccfCfvy5.s:97 .text.HAL_I2CEx_ConfigDigitalFilter:0000000000000000 $t + /tmp/ccfCfvy5.s:104 .text.HAL_I2CEx_ConfigDigitalFilter:0000000000000000 HAL_I2CEx_ConfigDigitalFilter + /tmp/ccfCfvy5.s:176 .text.HAL_I2CEx_EnableWakeUp:0000000000000000 $t + /tmp/ccfCfvy5.s:183 .text.HAL_I2CEx_EnableWakeUp:0000000000000000 HAL_I2CEx_EnableWakeUp + /tmp/ccfCfvy5.s:248 .text.HAL_I2CEx_DisableWakeUp:0000000000000000 $t + /tmp/ccfCfvy5.s:255 .text.HAL_I2CEx_DisableWakeUp:0000000000000000 HAL_I2CEx_DisableWakeUp + /tmp/ccfCfvy5.s:320 .text.HAL_I2CEx_EnableFastModePlus:0000000000000000 $t + /tmp/ccfCfvy5.s:327 .text.HAL_I2CEx_EnableFastModePlus:0000000000000000 HAL_I2CEx_EnableFastModePlus + /tmp/ccfCfvy5.s:362 .text.HAL_I2CEx_EnableFastModePlus:0000000000000024 $d + /tmp/ccfCfvy5.s:368 .text.HAL_I2CEx_DisableFastModePlus:0000000000000000 $t + /tmp/ccfCfvy5.s:375 .text.HAL_I2CEx_DisableFastModePlus:0000000000000000 HAL_I2CEx_DisableFastModePlus + /tmp/ccfCfvy5.s:410 .text.HAL_I2CEx_DisableFastModePlus:0000000000000024 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_iwdg.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_iwdg.o.lst index aa1a786..cc21054 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_iwdg.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_iwdg.o.lst @@ -412,10 +412,10 @@ 150 .file 9 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_iwdg.c - /tmp/cc0OIlDR.s:18 .text.HAL_IWDG_Init:0000000000000000 $t - /tmp/cc0OIlDR.s:25 .text.HAL_IWDG_Init:0000000000000000 HAL_IWDG_Init - /tmp/cc0OIlDR.s:115 .text.HAL_IWDG_Refresh:0000000000000000 $t - /tmp/cc0OIlDR.s:122 .text.HAL_IWDG_Refresh:0000000000000000 HAL_IWDG_Refresh + /tmp/ccd7Cp9i.s:18 .text.HAL_IWDG_Init:0000000000000000 $t + /tmp/ccd7Cp9i.s:25 .text.HAL_IWDG_Init:0000000000000000 HAL_IWDG_Init + /tmp/ccd7Cp9i.s:115 .text.HAL_IWDG_Refresh:0000000000000000 $t + /tmp/ccd7Cp9i.s:122 .text.HAL_IWDG_Refresh:0000000000000000 HAL_IWDG_Refresh .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_msp_template.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_msp_template.o.lst index a666c43..9aaaaad 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_msp_template.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_msp_template.o.lst @@ -188,14 +188,14 @@ 105 .file 7 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_msp_template.c - /tmp/cchRutK1.s:18 .text.HAL_MspInit:0000000000000000 $t - /tmp/cchRutK1.s:25 .text.HAL_MspInit:0000000000000000 HAL_MspInit - /tmp/cchRutK1.s:39 .text.HAL_MspDeInit:0000000000000000 $t - /tmp/cchRutK1.s:46 .text.HAL_MspDeInit:0000000000000000 HAL_MspDeInit - /tmp/cchRutK1.s:59 .text.HAL_PPP_MspInit:0000000000000000 $t - /tmp/cchRutK1.s:66 .text.HAL_PPP_MspInit:0000000000000000 HAL_PPP_MspInit - /tmp/cchRutK1.s:79 .text.HAL_PPP_MspDeInit:0000000000000000 $t - /tmp/cchRutK1.s:86 .text.HAL_PPP_MspDeInit:0000000000000000 HAL_PPP_MspDeInit + /tmp/ccHJ3JIC.s:18 .text.HAL_MspInit:0000000000000000 $t + /tmp/ccHJ3JIC.s:25 .text.HAL_MspInit:0000000000000000 HAL_MspInit + /tmp/ccHJ3JIC.s:39 .text.HAL_MspDeInit:0000000000000000 $t + /tmp/ccHJ3JIC.s:46 .text.HAL_MspDeInit:0000000000000000 HAL_MspDeInit + /tmp/ccHJ3JIC.s:59 .text.HAL_PPP_MspInit:0000000000000000 $t + /tmp/ccHJ3JIC.s:66 .text.HAL_PPP_MspInit:0000000000000000 HAL_PPP_MspInit + /tmp/ccHJ3JIC.s:79 .text.HAL_PPP_MspDeInit:0000000000000000 $t + /tmp/ccHJ3JIC.s:86 .text.HAL_PPP_MspDeInit:0000000000000000 HAL_PPP_MspDeInit .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_pwr.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_pwr.o.lst index f272a2f..7b4f506 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_pwr.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_pwr.o.lst @@ -1265,52 +1265,52 @@ 650 .file 11 "../system/include/stm32l4-hal-driver/stm32l4xx_hal_pwr_ex.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_pwr.c - /tmp/ccH5oKP4.s:18 .text.HAL_PWR_DeInit:0000000000000000 $t - /tmp/ccH5oKP4.s:25 .text.HAL_PWR_DeInit:0000000000000000 HAL_PWR_DeInit - /tmp/ccH5oKP4.s:47 .text.HAL_PWR_DeInit:0000000000000014 $d - /tmp/ccH5oKP4.s:52 .text.HAL_PWR_EnableBkUpAccess:0000000000000000 $t - /tmp/ccH5oKP4.s:59 .text.HAL_PWR_EnableBkUpAccess:0000000000000000 HAL_PWR_EnableBkUpAccess - /tmp/ccH5oKP4.s:76 .text.HAL_PWR_EnableBkUpAccess:000000000000000c $d - /tmp/ccH5oKP4.s:81 .text.HAL_PWR_DisableBkUpAccess:0000000000000000 $t - /tmp/ccH5oKP4.s:88 .text.HAL_PWR_DisableBkUpAccess:0000000000000000 HAL_PWR_DisableBkUpAccess - /tmp/ccH5oKP4.s:105 .text.HAL_PWR_DisableBkUpAccess:000000000000000c $d - /tmp/ccH5oKP4.s:110 .text.HAL_PWR_ConfigPVD:0000000000000000 $t - /tmp/ccH5oKP4.s:117 .text.HAL_PWR_ConfigPVD:0000000000000000 HAL_PWR_ConfigPVD - /tmp/ccH5oKP4.s:196 .text.HAL_PWR_ConfigPVD:000000000000007c $d - /tmp/ccH5oKP4.s:202 .text.HAL_PWR_EnablePVD:0000000000000000 $t - /tmp/ccH5oKP4.s:209 .text.HAL_PWR_EnablePVD:0000000000000000 HAL_PWR_EnablePVD - /tmp/ccH5oKP4.s:226 .text.HAL_PWR_EnablePVD:000000000000000c $d - /tmp/ccH5oKP4.s:231 .text.HAL_PWR_DisablePVD:0000000000000000 $t - /tmp/ccH5oKP4.s:238 .text.HAL_PWR_DisablePVD:0000000000000000 HAL_PWR_DisablePVD - /tmp/ccH5oKP4.s:255 .text.HAL_PWR_DisablePVD:000000000000000c $d - /tmp/ccH5oKP4.s:260 .text.HAL_PWR_EnableWakeUpPin:0000000000000000 $t - /tmp/ccH5oKP4.s:267 .text.HAL_PWR_EnableWakeUpPin:0000000000000000 HAL_PWR_EnableWakeUpPin - /tmp/ccH5oKP4.s:292 .text.HAL_PWR_EnableWakeUpPin:000000000000001c $d - /tmp/ccH5oKP4.s:297 .text.HAL_PWR_DisableWakeUpPin:0000000000000000 $t - /tmp/ccH5oKP4.s:304 .text.HAL_PWR_DisableWakeUpPin:0000000000000000 HAL_PWR_DisableWakeUpPin - /tmp/ccH5oKP4.s:324 .text.HAL_PWR_DisableWakeUpPin:0000000000000010 $d - /tmp/ccH5oKP4.s:329 .text.HAL_PWR_EnterSLEEPMode:0000000000000000 $t - /tmp/ccH5oKP4.s:336 .text.HAL_PWR_EnterSLEEPMode:0000000000000000 HAL_PWR_EnterSLEEPMode - /tmp/ccH5oKP4.s:414 .text.HAL_PWR_EnterSLEEPMode:0000000000000044 $d - /tmp/ccH5oKP4.s:420 .text.HAL_PWR_EnterSTOPMode:0000000000000000 $t - /tmp/ccH5oKP4.s:427 .text.HAL_PWR_EnterSTOPMode:0000000000000000 HAL_PWR_EnterSTOPMode - /tmp/ccH5oKP4.s:461 .text.HAL_PWR_EnterSTANDBYMode:0000000000000000 $t - /tmp/ccH5oKP4.s:468 .text.HAL_PWR_EnterSTANDBYMode:0000000000000000 HAL_PWR_EnterSTANDBYMode - /tmp/ccH5oKP4.s:498 .text.HAL_PWR_EnterSTANDBYMode:000000000000001c $d - /tmp/ccH5oKP4.s:504 .text.HAL_PWR_EnableSleepOnExit:0000000000000000 $t - /tmp/ccH5oKP4.s:511 .text.HAL_PWR_EnableSleepOnExit:0000000000000000 HAL_PWR_EnableSleepOnExit - /tmp/ccH5oKP4.s:528 .text.HAL_PWR_EnableSleepOnExit:000000000000000c $d - /tmp/ccH5oKP4.s:533 .text.HAL_PWR_DisableSleepOnExit:0000000000000000 $t - /tmp/ccH5oKP4.s:540 .text.HAL_PWR_DisableSleepOnExit:0000000000000000 HAL_PWR_DisableSleepOnExit - /tmp/ccH5oKP4.s:557 .text.HAL_PWR_DisableSleepOnExit:000000000000000c $d - /tmp/ccH5oKP4.s:562 .text.HAL_PWR_EnableSEVOnPend:0000000000000000 $t - /tmp/ccH5oKP4.s:569 .text.HAL_PWR_EnableSEVOnPend:0000000000000000 HAL_PWR_EnableSEVOnPend - /tmp/ccH5oKP4.s:586 .text.HAL_PWR_EnableSEVOnPend:000000000000000c $d - /tmp/ccH5oKP4.s:591 .text.HAL_PWR_DisableSEVOnPend:0000000000000000 $t - /tmp/ccH5oKP4.s:598 .text.HAL_PWR_DisableSEVOnPend:0000000000000000 HAL_PWR_DisableSEVOnPend - /tmp/ccH5oKP4.s:615 .text.HAL_PWR_DisableSEVOnPend:000000000000000c $d - /tmp/ccH5oKP4.s:620 .text.HAL_PWR_PVDCallback:0000000000000000 $t - /tmp/ccH5oKP4.s:627 .text.HAL_PWR_PVDCallback:0000000000000000 HAL_PWR_PVDCallback + /tmp/ccSu33gH.s:18 .text.HAL_PWR_DeInit:0000000000000000 $t + /tmp/ccSu33gH.s:25 .text.HAL_PWR_DeInit:0000000000000000 HAL_PWR_DeInit + /tmp/ccSu33gH.s:47 .text.HAL_PWR_DeInit:0000000000000014 $d + /tmp/ccSu33gH.s:52 .text.HAL_PWR_EnableBkUpAccess:0000000000000000 $t + /tmp/ccSu33gH.s:59 .text.HAL_PWR_EnableBkUpAccess:0000000000000000 HAL_PWR_EnableBkUpAccess + /tmp/ccSu33gH.s:76 .text.HAL_PWR_EnableBkUpAccess:000000000000000c $d + /tmp/ccSu33gH.s:81 .text.HAL_PWR_DisableBkUpAccess:0000000000000000 $t + /tmp/ccSu33gH.s:88 .text.HAL_PWR_DisableBkUpAccess:0000000000000000 HAL_PWR_DisableBkUpAccess + /tmp/ccSu33gH.s:105 .text.HAL_PWR_DisableBkUpAccess:000000000000000c $d + /tmp/ccSu33gH.s:110 .text.HAL_PWR_ConfigPVD:0000000000000000 $t + /tmp/ccSu33gH.s:117 .text.HAL_PWR_ConfigPVD:0000000000000000 HAL_PWR_ConfigPVD + /tmp/ccSu33gH.s:196 .text.HAL_PWR_ConfigPVD:000000000000007c $d + /tmp/ccSu33gH.s:202 .text.HAL_PWR_EnablePVD:0000000000000000 $t + /tmp/ccSu33gH.s:209 .text.HAL_PWR_EnablePVD:0000000000000000 HAL_PWR_EnablePVD + /tmp/ccSu33gH.s:226 .text.HAL_PWR_EnablePVD:000000000000000c $d + /tmp/ccSu33gH.s:231 .text.HAL_PWR_DisablePVD:0000000000000000 $t + /tmp/ccSu33gH.s:238 .text.HAL_PWR_DisablePVD:0000000000000000 HAL_PWR_DisablePVD + /tmp/ccSu33gH.s:255 .text.HAL_PWR_DisablePVD:000000000000000c $d + /tmp/ccSu33gH.s:260 .text.HAL_PWR_EnableWakeUpPin:0000000000000000 $t + /tmp/ccSu33gH.s:267 .text.HAL_PWR_EnableWakeUpPin:0000000000000000 HAL_PWR_EnableWakeUpPin + /tmp/ccSu33gH.s:292 .text.HAL_PWR_EnableWakeUpPin:000000000000001c $d + /tmp/ccSu33gH.s:297 .text.HAL_PWR_DisableWakeUpPin:0000000000000000 $t + /tmp/ccSu33gH.s:304 .text.HAL_PWR_DisableWakeUpPin:0000000000000000 HAL_PWR_DisableWakeUpPin + /tmp/ccSu33gH.s:324 .text.HAL_PWR_DisableWakeUpPin:0000000000000010 $d + /tmp/ccSu33gH.s:329 .text.HAL_PWR_EnterSLEEPMode:0000000000000000 $t + /tmp/ccSu33gH.s:336 .text.HAL_PWR_EnterSLEEPMode:0000000000000000 HAL_PWR_EnterSLEEPMode + /tmp/ccSu33gH.s:414 .text.HAL_PWR_EnterSLEEPMode:0000000000000044 $d + /tmp/ccSu33gH.s:420 .text.HAL_PWR_EnterSTOPMode:0000000000000000 $t + /tmp/ccSu33gH.s:427 .text.HAL_PWR_EnterSTOPMode:0000000000000000 HAL_PWR_EnterSTOPMode + /tmp/ccSu33gH.s:461 .text.HAL_PWR_EnterSTANDBYMode:0000000000000000 $t + /tmp/ccSu33gH.s:468 .text.HAL_PWR_EnterSTANDBYMode:0000000000000000 HAL_PWR_EnterSTANDBYMode + /tmp/ccSu33gH.s:498 .text.HAL_PWR_EnterSTANDBYMode:000000000000001c $d + /tmp/ccSu33gH.s:504 .text.HAL_PWR_EnableSleepOnExit:0000000000000000 $t + /tmp/ccSu33gH.s:511 .text.HAL_PWR_EnableSleepOnExit:0000000000000000 HAL_PWR_EnableSleepOnExit + /tmp/ccSu33gH.s:528 .text.HAL_PWR_EnableSleepOnExit:000000000000000c $d + /tmp/ccSu33gH.s:533 .text.HAL_PWR_DisableSleepOnExit:0000000000000000 $t + /tmp/ccSu33gH.s:540 .text.HAL_PWR_DisableSleepOnExit:0000000000000000 HAL_PWR_DisableSleepOnExit + /tmp/ccSu33gH.s:557 .text.HAL_PWR_DisableSleepOnExit:000000000000000c $d + /tmp/ccSu33gH.s:562 .text.HAL_PWR_EnableSEVOnPend:0000000000000000 $t + /tmp/ccSu33gH.s:569 .text.HAL_PWR_EnableSEVOnPend:0000000000000000 HAL_PWR_EnableSEVOnPend + /tmp/ccSu33gH.s:586 .text.HAL_PWR_EnableSEVOnPend:000000000000000c $d + /tmp/ccSu33gH.s:591 .text.HAL_PWR_DisableSEVOnPend:0000000000000000 $t + /tmp/ccSu33gH.s:598 .text.HAL_PWR_DisableSEVOnPend:0000000000000000 HAL_PWR_DisableSEVOnPend + /tmp/ccSu33gH.s:615 .text.HAL_PWR_DisableSEVOnPend:000000000000000c $d + /tmp/ccSu33gH.s:620 .text.HAL_PWR_PVDCallback:0000000000000000 $t + /tmp/ccSu33gH.s:627 .text.HAL_PWR_PVDCallback:0000000000000000 HAL_PWR_PVDCallback .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_pwr_ex.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_pwr_ex.o.lst index 2383a21..ce2fda1 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_pwr_ex.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_pwr_ex.o.lst @@ -3585,111 +3585,111 @@ 2086 .file 10 "../system/include/stm32l4-hal-driver/stm32l4xx_hal_pwr.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_pwr_ex.c - /tmp/ccD2dEQF.s:18 .text.HAL_PWREx_GetVoltageRange:0000000000000000 $t - /tmp/ccD2dEQF.s:25 .text.HAL_PWREx_GetVoltageRange:0000000000000000 HAL_PWREx_GetVoltageRange - /tmp/ccD2dEQF.s:42 .text.HAL_PWREx_GetVoltageRange:000000000000000c $d - /tmp/ccD2dEQF.s:47 .text.HAL_PWREx_ControlVoltageScaling:0000000000000000 $t - /tmp/ccD2dEQF.s:54 .text.HAL_PWREx_ControlVoltageScaling:0000000000000000 HAL_PWREx_ControlVoltageScaling - /tmp/ccD2dEQF.s:152 .text.HAL_PWREx_ControlVoltageScaling:0000000000000084 $d - /tmp/ccD2dEQF.s:159 .text.HAL_PWREx_EnableBatteryCharging:0000000000000000 $t - /tmp/ccD2dEQF.s:166 .text.HAL_PWREx_EnableBatteryCharging:0000000000000000 HAL_PWREx_EnableBatteryCharging - /tmp/ccD2dEQF.s:190 .text.HAL_PWREx_EnableBatteryCharging:0000000000000018 $d - /tmp/ccD2dEQF.s:195 .text.HAL_PWREx_DisableBatteryCharging:0000000000000000 $t - /tmp/ccD2dEQF.s:202 .text.HAL_PWREx_DisableBatteryCharging:0000000000000000 HAL_PWREx_DisableBatteryCharging - /tmp/ccD2dEQF.s:219 .text.HAL_PWREx_DisableBatteryCharging:000000000000000c $d - /tmp/ccD2dEQF.s:224 .text.HAL_PWREx_EnableVddIO2:0000000000000000 $t - /tmp/ccD2dEQF.s:231 .text.HAL_PWREx_EnableVddIO2:0000000000000000 HAL_PWREx_EnableVddIO2 - /tmp/ccD2dEQF.s:248 .text.HAL_PWREx_EnableVddIO2:000000000000000c $d - /tmp/ccD2dEQF.s:253 .text.HAL_PWREx_DisableVddIO2:0000000000000000 $t - /tmp/ccD2dEQF.s:260 .text.HAL_PWREx_DisableVddIO2:0000000000000000 HAL_PWREx_DisableVddIO2 - /tmp/ccD2dEQF.s:277 .text.HAL_PWREx_DisableVddIO2:000000000000000c $d - /tmp/ccD2dEQF.s:282 .text.HAL_PWREx_EnableInternalWakeUpLine:0000000000000000 $t - /tmp/ccD2dEQF.s:289 .text.HAL_PWREx_EnableInternalWakeUpLine:0000000000000000 HAL_PWREx_EnableInternalWakeUpLine - /tmp/ccD2dEQF.s:306 .text.HAL_PWREx_EnableInternalWakeUpLine:000000000000000c $d - /tmp/ccD2dEQF.s:311 .text.HAL_PWREx_DisableInternalWakeUpLine:0000000000000000 $t - /tmp/ccD2dEQF.s:318 .text.HAL_PWREx_DisableInternalWakeUpLine:0000000000000000 HAL_PWREx_DisableInternalWakeUpLine - /tmp/ccD2dEQF.s:335 .text.HAL_PWREx_DisableInternalWakeUpLine:000000000000000c $d - /tmp/ccD2dEQF.s:340 .text.HAL_PWREx_EnableGPIOPullUp:0000000000000000 $t - /tmp/ccD2dEQF.s:347 .text.HAL_PWREx_EnableGPIOPullUp:0000000000000000 HAL_PWREx_EnableGPIOPullUp - /tmp/ccD2dEQF.s:360 .text.HAL_PWREx_EnableGPIOPullUp:0000000000000008 $d - /tmp/ccD2dEQF.s:368 .text.HAL_PWREx_EnableGPIOPullUp:0000000000000010 $t - /tmp/ccD2dEQF.s:518 .text.HAL_PWREx_EnableGPIOPullUp:00000000000000c4 $d - /tmp/ccD2dEQF.s:523 .text.HAL_PWREx_DisableGPIOPullUp:0000000000000000 $t - /tmp/ccD2dEQF.s:530 .text.HAL_PWREx_DisableGPIOPullUp:0000000000000000 HAL_PWREx_DisableGPIOPullUp - /tmp/ccD2dEQF.s:543 .text.HAL_PWREx_DisableGPIOPullUp:0000000000000008 $d - /tmp/ccD2dEQF.s:551 .text.HAL_PWREx_DisableGPIOPullUp:0000000000000010 $t - /tmp/ccD2dEQF.s:667 .text.HAL_PWREx_DisableGPIOPullUp:000000000000008c $d - /tmp/ccD2dEQF.s:672 .text.HAL_PWREx_EnableGPIOPullDown:0000000000000000 $t - /tmp/ccD2dEQF.s:679 .text.HAL_PWREx_EnableGPIOPullDown:0000000000000000 HAL_PWREx_EnableGPIOPullDown - /tmp/ccD2dEQF.s:692 .text.HAL_PWREx_EnableGPIOPullDown:0000000000000008 $d - /tmp/ccD2dEQF.s:700 .text.HAL_PWREx_EnableGPIOPullDown:0000000000000010 $t - /tmp/ccD2dEQF.s:850 .text.HAL_PWREx_EnableGPIOPullDown:00000000000000c4 $d - /tmp/ccD2dEQF.s:855 .text.HAL_PWREx_DisableGPIOPullDown:0000000000000000 $t - /tmp/ccD2dEQF.s:862 .text.HAL_PWREx_DisableGPIOPullDown:0000000000000000 HAL_PWREx_DisableGPIOPullDown - /tmp/ccD2dEQF.s:875 .text.HAL_PWREx_DisableGPIOPullDown:0000000000000008 $d - /tmp/ccD2dEQF.s:883 .text.HAL_PWREx_DisableGPIOPullDown:0000000000000010 $t - /tmp/ccD2dEQF.s:1000 .text.HAL_PWREx_DisableGPIOPullDown:0000000000000090 $d - /tmp/ccD2dEQF.s:1005 .text.HAL_PWREx_EnablePullUpPullDownConfig:0000000000000000 $t - /tmp/ccD2dEQF.s:1012 .text.HAL_PWREx_EnablePullUpPullDownConfig:0000000000000000 HAL_PWREx_EnablePullUpPullDownConfig - /tmp/ccD2dEQF.s:1029 .text.HAL_PWREx_EnablePullUpPullDownConfig:000000000000000c $d - /tmp/ccD2dEQF.s:1034 .text.HAL_PWREx_DisablePullUpPullDownConfig:0000000000000000 $t - /tmp/ccD2dEQF.s:1041 .text.HAL_PWREx_DisablePullUpPullDownConfig:0000000000000000 HAL_PWREx_DisablePullUpPullDownConfig - /tmp/ccD2dEQF.s:1058 .text.HAL_PWREx_DisablePullUpPullDownConfig:000000000000000c $d - /tmp/ccD2dEQF.s:1063 .text.HAL_PWREx_SetSRAM2ContentRetention:0000000000000000 $t - /tmp/ccD2dEQF.s:1070 .text.HAL_PWREx_SetSRAM2ContentRetention:0000000000000000 HAL_PWREx_SetSRAM2ContentRetention - /tmp/ccD2dEQF.s:1109 .text.HAL_PWREx_SetSRAM2ContentRetention:0000000000000028 $d - /tmp/ccD2dEQF.s:1114 .text.HAL_PWREx_EnableSRAM2ContentRetention:0000000000000000 $t - /tmp/ccD2dEQF.s:1121 .text.HAL_PWREx_EnableSRAM2ContentRetention:0000000000000000 HAL_PWREx_EnableSRAM2ContentRetention - /tmp/ccD2dEQF.s:1141 .text.HAL_PWREx_DisableSRAM2ContentRetention:0000000000000000 $t - /tmp/ccD2dEQF.s:1148 .text.HAL_PWREx_DisableSRAM2ContentRetention:0000000000000000 HAL_PWREx_DisableSRAM2ContentRetention - /tmp/ccD2dEQF.s:1168 .text.HAL_PWREx_EnablePVM2:0000000000000000 $t - /tmp/ccD2dEQF.s:1175 .text.HAL_PWREx_EnablePVM2:0000000000000000 HAL_PWREx_EnablePVM2 - /tmp/ccD2dEQF.s:1192 .text.HAL_PWREx_EnablePVM2:000000000000000c $d - /tmp/ccD2dEQF.s:1197 .text.HAL_PWREx_DisablePVM2:0000000000000000 $t - /tmp/ccD2dEQF.s:1204 .text.HAL_PWREx_DisablePVM2:0000000000000000 HAL_PWREx_DisablePVM2 - /tmp/ccD2dEQF.s:1221 .text.HAL_PWREx_DisablePVM2:000000000000000c $d - /tmp/ccD2dEQF.s:1226 .text.HAL_PWREx_EnablePVM3:0000000000000000 $t - /tmp/ccD2dEQF.s:1233 .text.HAL_PWREx_EnablePVM3:0000000000000000 HAL_PWREx_EnablePVM3 - /tmp/ccD2dEQF.s:1250 .text.HAL_PWREx_EnablePVM3:000000000000000c $d - /tmp/ccD2dEQF.s:1255 .text.HAL_PWREx_DisablePVM3:0000000000000000 $t - /tmp/ccD2dEQF.s:1262 .text.HAL_PWREx_DisablePVM3:0000000000000000 HAL_PWREx_DisablePVM3 - /tmp/ccD2dEQF.s:1279 .text.HAL_PWREx_DisablePVM3:000000000000000c $d - /tmp/ccD2dEQF.s:1284 .text.HAL_PWREx_EnablePVM4:0000000000000000 $t - /tmp/ccD2dEQF.s:1291 .text.HAL_PWREx_EnablePVM4:0000000000000000 HAL_PWREx_EnablePVM4 - /tmp/ccD2dEQF.s:1308 .text.HAL_PWREx_EnablePVM4:000000000000000c $d - /tmp/ccD2dEQF.s:1313 .text.HAL_PWREx_DisablePVM4:0000000000000000 $t - /tmp/ccD2dEQF.s:1320 .text.HAL_PWREx_DisablePVM4:0000000000000000 HAL_PWREx_DisablePVM4 - /tmp/ccD2dEQF.s:1337 .text.HAL_PWREx_DisablePVM4:000000000000000c $d - /tmp/ccD2dEQF.s:1342 .text.HAL_PWREx_ConfigPVM:0000000000000000 $t - /tmp/ccD2dEQF.s:1349 .text.HAL_PWREx_ConfigPVM:0000000000000000 HAL_PWREx_ConfigPVM - /tmp/ccD2dEQF.s:1574 .text.HAL_PWREx_ConfigPVM:0000000000000168 $d - /tmp/ccD2dEQF.s:1579 .text.HAL_PWREx_EnableLowPowerRunMode:0000000000000000 $t - /tmp/ccD2dEQF.s:1586 .text.HAL_PWREx_EnableLowPowerRunMode:0000000000000000 HAL_PWREx_EnableLowPowerRunMode - /tmp/ccD2dEQF.s:1603 .text.HAL_PWREx_EnableLowPowerRunMode:000000000000000c $d - /tmp/ccD2dEQF.s:1608 .text.HAL_PWREx_DisableLowPowerRunMode:0000000000000000 $t - /tmp/ccD2dEQF.s:1615 .text.HAL_PWREx_DisableLowPowerRunMode:0000000000000000 HAL_PWREx_DisableLowPowerRunMode - /tmp/ccD2dEQF.s:1670 .text.HAL_PWREx_DisableLowPowerRunMode:0000000000000044 $d - /tmp/ccD2dEQF.s:1677 .text.HAL_PWREx_EnterSTOP0Mode:0000000000000000 $t - /tmp/ccD2dEQF.s:1684 .text.HAL_PWREx_EnterSTOP0Mode:0000000000000000 HAL_PWREx_EnterSTOP0Mode - /tmp/ccD2dEQF.s:1740 .text.HAL_PWREx_EnterSTOP0Mode:0000000000000030 $d - /tmp/ccD2dEQF.s:1746 .text.HAL_PWREx_EnterSTOP1Mode:0000000000000000 $t - /tmp/ccD2dEQF.s:1753 .text.HAL_PWREx_EnterSTOP1Mode:0000000000000000 HAL_PWREx_EnterSTOP1Mode - /tmp/ccD2dEQF.s:1810 .text.HAL_PWREx_EnterSTOP1Mode:0000000000000034 $d - /tmp/ccD2dEQF.s:1816 .text.HAL_PWREx_EnterSTOP2Mode:0000000000000000 $t - /tmp/ccD2dEQF.s:1823 .text.HAL_PWREx_EnterSTOP2Mode:0000000000000000 HAL_PWREx_EnterSTOP2Mode - /tmp/ccD2dEQF.s:1880 .text.HAL_PWREx_EnterSTOP2Mode:0000000000000034 $d - /tmp/ccD2dEQF.s:1886 .text.HAL_PWREx_EnterSHUTDOWNMode:0000000000000000 $t - /tmp/ccD2dEQF.s:1893 .text.HAL_PWREx_EnterSHUTDOWNMode:0000000000000000 HAL_PWREx_EnterSHUTDOWNMode - /tmp/ccD2dEQF.s:1923 .text.HAL_PWREx_EnterSHUTDOWNMode:000000000000001c $d - /tmp/ccD2dEQF.s:1929 .text.HAL_PWREx_PVM2Callback:0000000000000000 $t - /tmp/ccD2dEQF.s:1936 .text.HAL_PWREx_PVM2Callback:0000000000000000 HAL_PWREx_PVM2Callback - /tmp/ccD2dEQF.s:1949 .text.HAL_PWREx_PVM3Callback:0000000000000000 $t - /tmp/ccD2dEQF.s:1956 .text.HAL_PWREx_PVM3Callback:0000000000000000 HAL_PWREx_PVM3Callback - /tmp/ccD2dEQF.s:1969 .text.HAL_PWREx_PVM4Callback:0000000000000000 $t - /tmp/ccD2dEQF.s:1976 .text.HAL_PWREx_PVM4Callback:0000000000000000 HAL_PWREx_PVM4Callback - /tmp/ccD2dEQF.s:1989 .text.HAL_PWREx_PVD_PVM_IRQHandler:0000000000000000 $t - /tmp/ccD2dEQF.s:1996 .text.HAL_PWREx_PVD_PVM_IRQHandler:0000000000000000 HAL_PWREx_PVD_PVM_IRQHandler - /tmp/ccD2dEQF.s:2072 .text.HAL_PWREx_PVD_PVM_IRQHandler:0000000000000060 $d + /tmp/cc04iZDg.s:18 .text.HAL_PWREx_GetVoltageRange:0000000000000000 $t + /tmp/cc04iZDg.s:25 .text.HAL_PWREx_GetVoltageRange:0000000000000000 HAL_PWREx_GetVoltageRange + /tmp/cc04iZDg.s:42 .text.HAL_PWREx_GetVoltageRange:000000000000000c $d + /tmp/cc04iZDg.s:47 .text.HAL_PWREx_ControlVoltageScaling:0000000000000000 $t + /tmp/cc04iZDg.s:54 .text.HAL_PWREx_ControlVoltageScaling:0000000000000000 HAL_PWREx_ControlVoltageScaling + /tmp/cc04iZDg.s:152 .text.HAL_PWREx_ControlVoltageScaling:0000000000000084 $d + /tmp/cc04iZDg.s:159 .text.HAL_PWREx_EnableBatteryCharging:0000000000000000 $t + /tmp/cc04iZDg.s:166 .text.HAL_PWREx_EnableBatteryCharging:0000000000000000 HAL_PWREx_EnableBatteryCharging + /tmp/cc04iZDg.s:190 .text.HAL_PWREx_EnableBatteryCharging:0000000000000018 $d + /tmp/cc04iZDg.s:195 .text.HAL_PWREx_DisableBatteryCharging:0000000000000000 $t + /tmp/cc04iZDg.s:202 .text.HAL_PWREx_DisableBatteryCharging:0000000000000000 HAL_PWREx_DisableBatteryCharging + /tmp/cc04iZDg.s:219 .text.HAL_PWREx_DisableBatteryCharging:000000000000000c $d + /tmp/cc04iZDg.s:224 .text.HAL_PWREx_EnableVddIO2:0000000000000000 $t + /tmp/cc04iZDg.s:231 .text.HAL_PWREx_EnableVddIO2:0000000000000000 HAL_PWREx_EnableVddIO2 + /tmp/cc04iZDg.s:248 .text.HAL_PWREx_EnableVddIO2:000000000000000c $d + /tmp/cc04iZDg.s:253 .text.HAL_PWREx_DisableVddIO2:0000000000000000 $t + /tmp/cc04iZDg.s:260 .text.HAL_PWREx_DisableVddIO2:0000000000000000 HAL_PWREx_DisableVddIO2 + /tmp/cc04iZDg.s:277 .text.HAL_PWREx_DisableVddIO2:000000000000000c $d + /tmp/cc04iZDg.s:282 .text.HAL_PWREx_EnableInternalWakeUpLine:0000000000000000 $t + /tmp/cc04iZDg.s:289 .text.HAL_PWREx_EnableInternalWakeUpLine:0000000000000000 HAL_PWREx_EnableInternalWakeUpLine + /tmp/cc04iZDg.s:306 .text.HAL_PWREx_EnableInternalWakeUpLine:000000000000000c $d + /tmp/cc04iZDg.s:311 .text.HAL_PWREx_DisableInternalWakeUpLine:0000000000000000 $t + /tmp/cc04iZDg.s:318 .text.HAL_PWREx_DisableInternalWakeUpLine:0000000000000000 HAL_PWREx_DisableInternalWakeUpLine + /tmp/cc04iZDg.s:335 .text.HAL_PWREx_DisableInternalWakeUpLine:000000000000000c $d + /tmp/cc04iZDg.s:340 .text.HAL_PWREx_EnableGPIOPullUp:0000000000000000 $t + /tmp/cc04iZDg.s:347 .text.HAL_PWREx_EnableGPIOPullUp:0000000000000000 HAL_PWREx_EnableGPIOPullUp + /tmp/cc04iZDg.s:360 .text.HAL_PWREx_EnableGPIOPullUp:0000000000000008 $d + /tmp/cc04iZDg.s:368 .text.HAL_PWREx_EnableGPIOPullUp:0000000000000010 $t + /tmp/cc04iZDg.s:518 .text.HAL_PWREx_EnableGPIOPullUp:00000000000000c4 $d + /tmp/cc04iZDg.s:523 .text.HAL_PWREx_DisableGPIOPullUp:0000000000000000 $t + /tmp/cc04iZDg.s:530 .text.HAL_PWREx_DisableGPIOPullUp:0000000000000000 HAL_PWREx_DisableGPIOPullUp + /tmp/cc04iZDg.s:543 .text.HAL_PWREx_DisableGPIOPullUp:0000000000000008 $d + /tmp/cc04iZDg.s:551 .text.HAL_PWREx_DisableGPIOPullUp:0000000000000010 $t + /tmp/cc04iZDg.s:667 .text.HAL_PWREx_DisableGPIOPullUp:000000000000008c $d + /tmp/cc04iZDg.s:672 .text.HAL_PWREx_EnableGPIOPullDown:0000000000000000 $t + /tmp/cc04iZDg.s:679 .text.HAL_PWREx_EnableGPIOPullDown:0000000000000000 HAL_PWREx_EnableGPIOPullDown + /tmp/cc04iZDg.s:692 .text.HAL_PWREx_EnableGPIOPullDown:0000000000000008 $d + /tmp/cc04iZDg.s:700 .text.HAL_PWREx_EnableGPIOPullDown:0000000000000010 $t + /tmp/cc04iZDg.s:850 .text.HAL_PWREx_EnableGPIOPullDown:00000000000000c4 $d + /tmp/cc04iZDg.s:855 .text.HAL_PWREx_DisableGPIOPullDown:0000000000000000 $t + /tmp/cc04iZDg.s:862 .text.HAL_PWREx_DisableGPIOPullDown:0000000000000000 HAL_PWREx_DisableGPIOPullDown + /tmp/cc04iZDg.s:875 .text.HAL_PWREx_DisableGPIOPullDown:0000000000000008 $d + /tmp/cc04iZDg.s:883 .text.HAL_PWREx_DisableGPIOPullDown:0000000000000010 $t + /tmp/cc04iZDg.s:1000 .text.HAL_PWREx_DisableGPIOPullDown:0000000000000090 $d + /tmp/cc04iZDg.s:1005 .text.HAL_PWREx_EnablePullUpPullDownConfig:0000000000000000 $t + /tmp/cc04iZDg.s:1012 .text.HAL_PWREx_EnablePullUpPullDownConfig:0000000000000000 HAL_PWREx_EnablePullUpPullDownConfig + /tmp/cc04iZDg.s:1029 .text.HAL_PWREx_EnablePullUpPullDownConfig:000000000000000c $d + /tmp/cc04iZDg.s:1034 .text.HAL_PWREx_DisablePullUpPullDownConfig:0000000000000000 $t + /tmp/cc04iZDg.s:1041 .text.HAL_PWREx_DisablePullUpPullDownConfig:0000000000000000 HAL_PWREx_DisablePullUpPullDownConfig + /tmp/cc04iZDg.s:1058 .text.HAL_PWREx_DisablePullUpPullDownConfig:000000000000000c $d + /tmp/cc04iZDg.s:1063 .text.HAL_PWREx_SetSRAM2ContentRetention:0000000000000000 $t + /tmp/cc04iZDg.s:1070 .text.HAL_PWREx_SetSRAM2ContentRetention:0000000000000000 HAL_PWREx_SetSRAM2ContentRetention + /tmp/cc04iZDg.s:1109 .text.HAL_PWREx_SetSRAM2ContentRetention:0000000000000028 $d + /tmp/cc04iZDg.s:1114 .text.HAL_PWREx_EnableSRAM2ContentRetention:0000000000000000 $t + /tmp/cc04iZDg.s:1121 .text.HAL_PWREx_EnableSRAM2ContentRetention:0000000000000000 HAL_PWREx_EnableSRAM2ContentRetention + /tmp/cc04iZDg.s:1141 .text.HAL_PWREx_DisableSRAM2ContentRetention:0000000000000000 $t + /tmp/cc04iZDg.s:1148 .text.HAL_PWREx_DisableSRAM2ContentRetention:0000000000000000 HAL_PWREx_DisableSRAM2ContentRetention + /tmp/cc04iZDg.s:1168 .text.HAL_PWREx_EnablePVM2:0000000000000000 $t + /tmp/cc04iZDg.s:1175 .text.HAL_PWREx_EnablePVM2:0000000000000000 HAL_PWREx_EnablePVM2 + /tmp/cc04iZDg.s:1192 .text.HAL_PWREx_EnablePVM2:000000000000000c $d + /tmp/cc04iZDg.s:1197 .text.HAL_PWREx_DisablePVM2:0000000000000000 $t + /tmp/cc04iZDg.s:1204 .text.HAL_PWREx_DisablePVM2:0000000000000000 HAL_PWREx_DisablePVM2 + /tmp/cc04iZDg.s:1221 .text.HAL_PWREx_DisablePVM2:000000000000000c $d + /tmp/cc04iZDg.s:1226 .text.HAL_PWREx_EnablePVM3:0000000000000000 $t + /tmp/cc04iZDg.s:1233 .text.HAL_PWREx_EnablePVM3:0000000000000000 HAL_PWREx_EnablePVM3 + /tmp/cc04iZDg.s:1250 .text.HAL_PWREx_EnablePVM3:000000000000000c $d + /tmp/cc04iZDg.s:1255 .text.HAL_PWREx_DisablePVM3:0000000000000000 $t + /tmp/cc04iZDg.s:1262 .text.HAL_PWREx_DisablePVM3:0000000000000000 HAL_PWREx_DisablePVM3 + /tmp/cc04iZDg.s:1279 .text.HAL_PWREx_DisablePVM3:000000000000000c $d + /tmp/cc04iZDg.s:1284 .text.HAL_PWREx_EnablePVM4:0000000000000000 $t + /tmp/cc04iZDg.s:1291 .text.HAL_PWREx_EnablePVM4:0000000000000000 HAL_PWREx_EnablePVM4 + /tmp/cc04iZDg.s:1308 .text.HAL_PWREx_EnablePVM4:000000000000000c $d + /tmp/cc04iZDg.s:1313 .text.HAL_PWREx_DisablePVM4:0000000000000000 $t + /tmp/cc04iZDg.s:1320 .text.HAL_PWREx_DisablePVM4:0000000000000000 HAL_PWREx_DisablePVM4 + /tmp/cc04iZDg.s:1337 .text.HAL_PWREx_DisablePVM4:000000000000000c $d + /tmp/cc04iZDg.s:1342 .text.HAL_PWREx_ConfigPVM:0000000000000000 $t + /tmp/cc04iZDg.s:1349 .text.HAL_PWREx_ConfigPVM:0000000000000000 HAL_PWREx_ConfigPVM + /tmp/cc04iZDg.s:1574 .text.HAL_PWREx_ConfigPVM:0000000000000168 $d + /tmp/cc04iZDg.s:1579 .text.HAL_PWREx_EnableLowPowerRunMode:0000000000000000 $t + /tmp/cc04iZDg.s:1586 .text.HAL_PWREx_EnableLowPowerRunMode:0000000000000000 HAL_PWREx_EnableLowPowerRunMode + /tmp/cc04iZDg.s:1603 .text.HAL_PWREx_EnableLowPowerRunMode:000000000000000c $d + /tmp/cc04iZDg.s:1608 .text.HAL_PWREx_DisableLowPowerRunMode:0000000000000000 $t + /tmp/cc04iZDg.s:1615 .text.HAL_PWREx_DisableLowPowerRunMode:0000000000000000 HAL_PWREx_DisableLowPowerRunMode + /tmp/cc04iZDg.s:1670 .text.HAL_PWREx_DisableLowPowerRunMode:0000000000000044 $d + /tmp/cc04iZDg.s:1677 .text.HAL_PWREx_EnterSTOP0Mode:0000000000000000 $t + /tmp/cc04iZDg.s:1684 .text.HAL_PWREx_EnterSTOP0Mode:0000000000000000 HAL_PWREx_EnterSTOP0Mode + /tmp/cc04iZDg.s:1740 .text.HAL_PWREx_EnterSTOP0Mode:0000000000000030 $d + /tmp/cc04iZDg.s:1746 .text.HAL_PWREx_EnterSTOP1Mode:0000000000000000 $t + /tmp/cc04iZDg.s:1753 .text.HAL_PWREx_EnterSTOP1Mode:0000000000000000 HAL_PWREx_EnterSTOP1Mode + /tmp/cc04iZDg.s:1810 .text.HAL_PWREx_EnterSTOP1Mode:0000000000000034 $d + /tmp/cc04iZDg.s:1816 .text.HAL_PWREx_EnterSTOP2Mode:0000000000000000 $t + /tmp/cc04iZDg.s:1823 .text.HAL_PWREx_EnterSTOP2Mode:0000000000000000 HAL_PWREx_EnterSTOP2Mode + /tmp/cc04iZDg.s:1880 .text.HAL_PWREx_EnterSTOP2Mode:0000000000000034 $d + /tmp/cc04iZDg.s:1886 .text.HAL_PWREx_EnterSHUTDOWNMode:0000000000000000 $t + /tmp/cc04iZDg.s:1893 .text.HAL_PWREx_EnterSHUTDOWNMode:0000000000000000 HAL_PWREx_EnterSHUTDOWNMode + /tmp/cc04iZDg.s:1923 .text.HAL_PWREx_EnterSHUTDOWNMode:000000000000001c $d + /tmp/cc04iZDg.s:1929 .text.HAL_PWREx_PVM2Callback:0000000000000000 $t + /tmp/cc04iZDg.s:1936 .text.HAL_PWREx_PVM2Callback:0000000000000000 HAL_PWREx_PVM2Callback + /tmp/cc04iZDg.s:1949 .text.HAL_PWREx_PVM3Callback:0000000000000000 $t + /tmp/cc04iZDg.s:1956 .text.HAL_PWREx_PVM3Callback:0000000000000000 HAL_PWREx_PVM3Callback + /tmp/cc04iZDg.s:1969 .text.HAL_PWREx_PVM4Callback:0000000000000000 $t + /tmp/cc04iZDg.s:1976 .text.HAL_PWREx_PVM4Callback:0000000000000000 HAL_PWREx_PVM4Callback + /tmp/cc04iZDg.s:1989 .text.HAL_PWREx_PVD_PVM_IRQHandler:0000000000000000 $t + /tmp/cc04iZDg.s:1996 .text.HAL_PWREx_PVD_PVM_IRQHandler:0000000000000000 HAL_PWREx_PVD_PVM_IRQHandler + /tmp/cc04iZDg.s:2072 .text.HAL_PWREx_PVD_PVM_IRQHandler:0000000000000060 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rcc.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rcc.o.lst index 7af6704..f1fcbf0 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rcc.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rcc.o.lst @@ -4467,51 +4467,51 @@ 2271 .file 12 "../system/include/stm32l4-hal-driver/stm32l4xx_hal_pwr_ex.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_rcc.c - /tmp/ccoq9CNW.s:18 .text.RCC_SetFlashLatencyFromMSIRange:0000000000000000 $t - /tmp/ccoq9CNW.s:24 .text.RCC_SetFlashLatencyFromMSIRange:0000000000000000 RCC_SetFlashLatencyFromMSIRange - /tmp/ccoq9CNW.s:148 .text.RCC_SetFlashLatencyFromMSIRange:0000000000000088 $d - /tmp/ccoq9CNW.s:154 .text.HAL_RCC_DeInit:0000000000000000 $t - /tmp/ccoq9CNW.s:161 .text.HAL_RCC_DeInit:0000000000000000 HAL_RCC_DeInit - /tmp/ccoq9CNW.s:316 .text.HAL_RCC_DeInit:00000000000000e0 $d - /tmp/ccoq9CNW.s:325 .text.HAL_RCC_MCOConfig:0000000000000000 $t - /tmp/ccoq9CNW.s:332 .text.HAL_RCC_MCOConfig:0000000000000000 HAL_RCC_MCOConfig - /tmp/ccoq9CNW.s:396 .text.HAL_RCC_MCOConfig:0000000000000048 $d - /tmp/ccoq9CNW.s:401 .text.HAL_RCC_GetSysClockFreq:0000000000000000 $t - /tmp/ccoq9CNW.s:408 .text.HAL_RCC_GetSysClockFreq:0000000000000000 HAL_RCC_GetSysClockFreq - /tmp/ccoq9CNW.s:563 .text.HAL_RCC_GetSysClockFreq:00000000000000a4 $d - /tmp/ccoq9CNW.s:571 .text.HAL_RCC_OscConfig:0000000000000000 $t - /tmp/ccoq9CNW.s:578 .text.HAL_RCC_OscConfig:0000000000000000 HAL_RCC_OscConfig - /tmp/ccoq9CNW.s:1016 .text.HAL_RCC_OscConfig:00000000000002cc $d - /tmp/ccoq9CNW.s:1022 .text.HAL_RCC_OscConfig:00000000000002dc $t - /tmp/ccoq9CNW.s:1458 .text.HAL_RCC_OscConfig:00000000000005c0 $d - /tmp/ccoq9CNW.s:1463 .text.HAL_RCC_OscConfig:00000000000005cc $t - /tmp/ccoq9CNW.s:1577 .text.HAL_RCC_OscConfig:0000000000000634 $d - /tmp/ccoq9CNW.s:1582 .text.HAL_RCC_ClockConfig:0000000000000000 $t - /tmp/ccoq9CNW.s:1589 .text.HAL_RCC_ClockConfig:0000000000000000 HAL_RCC_ClockConfig - /tmp/ccoq9CNW.s:1819 .text.HAL_RCC_ClockConfig:0000000000000144 $d - /tmp/ccoq9CNW.s:1828 .text.HAL_RCC_GetHCLKFreq:0000000000000000 $t - /tmp/ccoq9CNW.s:1835 .text.HAL_RCC_GetHCLKFreq:0000000000000000 HAL_RCC_GetHCLKFreq - /tmp/ccoq9CNW.s:1849 .text.HAL_RCC_GetHCLKFreq:0000000000000008 $d - /tmp/ccoq9CNW.s:1854 .text.HAL_RCC_GetPCLK1Freq:0000000000000000 $t - /tmp/ccoq9CNW.s:1861 .text.HAL_RCC_GetPCLK1Freq:0000000000000000 HAL_RCC_GetPCLK1Freq - /tmp/ccoq9CNW.s:1886 .text.HAL_RCC_GetPCLK1Freq:000000000000001c $d - /tmp/ccoq9CNW.s:1892 .text.HAL_RCC_GetPCLK2Freq:0000000000000000 $t - /tmp/ccoq9CNW.s:1899 .text.HAL_RCC_GetPCLK2Freq:0000000000000000 HAL_RCC_GetPCLK2Freq - /tmp/ccoq9CNW.s:1924 .text.HAL_RCC_GetPCLK2Freq:000000000000001c $d - /tmp/ccoq9CNW.s:1930 .text.HAL_RCC_GetOscConfig:0000000000000000 $t - /tmp/ccoq9CNW.s:1937 .text.HAL_RCC_GetOscConfig:0000000000000000 HAL_RCC_GetOscConfig - /tmp/ccoq9CNW.s:2111 .text.HAL_RCC_GetOscConfig:0000000000000114 $d - /tmp/ccoq9CNW.s:2116 .text.HAL_RCC_GetClockConfig:0000000000000000 $t - /tmp/ccoq9CNW.s:2123 .text.HAL_RCC_GetClockConfig:0000000000000000 HAL_RCC_GetClockConfig - /tmp/ccoq9CNW.s:2162 .text.HAL_RCC_GetClockConfig:0000000000000034 $d - /tmp/ccoq9CNW.s:2168 .text.HAL_RCC_EnableCSS:0000000000000000 $t - /tmp/ccoq9CNW.s:2175 .text.HAL_RCC_EnableCSS:0000000000000000 HAL_RCC_EnableCSS - /tmp/ccoq9CNW.s:2192 .text.HAL_RCC_EnableCSS:000000000000000c $d - /tmp/ccoq9CNW.s:2197 .text.HAL_RCC_CSSCallback:0000000000000000 $t - /tmp/ccoq9CNW.s:2204 .text.HAL_RCC_CSSCallback:0000000000000000 HAL_RCC_CSSCallback - /tmp/ccoq9CNW.s:2217 .text.HAL_RCC_NMI_IRQHandler:0000000000000000 $t - /tmp/ccoq9CNW.s:2224 .text.HAL_RCC_NMI_IRQHandler:0000000000000000 HAL_RCC_NMI_IRQHandler - /tmp/ccoq9CNW.s:2255 .text.HAL_RCC_NMI_IRQHandler:000000000000001c $d + /tmp/ccUob3gv.s:18 .text.RCC_SetFlashLatencyFromMSIRange:0000000000000000 $t + /tmp/ccUob3gv.s:24 .text.RCC_SetFlashLatencyFromMSIRange:0000000000000000 RCC_SetFlashLatencyFromMSIRange + /tmp/ccUob3gv.s:148 .text.RCC_SetFlashLatencyFromMSIRange:0000000000000088 $d + /tmp/ccUob3gv.s:154 .text.HAL_RCC_DeInit:0000000000000000 $t + /tmp/ccUob3gv.s:161 .text.HAL_RCC_DeInit:0000000000000000 HAL_RCC_DeInit + /tmp/ccUob3gv.s:316 .text.HAL_RCC_DeInit:00000000000000e0 $d + /tmp/ccUob3gv.s:325 .text.HAL_RCC_MCOConfig:0000000000000000 $t + /tmp/ccUob3gv.s:332 .text.HAL_RCC_MCOConfig:0000000000000000 HAL_RCC_MCOConfig + /tmp/ccUob3gv.s:396 .text.HAL_RCC_MCOConfig:0000000000000048 $d + /tmp/ccUob3gv.s:401 .text.HAL_RCC_GetSysClockFreq:0000000000000000 $t + /tmp/ccUob3gv.s:408 .text.HAL_RCC_GetSysClockFreq:0000000000000000 HAL_RCC_GetSysClockFreq + /tmp/ccUob3gv.s:563 .text.HAL_RCC_GetSysClockFreq:00000000000000a4 $d + /tmp/ccUob3gv.s:571 .text.HAL_RCC_OscConfig:0000000000000000 $t + /tmp/ccUob3gv.s:578 .text.HAL_RCC_OscConfig:0000000000000000 HAL_RCC_OscConfig + /tmp/ccUob3gv.s:1016 .text.HAL_RCC_OscConfig:00000000000002cc $d + /tmp/ccUob3gv.s:1022 .text.HAL_RCC_OscConfig:00000000000002dc $t + /tmp/ccUob3gv.s:1458 .text.HAL_RCC_OscConfig:00000000000005c0 $d + /tmp/ccUob3gv.s:1463 .text.HAL_RCC_OscConfig:00000000000005cc $t + /tmp/ccUob3gv.s:1577 .text.HAL_RCC_OscConfig:0000000000000634 $d + /tmp/ccUob3gv.s:1582 .text.HAL_RCC_ClockConfig:0000000000000000 $t + /tmp/ccUob3gv.s:1589 .text.HAL_RCC_ClockConfig:0000000000000000 HAL_RCC_ClockConfig + /tmp/ccUob3gv.s:1819 .text.HAL_RCC_ClockConfig:0000000000000144 $d + /tmp/ccUob3gv.s:1828 .text.HAL_RCC_GetHCLKFreq:0000000000000000 $t + /tmp/ccUob3gv.s:1835 .text.HAL_RCC_GetHCLKFreq:0000000000000000 HAL_RCC_GetHCLKFreq + /tmp/ccUob3gv.s:1849 .text.HAL_RCC_GetHCLKFreq:0000000000000008 $d + /tmp/ccUob3gv.s:1854 .text.HAL_RCC_GetPCLK1Freq:0000000000000000 $t + /tmp/ccUob3gv.s:1861 .text.HAL_RCC_GetPCLK1Freq:0000000000000000 HAL_RCC_GetPCLK1Freq + /tmp/ccUob3gv.s:1886 .text.HAL_RCC_GetPCLK1Freq:000000000000001c $d + /tmp/ccUob3gv.s:1892 .text.HAL_RCC_GetPCLK2Freq:0000000000000000 $t + /tmp/ccUob3gv.s:1899 .text.HAL_RCC_GetPCLK2Freq:0000000000000000 HAL_RCC_GetPCLK2Freq + /tmp/ccUob3gv.s:1924 .text.HAL_RCC_GetPCLK2Freq:000000000000001c $d + /tmp/ccUob3gv.s:1930 .text.HAL_RCC_GetOscConfig:0000000000000000 $t + /tmp/ccUob3gv.s:1937 .text.HAL_RCC_GetOscConfig:0000000000000000 HAL_RCC_GetOscConfig + /tmp/ccUob3gv.s:2111 .text.HAL_RCC_GetOscConfig:0000000000000114 $d + /tmp/ccUob3gv.s:2116 .text.HAL_RCC_GetClockConfig:0000000000000000 $t + /tmp/ccUob3gv.s:2123 .text.HAL_RCC_GetClockConfig:0000000000000000 HAL_RCC_GetClockConfig + /tmp/ccUob3gv.s:2162 .text.HAL_RCC_GetClockConfig:0000000000000034 $d + /tmp/ccUob3gv.s:2168 .text.HAL_RCC_EnableCSS:0000000000000000 $t + /tmp/ccUob3gv.s:2175 .text.HAL_RCC_EnableCSS:0000000000000000 HAL_RCC_EnableCSS + /tmp/ccUob3gv.s:2192 .text.HAL_RCC_EnableCSS:000000000000000c $d + /tmp/ccUob3gv.s:2197 .text.HAL_RCC_CSSCallback:0000000000000000 $t + /tmp/ccUob3gv.s:2204 .text.HAL_RCC_CSSCallback:0000000000000000 HAL_RCC_CSSCallback + /tmp/ccUob3gv.s:2217 .text.HAL_RCC_NMI_IRQHandler:0000000000000000 $t + /tmp/ccUob3gv.s:2224 .text.HAL_RCC_NMI_IRQHandler:0000000000000000 HAL_RCC_NMI_IRQHandler + /tmp/ccUob3gv.s:2255 .text.HAL_RCC_NMI_IRQHandler:000000000000001c $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rcc_ex.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rcc_ex.o.lst index ea8cba3..c5c8d34 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rcc_ex.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rcc_ex.o.lst @@ -7886,78 +7886,78 @@ 3807 .file 13 "../system/include/stm32l4-hal-driver/stm32l4xx_hal_rcc.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_rcc_ex.c - /tmp/cctsILmw.s:18 .text.RCCEx_GetSAIxPeriphCLKFreq:0000000000000000 $t - /tmp/cctsILmw.s:24 .text.RCCEx_GetSAIxPeriphCLKFreq:0000000000000000 RCCEx_GetSAIxPeriphCLKFreq - /tmp/cctsILmw.s:232 .text.RCCEx_GetSAIxPeriphCLKFreq:0000000000000128 $d - /tmp/cctsILmw.s:238 .text.RCCEx_PLLSAI1_Config:0000000000000000 $t - /tmp/cctsILmw.s:244 .text.RCCEx_PLLSAI1_Config:0000000000000000 RCCEx_PLLSAI1_Config - /tmp/cctsILmw.s:486 .text.RCCEx_PLLSAI1_Config:000000000000016c $d - /tmp/cctsILmw.s:491 .text.RCCEx_PLLSAI2_Config:0000000000000000 $t - /tmp/cctsILmw.s:497 .text.RCCEx_PLLSAI2_Config:0000000000000000 RCCEx_PLLSAI2_Config - /tmp/cctsILmw.s:721 .text.RCCEx_PLLSAI2_Config:0000000000000148 $d - /tmp/cctsILmw.s:726 .text.HAL_RCCEx_PeriphCLKConfig:0000000000000000 $t - /tmp/cctsILmw.s:733 .text.HAL_RCCEx_PeriphCLKConfig:0000000000000000 HAL_RCCEx_PeriphCLKConfig - /tmp/cctsILmw.s:1036 .text.HAL_RCCEx_PeriphCLKConfig:00000000000001bc $d - /tmp/cctsILmw.s:1041 .text.HAL_RCCEx_PeriphCLKConfig:00000000000001c4 $t - /tmp/cctsILmw.s:1361 .text.HAL_RCCEx_PeriphCLKConfig:0000000000000408 $d - /tmp/cctsILmw.s:1366 .text.HAL_RCCEx_GetPeriphCLKConfig:0000000000000000 $t - /tmp/cctsILmw.s:1373 .text.HAL_RCCEx_GetPeriphCLKConfig:0000000000000000 HAL_RCCEx_GetPeriphCLKConfig - /tmp/cctsILmw.s:1525 .text.HAL_RCCEx_GetPeriphCLKConfig:0000000000000134 $d - /tmp/cctsILmw.s:1531 .text.HAL_RCCEx_GetPeriphCLKFreq:0000000000000000 $t - /tmp/cctsILmw.s:1538 .text.HAL_RCCEx_GetPeriphCLKFreq:0000000000000000 HAL_RCCEx_GetPeriphCLKFreq - /tmp/cctsILmw.s:1976 .text.HAL_RCCEx_GetPeriphCLKFreq:00000000000002f2 $d - /tmp/cctsILmw.s:1980 .text.HAL_RCCEx_GetPeriphCLKFreq:00000000000002f6 $t - /tmp/cctsILmw.s:2009 .text.HAL_RCCEx_GetPeriphCLKFreq:0000000000000314 $d - /tmp/cctsILmw.s:2016 .text.HAL_RCCEx_GetPeriphCLKFreq:0000000000000324 $t - /tmp/cctsILmw.s:2037 .text.HAL_RCCEx_GetPeriphCLKFreq:000000000000034a $d - /tmp/cctsILmw.s:2050 .text.HAL_RCCEx_GetPeriphCLKFreq:0000000000000364 $t - /tmp/cctsILmw.s:2530 .text.HAL_RCCEx_GetPeriphCLKFreq:000000000000060c $d - /tmp/cctsILmw.s:2534 .text.HAL_RCCEx_GetPeriphCLKFreq:0000000000000610 $t - /tmp/cctsILmw.s:2869 .text.HAL_RCCEx_GetPeriphCLKFreq:000000000000074c $d - /tmp/cctsILmw.s:2876 .text.HAL_RCCEx_EnablePLLSAI1:0000000000000000 $t - /tmp/cctsILmw.s:2883 .text.HAL_RCCEx_EnablePLLSAI1:0000000000000000 HAL_RCCEx_EnablePLLSAI1 - /tmp/cctsILmw.s:2992 .text.HAL_RCCEx_EnablePLLSAI1:0000000000000090 $d - /tmp/cctsILmw.s:2998 .text.HAL_RCCEx_DisablePLLSAI1:0000000000000000 $t - /tmp/cctsILmw.s:3005 .text.HAL_RCCEx_DisablePLLSAI1:0000000000000000 HAL_RCCEx_DisablePLLSAI1 - /tmp/cctsILmw.s:3067 .text.HAL_RCCEx_DisablePLLSAI1:000000000000004c $d - /tmp/cctsILmw.s:3072 .text.HAL_RCCEx_EnablePLLSAI2:0000000000000000 $t - /tmp/cctsILmw.s:3079 .text.HAL_RCCEx_EnablePLLSAI2:0000000000000000 HAL_RCCEx_EnablePLLSAI2 - /tmp/cctsILmw.s:3184 .text.HAL_RCCEx_EnablePLLSAI2:0000000000000084 $d - /tmp/cctsILmw.s:3190 .text.HAL_RCCEx_DisablePLLSAI2:0000000000000000 $t - /tmp/cctsILmw.s:3197 .text.HAL_RCCEx_DisablePLLSAI2:0000000000000000 HAL_RCCEx_DisablePLLSAI2 - /tmp/cctsILmw.s:3259 .text.HAL_RCCEx_DisablePLLSAI2:000000000000004c $d - /tmp/cctsILmw.s:3264 .text.HAL_RCCEx_WakeUpStopCLKConfig:0000000000000000 $t - /tmp/cctsILmw.s:3271 .text.HAL_RCCEx_WakeUpStopCLKConfig:0000000000000000 HAL_RCCEx_WakeUpStopCLKConfig - /tmp/cctsILmw.s:3291 .text.HAL_RCCEx_WakeUpStopCLKConfig:0000000000000010 $d - /tmp/cctsILmw.s:3296 .text.HAL_RCCEx_StandbyMSIRangeConfig:0000000000000000 $t - /tmp/cctsILmw.s:3303 .text.HAL_RCCEx_StandbyMSIRangeConfig:0000000000000000 HAL_RCCEx_StandbyMSIRangeConfig - /tmp/cctsILmw.s:3323 .text.HAL_RCCEx_StandbyMSIRangeConfig:0000000000000014 $d - /tmp/cctsILmw.s:3328 .text.HAL_RCCEx_EnableLSECSS:0000000000000000 $t - /tmp/cctsILmw.s:3335 .text.HAL_RCCEx_EnableLSECSS:0000000000000000 HAL_RCCEx_EnableLSECSS - /tmp/cctsILmw.s:3352 .text.HAL_RCCEx_EnableLSECSS:0000000000000010 $d - /tmp/cctsILmw.s:3357 .text.HAL_RCCEx_DisableLSECSS:0000000000000000 $t - /tmp/cctsILmw.s:3364 .text.HAL_RCCEx_DisableLSECSS:0000000000000000 HAL_RCCEx_DisableLSECSS - /tmp/cctsILmw.s:3385 .text.HAL_RCCEx_DisableLSECSS:0000000000000018 $d - /tmp/cctsILmw.s:3390 .text.HAL_RCCEx_EnableLSECSS_IT:0000000000000000 $t - /tmp/cctsILmw.s:3397 .text.HAL_RCCEx_EnableLSECSS_IT:0000000000000000 HAL_RCCEx_EnableLSECSS_IT - /tmp/cctsILmw.s:3427 .text.HAL_RCCEx_EnableLSECSS_IT:000000000000002c $d - /tmp/cctsILmw.s:3432 .text.HAL_RCCEx_LSECSS_Callback:0000000000000000 $t - /tmp/cctsILmw.s:3439 .text.HAL_RCCEx_LSECSS_Callback:0000000000000000 HAL_RCCEx_LSECSS_Callback - /tmp/cctsILmw.s:3452 .text.HAL_RCCEx_LSECSS_IRQHandler:0000000000000000 $t - /tmp/cctsILmw.s:3459 .text.HAL_RCCEx_LSECSS_IRQHandler:0000000000000000 HAL_RCCEx_LSECSS_IRQHandler - /tmp/cctsILmw.s:3490 .text.HAL_RCCEx_LSECSS_IRQHandler:000000000000001c $d - /tmp/cctsILmw.s:3495 .text.HAL_RCCEx_EnableLSCO:0000000000000000 $t - /tmp/cctsILmw.s:3502 .text.HAL_RCCEx_EnableLSCO:0000000000000000 HAL_RCCEx_EnableLSCO - /tmp/cctsILmw.s:3626 .text.HAL_RCCEx_EnableLSCO:0000000000000098 $d - /tmp/cctsILmw.s:3632 .text.HAL_RCCEx_DisableLSCO:0000000000000000 $t - /tmp/cctsILmw.s:3639 .text.HAL_RCCEx_DisableLSCO:0000000000000000 HAL_RCCEx_DisableLSCO - /tmp/cctsILmw.s:3731 .text.HAL_RCCEx_DisableLSCO:0000000000000064 $d - /tmp/cctsILmw.s:3737 .text.HAL_RCCEx_EnableMSIPLLMode:0000000000000000 $t - /tmp/cctsILmw.s:3744 .text.HAL_RCCEx_EnableMSIPLLMode:0000000000000000 HAL_RCCEx_EnableMSIPLLMode - /tmp/cctsILmw.s:3761 .text.HAL_RCCEx_EnableMSIPLLMode:000000000000000c $d - /tmp/cctsILmw.s:3766 .text.HAL_RCCEx_DisableMSIPLLMode:0000000000000000 $t - /tmp/cctsILmw.s:3773 .text.HAL_RCCEx_DisableMSIPLLMode:0000000000000000 HAL_RCCEx_DisableMSIPLLMode - /tmp/cctsILmw.s:3790 .text.HAL_RCCEx_DisableMSIPLLMode:000000000000000c $d + /tmp/ccvGosA5.s:18 .text.RCCEx_GetSAIxPeriphCLKFreq:0000000000000000 $t + /tmp/ccvGosA5.s:24 .text.RCCEx_GetSAIxPeriphCLKFreq:0000000000000000 RCCEx_GetSAIxPeriphCLKFreq + /tmp/ccvGosA5.s:232 .text.RCCEx_GetSAIxPeriphCLKFreq:0000000000000128 $d + /tmp/ccvGosA5.s:238 .text.RCCEx_PLLSAI1_Config:0000000000000000 $t + /tmp/ccvGosA5.s:244 .text.RCCEx_PLLSAI1_Config:0000000000000000 RCCEx_PLLSAI1_Config + /tmp/ccvGosA5.s:486 .text.RCCEx_PLLSAI1_Config:000000000000016c $d + /tmp/ccvGosA5.s:491 .text.RCCEx_PLLSAI2_Config:0000000000000000 $t + /tmp/ccvGosA5.s:497 .text.RCCEx_PLLSAI2_Config:0000000000000000 RCCEx_PLLSAI2_Config + /tmp/ccvGosA5.s:721 .text.RCCEx_PLLSAI2_Config:0000000000000148 $d + /tmp/ccvGosA5.s:726 .text.HAL_RCCEx_PeriphCLKConfig:0000000000000000 $t + /tmp/ccvGosA5.s:733 .text.HAL_RCCEx_PeriphCLKConfig:0000000000000000 HAL_RCCEx_PeriphCLKConfig + /tmp/ccvGosA5.s:1036 .text.HAL_RCCEx_PeriphCLKConfig:00000000000001bc $d + /tmp/ccvGosA5.s:1041 .text.HAL_RCCEx_PeriphCLKConfig:00000000000001c4 $t + /tmp/ccvGosA5.s:1361 .text.HAL_RCCEx_PeriphCLKConfig:0000000000000408 $d + /tmp/ccvGosA5.s:1366 .text.HAL_RCCEx_GetPeriphCLKConfig:0000000000000000 $t + /tmp/ccvGosA5.s:1373 .text.HAL_RCCEx_GetPeriphCLKConfig:0000000000000000 HAL_RCCEx_GetPeriphCLKConfig + /tmp/ccvGosA5.s:1525 .text.HAL_RCCEx_GetPeriphCLKConfig:0000000000000134 $d + /tmp/ccvGosA5.s:1531 .text.HAL_RCCEx_GetPeriphCLKFreq:0000000000000000 $t + /tmp/ccvGosA5.s:1538 .text.HAL_RCCEx_GetPeriphCLKFreq:0000000000000000 HAL_RCCEx_GetPeriphCLKFreq + /tmp/ccvGosA5.s:1976 .text.HAL_RCCEx_GetPeriphCLKFreq:00000000000002f2 $d + /tmp/ccvGosA5.s:1980 .text.HAL_RCCEx_GetPeriphCLKFreq:00000000000002f6 $t + /tmp/ccvGosA5.s:2009 .text.HAL_RCCEx_GetPeriphCLKFreq:0000000000000314 $d + /tmp/ccvGosA5.s:2016 .text.HAL_RCCEx_GetPeriphCLKFreq:0000000000000324 $t + /tmp/ccvGosA5.s:2037 .text.HAL_RCCEx_GetPeriphCLKFreq:000000000000034a $d + /tmp/ccvGosA5.s:2050 .text.HAL_RCCEx_GetPeriphCLKFreq:0000000000000364 $t + /tmp/ccvGosA5.s:2530 .text.HAL_RCCEx_GetPeriphCLKFreq:000000000000060c $d + /tmp/ccvGosA5.s:2534 .text.HAL_RCCEx_GetPeriphCLKFreq:0000000000000610 $t + /tmp/ccvGosA5.s:2869 .text.HAL_RCCEx_GetPeriphCLKFreq:000000000000074c $d + /tmp/ccvGosA5.s:2876 .text.HAL_RCCEx_EnablePLLSAI1:0000000000000000 $t + /tmp/ccvGosA5.s:2883 .text.HAL_RCCEx_EnablePLLSAI1:0000000000000000 HAL_RCCEx_EnablePLLSAI1 + /tmp/ccvGosA5.s:2992 .text.HAL_RCCEx_EnablePLLSAI1:0000000000000090 $d + /tmp/ccvGosA5.s:2998 .text.HAL_RCCEx_DisablePLLSAI1:0000000000000000 $t + /tmp/ccvGosA5.s:3005 .text.HAL_RCCEx_DisablePLLSAI1:0000000000000000 HAL_RCCEx_DisablePLLSAI1 + /tmp/ccvGosA5.s:3067 .text.HAL_RCCEx_DisablePLLSAI1:000000000000004c $d + /tmp/ccvGosA5.s:3072 .text.HAL_RCCEx_EnablePLLSAI2:0000000000000000 $t + /tmp/ccvGosA5.s:3079 .text.HAL_RCCEx_EnablePLLSAI2:0000000000000000 HAL_RCCEx_EnablePLLSAI2 + /tmp/ccvGosA5.s:3184 .text.HAL_RCCEx_EnablePLLSAI2:0000000000000084 $d + /tmp/ccvGosA5.s:3190 .text.HAL_RCCEx_DisablePLLSAI2:0000000000000000 $t + /tmp/ccvGosA5.s:3197 .text.HAL_RCCEx_DisablePLLSAI2:0000000000000000 HAL_RCCEx_DisablePLLSAI2 + /tmp/ccvGosA5.s:3259 .text.HAL_RCCEx_DisablePLLSAI2:000000000000004c $d + /tmp/ccvGosA5.s:3264 .text.HAL_RCCEx_WakeUpStopCLKConfig:0000000000000000 $t + /tmp/ccvGosA5.s:3271 .text.HAL_RCCEx_WakeUpStopCLKConfig:0000000000000000 HAL_RCCEx_WakeUpStopCLKConfig + /tmp/ccvGosA5.s:3291 .text.HAL_RCCEx_WakeUpStopCLKConfig:0000000000000010 $d + /tmp/ccvGosA5.s:3296 .text.HAL_RCCEx_StandbyMSIRangeConfig:0000000000000000 $t + /tmp/ccvGosA5.s:3303 .text.HAL_RCCEx_StandbyMSIRangeConfig:0000000000000000 HAL_RCCEx_StandbyMSIRangeConfig + /tmp/ccvGosA5.s:3323 .text.HAL_RCCEx_StandbyMSIRangeConfig:0000000000000014 $d + /tmp/ccvGosA5.s:3328 .text.HAL_RCCEx_EnableLSECSS:0000000000000000 $t + /tmp/ccvGosA5.s:3335 .text.HAL_RCCEx_EnableLSECSS:0000000000000000 HAL_RCCEx_EnableLSECSS + /tmp/ccvGosA5.s:3352 .text.HAL_RCCEx_EnableLSECSS:0000000000000010 $d + /tmp/ccvGosA5.s:3357 .text.HAL_RCCEx_DisableLSECSS:0000000000000000 $t + /tmp/ccvGosA5.s:3364 .text.HAL_RCCEx_DisableLSECSS:0000000000000000 HAL_RCCEx_DisableLSECSS + /tmp/ccvGosA5.s:3385 .text.HAL_RCCEx_DisableLSECSS:0000000000000018 $d + /tmp/ccvGosA5.s:3390 .text.HAL_RCCEx_EnableLSECSS_IT:0000000000000000 $t + /tmp/ccvGosA5.s:3397 .text.HAL_RCCEx_EnableLSECSS_IT:0000000000000000 HAL_RCCEx_EnableLSECSS_IT + /tmp/ccvGosA5.s:3427 .text.HAL_RCCEx_EnableLSECSS_IT:000000000000002c $d + /tmp/ccvGosA5.s:3432 .text.HAL_RCCEx_LSECSS_Callback:0000000000000000 $t + /tmp/ccvGosA5.s:3439 .text.HAL_RCCEx_LSECSS_Callback:0000000000000000 HAL_RCCEx_LSECSS_Callback + /tmp/ccvGosA5.s:3452 .text.HAL_RCCEx_LSECSS_IRQHandler:0000000000000000 $t + /tmp/ccvGosA5.s:3459 .text.HAL_RCCEx_LSECSS_IRQHandler:0000000000000000 HAL_RCCEx_LSECSS_IRQHandler + /tmp/ccvGosA5.s:3490 .text.HAL_RCCEx_LSECSS_IRQHandler:000000000000001c $d + /tmp/ccvGosA5.s:3495 .text.HAL_RCCEx_EnableLSCO:0000000000000000 $t + /tmp/ccvGosA5.s:3502 .text.HAL_RCCEx_EnableLSCO:0000000000000000 HAL_RCCEx_EnableLSCO + /tmp/ccvGosA5.s:3626 .text.HAL_RCCEx_EnableLSCO:0000000000000098 $d + /tmp/ccvGosA5.s:3632 .text.HAL_RCCEx_DisableLSCO:0000000000000000 $t + /tmp/ccvGosA5.s:3639 .text.HAL_RCCEx_DisableLSCO:0000000000000000 HAL_RCCEx_DisableLSCO + /tmp/ccvGosA5.s:3731 .text.HAL_RCCEx_DisableLSCO:0000000000000064 $d + /tmp/ccvGosA5.s:3737 .text.HAL_RCCEx_EnableMSIPLLMode:0000000000000000 $t + /tmp/ccvGosA5.s:3744 .text.HAL_RCCEx_EnableMSIPLLMode:0000000000000000 HAL_RCCEx_EnableMSIPLLMode + /tmp/ccvGosA5.s:3761 .text.HAL_RCCEx_EnableMSIPLLMode:000000000000000c $d + /tmp/ccvGosA5.s:3766 .text.HAL_RCCEx_DisableMSIPLLMode:0000000000000000 $t + /tmp/ccvGosA5.s:3773 .text.HAL_RCCEx_DisableMSIPLLMode:0000000000000000 HAL_RCCEx_DisableMSIPLLMode + /tmp/ccvGosA5.s:3790 .text.HAL_RCCEx_DisableMSIPLLMode:000000000000000c $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rng.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rng.o.lst index 5ceda53..5b4615c 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rng.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rng.o.lst @@ -1682,34 +1682,34 @@ 699 .file 10 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_rng.c - /tmp/ccBNKgd6.s:18 .text.HAL_RNG_MspInit:0000000000000000 $t - /tmp/ccBNKgd6.s:25 .text.HAL_RNG_MspInit:0000000000000000 HAL_RNG_MspInit - /tmp/ccBNKgd6.s:40 .text.HAL_RNG_Init:0000000000000000 $t - /tmp/ccBNKgd6.s:47 .text.HAL_RNG_Init:0000000000000000 HAL_RNG_Init - /tmp/ccBNKgd6.s:150 .text.HAL_RNG_MspDeInit:0000000000000000 $t - /tmp/ccBNKgd6.s:157 .text.HAL_RNG_MspDeInit:0000000000000000 HAL_RNG_MspDeInit - /tmp/ccBNKgd6.s:171 .text.HAL_RNG_DeInit:0000000000000000 $t - /tmp/ccBNKgd6.s:178 .text.HAL_RNG_DeInit:0000000000000000 HAL_RNG_DeInit - /tmp/ccBNKgd6.s:229 .text.HAL_RNG_GenerateRandomNumber:0000000000000000 $t - /tmp/ccBNKgd6.s:236 .text.HAL_RNG_GenerateRandomNumber:0000000000000000 HAL_RNG_GenerateRandomNumber - /tmp/ccBNKgd6.s:340 .text.HAL_RNG_GenerateRandomNumber_IT:0000000000000000 $t - /tmp/ccBNKgd6.s:347 .text.HAL_RNG_GenerateRandomNumber_IT:0000000000000000 HAL_RNG_GenerateRandomNumber_IT - /tmp/ccBNKgd6.s:403 .text.HAL_RNG_GetRandomNumber:0000000000000000 $t - /tmp/ccBNKgd6.s:410 .text.HAL_RNG_GetRandomNumber:0000000000000000 HAL_RNG_GetRandomNumber - /tmp/ccBNKgd6.s:441 .text.HAL_RNG_GetRandomNumber_IT:0000000000000000 $t - /tmp/ccBNKgd6.s:448 .text.HAL_RNG_GetRandomNumber_IT:0000000000000000 HAL_RNG_GetRandomNumber_IT - /tmp/ccBNKgd6.s:487 .text.HAL_RNG_ReadLastRandomNumber:0000000000000000 $t - /tmp/ccBNKgd6.s:494 .text.HAL_RNG_ReadLastRandomNumber:0000000000000000 HAL_RNG_ReadLastRandomNumber - /tmp/ccBNKgd6.s:510 .text.HAL_RNG_ReadyDataCallback:0000000000000000 $t - /tmp/ccBNKgd6.s:517 .text.HAL_RNG_ReadyDataCallback:0000000000000000 HAL_RNG_ReadyDataCallback - /tmp/ccBNKgd6.s:531 .text.HAL_RNG_ErrorCallback:0000000000000000 $t - /tmp/ccBNKgd6.s:538 .text.HAL_RNG_ErrorCallback:0000000000000000 HAL_RNG_ErrorCallback - /tmp/ccBNKgd6.s:552 .text.HAL_RNG_IRQHandler:0000000000000000 $t - /tmp/ccBNKgd6.s:559 .text.HAL_RNG_IRQHandler:0000000000000000 HAL_RNG_IRQHandler - /tmp/ccBNKgd6.s:642 .text.HAL_RNG_GetState:0000000000000000 $t - /tmp/ccBNKgd6.s:649 .text.HAL_RNG_GetState:0000000000000000 HAL_RNG_GetState - /tmp/ccBNKgd6.s:666 .text.HAL_RNG_GetError:0000000000000000 $t - /tmp/ccBNKgd6.s:673 .text.HAL_RNG_GetError:0000000000000000 HAL_RNG_GetError + /tmp/ccTBmu8G.s:18 .text.HAL_RNG_MspInit:0000000000000000 $t + /tmp/ccTBmu8G.s:25 .text.HAL_RNG_MspInit:0000000000000000 HAL_RNG_MspInit + /tmp/ccTBmu8G.s:40 .text.HAL_RNG_Init:0000000000000000 $t + /tmp/ccTBmu8G.s:47 .text.HAL_RNG_Init:0000000000000000 HAL_RNG_Init + /tmp/ccTBmu8G.s:150 .text.HAL_RNG_MspDeInit:0000000000000000 $t + /tmp/ccTBmu8G.s:157 .text.HAL_RNG_MspDeInit:0000000000000000 HAL_RNG_MspDeInit + /tmp/ccTBmu8G.s:171 .text.HAL_RNG_DeInit:0000000000000000 $t + /tmp/ccTBmu8G.s:178 .text.HAL_RNG_DeInit:0000000000000000 HAL_RNG_DeInit + /tmp/ccTBmu8G.s:229 .text.HAL_RNG_GenerateRandomNumber:0000000000000000 $t + /tmp/ccTBmu8G.s:236 .text.HAL_RNG_GenerateRandomNumber:0000000000000000 HAL_RNG_GenerateRandomNumber + /tmp/ccTBmu8G.s:340 .text.HAL_RNG_GenerateRandomNumber_IT:0000000000000000 $t + /tmp/ccTBmu8G.s:347 .text.HAL_RNG_GenerateRandomNumber_IT:0000000000000000 HAL_RNG_GenerateRandomNumber_IT + /tmp/ccTBmu8G.s:403 .text.HAL_RNG_GetRandomNumber:0000000000000000 $t + /tmp/ccTBmu8G.s:410 .text.HAL_RNG_GetRandomNumber:0000000000000000 HAL_RNG_GetRandomNumber + /tmp/ccTBmu8G.s:441 .text.HAL_RNG_GetRandomNumber_IT:0000000000000000 $t + /tmp/ccTBmu8G.s:448 .text.HAL_RNG_GetRandomNumber_IT:0000000000000000 HAL_RNG_GetRandomNumber_IT + /tmp/ccTBmu8G.s:487 .text.HAL_RNG_ReadLastRandomNumber:0000000000000000 $t + /tmp/ccTBmu8G.s:494 .text.HAL_RNG_ReadLastRandomNumber:0000000000000000 HAL_RNG_ReadLastRandomNumber + /tmp/ccTBmu8G.s:510 .text.HAL_RNG_ReadyDataCallback:0000000000000000 $t + /tmp/ccTBmu8G.s:517 .text.HAL_RNG_ReadyDataCallback:0000000000000000 HAL_RNG_ReadyDataCallback + /tmp/ccTBmu8G.s:531 .text.HAL_RNG_ErrorCallback:0000000000000000 $t + /tmp/ccTBmu8G.s:538 .text.HAL_RNG_ErrorCallback:0000000000000000 HAL_RNG_ErrorCallback + /tmp/ccTBmu8G.s:552 .text.HAL_RNG_IRQHandler:0000000000000000 $t + /tmp/ccTBmu8G.s:559 .text.HAL_RNG_IRQHandler:0000000000000000 HAL_RNG_IRQHandler + /tmp/ccTBmu8G.s:642 .text.HAL_RNG_GetState:0000000000000000 $t + /tmp/ccTBmu8G.s:649 .text.HAL_RNG_GetState:0000000000000000 HAL_RNG_GetState + /tmp/ccTBmu8G.s:666 .text.HAL_RNG_GetError:0000000000000000 $t + /tmp/ccTBmu8G.s:673 .text.HAL_RNG_GetError:0000000000000000 HAL_RNG_GetError .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rtc.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rtc.o.lst index 7dfe63a..b0b70aa 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rtc.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rtc.o.lst @@ -5318,67 +5318,67 @@ 2334 .file 10 "../system/include/stm32l4-hal-driver/stm32l4xx_hal_rtc_ex.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_rtc.c - /tmp/ccQGCNYm.s:18 .text.HAL_RTC_MspInit:0000000000000000 $t - /tmp/ccQGCNYm.s:25 .text.HAL_RTC_MspInit:0000000000000000 HAL_RTC_MspInit - /tmp/ccQGCNYm.s:40 .text.HAL_RTC_MspDeInit:0000000000000000 $t - /tmp/ccQGCNYm.s:47 .text.HAL_RTC_MspDeInit:0000000000000000 HAL_RTC_MspDeInit - /tmp/ccQGCNYm.s:61 .text.HAL_RTC_DeactivateAlarm:0000000000000000 $t - /tmp/ccQGCNYm.s:68 .text.HAL_RTC_DeactivateAlarm:0000000000000000 HAL_RTC_DeactivateAlarm - /tmp/ccQGCNYm.s:212 .text.HAL_RTC_DST_Add1Hour:0000000000000000 $t - /tmp/ccQGCNYm.s:219 .text.HAL_RTC_DST_Add1Hour:0000000000000000 HAL_RTC_DST_Add1Hour - /tmp/ccQGCNYm.s:248 .text.HAL_RTC_DST_Add1Hour:0000000000000020 $d - /tmp/ccQGCNYm.s:253 .text.HAL_RTC_DST_Sub1Hour:0000000000000000 $t - /tmp/ccQGCNYm.s:260 .text.HAL_RTC_DST_Sub1Hour:0000000000000000 HAL_RTC_DST_Sub1Hour - /tmp/ccQGCNYm.s:289 .text.HAL_RTC_DST_Sub1Hour:0000000000000020 $d - /tmp/ccQGCNYm.s:294 .text.HAL_RTC_DST_SetStoreOperation:0000000000000000 $t - /tmp/ccQGCNYm.s:301 .text.HAL_RTC_DST_SetStoreOperation:0000000000000000 HAL_RTC_DST_SetStoreOperation - /tmp/ccQGCNYm.s:330 .text.HAL_RTC_DST_SetStoreOperation:0000000000000020 $d - /tmp/ccQGCNYm.s:335 .text.HAL_RTC_DST_ClearStoreOperation:0000000000000000 $t - /tmp/ccQGCNYm.s:342 .text.HAL_RTC_DST_ClearStoreOperation:0000000000000000 HAL_RTC_DST_ClearStoreOperation - /tmp/ccQGCNYm.s:371 .text.HAL_RTC_DST_ClearStoreOperation:0000000000000020 $d - /tmp/ccQGCNYm.s:376 .text.HAL_RTC_DST_ReadStoreOperation:0000000000000000 $t - /tmp/ccQGCNYm.s:383 .text.HAL_RTC_DST_ReadStoreOperation:0000000000000000 HAL_RTC_DST_ReadStoreOperation - /tmp/ccQGCNYm.s:401 .text.HAL_RTC_DST_ReadStoreOperation:000000000000000c $d - /tmp/ccQGCNYm.s:406 .text.HAL_RTC_AlarmAEventCallback:0000000000000000 $t - /tmp/ccQGCNYm.s:413 .text.HAL_RTC_AlarmAEventCallback:0000000000000000 HAL_RTC_AlarmAEventCallback - /tmp/ccQGCNYm.s:427 .text.HAL_RTC_AlarmIRQHandler:0000000000000000 $t - /tmp/ccQGCNYm.s:434 .text.HAL_RTC_AlarmIRQHandler:0000000000000000 HAL_RTC_AlarmIRQHandler - /tmp/ccQGCNYm.s:501 .text.HAL_RTC_AlarmIRQHandler:000000000000005c $d - /tmp/ccQGCNYm.s:506 .text.HAL_RTC_PollForAlarmAEvent:0000000000000000 $t - /tmp/ccQGCNYm.s:513 .text.HAL_RTC_PollForAlarmAEvent:0000000000000000 HAL_RTC_PollForAlarmAEvent - /tmp/ccQGCNYm.s:575 .text.HAL_RTC_WaitForSynchro:0000000000000000 $t - /tmp/ccQGCNYm.s:582 .text.HAL_RTC_WaitForSynchro:0000000000000000 HAL_RTC_WaitForSynchro - /tmp/ccQGCNYm.s:631 .text.HAL_RTC_GetState:0000000000000000 $t - /tmp/ccQGCNYm.s:638 .text.HAL_RTC_GetState:0000000000000000 HAL_RTC_GetState - /tmp/ccQGCNYm.s:655 .text.RTC_EnterInitMode:0000000000000000 $t - /tmp/ccQGCNYm.s:662 .text.RTC_EnterInitMode:0000000000000000 RTC_EnterInitMode - /tmp/ccQGCNYm.s:726 .text.RTC_ExitInitMode:0000000000000000 $t - /tmp/ccQGCNYm.s:733 .text.RTC_ExitInitMode:0000000000000000 RTC_ExitInitMode - /tmp/ccQGCNYm.s:796 .text.RTC_ExitInitMode:000000000000004c $d - /tmp/ccQGCNYm.s:801 .text.HAL_RTC_Init:0000000000000000 $t - /tmp/ccQGCNYm.s:808 .text.HAL_RTC_Init:0000000000000000 HAL_RTC_Init - /tmp/ccQGCNYm.s:929 .text.HAL_RTC_DeInit:0000000000000000 $t - /tmp/ccQGCNYm.s:936 .text.HAL_RTC_DeInit:0000000000000000 HAL_RTC_DeInit - /tmp/ccQGCNYm.s:1051 .text.HAL_RTC_DeInit:0000000000000090 $d - /tmp/ccQGCNYm.s:1056 .text.RTC_ByteToBcd2:0000000000000000 $t - /tmp/ccQGCNYm.s:1063 .text.RTC_ByteToBcd2:0000000000000000 RTC_ByteToBcd2 - /tmp/ccQGCNYm.s:1101 .text.HAL_RTC_SetTime:0000000000000000 $t - /tmp/ccQGCNYm.s:1108 .text.HAL_RTC_SetTime:0000000000000000 HAL_RTC_SetTime - /tmp/ccQGCNYm.s:1275 .text.HAL_RTC_SetDate:0000000000000000 $t - /tmp/ccQGCNYm.s:1282 .text.HAL_RTC_SetDate:0000000000000000 HAL_RTC_SetDate - /tmp/ccQGCNYm.s:1430 .text.HAL_RTC_SetAlarm:0000000000000000 $t - /tmp/ccQGCNYm.s:1437 .text.HAL_RTC_SetAlarm:0000000000000000 HAL_RTC_SetAlarm - /tmp/ccQGCNYm.s:1719 .text.HAL_RTC_SetAlarm_IT:0000000000000000 $t - /tmp/ccQGCNYm.s:1726 .text.HAL_RTC_SetAlarm_IT:0000000000000000 HAL_RTC_SetAlarm_IT - /tmp/ccQGCNYm.s:2016 .text.HAL_RTC_SetAlarm_IT:000000000000019c $d - /tmp/ccQGCNYm.s:2021 .text.RTC_Bcd2ToByte:0000000000000000 $t - /tmp/ccQGCNYm.s:2028 .text.RTC_Bcd2ToByte:0000000000000000 RTC_Bcd2ToByte - /tmp/ccQGCNYm.s:2052 .text.HAL_RTC_GetTime:0000000000000000 $t - /tmp/ccQGCNYm.s:2059 .text.HAL_RTC_GetTime:0000000000000000 HAL_RTC_GetTime - /tmp/ccQGCNYm.s:2127 .text.HAL_RTC_GetDate:0000000000000000 $t - /tmp/ccQGCNYm.s:2134 .text.HAL_RTC_GetDate:0000000000000000 HAL_RTC_GetDate - /tmp/ccQGCNYm.s:2193 .text.HAL_RTC_GetAlarm:0000000000000000 $t - /tmp/ccQGCNYm.s:2200 .text.HAL_RTC_GetAlarm:0000000000000000 HAL_RTC_GetAlarm + /tmp/ccfV2KsU.s:18 .text.HAL_RTC_MspInit:0000000000000000 $t + /tmp/ccfV2KsU.s:25 .text.HAL_RTC_MspInit:0000000000000000 HAL_RTC_MspInit + /tmp/ccfV2KsU.s:40 .text.HAL_RTC_MspDeInit:0000000000000000 $t + /tmp/ccfV2KsU.s:47 .text.HAL_RTC_MspDeInit:0000000000000000 HAL_RTC_MspDeInit + /tmp/ccfV2KsU.s:61 .text.HAL_RTC_DeactivateAlarm:0000000000000000 $t + /tmp/ccfV2KsU.s:68 .text.HAL_RTC_DeactivateAlarm:0000000000000000 HAL_RTC_DeactivateAlarm + /tmp/ccfV2KsU.s:212 .text.HAL_RTC_DST_Add1Hour:0000000000000000 $t + /tmp/ccfV2KsU.s:219 .text.HAL_RTC_DST_Add1Hour:0000000000000000 HAL_RTC_DST_Add1Hour + /tmp/ccfV2KsU.s:248 .text.HAL_RTC_DST_Add1Hour:0000000000000020 $d + /tmp/ccfV2KsU.s:253 .text.HAL_RTC_DST_Sub1Hour:0000000000000000 $t + /tmp/ccfV2KsU.s:260 .text.HAL_RTC_DST_Sub1Hour:0000000000000000 HAL_RTC_DST_Sub1Hour + /tmp/ccfV2KsU.s:289 .text.HAL_RTC_DST_Sub1Hour:0000000000000020 $d + /tmp/ccfV2KsU.s:294 .text.HAL_RTC_DST_SetStoreOperation:0000000000000000 $t + /tmp/ccfV2KsU.s:301 .text.HAL_RTC_DST_SetStoreOperation:0000000000000000 HAL_RTC_DST_SetStoreOperation + /tmp/ccfV2KsU.s:330 .text.HAL_RTC_DST_SetStoreOperation:0000000000000020 $d + /tmp/ccfV2KsU.s:335 .text.HAL_RTC_DST_ClearStoreOperation:0000000000000000 $t + /tmp/ccfV2KsU.s:342 .text.HAL_RTC_DST_ClearStoreOperation:0000000000000000 HAL_RTC_DST_ClearStoreOperation + /tmp/ccfV2KsU.s:371 .text.HAL_RTC_DST_ClearStoreOperation:0000000000000020 $d + /tmp/ccfV2KsU.s:376 .text.HAL_RTC_DST_ReadStoreOperation:0000000000000000 $t + /tmp/ccfV2KsU.s:383 .text.HAL_RTC_DST_ReadStoreOperation:0000000000000000 HAL_RTC_DST_ReadStoreOperation + /tmp/ccfV2KsU.s:401 .text.HAL_RTC_DST_ReadStoreOperation:000000000000000c $d + /tmp/ccfV2KsU.s:406 .text.HAL_RTC_AlarmAEventCallback:0000000000000000 $t + /tmp/ccfV2KsU.s:413 .text.HAL_RTC_AlarmAEventCallback:0000000000000000 HAL_RTC_AlarmAEventCallback + /tmp/ccfV2KsU.s:427 .text.HAL_RTC_AlarmIRQHandler:0000000000000000 $t + /tmp/ccfV2KsU.s:434 .text.HAL_RTC_AlarmIRQHandler:0000000000000000 HAL_RTC_AlarmIRQHandler + /tmp/ccfV2KsU.s:501 .text.HAL_RTC_AlarmIRQHandler:000000000000005c $d + /tmp/ccfV2KsU.s:506 .text.HAL_RTC_PollForAlarmAEvent:0000000000000000 $t + /tmp/ccfV2KsU.s:513 .text.HAL_RTC_PollForAlarmAEvent:0000000000000000 HAL_RTC_PollForAlarmAEvent + /tmp/ccfV2KsU.s:575 .text.HAL_RTC_WaitForSynchro:0000000000000000 $t + /tmp/ccfV2KsU.s:582 .text.HAL_RTC_WaitForSynchro:0000000000000000 HAL_RTC_WaitForSynchro + /tmp/ccfV2KsU.s:631 .text.HAL_RTC_GetState:0000000000000000 $t + /tmp/ccfV2KsU.s:638 .text.HAL_RTC_GetState:0000000000000000 HAL_RTC_GetState + /tmp/ccfV2KsU.s:655 .text.RTC_EnterInitMode:0000000000000000 $t + /tmp/ccfV2KsU.s:662 .text.RTC_EnterInitMode:0000000000000000 RTC_EnterInitMode + /tmp/ccfV2KsU.s:726 .text.RTC_ExitInitMode:0000000000000000 $t + /tmp/ccfV2KsU.s:733 .text.RTC_ExitInitMode:0000000000000000 RTC_ExitInitMode + /tmp/ccfV2KsU.s:796 .text.RTC_ExitInitMode:000000000000004c $d + /tmp/ccfV2KsU.s:801 .text.HAL_RTC_Init:0000000000000000 $t + /tmp/ccfV2KsU.s:808 .text.HAL_RTC_Init:0000000000000000 HAL_RTC_Init + /tmp/ccfV2KsU.s:929 .text.HAL_RTC_DeInit:0000000000000000 $t + /tmp/ccfV2KsU.s:936 .text.HAL_RTC_DeInit:0000000000000000 HAL_RTC_DeInit + /tmp/ccfV2KsU.s:1051 .text.HAL_RTC_DeInit:0000000000000090 $d + /tmp/ccfV2KsU.s:1056 .text.RTC_ByteToBcd2:0000000000000000 $t + /tmp/ccfV2KsU.s:1063 .text.RTC_ByteToBcd2:0000000000000000 RTC_ByteToBcd2 + /tmp/ccfV2KsU.s:1101 .text.HAL_RTC_SetTime:0000000000000000 $t + /tmp/ccfV2KsU.s:1108 .text.HAL_RTC_SetTime:0000000000000000 HAL_RTC_SetTime + /tmp/ccfV2KsU.s:1275 .text.HAL_RTC_SetDate:0000000000000000 $t + /tmp/ccfV2KsU.s:1282 .text.HAL_RTC_SetDate:0000000000000000 HAL_RTC_SetDate + /tmp/ccfV2KsU.s:1430 .text.HAL_RTC_SetAlarm:0000000000000000 $t + /tmp/ccfV2KsU.s:1437 .text.HAL_RTC_SetAlarm:0000000000000000 HAL_RTC_SetAlarm + /tmp/ccfV2KsU.s:1719 .text.HAL_RTC_SetAlarm_IT:0000000000000000 $t + /tmp/ccfV2KsU.s:1726 .text.HAL_RTC_SetAlarm_IT:0000000000000000 HAL_RTC_SetAlarm_IT + /tmp/ccfV2KsU.s:2016 .text.HAL_RTC_SetAlarm_IT:000000000000019c $d + /tmp/ccfV2KsU.s:2021 .text.RTC_Bcd2ToByte:0000000000000000 $t + /tmp/ccfV2KsU.s:2028 .text.RTC_Bcd2ToByte:0000000000000000 RTC_Bcd2ToByte + /tmp/ccfV2KsU.s:2052 .text.HAL_RTC_GetTime:0000000000000000 $t + /tmp/ccfV2KsU.s:2059 .text.HAL_RTC_GetTime:0000000000000000 HAL_RTC_GetTime + /tmp/ccfV2KsU.s:2127 .text.HAL_RTC_GetDate:0000000000000000 $t + /tmp/ccfV2KsU.s:2134 .text.HAL_RTC_GetDate:0000000000000000 HAL_RTC_GetDate + /tmp/ccfV2KsU.s:2193 .text.HAL_RTC_GetAlarm:0000000000000000 $t + /tmp/ccfV2KsU.s:2200 .text.HAL_RTC_GetAlarm:0000000000000000 HAL_RTC_GetAlarm .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rtc_ex.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rtc_ex.o.lst index 0b141c9..b324678 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rtc_ex.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_rtc_ex.o.lst @@ -5352,87 +5352,87 @@ 2924 .file 10 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_rtc_ex.c - /tmp/ccpAdMeU.s:18 .text.HAL_RTCEx_SetTimeStamp:0000000000000000 $t - /tmp/ccpAdMeU.s:25 .text.HAL_RTCEx_SetTimeStamp:0000000000000000 HAL_RTCEx_SetTimeStamp - /tmp/ccpAdMeU.s:102 .text.HAL_RTCEx_SetTimeStamp_IT:0000000000000000 $t - /tmp/ccpAdMeU.s:109 .text.HAL_RTCEx_SetTimeStamp_IT:0000000000000000 HAL_RTCEx_SetTimeStamp_IT - /tmp/ccpAdMeU.s:198 .text.HAL_RTCEx_SetTimeStamp_IT:0000000000000074 $d - /tmp/ccpAdMeU.s:203 .text.HAL_RTCEx_DeactivateTimeStamp:0000000000000000 $t - /tmp/ccpAdMeU.s:210 .text.HAL_RTCEx_DeactivateTimeStamp:0000000000000000 HAL_RTCEx_DeactivateTimeStamp - /tmp/ccpAdMeU.s:274 .text.HAL_RTCEx_SetInternalTimeStamp:0000000000000000 $t - /tmp/ccpAdMeU.s:281 .text.HAL_RTCEx_SetInternalTimeStamp:0000000000000000 HAL_RTCEx_SetInternalTimeStamp - /tmp/ccpAdMeU.s:335 .text.HAL_RTCEx_DeactivateInternalTimeStamp:0000000000000000 $t - /tmp/ccpAdMeU.s:342 .text.HAL_RTCEx_DeactivateInternalTimeStamp:0000000000000000 HAL_RTCEx_DeactivateInternalTimeStamp - /tmp/ccpAdMeU.s:396 .text.HAL_RTCEx_GetTimeStamp:0000000000000000 $t - /tmp/ccpAdMeU.s:403 .text.HAL_RTCEx_GetTimeStamp:0000000000000000 HAL_RTCEx_GetTimeStamp - /tmp/ccpAdMeU.s:517 .text.HAL_RTCEx_GetTimeStamp:0000000000000098 $d - /tmp/ccpAdMeU.s:522 .text.HAL_RTCEx_TimeStampEventCallback:0000000000000000 $t - /tmp/ccpAdMeU.s:529 .text.HAL_RTCEx_TimeStampEventCallback:0000000000000000 HAL_RTCEx_TimeStampEventCallback - /tmp/ccpAdMeU.s:543 .text.HAL_RTCEx_PollForTimeStampEvent:0000000000000000 $t - /tmp/ccpAdMeU.s:550 .text.HAL_RTCEx_PollForTimeStampEvent:0000000000000000 HAL_RTCEx_PollForTimeStampEvent - /tmp/ccpAdMeU.s:623 .text.HAL_RTCEx_SetWakeUpTimer:0000000000000000 $t - /tmp/ccpAdMeU.s:630 .text.HAL_RTCEx_SetWakeUpTimer:0000000000000000 HAL_RTCEx_SetWakeUpTimer - /tmp/ccpAdMeU.s:747 .text.HAL_RTCEx_SetWakeUpTimer_IT:0000000000000000 $t - /tmp/ccpAdMeU.s:754 .text.HAL_RTCEx_SetWakeUpTimer_IT:0000000000000000 HAL_RTCEx_SetWakeUpTimer_IT - /tmp/ccpAdMeU.s:890 .text.HAL_RTCEx_SetWakeUpTimer_IT:00000000000000c8 $d - /tmp/ccpAdMeU.s:895 .text.HAL_RTCEx_DeactivateWakeUpTimer:0000000000000000 $t - /tmp/ccpAdMeU.s:902 .text.HAL_RTCEx_DeactivateWakeUpTimer:0000000000000000 HAL_RTCEx_DeactivateWakeUpTimer - /tmp/ccpAdMeU.s:996 .text.HAL_RTCEx_GetWakeUpTimer:0000000000000000 $t - /tmp/ccpAdMeU.s:1003 .text.HAL_RTCEx_GetWakeUpTimer:0000000000000000 HAL_RTCEx_GetWakeUpTimer - /tmp/ccpAdMeU.s:1022 .text.HAL_RTCEx_WakeUpTimerEventCallback:0000000000000000 $t - /tmp/ccpAdMeU.s:1029 .text.HAL_RTCEx_WakeUpTimerEventCallback:0000000000000000 HAL_RTCEx_WakeUpTimerEventCallback - /tmp/ccpAdMeU.s:1043 .text.HAL_RTCEx_WakeUpTimerIRQHandler:0000000000000000 $t - /tmp/ccpAdMeU.s:1050 .text.HAL_RTCEx_WakeUpTimerIRQHandler:0000000000000000 HAL_RTCEx_WakeUpTimerIRQHandler - /tmp/ccpAdMeU.s:1092 .text.HAL_RTCEx_WakeUpTimerIRQHandler:0000000000000030 $d - /tmp/ccpAdMeU.s:1097 .text.HAL_RTCEx_PollForWakeUpTimerEvent:0000000000000000 $t - /tmp/ccpAdMeU.s:1104 .text.HAL_RTCEx_PollForWakeUpTimerEvent:0000000000000000 HAL_RTCEx_PollForWakeUpTimerEvent - /tmp/ccpAdMeU.s:1166 .text.HAL_RTCEx_SetSmoothCalib:0000000000000000 $t - /tmp/ccpAdMeU.s:1173 .text.HAL_RTCEx_SetSmoothCalib:0000000000000000 HAL_RTCEx_SetSmoothCalib - /tmp/ccpAdMeU.s:1283 .text.HAL_RTCEx_SetSynchroShift:0000000000000000 $t - /tmp/ccpAdMeU.s:1290 .text.HAL_RTCEx_SetSynchroShift:0000000000000000 HAL_RTCEx_SetSynchroShift - /tmp/ccpAdMeU.s:1429 .text.HAL_RTCEx_SetCalibrationOutPut:0000000000000000 $t - /tmp/ccpAdMeU.s:1436 .text.HAL_RTCEx_SetCalibrationOutPut:0000000000000000 HAL_RTCEx_SetCalibrationOutPut - /tmp/ccpAdMeU.s:1509 .text.HAL_RTCEx_DeactivateCalibrationOutPut:0000000000000000 $t - /tmp/ccpAdMeU.s:1516 .text.HAL_RTCEx_DeactivateCalibrationOutPut:0000000000000000 HAL_RTCEx_DeactivateCalibrationOutPut - /tmp/ccpAdMeU.s:1570 .text.HAL_RTCEx_SetRefClock:0000000000000000 $t - /tmp/ccpAdMeU.s:1577 .text.HAL_RTCEx_SetRefClock:0000000000000000 HAL_RTCEx_SetRefClock - /tmp/ccpAdMeU.s:1661 .text.HAL_RTCEx_DeactivateRefClock:0000000000000000 $t - /tmp/ccpAdMeU.s:1668 .text.HAL_RTCEx_DeactivateRefClock:0000000000000000 HAL_RTCEx_DeactivateRefClock - /tmp/ccpAdMeU.s:1752 .text.HAL_RTCEx_EnableBypassShadow:0000000000000000 $t - /tmp/ccpAdMeU.s:1759 .text.HAL_RTCEx_EnableBypassShadow:0000000000000000 HAL_RTCEx_EnableBypassShadow - /tmp/ccpAdMeU.s:1813 .text.HAL_RTCEx_DisableBypassShadow:0000000000000000 $t - /tmp/ccpAdMeU.s:1820 .text.HAL_RTCEx_DisableBypassShadow:0000000000000000 HAL_RTCEx_DisableBypassShadow - /tmp/ccpAdMeU.s:1873 .text.HAL_RTCEx_DisableBypassShadow:0000000000000044 $d - /tmp/ccpAdMeU.s:1878 .text.HAL_RTCEx_AlarmBEventCallback:0000000000000000 $t - /tmp/ccpAdMeU.s:1885 .text.HAL_RTCEx_AlarmBEventCallback:0000000000000000 HAL_RTCEx_AlarmBEventCallback - /tmp/ccpAdMeU.s:1899 .text.HAL_RTCEx_PollForAlarmBEvent:0000000000000000 $t - /tmp/ccpAdMeU.s:1906 .text.HAL_RTCEx_PollForAlarmBEvent:0000000000000000 HAL_RTCEx_PollForAlarmBEvent - /tmp/ccpAdMeU.s:1968 .text.HAL_RTCEx_SetTamper:0000000000000000 $t - /tmp/ccpAdMeU.s:1975 .text.HAL_RTCEx_SetTamper:0000000000000000 HAL_RTCEx_SetTamper - /tmp/ccpAdMeU.s:2157 .text.HAL_RTCEx_SetTamper_IT:0000000000000000 $t - /tmp/ccpAdMeU.s:2164 .text.HAL_RTCEx_SetTamper_IT:0000000000000000 HAL_RTCEx_SetTamper_IT - /tmp/ccpAdMeU.s:2360 .text.HAL_RTCEx_SetTamper_IT:0000000000000100 $d - /tmp/ccpAdMeU.s:2365 .text.HAL_RTCEx_DeactivateTamper:0000000000000000 $t - /tmp/ccpAdMeU.s:2372 .text.HAL_RTCEx_DeactivateTamper:0000000000000000 HAL_RTCEx_DeactivateTamper - /tmp/ccpAdMeU.s:2446 .text.HAL_RTCEx_PollForTamper1Event:0000000000000000 $t - /tmp/ccpAdMeU.s:2453 .text.HAL_RTCEx_PollForTamper1Event:0000000000000000 HAL_RTCEx_PollForTamper1Event - /tmp/ccpAdMeU.s:2515 .text.HAL_RTCEx_PollForTamper2Event:0000000000000000 $t - /tmp/ccpAdMeU.s:2522 .text.HAL_RTCEx_PollForTamper2Event:0000000000000000 HAL_RTCEx_PollForTamper2Event - /tmp/ccpAdMeU.s:2584 .text.HAL_RTCEx_PollForTamper3Event:0000000000000000 $t - /tmp/ccpAdMeU.s:2591 .text.HAL_RTCEx_PollForTamper3Event:0000000000000000 HAL_RTCEx_PollForTamper3Event - /tmp/ccpAdMeU.s:2655 .text.HAL_RTCEx_Tamper1EventCallback:0000000000000000 $t - /tmp/ccpAdMeU.s:2662 .text.HAL_RTCEx_Tamper1EventCallback:0000000000000000 HAL_RTCEx_Tamper1EventCallback - /tmp/ccpAdMeU.s:2676 .text.HAL_RTCEx_Tamper2EventCallback:0000000000000000 $t - /tmp/ccpAdMeU.s:2683 .text.HAL_RTCEx_Tamper2EventCallback:0000000000000000 HAL_RTCEx_Tamper2EventCallback - /tmp/ccpAdMeU.s:2697 .text.HAL_RTCEx_Tamper3EventCallback:0000000000000000 $t - /tmp/ccpAdMeU.s:2704 .text.HAL_RTCEx_Tamper3EventCallback:0000000000000000 HAL_RTCEx_Tamper3EventCallback - /tmp/ccpAdMeU.s:2718 .text.HAL_RTCEx_TamperTimeStampIRQHandler:0000000000000000 $t - /tmp/ccpAdMeU.s:2725 .text.HAL_RTCEx_TamperTimeStampIRQHandler:0000000000000000 HAL_RTCEx_TamperTimeStampIRQHandler - /tmp/ccpAdMeU.s:2841 .text.HAL_RTCEx_TamperTimeStampIRQHandler:00000000000000b0 $d - /tmp/ccpAdMeU.s:2849 .text.HAL_RTCEx_BKUPWrite:0000000000000000 $t - /tmp/ccpAdMeU.s:2856 .text.HAL_RTCEx_BKUPWrite:0000000000000000 HAL_RTCEx_BKUPWrite - /tmp/ccpAdMeU.s:2887 .text.HAL_RTCEx_BKUPRead:0000000000000000 $t - /tmp/ccpAdMeU.s:2894 .text.HAL_RTCEx_BKUPRead:0000000000000000 HAL_RTCEx_BKUPRead + /tmp/ccTUQeFx.s:18 .text.HAL_RTCEx_SetTimeStamp:0000000000000000 $t + /tmp/ccTUQeFx.s:25 .text.HAL_RTCEx_SetTimeStamp:0000000000000000 HAL_RTCEx_SetTimeStamp + /tmp/ccTUQeFx.s:102 .text.HAL_RTCEx_SetTimeStamp_IT:0000000000000000 $t + /tmp/ccTUQeFx.s:109 .text.HAL_RTCEx_SetTimeStamp_IT:0000000000000000 HAL_RTCEx_SetTimeStamp_IT + /tmp/ccTUQeFx.s:198 .text.HAL_RTCEx_SetTimeStamp_IT:0000000000000074 $d + /tmp/ccTUQeFx.s:203 .text.HAL_RTCEx_DeactivateTimeStamp:0000000000000000 $t + /tmp/ccTUQeFx.s:210 .text.HAL_RTCEx_DeactivateTimeStamp:0000000000000000 HAL_RTCEx_DeactivateTimeStamp + /tmp/ccTUQeFx.s:274 .text.HAL_RTCEx_SetInternalTimeStamp:0000000000000000 $t + /tmp/ccTUQeFx.s:281 .text.HAL_RTCEx_SetInternalTimeStamp:0000000000000000 HAL_RTCEx_SetInternalTimeStamp + /tmp/ccTUQeFx.s:335 .text.HAL_RTCEx_DeactivateInternalTimeStamp:0000000000000000 $t + /tmp/ccTUQeFx.s:342 .text.HAL_RTCEx_DeactivateInternalTimeStamp:0000000000000000 HAL_RTCEx_DeactivateInternalTimeStamp + /tmp/ccTUQeFx.s:396 .text.HAL_RTCEx_GetTimeStamp:0000000000000000 $t + /tmp/ccTUQeFx.s:403 .text.HAL_RTCEx_GetTimeStamp:0000000000000000 HAL_RTCEx_GetTimeStamp + /tmp/ccTUQeFx.s:517 .text.HAL_RTCEx_GetTimeStamp:0000000000000098 $d + /tmp/ccTUQeFx.s:522 .text.HAL_RTCEx_TimeStampEventCallback:0000000000000000 $t + /tmp/ccTUQeFx.s:529 .text.HAL_RTCEx_TimeStampEventCallback:0000000000000000 HAL_RTCEx_TimeStampEventCallback + /tmp/ccTUQeFx.s:543 .text.HAL_RTCEx_PollForTimeStampEvent:0000000000000000 $t + /tmp/ccTUQeFx.s:550 .text.HAL_RTCEx_PollForTimeStampEvent:0000000000000000 HAL_RTCEx_PollForTimeStampEvent + /tmp/ccTUQeFx.s:623 .text.HAL_RTCEx_SetWakeUpTimer:0000000000000000 $t + /tmp/ccTUQeFx.s:630 .text.HAL_RTCEx_SetWakeUpTimer:0000000000000000 HAL_RTCEx_SetWakeUpTimer + /tmp/ccTUQeFx.s:747 .text.HAL_RTCEx_SetWakeUpTimer_IT:0000000000000000 $t + /tmp/ccTUQeFx.s:754 .text.HAL_RTCEx_SetWakeUpTimer_IT:0000000000000000 HAL_RTCEx_SetWakeUpTimer_IT + /tmp/ccTUQeFx.s:890 .text.HAL_RTCEx_SetWakeUpTimer_IT:00000000000000c8 $d + /tmp/ccTUQeFx.s:895 .text.HAL_RTCEx_DeactivateWakeUpTimer:0000000000000000 $t + /tmp/ccTUQeFx.s:902 .text.HAL_RTCEx_DeactivateWakeUpTimer:0000000000000000 HAL_RTCEx_DeactivateWakeUpTimer + /tmp/ccTUQeFx.s:996 .text.HAL_RTCEx_GetWakeUpTimer:0000000000000000 $t + /tmp/ccTUQeFx.s:1003 .text.HAL_RTCEx_GetWakeUpTimer:0000000000000000 HAL_RTCEx_GetWakeUpTimer + /tmp/ccTUQeFx.s:1022 .text.HAL_RTCEx_WakeUpTimerEventCallback:0000000000000000 $t + /tmp/ccTUQeFx.s:1029 .text.HAL_RTCEx_WakeUpTimerEventCallback:0000000000000000 HAL_RTCEx_WakeUpTimerEventCallback + /tmp/ccTUQeFx.s:1043 .text.HAL_RTCEx_WakeUpTimerIRQHandler:0000000000000000 $t + /tmp/ccTUQeFx.s:1050 .text.HAL_RTCEx_WakeUpTimerIRQHandler:0000000000000000 HAL_RTCEx_WakeUpTimerIRQHandler + /tmp/ccTUQeFx.s:1092 .text.HAL_RTCEx_WakeUpTimerIRQHandler:0000000000000030 $d + /tmp/ccTUQeFx.s:1097 .text.HAL_RTCEx_PollForWakeUpTimerEvent:0000000000000000 $t + /tmp/ccTUQeFx.s:1104 .text.HAL_RTCEx_PollForWakeUpTimerEvent:0000000000000000 HAL_RTCEx_PollForWakeUpTimerEvent + /tmp/ccTUQeFx.s:1166 .text.HAL_RTCEx_SetSmoothCalib:0000000000000000 $t + /tmp/ccTUQeFx.s:1173 .text.HAL_RTCEx_SetSmoothCalib:0000000000000000 HAL_RTCEx_SetSmoothCalib + /tmp/ccTUQeFx.s:1283 .text.HAL_RTCEx_SetSynchroShift:0000000000000000 $t + /tmp/ccTUQeFx.s:1290 .text.HAL_RTCEx_SetSynchroShift:0000000000000000 HAL_RTCEx_SetSynchroShift + /tmp/ccTUQeFx.s:1429 .text.HAL_RTCEx_SetCalibrationOutPut:0000000000000000 $t + /tmp/ccTUQeFx.s:1436 .text.HAL_RTCEx_SetCalibrationOutPut:0000000000000000 HAL_RTCEx_SetCalibrationOutPut + /tmp/ccTUQeFx.s:1509 .text.HAL_RTCEx_DeactivateCalibrationOutPut:0000000000000000 $t + /tmp/ccTUQeFx.s:1516 .text.HAL_RTCEx_DeactivateCalibrationOutPut:0000000000000000 HAL_RTCEx_DeactivateCalibrationOutPut + /tmp/ccTUQeFx.s:1570 .text.HAL_RTCEx_SetRefClock:0000000000000000 $t + /tmp/ccTUQeFx.s:1577 .text.HAL_RTCEx_SetRefClock:0000000000000000 HAL_RTCEx_SetRefClock + /tmp/ccTUQeFx.s:1661 .text.HAL_RTCEx_DeactivateRefClock:0000000000000000 $t + /tmp/ccTUQeFx.s:1668 .text.HAL_RTCEx_DeactivateRefClock:0000000000000000 HAL_RTCEx_DeactivateRefClock + /tmp/ccTUQeFx.s:1752 .text.HAL_RTCEx_EnableBypassShadow:0000000000000000 $t + /tmp/ccTUQeFx.s:1759 .text.HAL_RTCEx_EnableBypassShadow:0000000000000000 HAL_RTCEx_EnableBypassShadow + /tmp/ccTUQeFx.s:1813 .text.HAL_RTCEx_DisableBypassShadow:0000000000000000 $t + /tmp/ccTUQeFx.s:1820 .text.HAL_RTCEx_DisableBypassShadow:0000000000000000 HAL_RTCEx_DisableBypassShadow + /tmp/ccTUQeFx.s:1873 .text.HAL_RTCEx_DisableBypassShadow:0000000000000044 $d + /tmp/ccTUQeFx.s:1878 .text.HAL_RTCEx_AlarmBEventCallback:0000000000000000 $t + /tmp/ccTUQeFx.s:1885 .text.HAL_RTCEx_AlarmBEventCallback:0000000000000000 HAL_RTCEx_AlarmBEventCallback + /tmp/ccTUQeFx.s:1899 .text.HAL_RTCEx_PollForAlarmBEvent:0000000000000000 $t + /tmp/ccTUQeFx.s:1906 .text.HAL_RTCEx_PollForAlarmBEvent:0000000000000000 HAL_RTCEx_PollForAlarmBEvent + /tmp/ccTUQeFx.s:1968 .text.HAL_RTCEx_SetTamper:0000000000000000 $t + /tmp/ccTUQeFx.s:1975 .text.HAL_RTCEx_SetTamper:0000000000000000 HAL_RTCEx_SetTamper + /tmp/ccTUQeFx.s:2157 .text.HAL_RTCEx_SetTamper_IT:0000000000000000 $t + /tmp/ccTUQeFx.s:2164 .text.HAL_RTCEx_SetTamper_IT:0000000000000000 HAL_RTCEx_SetTamper_IT + /tmp/ccTUQeFx.s:2360 .text.HAL_RTCEx_SetTamper_IT:0000000000000100 $d + /tmp/ccTUQeFx.s:2365 .text.HAL_RTCEx_DeactivateTamper:0000000000000000 $t + /tmp/ccTUQeFx.s:2372 .text.HAL_RTCEx_DeactivateTamper:0000000000000000 HAL_RTCEx_DeactivateTamper + /tmp/ccTUQeFx.s:2446 .text.HAL_RTCEx_PollForTamper1Event:0000000000000000 $t + /tmp/ccTUQeFx.s:2453 .text.HAL_RTCEx_PollForTamper1Event:0000000000000000 HAL_RTCEx_PollForTamper1Event + /tmp/ccTUQeFx.s:2515 .text.HAL_RTCEx_PollForTamper2Event:0000000000000000 $t + /tmp/ccTUQeFx.s:2522 .text.HAL_RTCEx_PollForTamper2Event:0000000000000000 HAL_RTCEx_PollForTamper2Event + /tmp/ccTUQeFx.s:2584 .text.HAL_RTCEx_PollForTamper3Event:0000000000000000 $t + /tmp/ccTUQeFx.s:2591 .text.HAL_RTCEx_PollForTamper3Event:0000000000000000 HAL_RTCEx_PollForTamper3Event + /tmp/ccTUQeFx.s:2655 .text.HAL_RTCEx_Tamper1EventCallback:0000000000000000 $t + /tmp/ccTUQeFx.s:2662 .text.HAL_RTCEx_Tamper1EventCallback:0000000000000000 HAL_RTCEx_Tamper1EventCallback + /tmp/ccTUQeFx.s:2676 .text.HAL_RTCEx_Tamper2EventCallback:0000000000000000 $t + /tmp/ccTUQeFx.s:2683 .text.HAL_RTCEx_Tamper2EventCallback:0000000000000000 HAL_RTCEx_Tamper2EventCallback + /tmp/ccTUQeFx.s:2697 .text.HAL_RTCEx_Tamper3EventCallback:0000000000000000 $t + /tmp/ccTUQeFx.s:2704 .text.HAL_RTCEx_Tamper3EventCallback:0000000000000000 HAL_RTCEx_Tamper3EventCallback + /tmp/ccTUQeFx.s:2718 .text.HAL_RTCEx_TamperTimeStampIRQHandler:0000000000000000 $t + /tmp/ccTUQeFx.s:2725 .text.HAL_RTCEx_TamperTimeStampIRQHandler:0000000000000000 HAL_RTCEx_TamperTimeStampIRQHandler + /tmp/ccTUQeFx.s:2841 .text.HAL_RTCEx_TamperTimeStampIRQHandler:00000000000000b0 $d + /tmp/ccTUQeFx.s:2849 .text.HAL_RTCEx_BKUPWrite:0000000000000000 $t + /tmp/ccTUQeFx.s:2856 .text.HAL_RTCEx_BKUPWrite:0000000000000000 HAL_RTCEx_BKUPWrite + /tmp/ccTUQeFx.s:2887 .text.HAL_RTCEx_BKUPRead:0000000000000000 $t + /tmp/ccTUQeFx.s:2894 .text.HAL_RTCEx_BKUPRead:0000000000000000 HAL_RTCEx_BKUPRead .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_tim.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_tim.o.lst index dbec918..62f26c0 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_tim.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_tim.o.lst @@ -23599,328 +23599,328 @@ 13856 .file 12 "../system/include/stm32l4-hal-driver/stm32l4xx_hal_tim_ex.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_tim.c - /tmp/ccI8O5G1.s:18 .text.TIM_OC1_SetConfig:0000000000000000 $t - /tmp/ccI8O5G1.s:24 .text.TIM_OC1_SetConfig:0000000000000000 TIM_OC1_SetConfig - /tmp/ccI8O5G1.s:153 .text.TIM_OC1_SetConfig:0000000000000098 $d - /tmp/ccI8O5G1.s:158 .text.TIM_OC3_SetConfig:0000000000000000 $t - /tmp/ccI8O5G1.s:164 .text.TIM_OC3_SetConfig:0000000000000000 TIM_OC3_SetConfig - /tmp/ccI8O5G1.s:280 .text.TIM_OC3_SetConfig:0000000000000088 $d - /tmp/ccI8O5G1.s:285 .text.TIM_OC4_SetConfig:0000000000000000 $t - /tmp/ccI8O5G1.s:291 .text.TIM_OC4_SetConfig:0000000000000000 TIM_OC4_SetConfig - /tmp/ccI8O5G1.s:382 .text.TIM_OC4_SetConfig:0000000000000068 $d - /tmp/ccI8O5G1.s:387 .text.TIM_OC5_SetConfig:0000000000000000 $t - /tmp/ccI8O5G1.s:393 .text.TIM_OC5_SetConfig:0000000000000000 TIM_OC5_SetConfig - /tmp/ccI8O5G1.s:484 .text.TIM_OC5_SetConfig:0000000000000064 $d - /tmp/ccI8O5G1.s:489 .text.TIM_OC6_SetConfig:0000000000000000 $t - /tmp/ccI8O5G1.s:495 .text.TIM_OC6_SetConfig:0000000000000000 TIM_OC6_SetConfig - /tmp/ccI8O5G1.s:586 .text.TIM_OC6_SetConfig:0000000000000068 $d - /tmp/ccI8O5G1.s:591 .text.TIM_TI1_ConfigInputStage:0000000000000000 $t - /tmp/ccI8O5G1.s:597 .text.TIM_TI1_ConfigInputStage:0000000000000000 TIM_TI1_ConfigInputStage - /tmp/ccI8O5G1.s:643 .text.TIM_TI2_SetConfig:0000000000000000 $t - /tmp/ccI8O5G1.s:649 .text.TIM_TI2_SetConfig:0000000000000000 TIM_TI2_SetConfig - /tmp/ccI8O5G1.s:709 .text.TIM_TI2_ConfigInputStage:0000000000000000 $t - /tmp/ccI8O5G1.s:715 .text.TIM_TI2_ConfigInputStage:0000000000000000 TIM_TI2_ConfigInputStage - /tmp/ccI8O5G1.s:761 .text.TIM_TI3_SetConfig:0000000000000000 $t - /tmp/ccI8O5G1.s:767 .text.TIM_TI3_SetConfig:0000000000000000 TIM_TI3_SetConfig - /tmp/ccI8O5G1.s:827 .text.TIM_TI4_SetConfig:0000000000000000 $t - /tmp/ccI8O5G1.s:833 .text.TIM_TI4_SetConfig:0000000000000000 TIM_TI4_SetConfig - /tmp/ccI8O5G1.s:893 .text.TIM_ITRx_SetConfig:0000000000000000 $t - /tmp/ccI8O5G1.s:899 .text.TIM_ITRx_SetConfig:0000000000000000 TIM_ITRx_SetConfig - /tmp/ccI8O5G1.s:926 .text.HAL_TIM_Base_MspInit:0000000000000000 $t - /tmp/ccI8O5G1.s:933 .text.HAL_TIM_Base_MspInit:0000000000000000 HAL_TIM_Base_MspInit - /tmp/ccI8O5G1.s:947 .text.HAL_TIM_Base_MspDeInit:0000000000000000 $t - /tmp/ccI8O5G1.s:954 .text.HAL_TIM_Base_MspDeInit:0000000000000000 HAL_TIM_Base_MspDeInit - /tmp/ccI8O5G1.s:968 .text.HAL_TIM_Base_DeInit:0000000000000000 $t - /tmp/ccI8O5G1.s:975 .text.HAL_TIM_Base_DeInit:0000000000000000 HAL_TIM_Base_DeInit - /tmp/ccI8O5G1.s:1036 .text.HAL_TIM_Base_Start:0000000000000000 $t - /tmp/ccI8O5G1.s:1043 .text.HAL_TIM_Base_Start:0000000000000000 HAL_TIM_Base_Start - /tmp/ccI8O5G1.s:1137 .text.HAL_TIM_Base_Start:000000000000007c $d - /tmp/ccI8O5G1.s:1143 .text.HAL_TIM_Base_Stop:0000000000000000 $t - /tmp/ccI8O5G1.s:1150 .text.HAL_TIM_Base_Stop:0000000000000000 HAL_TIM_Base_Stop - /tmp/ccI8O5G1.s:1185 .text.HAL_TIM_Base_Start_IT:0000000000000000 $t - /tmp/ccI8O5G1.s:1192 .text.HAL_TIM_Base_Start_IT:0000000000000000 HAL_TIM_Base_Start_IT - /tmp/ccI8O5G1.s:1291 .text.HAL_TIM_Base_Start_IT:0000000000000084 $d - /tmp/ccI8O5G1.s:1297 .text.HAL_TIM_Base_Stop_IT:0000000000000000 $t - /tmp/ccI8O5G1.s:1304 .text.HAL_TIM_Base_Stop_IT:0000000000000000 HAL_TIM_Base_Stop_IT - /tmp/ccI8O5G1.s:1344 .text.HAL_TIM_Base_Start_DMA:0000000000000000 $t - /tmp/ccI8O5G1.s:1351 .text.HAL_TIM_Base_Start_DMA:0000000000000000 HAL_TIM_Base_Start_DMA - /tmp/ccI8O5G1.s:1491 .text.HAL_TIM_Base_Start_DMA:00000000000000c0 $d - /tmp/ccI8O5G1.s:3258 .text.TIM_DMAPeriodElapsedCplt:0000000000000000 TIM_DMAPeriodElapsedCplt - /tmp/ccI8O5G1.s:3316 .text.TIM_DMAPeriodElapsedHalfCplt:0000000000000000 TIM_DMAPeriodElapsedHalfCplt - /tmp/ccI8O5G1.s:4207 .text.TIM_DMAError:0000000000000000 TIM_DMAError - /tmp/ccI8O5G1.s:1500 .text.HAL_TIM_Base_Stop_DMA:0000000000000000 $t - /tmp/ccI8O5G1.s:1507 .text.HAL_TIM_Base_Stop_DMA:0000000000000000 HAL_TIM_Base_Stop_DMA - /tmp/ccI8O5G1.s:1555 .text.HAL_TIM_OC_MspInit:0000000000000000 $t - /tmp/ccI8O5G1.s:1562 .text.HAL_TIM_OC_MspInit:0000000000000000 HAL_TIM_OC_MspInit - /tmp/ccI8O5G1.s:1576 .text.HAL_TIM_OC_MspDeInit:0000000000000000 $t - /tmp/ccI8O5G1.s:1583 .text.HAL_TIM_OC_MspDeInit:0000000000000000 HAL_TIM_OC_MspDeInit - /tmp/ccI8O5G1.s:1597 .text.HAL_TIM_OC_DeInit:0000000000000000 $t - /tmp/ccI8O5G1.s:1604 .text.HAL_TIM_OC_DeInit:0000000000000000 HAL_TIM_OC_DeInit - /tmp/ccI8O5G1.s:1665 .text.HAL_TIM_PWM_MspInit:0000000000000000 $t - /tmp/ccI8O5G1.s:1672 .text.HAL_TIM_PWM_MspInit:0000000000000000 HAL_TIM_PWM_MspInit - /tmp/ccI8O5G1.s:1686 .text.HAL_TIM_PWM_MspDeInit:0000000000000000 $t - /tmp/ccI8O5G1.s:1693 .text.HAL_TIM_PWM_MspDeInit:0000000000000000 HAL_TIM_PWM_MspDeInit - /tmp/ccI8O5G1.s:1707 .text.HAL_TIM_PWM_DeInit:0000000000000000 $t - /tmp/ccI8O5G1.s:1714 .text.HAL_TIM_PWM_DeInit:0000000000000000 HAL_TIM_PWM_DeInit - /tmp/ccI8O5G1.s:1775 .text.HAL_TIM_IC_MspInit:0000000000000000 $t - /tmp/ccI8O5G1.s:1782 .text.HAL_TIM_IC_MspInit:0000000000000000 HAL_TIM_IC_MspInit - /tmp/ccI8O5G1.s:1796 .text.HAL_TIM_IC_MspDeInit:0000000000000000 $t - /tmp/ccI8O5G1.s:1803 .text.HAL_TIM_IC_MspDeInit:0000000000000000 HAL_TIM_IC_MspDeInit - /tmp/ccI8O5G1.s:1817 .text.HAL_TIM_IC_DeInit:0000000000000000 $t - /tmp/ccI8O5G1.s:1824 .text.HAL_TIM_IC_DeInit:0000000000000000 HAL_TIM_IC_DeInit - /tmp/ccI8O5G1.s:1885 .text.HAL_TIM_OnePulse_MspInit:0000000000000000 $t - /tmp/ccI8O5G1.s:1892 .text.HAL_TIM_OnePulse_MspInit:0000000000000000 HAL_TIM_OnePulse_MspInit - /tmp/ccI8O5G1.s:1906 .text.HAL_TIM_OnePulse_MspDeInit:0000000000000000 $t - /tmp/ccI8O5G1.s:1913 .text.HAL_TIM_OnePulse_MspDeInit:0000000000000000 HAL_TIM_OnePulse_MspDeInit - /tmp/ccI8O5G1.s:1927 .text.HAL_TIM_OnePulse_DeInit:0000000000000000 $t - /tmp/ccI8O5G1.s:1934 .text.HAL_TIM_OnePulse_DeInit:0000000000000000 HAL_TIM_OnePulse_DeInit - /tmp/ccI8O5G1.s:1991 .text.HAL_TIM_Encoder_MspInit:0000000000000000 $t - /tmp/ccI8O5G1.s:1998 .text.HAL_TIM_Encoder_MspInit:0000000000000000 HAL_TIM_Encoder_MspInit - /tmp/ccI8O5G1.s:2012 .text.HAL_TIM_Encoder_MspDeInit:0000000000000000 $t - /tmp/ccI8O5G1.s:2019 .text.HAL_TIM_Encoder_MspDeInit:0000000000000000 HAL_TIM_Encoder_MspDeInit - /tmp/ccI8O5G1.s:2033 .text.HAL_TIM_Encoder_DeInit:0000000000000000 $t - /tmp/ccI8O5G1.s:2040 .text.HAL_TIM_Encoder_DeInit:0000000000000000 HAL_TIM_Encoder_DeInit - /tmp/ccI8O5G1.s:2097 .text.HAL_TIM_DMABurst_MultiWriteStart:0000000000000000 $t - /tmp/ccI8O5G1.s:2104 .text.HAL_TIM_DMABurst_MultiWriteStart:0000000000000000 HAL_TIM_DMABurst_MultiWriteStart - /tmp/ccI8O5G1.s:2422 .text.HAL_TIM_DMABurst_MultiWriteStart:0000000000000194 $d - /tmp/ccI8O5G1.s:3609 .text.TIM_DMADelayPulseCplt:0000000000000000 TIM_DMADelayPulseCplt - /tmp/ccI8O5G1.s:3731 .text.TIM_DMADelayPulseHalfCplt:0000000000000000 TIM_DMADelayPulseHalfCplt - /tmp/ccI8O5G1.s:4098 .text.TIM_DMATriggerCplt:0000000000000000 TIM_DMATriggerCplt - /tmp/ccI8O5G1.s:4156 .text.TIM_DMATriggerHalfCplt:0000000000000000 TIM_DMATriggerHalfCplt - /tmp/ccI8O5G1.s:2435 .text.HAL_TIM_DMABurst_WriteStart:0000000000000000 $t - /tmp/ccI8O5G1.s:2442 .text.HAL_TIM_DMABurst_WriteStart:0000000000000000 HAL_TIM_DMABurst_WriteStart - /tmp/ccI8O5G1.s:2474 .text.HAL_TIM_DMABurst_WriteStop:0000000000000000 $t - /tmp/ccI8O5G1.s:2481 .text.HAL_TIM_DMABurst_WriteStop:0000000000000000 HAL_TIM_DMABurst_WriteStop - /tmp/ccI8O5G1.s:2589 .text.HAL_TIM_DMABurst_MultiReadStart:0000000000000000 $t - /tmp/ccI8O5G1.s:2596 .text.HAL_TIM_DMABurst_MultiReadStart:0000000000000000 HAL_TIM_DMABurst_MultiReadStart - /tmp/ccI8O5G1.s:2907 .text.HAL_TIM_DMABurst_MultiReadStart:0000000000000194 $d - /tmp/ccI8O5G1.s:3388 .text.TIM_DMACaptureCplt:0000000000000000 TIM_DMACaptureCplt - /tmp/ccI8O5G1.s:3518 .text.TIM_DMACaptureHalfCplt:0000000000000000 TIM_DMACaptureHalfCplt - /tmp/ccI8O5G1.s:2920 .text.HAL_TIM_DMABurst_ReadStart:0000000000000000 $t - /tmp/ccI8O5G1.s:2927 .text.HAL_TIM_DMABurst_ReadStart:0000000000000000 HAL_TIM_DMABurst_ReadStart - /tmp/ccI8O5G1.s:2959 .text.HAL_TIM_DMABurst_ReadStop:0000000000000000 $t - /tmp/ccI8O5G1.s:2966 .text.HAL_TIM_DMABurst_ReadStop:0000000000000000 HAL_TIM_DMABurst_ReadStop - /tmp/ccI8O5G1.s:3074 .text.HAL_TIM_GenerateEvent:0000000000000000 $t - /tmp/ccI8O5G1.s:3081 .text.HAL_TIM_GenerateEvent:0000000000000000 HAL_TIM_GenerateEvent - /tmp/ccI8O5G1.s:3120 .text.HAL_TIM_ConfigTI1Input:0000000000000000 $t - /tmp/ccI8O5G1.s:3127 .text.HAL_TIM_ConfigTI1Input:0000000000000000 HAL_TIM_ConfigTI1Input - /tmp/ccI8O5G1.s:3155 .text.HAL_TIM_ReadCapturedValue:0000000000000000 $t - /tmp/ccI8O5G1.s:3162 .text.HAL_TIM_ReadCapturedValue:0000000000000000 HAL_TIM_ReadCapturedValue - /tmp/ccI8O5G1.s:3175 .text.HAL_TIM_ReadCapturedValue:0000000000000008 $d - /tmp/ccI8O5G1.s:3231 .text.HAL_TIM_PeriodElapsedCallback:0000000000000000 $t - /tmp/ccI8O5G1.s:3238 .text.HAL_TIM_PeriodElapsedCallback:0000000000000000 HAL_TIM_PeriodElapsedCallback - /tmp/ccI8O5G1.s:3252 .text.TIM_DMAPeriodElapsedCplt:0000000000000000 $t - /tmp/ccI8O5G1.s:3289 .text.HAL_TIM_PeriodElapsedHalfCpltCallback:0000000000000000 $t - /tmp/ccI8O5G1.s:3296 .text.HAL_TIM_PeriodElapsedHalfCpltCallback:0000000000000000 HAL_TIM_PeriodElapsedHalfCpltCallback - /tmp/ccI8O5G1.s:3310 .text.TIM_DMAPeriodElapsedHalfCplt:0000000000000000 $t - /tmp/ccI8O5G1.s:3339 .text.HAL_TIM_OC_DelayElapsedCallback:0000000000000000 $t - /tmp/ccI8O5G1.s:3346 .text.HAL_TIM_OC_DelayElapsedCallback:0000000000000000 HAL_TIM_OC_DelayElapsedCallback - /tmp/ccI8O5G1.s:3360 .text.HAL_TIM_IC_CaptureCallback:0000000000000000 $t - /tmp/ccI8O5G1.s:3367 .text.HAL_TIM_IC_CaptureCallback:0000000000000000 HAL_TIM_IC_CaptureCallback - /tmp/ccI8O5G1.s:3381 .text.TIM_DMACaptureCplt:0000000000000000 $t - /tmp/ccI8O5G1.s:3490 .text.HAL_TIM_IC_CaptureHalfCpltCallback:0000000000000000 $t - /tmp/ccI8O5G1.s:3497 .text.HAL_TIM_IC_CaptureHalfCpltCallback:0000000000000000 HAL_TIM_IC_CaptureHalfCpltCallback - /tmp/ccI8O5G1.s:3511 .text.TIM_DMACaptureHalfCplt:0000000000000000 $t - /tmp/ccI8O5G1.s:3582 .text.HAL_TIM_PWM_PulseFinishedCallback:0000000000000000 $t - /tmp/ccI8O5G1.s:3589 .text.HAL_TIM_PWM_PulseFinishedCallback:0000000000000000 HAL_TIM_PWM_PulseFinishedCallback - /tmp/ccI8O5G1.s:3603 .text.TIM_DMADelayPulseCplt:0000000000000000 $t - /tmp/ccI8O5G1.s:3703 .text.HAL_TIM_PWM_PulseFinishedHalfCpltCallback:0000000000000000 $t - /tmp/ccI8O5G1.s:3710 .text.HAL_TIM_PWM_PulseFinishedHalfCpltCallback:0000000000000000 HAL_TIM_PWM_PulseFinishedHalfCpltCallback - /tmp/ccI8O5G1.s:3724 .text.TIM_DMADelayPulseHalfCplt:0000000000000000 $t - /tmp/ccI8O5G1.s:3795 .text.HAL_TIM_TriggerCallback:0000000000000000 $t - /tmp/ccI8O5G1.s:3802 .text.HAL_TIM_TriggerCallback:0000000000000000 HAL_TIM_TriggerCallback - /tmp/ccI8O5G1.s:3816 .text.HAL_TIM_IRQHandler:0000000000000000 $t - /tmp/ccI8O5G1.s:3823 .text.HAL_TIM_IRQHandler:0000000000000000 HAL_TIM_IRQHandler - /tmp/ccI8O5G1.s:4092 .text.TIM_DMATriggerCplt:0000000000000000 $t - /tmp/ccI8O5G1.s:4129 .text.HAL_TIM_TriggerHalfCpltCallback:0000000000000000 $t - /tmp/ccI8O5G1.s:4136 .text.HAL_TIM_TriggerHalfCpltCallback:0000000000000000 HAL_TIM_TriggerHalfCpltCallback - /tmp/ccI8O5G1.s:4150 .text.TIM_DMATriggerHalfCplt:0000000000000000 $t - /tmp/ccI8O5G1.s:4179 .text.HAL_TIM_ErrorCallback:0000000000000000 $t - /tmp/ccI8O5G1.s:4186 .text.HAL_TIM_ErrorCallback:0000000000000000 HAL_TIM_ErrorCallback - /tmp/ccI8O5G1.s:4200 .text.TIM_DMAError:0000000000000000 $t - /tmp/ccI8O5G1.s:4287 .text.HAL_TIM_Base_GetState:0000000000000000 $t - /tmp/ccI8O5G1.s:4294 .text.HAL_TIM_Base_GetState:0000000000000000 HAL_TIM_Base_GetState - /tmp/ccI8O5G1.s:4311 .text.HAL_TIM_OC_GetState:0000000000000000 $t - /tmp/ccI8O5G1.s:4318 .text.HAL_TIM_OC_GetState:0000000000000000 HAL_TIM_OC_GetState - /tmp/ccI8O5G1.s:4335 .text.HAL_TIM_PWM_GetState:0000000000000000 $t - /tmp/ccI8O5G1.s:4342 .text.HAL_TIM_PWM_GetState:0000000000000000 HAL_TIM_PWM_GetState - /tmp/ccI8O5G1.s:4359 .text.HAL_TIM_IC_GetState:0000000000000000 $t - /tmp/ccI8O5G1.s:4366 .text.HAL_TIM_IC_GetState:0000000000000000 HAL_TIM_IC_GetState - /tmp/ccI8O5G1.s:4383 .text.HAL_TIM_OnePulse_GetState:0000000000000000 $t - /tmp/ccI8O5G1.s:4390 .text.HAL_TIM_OnePulse_GetState:0000000000000000 HAL_TIM_OnePulse_GetState - /tmp/ccI8O5G1.s:4407 .text.HAL_TIM_Encoder_GetState:0000000000000000 $t - /tmp/ccI8O5G1.s:4414 .text.HAL_TIM_Encoder_GetState:0000000000000000 HAL_TIM_Encoder_GetState - /tmp/ccI8O5G1.s:4431 .text.HAL_TIM_GetActiveChannel:0000000000000000 $t - /tmp/ccI8O5G1.s:4438 .text.HAL_TIM_GetActiveChannel:0000000000000000 HAL_TIM_GetActiveChannel - /tmp/ccI8O5G1.s:4454 .text.HAL_TIM_GetChannelState:0000000000000000 $t - /tmp/ccI8O5G1.s:4461 .text.HAL_TIM_GetChannelState:0000000000000000 HAL_TIM_GetChannelState - /tmp/ccI8O5G1.s:4529 .text.HAL_TIM_DMABurstState:0000000000000000 $t - /tmp/ccI8O5G1.s:4536 .text.HAL_TIM_DMABurstState:0000000000000000 HAL_TIM_DMABurstState - /tmp/ccI8O5G1.s:4553 .text.TIM_Base_SetConfig:0000000000000000 $t - /tmp/ccI8O5G1.s:4560 .text.TIM_Base_SetConfig:0000000000000000 TIM_Base_SetConfig - /tmp/ccI8O5G1.s:4696 .text.TIM_Base_SetConfig:00000000000000c4 $d - /tmp/ccI8O5G1.s:4701 .text.HAL_TIM_Base_Init:0000000000000000 $t - /tmp/ccI8O5G1.s:4708 .text.HAL_TIM_Base_Init:0000000000000000 HAL_TIM_Base_Init - /tmp/ccI8O5G1.s:4779 .text.HAL_TIM_OC_Init:0000000000000000 $t - /tmp/ccI8O5G1.s:4786 .text.HAL_TIM_OC_Init:0000000000000000 HAL_TIM_OC_Init - /tmp/ccI8O5G1.s:4857 .text.HAL_TIM_PWM_Init:0000000000000000 $t - /tmp/ccI8O5G1.s:4864 .text.HAL_TIM_PWM_Init:0000000000000000 HAL_TIM_PWM_Init - /tmp/ccI8O5G1.s:4935 .text.HAL_TIM_IC_Init:0000000000000000 $t - /tmp/ccI8O5G1.s:4942 .text.HAL_TIM_IC_Init:0000000000000000 HAL_TIM_IC_Init - /tmp/ccI8O5G1.s:5013 .text.HAL_TIM_OnePulse_Init:0000000000000000 $t - /tmp/ccI8O5G1.s:5020 .text.HAL_TIM_OnePulse_Init:0000000000000000 HAL_TIM_OnePulse_Init - /tmp/ccI8O5G1.s:5102 .text.HAL_TIM_Encoder_Init:0000000000000000 $t - /tmp/ccI8O5G1.s:5109 .text.HAL_TIM_Encoder_Init:0000000000000000 HAL_TIM_Encoder_Init - /tmp/ccI8O5G1.s:5257 .text.TIM_OC2_SetConfig:0000000000000000 $t - /tmp/ccI8O5G1.s:5264 .text.TIM_OC2_SetConfig:0000000000000000 TIM_OC2_SetConfig - /tmp/ccI8O5G1.s:5380 .text.TIM_OC2_SetConfig:0000000000000088 $d - /tmp/ccI8O5G1.s:5385 .text.HAL_TIM_OC_ConfigChannel:0000000000000000 $t - /tmp/ccI8O5G1.s:5392 .text.HAL_TIM_OC_ConfigChannel:0000000000000000 HAL_TIM_OC_ConfigChannel - /tmp/ccI8O5G1.s:5417 .text.HAL_TIM_OC_ConfigChannel:000000000000001a $d - /tmp/ccI8O5G1.s:5510 .text.HAL_TIM_PWM_ConfigChannel:0000000000000000 $t - /tmp/ccI8O5G1.s:5517 .text.HAL_TIM_PWM_ConfigChannel:0000000000000000 HAL_TIM_PWM_ConfigChannel - /tmp/ccI8O5G1.s:5544 .text.HAL_TIM_PWM_ConfigChannel:000000000000001e $d - /tmp/ccI8O5G1.s:5731 .text.TIM_TI1_SetConfig:0000000000000000 $t - /tmp/ccI8O5G1.s:5738 .text.TIM_TI1_SetConfig:0000000000000000 TIM_TI1_SetConfig - /tmp/ccI8O5G1.s:5832 .text.TIM_TI1_SetConfig:000000000000006c $d - /tmp/ccI8O5G1.s:5837 .text.HAL_TIM_IC_ConfigChannel:0000000000000000 $t - /tmp/ccI8O5G1.s:5844 .text.HAL_TIM_IC_ConfigChannel:0000000000000000 HAL_TIM_IC_ConfigChannel - /tmp/ccI8O5G1.s:5985 .text.HAL_TIM_OnePulse_ConfigChannel:0000000000000000 $t - /tmp/ccI8O5G1.s:5992 .text.HAL_TIM_OnePulse_ConfigChannel:0000000000000000 HAL_TIM_OnePulse_ConfigChannel - /tmp/ccI8O5G1.s:6190 .text.TIM_ETR_SetConfig:0000000000000000 $t - /tmp/ccI8O5G1.s:6197 .text.TIM_ETR_SetConfig:0000000000000000 TIM_ETR_SetConfig - /tmp/ccI8O5G1.s:6231 .text.HAL_TIM_ConfigOCrefClear:0000000000000000 $t - /tmp/ccI8O5G1.s:6238 .text.HAL_TIM_ConfigOCrefClear:0000000000000000 HAL_TIM_ConfigOCrefClear - /tmp/ccI8O5G1.s:6279 .text.HAL_TIM_ConfigOCrefClear:0000000000000032 $d - /tmp/ccI8O5G1.s:6482 .text.HAL_TIM_ConfigClockSource:0000000000000000 $t - /tmp/ccI8O5G1.s:6489 .text.HAL_TIM_ConfigClockSource:0000000000000000 HAL_TIM_ConfigClockSource - /tmp/ccI8O5G1.s:6675 .text.HAL_TIM_ConfigClockSource:00000000000000d8 $d - /tmp/ccI8O5G1.s:6680 .text.TIM_SlaveTimer_SetConfig:0000000000000000 $t - /tmp/ccI8O5G1.s:6686 .text.TIM_SlaveTimer_SetConfig:0000000000000000 TIM_SlaveTimer_SetConfig - /tmp/ccI8O5G1.s:6828 .text.HAL_TIM_SlaveConfigSynchro:0000000000000000 $t - /tmp/ccI8O5G1.s:6835 .text.HAL_TIM_SlaveConfigSynchro:0000000000000000 HAL_TIM_SlaveConfigSynchro - /tmp/ccI8O5G1.s:6908 .text.HAL_TIM_SlaveConfigSynchro_IT:0000000000000000 $t - /tmp/ccI8O5G1.s:6915 .text.HAL_TIM_SlaveConfigSynchro_IT:0000000000000000 HAL_TIM_SlaveConfigSynchro_IT - /tmp/ccI8O5G1.s:6988 .text.TIM_CCxChannelCmd:0000000000000000 $t - /tmp/ccI8O5G1.s:6995 .text.TIM_CCxChannelCmd:0000000000000000 TIM_CCxChannelCmd - /tmp/ccI8O5G1.s:7032 .text.HAL_TIM_OC_Start:0000000000000000 $t - /tmp/ccI8O5G1.s:7039 .text.HAL_TIM_OC_Start:0000000000000000 HAL_TIM_OC_Start - /tmp/ccI8O5G1.s:7288 .text.HAL_TIM_OC_Start:0000000000000164 $d - /tmp/ccI8O5G1.s:7294 .text.HAL_TIM_OC_Stop:0000000000000000 $t - /tmp/ccI8O5G1.s:7301 .text.HAL_TIM_OC_Stop:0000000000000000 HAL_TIM_OC_Stop - /tmp/ccI8O5G1.s:7425 .text.HAL_TIM_OC_Stop:00000000000000b4 $d - /tmp/ccI8O5G1.s:7430 .text.HAL_TIM_OC_Start_IT:0000000000000000 $t - /tmp/ccI8O5G1.s:7437 .text.HAL_TIM_OC_Start_IT:0000000000000000 HAL_TIM_OC_Start_IT - /tmp/ccI8O5G1.s:7474 .text.HAL_TIM_OC_Start_IT:000000000000002c $d - /tmp/ccI8O5G1.s:7739 .text.HAL_TIM_OC_Start_IT:00000000000001a8 $d - /tmp/ccI8O5G1.s:7745 .text.HAL_TIM_OC_Stop_IT:0000000000000000 $t - /tmp/ccI8O5G1.s:7752 .text.HAL_TIM_OC_Stop_IT:0000000000000000 HAL_TIM_OC_Stop_IT - /tmp/ccI8O5G1.s:7772 .text.HAL_TIM_OC_Stop_IT:000000000000000e $d - /tmp/ccI8O5G1.s:7930 .text.HAL_TIM_OC_Stop_IT:00000000000000fc $d - /tmp/ccI8O5G1.s:7935 .text.HAL_TIM_OC_Start_DMA:0000000000000000 $t - /tmp/ccI8O5G1.s:7942 .text.HAL_TIM_OC_Start_DMA:0000000000000000 HAL_TIM_OC_Start_DMA - /tmp/ccI8O5G1.s:8004 .text.HAL_TIM_OC_Start_DMA:0000000000000050 $d - /tmp/ccI8O5G1.s:8017 .text.HAL_TIM_OC_Start_DMA:000000000000006a $t - /tmp/ccI8O5G1.s:8435 .text.HAL_TIM_OC_Start_DMA:00000000000002d0 $d - /tmp/ccI8O5G1.s:8444 .text.HAL_TIM_OC_Stop_DMA:0000000000000000 $t - /tmp/ccI8O5G1.s:8451 .text.HAL_TIM_OC_Stop_DMA:0000000000000000 HAL_TIM_OC_Stop_DMA - /tmp/ccI8O5G1.s:8471 .text.HAL_TIM_OC_Stop_DMA:000000000000000e $d - /tmp/ccI8O5G1.s:8648 .text.HAL_TIM_OC_Stop_DMA:0000000000000114 $d - /tmp/ccI8O5G1.s:8653 .text.HAL_TIM_PWM_Start:0000000000000000 $t - /tmp/ccI8O5G1.s:8660 .text.HAL_TIM_PWM_Start:0000000000000000 HAL_TIM_PWM_Start - /tmp/ccI8O5G1.s:8909 .text.HAL_TIM_PWM_Start:0000000000000164 $d - /tmp/ccI8O5G1.s:8915 .text.HAL_TIM_PWM_Stop:0000000000000000 $t - /tmp/ccI8O5G1.s:8922 .text.HAL_TIM_PWM_Stop:0000000000000000 HAL_TIM_PWM_Stop - /tmp/ccI8O5G1.s:9046 .text.HAL_TIM_PWM_Stop:00000000000000b4 $d - /tmp/ccI8O5G1.s:9051 .text.HAL_TIM_PWM_Start_IT:0000000000000000 $t - /tmp/ccI8O5G1.s:9058 .text.HAL_TIM_PWM_Start_IT:0000000000000000 HAL_TIM_PWM_Start_IT - /tmp/ccI8O5G1.s:9095 .text.HAL_TIM_PWM_Start_IT:000000000000002c $d - /tmp/ccI8O5G1.s:9360 .text.HAL_TIM_PWM_Start_IT:00000000000001a8 $d - /tmp/ccI8O5G1.s:9366 .text.HAL_TIM_PWM_Stop_IT:0000000000000000 $t - /tmp/ccI8O5G1.s:9373 .text.HAL_TIM_PWM_Stop_IT:0000000000000000 HAL_TIM_PWM_Stop_IT - /tmp/ccI8O5G1.s:9393 .text.HAL_TIM_PWM_Stop_IT:000000000000000e $d - /tmp/ccI8O5G1.s:9551 .text.HAL_TIM_PWM_Stop_IT:00000000000000fc $d - /tmp/ccI8O5G1.s:9556 .text.HAL_TIM_PWM_Start_DMA:0000000000000000 $t - /tmp/ccI8O5G1.s:9563 .text.HAL_TIM_PWM_Start_DMA:0000000000000000 HAL_TIM_PWM_Start_DMA - /tmp/ccI8O5G1.s:9625 .text.HAL_TIM_PWM_Start_DMA:0000000000000050 $d - /tmp/ccI8O5G1.s:9638 .text.HAL_TIM_PWM_Start_DMA:000000000000006a $t - /tmp/ccI8O5G1.s:10056 .text.HAL_TIM_PWM_Start_DMA:00000000000002d0 $d - /tmp/ccI8O5G1.s:10065 .text.HAL_TIM_PWM_Stop_DMA:0000000000000000 $t - /tmp/ccI8O5G1.s:10072 .text.HAL_TIM_PWM_Stop_DMA:0000000000000000 HAL_TIM_PWM_Stop_DMA - /tmp/ccI8O5G1.s:10092 .text.HAL_TIM_PWM_Stop_DMA:000000000000000e $d - /tmp/ccI8O5G1.s:10269 .text.HAL_TIM_PWM_Stop_DMA:0000000000000114 $d - /tmp/ccI8O5G1.s:10274 .text.HAL_TIM_IC_Start:0000000000000000 $t - /tmp/ccI8O5G1.s:10281 .text.HAL_TIM_IC_Start:0000000000000000 HAL_TIM_IC_Start - /tmp/ccI8O5G1.s:10554 .text.HAL_TIM_IC_Start:0000000000000158 $d - /tmp/ccI8O5G1.s:10560 .text.HAL_TIM_IC_Stop:0000000000000000 $t - /tmp/ccI8O5G1.s:10567 .text.HAL_TIM_IC_Stop:0000000000000000 HAL_TIM_IC_Stop - /tmp/ccI8O5G1.s:10682 .text.HAL_TIM_IC_Start_IT:0000000000000000 $t - /tmp/ccI8O5G1.s:10689 .text.HAL_TIM_IC_Start_IT:0000000000000000 HAL_TIM_IC_Start_IT - /tmp/ccI8O5G1.s:10819 .text.HAL_TIM_IC_Start_IT:0000000000000094 $d - /tmp/ccI8O5G1.s:11017 .text.HAL_TIM_IC_Start_IT:000000000000019c $d - /tmp/ccI8O5G1.s:11023 .text.HAL_TIM_IC_Stop_IT:0000000000000000 $t - /tmp/ccI8O5G1.s:11030 .text.HAL_TIM_IC_Stop_IT:0000000000000000 HAL_TIM_IC_Stop_IT - /tmp/ccI8O5G1.s:11050 .text.HAL_TIM_IC_Stop_IT:000000000000000e $d - /tmp/ccI8O5G1.s:11199 .text.HAL_TIM_IC_Start_DMA:0000000000000000 $t - /tmp/ccI8O5G1.s:11206 .text.HAL_TIM_IC_Start_DMA:0000000000000000 HAL_TIM_IC_Start_DMA - /tmp/ccI8O5G1.s:11497 .text.HAL_TIM_IC_Start_DMA:000000000000016e $d - /tmp/ccI8O5G1.s:11668 .text.HAL_TIM_IC_Start_DMA:000000000000025c $d - /tmp/ccI8O5G1.s:11677 .text.HAL_TIM_IC_Stop_DMA:0000000000000000 $t - /tmp/ccI8O5G1.s:11684 .text.HAL_TIM_IC_Stop_DMA:0000000000000000 HAL_TIM_IC_Stop_DMA - /tmp/ccI8O5G1.s:11710 .text.HAL_TIM_IC_Stop_DMA:0000000000000016 $d - /tmp/ccI8O5G1.s:11866 .text.HAL_TIM_OnePulse_Start:0000000000000000 $t - /tmp/ccI8O5G1.s:11873 .text.HAL_TIM_OnePulse_Start:0000000000000000 HAL_TIM_OnePulse_Start - /tmp/ccI8O5G1.s:11998 .text.HAL_TIM_OnePulse_Start:0000000000000098 $d - /tmp/ccI8O5G1.s:12003 .text.HAL_TIM_OnePulse_Stop:0000000000000000 $t - /tmp/ccI8O5G1.s:12010 .text.HAL_TIM_OnePulse_Stop:0000000000000000 HAL_TIM_OnePulse_Stop - /tmp/ccI8O5G1.s:12105 .text.HAL_TIM_OnePulse_Stop:0000000000000090 $d - /tmp/ccI8O5G1.s:12110 .text.HAL_TIM_OnePulse_Start_IT:0000000000000000 $t - /tmp/ccI8O5G1.s:12117 .text.HAL_TIM_OnePulse_Start_IT:0000000000000000 HAL_TIM_OnePulse_Start_IT - /tmp/ccI8O5G1.s:12254 .text.HAL_TIM_OnePulse_Start_IT:00000000000000b0 $d - /tmp/ccI8O5G1.s:12259 .text.HAL_TIM_OnePulse_Stop_IT:0000000000000000 $t - /tmp/ccI8O5G1.s:12266 .text.HAL_TIM_OnePulse_Stop_IT:0000000000000000 HAL_TIM_OnePulse_Stop_IT - /tmp/ccI8O5G1.s:12371 .text.HAL_TIM_OnePulse_Stop_IT:00000000000000a4 $d - /tmp/ccI8O5G1.s:12376 .text.HAL_TIM_Encoder_Start:0000000000000000 $t - /tmp/ccI8O5G1.s:12383 .text.HAL_TIM_Encoder_Start:0000000000000000 HAL_TIM_Encoder_Start - /tmp/ccI8O5G1.s:12579 .text.HAL_TIM_Encoder_Stop:0000000000000000 $t - /tmp/ccI8O5G1.s:12586 .text.HAL_TIM_Encoder_Stop:0000000000000000 HAL_TIM_Encoder_Stop - /tmp/ccI8O5G1.s:12753 .text.HAL_TIM_Encoder_Start_IT:0000000000000000 $t - /tmp/ccI8O5G1.s:12760 .text.HAL_TIM_Encoder_Start_IT:0000000000000000 HAL_TIM_Encoder_Start_IT - /tmp/ccI8O5G1.s:12977 .text.HAL_TIM_Encoder_Stop_IT:0000000000000000 $t - /tmp/ccI8O5G1.s:12984 .text.HAL_TIM_Encoder_Stop_IT:0000000000000000 HAL_TIM_Encoder_Stop_IT - /tmp/ccI8O5G1.s:13171 .text.HAL_TIM_Encoder_Start_DMA:0000000000000000 $t - /tmp/ccI8O5G1.s:13178 .text.HAL_TIM_Encoder_Start_DMA:0000000000000000 HAL_TIM_Encoder_Start_DMA - /tmp/ccI8O5G1.s:13628 .text.HAL_TIM_Encoder_Start_DMA:0000000000000238 $d - /tmp/ccI8O5G1.s:13635 .text.HAL_TIM_Encoder_Stop_DMA:0000000000000000 $t - /tmp/ccI8O5G1.s:13642 .text.HAL_TIM_Encoder_Stop_DMA:0000000000000000 HAL_TIM_Encoder_Stop_DMA - /tmp/ccI8O5G1.s:3188 .text.HAL_TIM_ReadCapturedValue:0000000000000015 $d - /tmp/ccI8O5G1.s:3188 .text.HAL_TIM_ReadCapturedValue:0000000000000016 $t - /tmp/ccI8O5G1.s:5438 .text.HAL_TIM_OC_ConfigChannel:000000000000002f $d - /tmp/ccI8O5G1.s:5438 .text.HAL_TIM_OC_ConfigChannel:0000000000000030 $t - /tmp/ccI8O5G1.s:5565 .text.HAL_TIM_PWM_ConfigChannel:0000000000000033 $d - /tmp/ccI8O5G1.s:5565 .text.HAL_TIM_PWM_ConfigChannel:0000000000000034 $t - /tmp/ccI8O5G1.s:6301 .text.HAL_TIM_ConfigOCrefClear:0000000000000047 $d - /tmp/ccI8O5G1.s:6301 .text.HAL_TIM_ConfigOCrefClear:0000000000000048 $t - /tmp/ccI8O5G1.s:7487 .text.HAL_TIM_OC_Start_IT:0000000000000039 $d - /tmp/ccI8O5G1.s:7487 .text.HAL_TIM_OC_Start_IT:000000000000003a $t - /tmp/ccI8O5G1.s:7785 .text.HAL_TIM_OC_Stop_IT:000000000000001b $d - /tmp/ccI8O5G1.s:7785 .text.HAL_TIM_OC_Stop_IT:000000000000001c $t - /tmp/ccI8O5G1.s:8484 .text.HAL_TIM_OC_Stop_DMA:000000000000001b $d - /tmp/ccI8O5G1.s:8484 .text.HAL_TIM_OC_Stop_DMA:000000000000001c $t - /tmp/ccI8O5G1.s:9108 .text.HAL_TIM_PWM_Start_IT:0000000000000039 $d - /tmp/ccI8O5G1.s:9108 .text.HAL_TIM_PWM_Start_IT:000000000000003a $t - /tmp/ccI8O5G1.s:9406 .text.HAL_TIM_PWM_Stop_IT:000000000000001b $d - /tmp/ccI8O5G1.s:9406 .text.HAL_TIM_PWM_Stop_IT:000000000000001c $t - /tmp/ccI8O5G1.s:10105 .text.HAL_TIM_PWM_Stop_DMA:000000000000001b $d - /tmp/ccI8O5G1.s:10105 .text.HAL_TIM_PWM_Stop_DMA:000000000000001c $t - /tmp/ccI8O5G1.s:10833 .text.HAL_TIM_IC_Start_IT:00000000000000a1 $d - /tmp/ccI8O5G1.s:10833 .text.HAL_TIM_IC_Start_IT:00000000000000a2 $t - /tmp/ccI8O5G1.s:11063 .text.HAL_TIM_IC_Stop_IT:000000000000001b $d - /tmp/ccI8O5G1.s:11063 .text.HAL_TIM_IC_Stop_IT:000000000000001c $t - /tmp/ccI8O5G1.s:11510 .text.HAL_TIM_IC_Start_DMA:000000000000017b $d - /tmp/ccI8O5G1.s:11510 .text.HAL_TIM_IC_Start_DMA:000000000000017c $t - /tmp/ccI8O5G1.s:11723 .text.HAL_TIM_IC_Stop_DMA:0000000000000023 $d - /tmp/ccI8O5G1.s:11723 .text.HAL_TIM_IC_Stop_DMA:0000000000000024 $t + /tmp/ccONk7Sp.s:18 .text.TIM_OC1_SetConfig:0000000000000000 $t + /tmp/ccONk7Sp.s:24 .text.TIM_OC1_SetConfig:0000000000000000 TIM_OC1_SetConfig + /tmp/ccONk7Sp.s:153 .text.TIM_OC1_SetConfig:0000000000000098 $d + /tmp/ccONk7Sp.s:158 .text.TIM_OC3_SetConfig:0000000000000000 $t + /tmp/ccONk7Sp.s:164 .text.TIM_OC3_SetConfig:0000000000000000 TIM_OC3_SetConfig + /tmp/ccONk7Sp.s:280 .text.TIM_OC3_SetConfig:0000000000000088 $d + /tmp/ccONk7Sp.s:285 .text.TIM_OC4_SetConfig:0000000000000000 $t + /tmp/ccONk7Sp.s:291 .text.TIM_OC4_SetConfig:0000000000000000 TIM_OC4_SetConfig + /tmp/ccONk7Sp.s:382 .text.TIM_OC4_SetConfig:0000000000000068 $d + /tmp/ccONk7Sp.s:387 .text.TIM_OC5_SetConfig:0000000000000000 $t + /tmp/ccONk7Sp.s:393 .text.TIM_OC5_SetConfig:0000000000000000 TIM_OC5_SetConfig + /tmp/ccONk7Sp.s:484 .text.TIM_OC5_SetConfig:0000000000000064 $d + /tmp/ccONk7Sp.s:489 .text.TIM_OC6_SetConfig:0000000000000000 $t + /tmp/ccONk7Sp.s:495 .text.TIM_OC6_SetConfig:0000000000000000 TIM_OC6_SetConfig + /tmp/ccONk7Sp.s:586 .text.TIM_OC6_SetConfig:0000000000000068 $d + /tmp/ccONk7Sp.s:591 .text.TIM_TI1_ConfigInputStage:0000000000000000 $t + /tmp/ccONk7Sp.s:597 .text.TIM_TI1_ConfigInputStage:0000000000000000 TIM_TI1_ConfigInputStage + /tmp/ccONk7Sp.s:643 .text.TIM_TI2_SetConfig:0000000000000000 $t + /tmp/ccONk7Sp.s:649 .text.TIM_TI2_SetConfig:0000000000000000 TIM_TI2_SetConfig + /tmp/ccONk7Sp.s:709 .text.TIM_TI2_ConfigInputStage:0000000000000000 $t + /tmp/ccONk7Sp.s:715 .text.TIM_TI2_ConfigInputStage:0000000000000000 TIM_TI2_ConfigInputStage + /tmp/ccONk7Sp.s:761 .text.TIM_TI3_SetConfig:0000000000000000 $t + /tmp/ccONk7Sp.s:767 .text.TIM_TI3_SetConfig:0000000000000000 TIM_TI3_SetConfig + /tmp/ccONk7Sp.s:827 .text.TIM_TI4_SetConfig:0000000000000000 $t + /tmp/ccONk7Sp.s:833 .text.TIM_TI4_SetConfig:0000000000000000 TIM_TI4_SetConfig + /tmp/ccONk7Sp.s:893 .text.TIM_ITRx_SetConfig:0000000000000000 $t + /tmp/ccONk7Sp.s:899 .text.TIM_ITRx_SetConfig:0000000000000000 TIM_ITRx_SetConfig + /tmp/ccONk7Sp.s:926 .text.HAL_TIM_Base_MspInit:0000000000000000 $t + /tmp/ccONk7Sp.s:933 .text.HAL_TIM_Base_MspInit:0000000000000000 HAL_TIM_Base_MspInit + /tmp/ccONk7Sp.s:947 .text.HAL_TIM_Base_MspDeInit:0000000000000000 $t + /tmp/ccONk7Sp.s:954 .text.HAL_TIM_Base_MspDeInit:0000000000000000 HAL_TIM_Base_MspDeInit + /tmp/ccONk7Sp.s:968 .text.HAL_TIM_Base_DeInit:0000000000000000 $t + /tmp/ccONk7Sp.s:975 .text.HAL_TIM_Base_DeInit:0000000000000000 HAL_TIM_Base_DeInit + /tmp/ccONk7Sp.s:1036 .text.HAL_TIM_Base_Start:0000000000000000 $t + /tmp/ccONk7Sp.s:1043 .text.HAL_TIM_Base_Start:0000000000000000 HAL_TIM_Base_Start + /tmp/ccONk7Sp.s:1137 .text.HAL_TIM_Base_Start:000000000000007c $d + /tmp/ccONk7Sp.s:1143 .text.HAL_TIM_Base_Stop:0000000000000000 $t + /tmp/ccONk7Sp.s:1150 .text.HAL_TIM_Base_Stop:0000000000000000 HAL_TIM_Base_Stop + /tmp/ccONk7Sp.s:1185 .text.HAL_TIM_Base_Start_IT:0000000000000000 $t + /tmp/ccONk7Sp.s:1192 .text.HAL_TIM_Base_Start_IT:0000000000000000 HAL_TIM_Base_Start_IT + /tmp/ccONk7Sp.s:1291 .text.HAL_TIM_Base_Start_IT:0000000000000084 $d + /tmp/ccONk7Sp.s:1297 .text.HAL_TIM_Base_Stop_IT:0000000000000000 $t + /tmp/ccONk7Sp.s:1304 .text.HAL_TIM_Base_Stop_IT:0000000000000000 HAL_TIM_Base_Stop_IT + /tmp/ccONk7Sp.s:1344 .text.HAL_TIM_Base_Start_DMA:0000000000000000 $t + /tmp/ccONk7Sp.s:1351 .text.HAL_TIM_Base_Start_DMA:0000000000000000 HAL_TIM_Base_Start_DMA + /tmp/ccONk7Sp.s:1491 .text.HAL_TIM_Base_Start_DMA:00000000000000c0 $d + /tmp/ccONk7Sp.s:3258 .text.TIM_DMAPeriodElapsedCplt:0000000000000000 TIM_DMAPeriodElapsedCplt + /tmp/ccONk7Sp.s:3316 .text.TIM_DMAPeriodElapsedHalfCplt:0000000000000000 TIM_DMAPeriodElapsedHalfCplt + /tmp/ccONk7Sp.s:4207 .text.TIM_DMAError:0000000000000000 TIM_DMAError + /tmp/ccONk7Sp.s:1500 .text.HAL_TIM_Base_Stop_DMA:0000000000000000 $t + /tmp/ccONk7Sp.s:1507 .text.HAL_TIM_Base_Stop_DMA:0000000000000000 HAL_TIM_Base_Stop_DMA + /tmp/ccONk7Sp.s:1555 .text.HAL_TIM_OC_MspInit:0000000000000000 $t + /tmp/ccONk7Sp.s:1562 .text.HAL_TIM_OC_MspInit:0000000000000000 HAL_TIM_OC_MspInit + /tmp/ccONk7Sp.s:1576 .text.HAL_TIM_OC_MspDeInit:0000000000000000 $t + /tmp/ccONk7Sp.s:1583 .text.HAL_TIM_OC_MspDeInit:0000000000000000 HAL_TIM_OC_MspDeInit + /tmp/ccONk7Sp.s:1597 .text.HAL_TIM_OC_DeInit:0000000000000000 $t + /tmp/ccONk7Sp.s:1604 .text.HAL_TIM_OC_DeInit:0000000000000000 HAL_TIM_OC_DeInit + /tmp/ccONk7Sp.s:1665 .text.HAL_TIM_PWM_MspInit:0000000000000000 $t + /tmp/ccONk7Sp.s:1672 .text.HAL_TIM_PWM_MspInit:0000000000000000 HAL_TIM_PWM_MspInit + /tmp/ccONk7Sp.s:1686 .text.HAL_TIM_PWM_MspDeInit:0000000000000000 $t + /tmp/ccONk7Sp.s:1693 .text.HAL_TIM_PWM_MspDeInit:0000000000000000 HAL_TIM_PWM_MspDeInit + /tmp/ccONk7Sp.s:1707 .text.HAL_TIM_PWM_DeInit:0000000000000000 $t + /tmp/ccONk7Sp.s:1714 .text.HAL_TIM_PWM_DeInit:0000000000000000 HAL_TIM_PWM_DeInit + /tmp/ccONk7Sp.s:1775 .text.HAL_TIM_IC_MspInit:0000000000000000 $t + /tmp/ccONk7Sp.s:1782 .text.HAL_TIM_IC_MspInit:0000000000000000 HAL_TIM_IC_MspInit + /tmp/ccONk7Sp.s:1796 .text.HAL_TIM_IC_MspDeInit:0000000000000000 $t + /tmp/ccONk7Sp.s:1803 .text.HAL_TIM_IC_MspDeInit:0000000000000000 HAL_TIM_IC_MspDeInit + /tmp/ccONk7Sp.s:1817 .text.HAL_TIM_IC_DeInit:0000000000000000 $t + /tmp/ccONk7Sp.s:1824 .text.HAL_TIM_IC_DeInit:0000000000000000 HAL_TIM_IC_DeInit + /tmp/ccONk7Sp.s:1885 .text.HAL_TIM_OnePulse_MspInit:0000000000000000 $t + /tmp/ccONk7Sp.s:1892 .text.HAL_TIM_OnePulse_MspInit:0000000000000000 HAL_TIM_OnePulse_MspInit + /tmp/ccONk7Sp.s:1906 .text.HAL_TIM_OnePulse_MspDeInit:0000000000000000 $t + /tmp/ccONk7Sp.s:1913 .text.HAL_TIM_OnePulse_MspDeInit:0000000000000000 HAL_TIM_OnePulse_MspDeInit + /tmp/ccONk7Sp.s:1927 .text.HAL_TIM_OnePulse_DeInit:0000000000000000 $t + /tmp/ccONk7Sp.s:1934 .text.HAL_TIM_OnePulse_DeInit:0000000000000000 HAL_TIM_OnePulse_DeInit + /tmp/ccONk7Sp.s:1991 .text.HAL_TIM_Encoder_MspInit:0000000000000000 $t + /tmp/ccONk7Sp.s:1998 .text.HAL_TIM_Encoder_MspInit:0000000000000000 HAL_TIM_Encoder_MspInit + /tmp/ccONk7Sp.s:2012 .text.HAL_TIM_Encoder_MspDeInit:0000000000000000 $t + /tmp/ccONk7Sp.s:2019 .text.HAL_TIM_Encoder_MspDeInit:0000000000000000 HAL_TIM_Encoder_MspDeInit + /tmp/ccONk7Sp.s:2033 .text.HAL_TIM_Encoder_DeInit:0000000000000000 $t + /tmp/ccONk7Sp.s:2040 .text.HAL_TIM_Encoder_DeInit:0000000000000000 HAL_TIM_Encoder_DeInit + /tmp/ccONk7Sp.s:2097 .text.HAL_TIM_DMABurst_MultiWriteStart:0000000000000000 $t + /tmp/ccONk7Sp.s:2104 .text.HAL_TIM_DMABurst_MultiWriteStart:0000000000000000 HAL_TIM_DMABurst_MultiWriteStart + /tmp/ccONk7Sp.s:2422 .text.HAL_TIM_DMABurst_MultiWriteStart:0000000000000194 $d + /tmp/ccONk7Sp.s:3609 .text.TIM_DMADelayPulseCplt:0000000000000000 TIM_DMADelayPulseCplt + /tmp/ccONk7Sp.s:3731 .text.TIM_DMADelayPulseHalfCplt:0000000000000000 TIM_DMADelayPulseHalfCplt + /tmp/ccONk7Sp.s:4098 .text.TIM_DMATriggerCplt:0000000000000000 TIM_DMATriggerCplt + /tmp/ccONk7Sp.s:4156 .text.TIM_DMATriggerHalfCplt:0000000000000000 TIM_DMATriggerHalfCplt + /tmp/ccONk7Sp.s:2435 .text.HAL_TIM_DMABurst_WriteStart:0000000000000000 $t + /tmp/ccONk7Sp.s:2442 .text.HAL_TIM_DMABurst_WriteStart:0000000000000000 HAL_TIM_DMABurst_WriteStart + /tmp/ccONk7Sp.s:2474 .text.HAL_TIM_DMABurst_WriteStop:0000000000000000 $t + /tmp/ccONk7Sp.s:2481 .text.HAL_TIM_DMABurst_WriteStop:0000000000000000 HAL_TIM_DMABurst_WriteStop + /tmp/ccONk7Sp.s:2589 .text.HAL_TIM_DMABurst_MultiReadStart:0000000000000000 $t + /tmp/ccONk7Sp.s:2596 .text.HAL_TIM_DMABurst_MultiReadStart:0000000000000000 HAL_TIM_DMABurst_MultiReadStart + /tmp/ccONk7Sp.s:2907 .text.HAL_TIM_DMABurst_MultiReadStart:0000000000000194 $d + /tmp/ccONk7Sp.s:3388 .text.TIM_DMACaptureCplt:0000000000000000 TIM_DMACaptureCplt + /tmp/ccONk7Sp.s:3518 .text.TIM_DMACaptureHalfCplt:0000000000000000 TIM_DMACaptureHalfCplt + /tmp/ccONk7Sp.s:2920 .text.HAL_TIM_DMABurst_ReadStart:0000000000000000 $t + /tmp/ccONk7Sp.s:2927 .text.HAL_TIM_DMABurst_ReadStart:0000000000000000 HAL_TIM_DMABurst_ReadStart + /tmp/ccONk7Sp.s:2959 .text.HAL_TIM_DMABurst_ReadStop:0000000000000000 $t + /tmp/ccONk7Sp.s:2966 .text.HAL_TIM_DMABurst_ReadStop:0000000000000000 HAL_TIM_DMABurst_ReadStop + /tmp/ccONk7Sp.s:3074 .text.HAL_TIM_GenerateEvent:0000000000000000 $t + /tmp/ccONk7Sp.s:3081 .text.HAL_TIM_GenerateEvent:0000000000000000 HAL_TIM_GenerateEvent + /tmp/ccONk7Sp.s:3120 .text.HAL_TIM_ConfigTI1Input:0000000000000000 $t + /tmp/ccONk7Sp.s:3127 .text.HAL_TIM_ConfigTI1Input:0000000000000000 HAL_TIM_ConfigTI1Input + /tmp/ccONk7Sp.s:3155 .text.HAL_TIM_ReadCapturedValue:0000000000000000 $t + /tmp/ccONk7Sp.s:3162 .text.HAL_TIM_ReadCapturedValue:0000000000000000 HAL_TIM_ReadCapturedValue + /tmp/ccONk7Sp.s:3175 .text.HAL_TIM_ReadCapturedValue:0000000000000008 $d + /tmp/ccONk7Sp.s:3231 .text.HAL_TIM_PeriodElapsedCallback:0000000000000000 $t + /tmp/ccONk7Sp.s:3238 .text.HAL_TIM_PeriodElapsedCallback:0000000000000000 HAL_TIM_PeriodElapsedCallback + /tmp/ccONk7Sp.s:3252 .text.TIM_DMAPeriodElapsedCplt:0000000000000000 $t + /tmp/ccONk7Sp.s:3289 .text.HAL_TIM_PeriodElapsedHalfCpltCallback:0000000000000000 $t + /tmp/ccONk7Sp.s:3296 .text.HAL_TIM_PeriodElapsedHalfCpltCallback:0000000000000000 HAL_TIM_PeriodElapsedHalfCpltCallback + /tmp/ccONk7Sp.s:3310 .text.TIM_DMAPeriodElapsedHalfCplt:0000000000000000 $t + /tmp/ccONk7Sp.s:3339 .text.HAL_TIM_OC_DelayElapsedCallback:0000000000000000 $t + /tmp/ccONk7Sp.s:3346 .text.HAL_TIM_OC_DelayElapsedCallback:0000000000000000 HAL_TIM_OC_DelayElapsedCallback + /tmp/ccONk7Sp.s:3360 .text.HAL_TIM_IC_CaptureCallback:0000000000000000 $t + /tmp/ccONk7Sp.s:3367 .text.HAL_TIM_IC_CaptureCallback:0000000000000000 HAL_TIM_IC_CaptureCallback + /tmp/ccONk7Sp.s:3381 .text.TIM_DMACaptureCplt:0000000000000000 $t + /tmp/ccONk7Sp.s:3490 .text.HAL_TIM_IC_CaptureHalfCpltCallback:0000000000000000 $t + /tmp/ccONk7Sp.s:3497 .text.HAL_TIM_IC_CaptureHalfCpltCallback:0000000000000000 HAL_TIM_IC_CaptureHalfCpltCallback + /tmp/ccONk7Sp.s:3511 .text.TIM_DMACaptureHalfCplt:0000000000000000 $t + /tmp/ccONk7Sp.s:3582 .text.HAL_TIM_PWM_PulseFinishedCallback:0000000000000000 $t + /tmp/ccONk7Sp.s:3589 .text.HAL_TIM_PWM_PulseFinishedCallback:0000000000000000 HAL_TIM_PWM_PulseFinishedCallback + /tmp/ccONk7Sp.s:3603 .text.TIM_DMADelayPulseCplt:0000000000000000 $t + /tmp/ccONk7Sp.s:3703 .text.HAL_TIM_PWM_PulseFinishedHalfCpltCallback:0000000000000000 $t + /tmp/ccONk7Sp.s:3710 .text.HAL_TIM_PWM_PulseFinishedHalfCpltCallback:0000000000000000 HAL_TIM_PWM_PulseFinishedHalfCpltCallback + /tmp/ccONk7Sp.s:3724 .text.TIM_DMADelayPulseHalfCplt:0000000000000000 $t + /tmp/ccONk7Sp.s:3795 .text.HAL_TIM_TriggerCallback:0000000000000000 $t + /tmp/ccONk7Sp.s:3802 .text.HAL_TIM_TriggerCallback:0000000000000000 HAL_TIM_TriggerCallback + /tmp/ccONk7Sp.s:3816 .text.HAL_TIM_IRQHandler:0000000000000000 $t + /tmp/ccONk7Sp.s:3823 .text.HAL_TIM_IRQHandler:0000000000000000 HAL_TIM_IRQHandler + /tmp/ccONk7Sp.s:4092 .text.TIM_DMATriggerCplt:0000000000000000 $t + /tmp/ccONk7Sp.s:4129 .text.HAL_TIM_TriggerHalfCpltCallback:0000000000000000 $t + /tmp/ccONk7Sp.s:4136 .text.HAL_TIM_TriggerHalfCpltCallback:0000000000000000 HAL_TIM_TriggerHalfCpltCallback + /tmp/ccONk7Sp.s:4150 .text.TIM_DMATriggerHalfCplt:0000000000000000 $t + /tmp/ccONk7Sp.s:4179 .text.HAL_TIM_ErrorCallback:0000000000000000 $t + /tmp/ccONk7Sp.s:4186 .text.HAL_TIM_ErrorCallback:0000000000000000 HAL_TIM_ErrorCallback + /tmp/ccONk7Sp.s:4200 .text.TIM_DMAError:0000000000000000 $t + /tmp/ccONk7Sp.s:4287 .text.HAL_TIM_Base_GetState:0000000000000000 $t + /tmp/ccONk7Sp.s:4294 .text.HAL_TIM_Base_GetState:0000000000000000 HAL_TIM_Base_GetState + /tmp/ccONk7Sp.s:4311 .text.HAL_TIM_OC_GetState:0000000000000000 $t + /tmp/ccONk7Sp.s:4318 .text.HAL_TIM_OC_GetState:0000000000000000 HAL_TIM_OC_GetState + /tmp/ccONk7Sp.s:4335 .text.HAL_TIM_PWM_GetState:0000000000000000 $t + /tmp/ccONk7Sp.s:4342 .text.HAL_TIM_PWM_GetState:0000000000000000 HAL_TIM_PWM_GetState + /tmp/ccONk7Sp.s:4359 .text.HAL_TIM_IC_GetState:0000000000000000 $t + /tmp/ccONk7Sp.s:4366 .text.HAL_TIM_IC_GetState:0000000000000000 HAL_TIM_IC_GetState + /tmp/ccONk7Sp.s:4383 .text.HAL_TIM_OnePulse_GetState:0000000000000000 $t + /tmp/ccONk7Sp.s:4390 .text.HAL_TIM_OnePulse_GetState:0000000000000000 HAL_TIM_OnePulse_GetState + /tmp/ccONk7Sp.s:4407 .text.HAL_TIM_Encoder_GetState:0000000000000000 $t + /tmp/ccONk7Sp.s:4414 .text.HAL_TIM_Encoder_GetState:0000000000000000 HAL_TIM_Encoder_GetState + /tmp/ccONk7Sp.s:4431 .text.HAL_TIM_GetActiveChannel:0000000000000000 $t + /tmp/ccONk7Sp.s:4438 .text.HAL_TIM_GetActiveChannel:0000000000000000 HAL_TIM_GetActiveChannel + /tmp/ccONk7Sp.s:4454 .text.HAL_TIM_GetChannelState:0000000000000000 $t + /tmp/ccONk7Sp.s:4461 .text.HAL_TIM_GetChannelState:0000000000000000 HAL_TIM_GetChannelState + /tmp/ccONk7Sp.s:4529 .text.HAL_TIM_DMABurstState:0000000000000000 $t + /tmp/ccONk7Sp.s:4536 .text.HAL_TIM_DMABurstState:0000000000000000 HAL_TIM_DMABurstState + /tmp/ccONk7Sp.s:4553 .text.TIM_Base_SetConfig:0000000000000000 $t + /tmp/ccONk7Sp.s:4560 .text.TIM_Base_SetConfig:0000000000000000 TIM_Base_SetConfig + /tmp/ccONk7Sp.s:4696 .text.TIM_Base_SetConfig:00000000000000c4 $d + /tmp/ccONk7Sp.s:4701 .text.HAL_TIM_Base_Init:0000000000000000 $t + /tmp/ccONk7Sp.s:4708 .text.HAL_TIM_Base_Init:0000000000000000 HAL_TIM_Base_Init + /tmp/ccONk7Sp.s:4779 .text.HAL_TIM_OC_Init:0000000000000000 $t + /tmp/ccONk7Sp.s:4786 .text.HAL_TIM_OC_Init:0000000000000000 HAL_TIM_OC_Init + /tmp/ccONk7Sp.s:4857 .text.HAL_TIM_PWM_Init:0000000000000000 $t + /tmp/ccONk7Sp.s:4864 .text.HAL_TIM_PWM_Init:0000000000000000 HAL_TIM_PWM_Init + /tmp/ccONk7Sp.s:4935 .text.HAL_TIM_IC_Init:0000000000000000 $t + /tmp/ccONk7Sp.s:4942 .text.HAL_TIM_IC_Init:0000000000000000 HAL_TIM_IC_Init + /tmp/ccONk7Sp.s:5013 .text.HAL_TIM_OnePulse_Init:0000000000000000 $t + /tmp/ccONk7Sp.s:5020 .text.HAL_TIM_OnePulse_Init:0000000000000000 HAL_TIM_OnePulse_Init + /tmp/ccONk7Sp.s:5102 .text.HAL_TIM_Encoder_Init:0000000000000000 $t + /tmp/ccONk7Sp.s:5109 .text.HAL_TIM_Encoder_Init:0000000000000000 HAL_TIM_Encoder_Init + /tmp/ccONk7Sp.s:5257 .text.TIM_OC2_SetConfig:0000000000000000 $t + /tmp/ccONk7Sp.s:5264 .text.TIM_OC2_SetConfig:0000000000000000 TIM_OC2_SetConfig + /tmp/ccONk7Sp.s:5380 .text.TIM_OC2_SetConfig:0000000000000088 $d + /tmp/ccONk7Sp.s:5385 .text.HAL_TIM_OC_ConfigChannel:0000000000000000 $t + /tmp/ccONk7Sp.s:5392 .text.HAL_TIM_OC_ConfigChannel:0000000000000000 HAL_TIM_OC_ConfigChannel + /tmp/ccONk7Sp.s:5417 .text.HAL_TIM_OC_ConfigChannel:000000000000001a $d + /tmp/ccONk7Sp.s:5510 .text.HAL_TIM_PWM_ConfigChannel:0000000000000000 $t + /tmp/ccONk7Sp.s:5517 .text.HAL_TIM_PWM_ConfigChannel:0000000000000000 HAL_TIM_PWM_ConfigChannel + /tmp/ccONk7Sp.s:5544 .text.HAL_TIM_PWM_ConfigChannel:000000000000001e $d + /tmp/ccONk7Sp.s:5731 .text.TIM_TI1_SetConfig:0000000000000000 $t + /tmp/ccONk7Sp.s:5738 .text.TIM_TI1_SetConfig:0000000000000000 TIM_TI1_SetConfig + /tmp/ccONk7Sp.s:5832 .text.TIM_TI1_SetConfig:000000000000006c $d + /tmp/ccONk7Sp.s:5837 .text.HAL_TIM_IC_ConfigChannel:0000000000000000 $t + /tmp/ccONk7Sp.s:5844 .text.HAL_TIM_IC_ConfigChannel:0000000000000000 HAL_TIM_IC_ConfigChannel + /tmp/ccONk7Sp.s:5985 .text.HAL_TIM_OnePulse_ConfigChannel:0000000000000000 $t + /tmp/ccONk7Sp.s:5992 .text.HAL_TIM_OnePulse_ConfigChannel:0000000000000000 HAL_TIM_OnePulse_ConfigChannel + /tmp/ccONk7Sp.s:6190 .text.TIM_ETR_SetConfig:0000000000000000 $t + /tmp/ccONk7Sp.s:6197 .text.TIM_ETR_SetConfig:0000000000000000 TIM_ETR_SetConfig + /tmp/ccONk7Sp.s:6231 .text.HAL_TIM_ConfigOCrefClear:0000000000000000 $t + /tmp/ccONk7Sp.s:6238 .text.HAL_TIM_ConfigOCrefClear:0000000000000000 HAL_TIM_ConfigOCrefClear + /tmp/ccONk7Sp.s:6279 .text.HAL_TIM_ConfigOCrefClear:0000000000000032 $d + /tmp/ccONk7Sp.s:6482 .text.HAL_TIM_ConfigClockSource:0000000000000000 $t + /tmp/ccONk7Sp.s:6489 .text.HAL_TIM_ConfigClockSource:0000000000000000 HAL_TIM_ConfigClockSource + /tmp/ccONk7Sp.s:6675 .text.HAL_TIM_ConfigClockSource:00000000000000d8 $d + /tmp/ccONk7Sp.s:6680 .text.TIM_SlaveTimer_SetConfig:0000000000000000 $t + /tmp/ccONk7Sp.s:6686 .text.TIM_SlaveTimer_SetConfig:0000000000000000 TIM_SlaveTimer_SetConfig + /tmp/ccONk7Sp.s:6828 .text.HAL_TIM_SlaveConfigSynchro:0000000000000000 $t + /tmp/ccONk7Sp.s:6835 .text.HAL_TIM_SlaveConfigSynchro:0000000000000000 HAL_TIM_SlaveConfigSynchro + /tmp/ccONk7Sp.s:6908 .text.HAL_TIM_SlaveConfigSynchro_IT:0000000000000000 $t + /tmp/ccONk7Sp.s:6915 .text.HAL_TIM_SlaveConfigSynchro_IT:0000000000000000 HAL_TIM_SlaveConfigSynchro_IT + /tmp/ccONk7Sp.s:6988 .text.TIM_CCxChannelCmd:0000000000000000 $t + /tmp/ccONk7Sp.s:6995 .text.TIM_CCxChannelCmd:0000000000000000 TIM_CCxChannelCmd + /tmp/ccONk7Sp.s:7032 .text.HAL_TIM_OC_Start:0000000000000000 $t + /tmp/ccONk7Sp.s:7039 .text.HAL_TIM_OC_Start:0000000000000000 HAL_TIM_OC_Start + /tmp/ccONk7Sp.s:7288 .text.HAL_TIM_OC_Start:0000000000000164 $d + /tmp/ccONk7Sp.s:7294 .text.HAL_TIM_OC_Stop:0000000000000000 $t + /tmp/ccONk7Sp.s:7301 .text.HAL_TIM_OC_Stop:0000000000000000 HAL_TIM_OC_Stop + /tmp/ccONk7Sp.s:7425 .text.HAL_TIM_OC_Stop:00000000000000b4 $d + /tmp/ccONk7Sp.s:7430 .text.HAL_TIM_OC_Start_IT:0000000000000000 $t + /tmp/ccONk7Sp.s:7437 .text.HAL_TIM_OC_Start_IT:0000000000000000 HAL_TIM_OC_Start_IT + /tmp/ccONk7Sp.s:7474 .text.HAL_TIM_OC_Start_IT:000000000000002c $d + /tmp/ccONk7Sp.s:7739 .text.HAL_TIM_OC_Start_IT:00000000000001a8 $d + /tmp/ccONk7Sp.s:7745 .text.HAL_TIM_OC_Stop_IT:0000000000000000 $t + /tmp/ccONk7Sp.s:7752 .text.HAL_TIM_OC_Stop_IT:0000000000000000 HAL_TIM_OC_Stop_IT + /tmp/ccONk7Sp.s:7772 .text.HAL_TIM_OC_Stop_IT:000000000000000e $d + /tmp/ccONk7Sp.s:7930 .text.HAL_TIM_OC_Stop_IT:00000000000000fc $d + /tmp/ccONk7Sp.s:7935 .text.HAL_TIM_OC_Start_DMA:0000000000000000 $t + /tmp/ccONk7Sp.s:7942 .text.HAL_TIM_OC_Start_DMA:0000000000000000 HAL_TIM_OC_Start_DMA + /tmp/ccONk7Sp.s:8004 .text.HAL_TIM_OC_Start_DMA:0000000000000050 $d + /tmp/ccONk7Sp.s:8017 .text.HAL_TIM_OC_Start_DMA:000000000000006a $t + /tmp/ccONk7Sp.s:8435 .text.HAL_TIM_OC_Start_DMA:00000000000002d0 $d + /tmp/ccONk7Sp.s:8444 .text.HAL_TIM_OC_Stop_DMA:0000000000000000 $t + /tmp/ccONk7Sp.s:8451 .text.HAL_TIM_OC_Stop_DMA:0000000000000000 HAL_TIM_OC_Stop_DMA + /tmp/ccONk7Sp.s:8471 .text.HAL_TIM_OC_Stop_DMA:000000000000000e $d + /tmp/ccONk7Sp.s:8648 .text.HAL_TIM_OC_Stop_DMA:0000000000000114 $d + /tmp/ccONk7Sp.s:8653 .text.HAL_TIM_PWM_Start:0000000000000000 $t + /tmp/ccONk7Sp.s:8660 .text.HAL_TIM_PWM_Start:0000000000000000 HAL_TIM_PWM_Start + /tmp/ccONk7Sp.s:8909 .text.HAL_TIM_PWM_Start:0000000000000164 $d + /tmp/ccONk7Sp.s:8915 .text.HAL_TIM_PWM_Stop:0000000000000000 $t + /tmp/ccONk7Sp.s:8922 .text.HAL_TIM_PWM_Stop:0000000000000000 HAL_TIM_PWM_Stop + /tmp/ccONk7Sp.s:9046 .text.HAL_TIM_PWM_Stop:00000000000000b4 $d + /tmp/ccONk7Sp.s:9051 .text.HAL_TIM_PWM_Start_IT:0000000000000000 $t + /tmp/ccONk7Sp.s:9058 .text.HAL_TIM_PWM_Start_IT:0000000000000000 HAL_TIM_PWM_Start_IT + /tmp/ccONk7Sp.s:9095 .text.HAL_TIM_PWM_Start_IT:000000000000002c $d + /tmp/ccONk7Sp.s:9360 .text.HAL_TIM_PWM_Start_IT:00000000000001a8 $d + /tmp/ccONk7Sp.s:9366 .text.HAL_TIM_PWM_Stop_IT:0000000000000000 $t + /tmp/ccONk7Sp.s:9373 .text.HAL_TIM_PWM_Stop_IT:0000000000000000 HAL_TIM_PWM_Stop_IT + /tmp/ccONk7Sp.s:9393 .text.HAL_TIM_PWM_Stop_IT:000000000000000e $d + /tmp/ccONk7Sp.s:9551 .text.HAL_TIM_PWM_Stop_IT:00000000000000fc $d + /tmp/ccONk7Sp.s:9556 .text.HAL_TIM_PWM_Start_DMA:0000000000000000 $t + /tmp/ccONk7Sp.s:9563 .text.HAL_TIM_PWM_Start_DMA:0000000000000000 HAL_TIM_PWM_Start_DMA + /tmp/ccONk7Sp.s:9625 .text.HAL_TIM_PWM_Start_DMA:0000000000000050 $d + /tmp/ccONk7Sp.s:9638 .text.HAL_TIM_PWM_Start_DMA:000000000000006a $t + /tmp/ccONk7Sp.s:10056 .text.HAL_TIM_PWM_Start_DMA:00000000000002d0 $d + /tmp/ccONk7Sp.s:10065 .text.HAL_TIM_PWM_Stop_DMA:0000000000000000 $t + /tmp/ccONk7Sp.s:10072 .text.HAL_TIM_PWM_Stop_DMA:0000000000000000 HAL_TIM_PWM_Stop_DMA + /tmp/ccONk7Sp.s:10092 .text.HAL_TIM_PWM_Stop_DMA:000000000000000e $d + /tmp/ccONk7Sp.s:10269 .text.HAL_TIM_PWM_Stop_DMA:0000000000000114 $d + /tmp/ccONk7Sp.s:10274 .text.HAL_TIM_IC_Start:0000000000000000 $t + /tmp/ccONk7Sp.s:10281 .text.HAL_TIM_IC_Start:0000000000000000 HAL_TIM_IC_Start + /tmp/ccONk7Sp.s:10554 .text.HAL_TIM_IC_Start:0000000000000158 $d + /tmp/ccONk7Sp.s:10560 .text.HAL_TIM_IC_Stop:0000000000000000 $t + /tmp/ccONk7Sp.s:10567 .text.HAL_TIM_IC_Stop:0000000000000000 HAL_TIM_IC_Stop + /tmp/ccONk7Sp.s:10682 .text.HAL_TIM_IC_Start_IT:0000000000000000 $t + /tmp/ccONk7Sp.s:10689 .text.HAL_TIM_IC_Start_IT:0000000000000000 HAL_TIM_IC_Start_IT + /tmp/ccONk7Sp.s:10819 .text.HAL_TIM_IC_Start_IT:0000000000000094 $d + /tmp/ccONk7Sp.s:11017 .text.HAL_TIM_IC_Start_IT:000000000000019c $d + /tmp/ccONk7Sp.s:11023 .text.HAL_TIM_IC_Stop_IT:0000000000000000 $t + /tmp/ccONk7Sp.s:11030 .text.HAL_TIM_IC_Stop_IT:0000000000000000 HAL_TIM_IC_Stop_IT + /tmp/ccONk7Sp.s:11050 .text.HAL_TIM_IC_Stop_IT:000000000000000e $d + /tmp/ccONk7Sp.s:11199 .text.HAL_TIM_IC_Start_DMA:0000000000000000 $t + /tmp/ccONk7Sp.s:11206 .text.HAL_TIM_IC_Start_DMA:0000000000000000 HAL_TIM_IC_Start_DMA + /tmp/ccONk7Sp.s:11497 .text.HAL_TIM_IC_Start_DMA:000000000000016e $d + /tmp/ccONk7Sp.s:11668 .text.HAL_TIM_IC_Start_DMA:000000000000025c $d + /tmp/ccONk7Sp.s:11677 .text.HAL_TIM_IC_Stop_DMA:0000000000000000 $t + /tmp/ccONk7Sp.s:11684 .text.HAL_TIM_IC_Stop_DMA:0000000000000000 HAL_TIM_IC_Stop_DMA + /tmp/ccONk7Sp.s:11710 .text.HAL_TIM_IC_Stop_DMA:0000000000000016 $d + /tmp/ccONk7Sp.s:11866 .text.HAL_TIM_OnePulse_Start:0000000000000000 $t + /tmp/ccONk7Sp.s:11873 .text.HAL_TIM_OnePulse_Start:0000000000000000 HAL_TIM_OnePulse_Start + /tmp/ccONk7Sp.s:11998 .text.HAL_TIM_OnePulse_Start:0000000000000098 $d + /tmp/ccONk7Sp.s:12003 .text.HAL_TIM_OnePulse_Stop:0000000000000000 $t + /tmp/ccONk7Sp.s:12010 .text.HAL_TIM_OnePulse_Stop:0000000000000000 HAL_TIM_OnePulse_Stop + /tmp/ccONk7Sp.s:12105 .text.HAL_TIM_OnePulse_Stop:0000000000000090 $d + /tmp/ccONk7Sp.s:12110 .text.HAL_TIM_OnePulse_Start_IT:0000000000000000 $t + /tmp/ccONk7Sp.s:12117 .text.HAL_TIM_OnePulse_Start_IT:0000000000000000 HAL_TIM_OnePulse_Start_IT + /tmp/ccONk7Sp.s:12254 .text.HAL_TIM_OnePulse_Start_IT:00000000000000b0 $d + /tmp/ccONk7Sp.s:12259 .text.HAL_TIM_OnePulse_Stop_IT:0000000000000000 $t + /tmp/ccONk7Sp.s:12266 .text.HAL_TIM_OnePulse_Stop_IT:0000000000000000 HAL_TIM_OnePulse_Stop_IT + /tmp/ccONk7Sp.s:12371 .text.HAL_TIM_OnePulse_Stop_IT:00000000000000a4 $d + /tmp/ccONk7Sp.s:12376 .text.HAL_TIM_Encoder_Start:0000000000000000 $t + /tmp/ccONk7Sp.s:12383 .text.HAL_TIM_Encoder_Start:0000000000000000 HAL_TIM_Encoder_Start + /tmp/ccONk7Sp.s:12579 .text.HAL_TIM_Encoder_Stop:0000000000000000 $t + /tmp/ccONk7Sp.s:12586 .text.HAL_TIM_Encoder_Stop:0000000000000000 HAL_TIM_Encoder_Stop + /tmp/ccONk7Sp.s:12753 .text.HAL_TIM_Encoder_Start_IT:0000000000000000 $t + /tmp/ccONk7Sp.s:12760 .text.HAL_TIM_Encoder_Start_IT:0000000000000000 HAL_TIM_Encoder_Start_IT + /tmp/ccONk7Sp.s:12977 .text.HAL_TIM_Encoder_Stop_IT:0000000000000000 $t + /tmp/ccONk7Sp.s:12984 .text.HAL_TIM_Encoder_Stop_IT:0000000000000000 HAL_TIM_Encoder_Stop_IT + /tmp/ccONk7Sp.s:13171 .text.HAL_TIM_Encoder_Start_DMA:0000000000000000 $t + /tmp/ccONk7Sp.s:13178 .text.HAL_TIM_Encoder_Start_DMA:0000000000000000 HAL_TIM_Encoder_Start_DMA + /tmp/ccONk7Sp.s:13628 .text.HAL_TIM_Encoder_Start_DMA:0000000000000238 $d + /tmp/ccONk7Sp.s:13635 .text.HAL_TIM_Encoder_Stop_DMA:0000000000000000 $t + /tmp/ccONk7Sp.s:13642 .text.HAL_TIM_Encoder_Stop_DMA:0000000000000000 HAL_TIM_Encoder_Stop_DMA + /tmp/ccONk7Sp.s:3188 .text.HAL_TIM_ReadCapturedValue:0000000000000015 $d + /tmp/ccONk7Sp.s:3188 .text.HAL_TIM_ReadCapturedValue:0000000000000016 $t + /tmp/ccONk7Sp.s:5438 .text.HAL_TIM_OC_ConfigChannel:000000000000002f $d + /tmp/ccONk7Sp.s:5438 .text.HAL_TIM_OC_ConfigChannel:0000000000000030 $t + /tmp/ccONk7Sp.s:5565 .text.HAL_TIM_PWM_ConfigChannel:0000000000000033 $d + /tmp/ccONk7Sp.s:5565 .text.HAL_TIM_PWM_ConfigChannel:0000000000000034 $t + /tmp/ccONk7Sp.s:6301 .text.HAL_TIM_ConfigOCrefClear:0000000000000047 $d + /tmp/ccONk7Sp.s:6301 .text.HAL_TIM_ConfigOCrefClear:0000000000000048 $t + /tmp/ccONk7Sp.s:7487 .text.HAL_TIM_OC_Start_IT:0000000000000039 $d + /tmp/ccONk7Sp.s:7487 .text.HAL_TIM_OC_Start_IT:000000000000003a $t + /tmp/ccONk7Sp.s:7785 .text.HAL_TIM_OC_Stop_IT:000000000000001b $d + /tmp/ccONk7Sp.s:7785 .text.HAL_TIM_OC_Stop_IT:000000000000001c $t + /tmp/ccONk7Sp.s:8484 .text.HAL_TIM_OC_Stop_DMA:000000000000001b $d + /tmp/ccONk7Sp.s:8484 .text.HAL_TIM_OC_Stop_DMA:000000000000001c $t + /tmp/ccONk7Sp.s:9108 .text.HAL_TIM_PWM_Start_IT:0000000000000039 $d + /tmp/ccONk7Sp.s:9108 .text.HAL_TIM_PWM_Start_IT:000000000000003a $t + /tmp/ccONk7Sp.s:9406 .text.HAL_TIM_PWM_Stop_IT:000000000000001b $d + /tmp/ccONk7Sp.s:9406 .text.HAL_TIM_PWM_Stop_IT:000000000000001c $t + /tmp/ccONk7Sp.s:10105 .text.HAL_TIM_PWM_Stop_DMA:000000000000001b $d + /tmp/ccONk7Sp.s:10105 .text.HAL_TIM_PWM_Stop_DMA:000000000000001c $t + /tmp/ccONk7Sp.s:10833 .text.HAL_TIM_IC_Start_IT:00000000000000a1 $d + /tmp/ccONk7Sp.s:10833 .text.HAL_TIM_IC_Start_IT:00000000000000a2 $t + /tmp/ccONk7Sp.s:11063 .text.HAL_TIM_IC_Stop_IT:000000000000001b $d + /tmp/ccONk7Sp.s:11063 .text.HAL_TIM_IC_Stop_IT:000000000000001c $t + /tmp/ccONk7Sp.s:11510 .text.HAL_TIM_IC_Start_DMA:000000000000017b $d + /tmp/ccONk7Sp.s:11510 .text.HAL_TIM_IC_Start_DMA:000000000000017c $t + /tmp/ccONk7Sp.s:11723 .text.HAL_TIM_IC_Stop_DMA:0000000000000023 $d + /tmp/ccONk7Sp.s:11723 .text.HAL_TIM_IC_Stop_DMA:0000000000000024 $t .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_tim_ex.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_tim_ex.o.lst index 70fa41c..0e628b0 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_tim_ex.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_tim_ex.o.lst @@ -8908,111 +8908,111 @@ 5264 .file 12 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_tim_ex.c - /tmp/ccQiZ3qF.s:18 .text.TIM_CCxNChannelCmd:0000000000000000 $t - /tmp/ccQiZ3qF.s:24 .text.TIM_CCxNChannelCmd:0000000000000000 TIM_CCxNChannelCmd - /tmp/ccQiZ3qF.s:62 .text.TIM_DMAErrorCCxN:0000000000000000 $t - /tmp/ccQiZ3qF.s:68 .text.TIM_DMAErrorCCxN:0000000000000000 TIM_DMAErrorCCxN - /tmp/ccQiZ3qF.s:133 .text.TIM_DMADelayPulseNCplt:0000000000000000 $t - /tmp/ccQiZ3qF.s:139 .text.TIM_DMADelayPulseNCplt:0000000000000000 TIM_DMADelayPulseNCplt - /tmp/ccQiZ3qF.s:233 .text.HAL_TIMEx_HallSensor_MspInit:0000000000000000 $t - /tmp/ccQiZ3qF.s:240 .text.HAL_TIMEx_HallSensor_MspInit:0000000000000000 HAL_TIMEx_HallSensor_MspInit - /tmp/ccQiZ3qF.s:254 .text.HAL_TIMEx_HallSensor_Init:0000000000000000 $t - /tmp/ccQiZ3qF.s:261 .text.HAL_TIMEx_HallSensor_Init:0000000000000000 HAL_TIMEx_HallSensor_Init - /tmp/ccQiZ3qF.s:418 .text.HAL_TIMEx_HallSensor_MspDeInit:0000000000000000 $t - /tmp/ccQiZ3qF.s:425 .text.HAL_TIMEx_HallSensor_MspDeInit:0000000000000000 HAL_TIMEx_HallSensor_MspDeInit - /tmp/ccQiZ3qF.s:439 .text.HAL_TIMEx_HallSensor_DeInit:0000000000000000 $t - /tmp/ccQiZ3qF.s:446 .text.HAL_TIMEx_HallSensor_DeInit:0000000000000000 HAL_TIMEx_HallSensor_DeInit - /tmp/ccQiZ3qF.s:503 .text.HAL_TIMEx_HallSensor_Start:0000000000000000 $t - /tmp/ccQiZ3qF.s:510 .text.HAL_TIMEx_HallSensor_Start:0000000000000000 HAL_TIMEx_HallSensor_Start - /tmp/ccQiZ3qF.s:659 .text.HAL_TIMEx_HallSensor_Start:00000000000000bc $d - /tmp/ccQiZ3qF.s:665 .text.HAL_TIMEx_HallSensor_Stop:0000000000000000 $t - /tmp/ccQiZ3qF.s:672 .text.HAL_TIMEx_HallSensor_Stop:0000000000000000 HAL_TIMEx_HallSensor_Stop - /tmp/ccQiZ3qF.s:723 .text.HAL_TIMEx_HallSensor_Start_IT:0000000000000000 $t - /tmp/ccQiZ3qF.s:730 .text.HAL_TIMEx_HallSensor_Start_IT:0000000000000000 HAL_TIMEx_HallSensor_Start_IT - /tmp/ccQiZ3qF.s:886 .text.HAL_TIMEx_HallSensor_Start_IT:00000000000000c8 $d - /tmp/ccQiZ3qF.s:892 .text.HAL_TIMEx_HallSensor_Stop_IT:0000000000000000 $t - /tmp/ccQiZ3qF.s:899 .text.HAL_TIMEx_HallSensor_Stop_IT:0000000000000000 HAL_TIMEx_HallSensor_Stop_IT - /tmp/ccQiZ3qF.s:955 .text.HAL_TIMEx_HallSensor_Start_DMA:0000000000000000 $t - /tmp/ccQiZ3qF.s:962 .text.HAL_TIMEx_HallSensor_Start_DMA:0000000000000000 HAL_TIMEx_HallSensor_Start_DMA - /tmp/ccQiZ3qF.s:1135 .text.HAL_TIMEx_HallSensor_Start_DMA:00000000000000e4 $d - /tmp/ccQiZ3qF.s:1144 .text.HAL_TIMEx_HallSensor_Stop_DMA:0000000000000000 $t - /tmp/ccQiZ3qF.s:1151 .text.HAL_TIMEx_HallSensor_Stop_DMA:0000000000000000 HAL_TIMEx_HallSensor_Stop_DMA - /tmp/ccQiZ3qF.s:1207 .text.HAL_TIMEx_OCN_Start:0000000000000000 $t - /tmp/ccQiZ3qF.s:1214 .text.HAL_TIMEx_OCN_Start:0000000000000000 HAL_TIMEx_OCN_Start - /tmp/ccQiZ3qF.s:1403 .text.HAL_TIMEx_OCN_Start:00000000000000fc $d - /tmp/ccQiZ3qF.s:1409 .text.HAL_TIMEx_OCN_Stop:0000000000000000 $t - /tmp/ccQiZ3qF.s:1416 .text.HAL_TIMEx_OCN_Stop:0000000000000000 HAL_TIMEx_OCN_Stop - /tmp/ccQiZ3qF.s:1504 .text.HAL_TIMEx_OCN_Start_IT:0000000000000000 $t - /tmp/ccQiZ3qF.s:1511 .text.HAL_TIMEx_OCN_Start_IT:0000000000000000 HAL_TIMEx_OCN_Start_IT - /tmp/ccQiZ3qF.s:1742 .text.HAL_TIMEx_OCN_Start_IT:0000000000000138 $d - /tmp/ccQiZ3qF.s:1748 .text.HAL_TIMEx_OCN_Stop_IT:0000000000000000 $t - /tmp/ccQiZ3qF.s:1755 .text.HAL_TIMEx_OCN_Stop_IT:0000000000000000 HAL_TIMEx_OCN_Stop_IT - /tmp/ccQiZ3qF.s:1893 .text.HAL_TIMEx_OCN_Start_DMA:0000000000000000 $t - /tmp/ccQiZ3qF.s:1900 .text.HAL_TIMEx_OCN_Start_DMA:0000000000000000 HAL_TIMEx_OCN_Start_DMA - /tmp/ccQiZ3qF.s:2261 .text.HAL_TIMEx_OCN_Start_DMA:000000000000020c $d - /tmp/ccQiZ3qF.s:2270 .text.HAL_TIMEx_OCN_Stop_DMA:0000000000000000 $t - /tmp/ccQiZ3qF.s:2277 .text.HAL_TIMEx_OCN_Stop_DMA:0000000000000000 HAL_TIMEx_OCN_Stop_DMA - /tmp/ccQiZ3qF.s:2415 .text.HAL_TIMEx_PWMN_Start:0000000000000000 $t - /tmp/ccQiZ3qF.s:2422 .text.HAL_TIMEx_PWMN_Start:0000000000000000 HAL_TIMEx_PWMN_Start - /tmp/ccQiZ3qF.s:2611 .text.HAL_TIMEx_PWMN_Start:00000000000000fc $d - /tmp/ccQiZ3qF.s:2617 .text.HAL_TIMEx_PWMN_Stop:0000000000000000 $t - /tmp/ccQiZ3qF.s:2624 .text.HAL_TIMEx_PWMN_Stop:0000000000000000 HAL_TIMEx_PWMN_Stop - /tmp/ccQiZ3qF.s:2712 .text.HAL_TIMEx_PWMN_Start_IT:0000000000000000 $t - /tmp/ccQiZ3qF.s:2719 .text.HAL_TIMEx_PWMN_Start_IT:0000000000000000 HAL_TIMEx_PWMN_Start_IT - /tmp/ccQiZ3qF.s:2950 .text.HAL_TIMEx_PWMN_Start_IT:0000000000000138 $d - /tmp/ccQiZ3qF.s:2956 .text.HAL_TIMEx_PWMN_Stop_IT:0000000000000000 $t - /tmp/ccQiZ3qF.s:2963 .text.HAL_TIMEx_PWMN_Stop_IT:0000000000000000 HAL_TIMEx_PWMN_Stop_IT - /tmp/ccQiZ3qF.s:3101 .text.HAL_TIMEx_PWMN_Start_DMA:0000000000000000 $t - /tmp/ccQiZ3qF.s:3108 .text.HAL_TIMEx_PWMN_Start_DMA:0000000000000000 HAL_TIMEx_PWMN_Start_DMA - /tmp/ccQiZ3qF.s:3469 .text.HAL_TIMEx_PWMN_Start_DMA:000000000000020c $d - /tmp/ccQiZ3qF.s:3478 .text.HAL_TIMEx_PWMN_Stop_DMA:0000000000000000 $t - /tmp/ccQiZ3qF.s:3485 .text.HAL_TIMEx_PWMN_Stop_DMA:0000000000000000 HAL_TIMEx_PWMN_Stop_DMA - /tmp/ccQiZ3qF.s:3623 .text.HAL_TIMEx_OnePulseN_Start:0000000000000000 $t - /tmp/ccQiZ3qF.s:3630 .text.HAL_TIMEx_OnePulseN_Start:0000000000000000 HAL_TIMEx_OnePulseN_Start - /tmp/ccQiZ3qF.s:3749 .text.HAL_TIMEx_OnePulseN_Stop:0000000000000000 $t - /tmp/ccQiZ3qF.s:3756 .text.HAL_TIMEx_OnePulseN_Stop:0000000000000000 HAL_TIMEx_OnePulseN_Stop - /tmp/ccQiZ3qF.s:3844 .text.HAL_TIMEx_OnePulseN_Start_IT:0000000000000000 $t - /tmp/ccQiZ3qF.s:3851 .text.HAL_TIMEx_OnePulseN_Start_IT:0000000000000000 HAL_TIMEx_OnePulseN_Start_IT - /tmp/ccQiZ3qF.s:3980 .text.HAL_TIMEx_OnePulseN_Stop_IT:0000000000000000 $t - /tmp/ccQiZ3qF.s:3987 .text.HAL_TIMEx_OnePulseN_Stop_IT:0000000000000000 HAL_TIMEx_OnePulseN_Stop_IT - /tmp/ccQiZ3qF.s:4086 .text.HAL_TIMEx_ConfigCommutEvent:0000000000000000 $t - /tmp/ccQiZ3qF.s:4093 .text.HAL_TIMEx_ConfigCommutEvent:0000000000000000 HAL_TIMEx_ConfigCommutEvent - /tmp/ccQiZ3qF.s:4184 .text.HAL_TIMEx_ConfigCommutEvent_IT:0000000000000000 $t - /tmp/ccQiZ3qF.s:4191 .text.HAL_TIMEx_ConfigCommutEvent_IT:0000000000000000 HAL_TIMEx_ConfigCommutEvent_IT - /tmp/ccQiZ3qF.s:4282 .text.HAL_TIMEx_ConfigCommutEvent_DMA:0000000000000000 $t - /tmp/ccQiZ3qF.s:4289 .text.HAL_TIMEx_ConfigCommutEvent_DMA:0000000000000000 HAL_TIMEx_ConfigCommutEvent_DMA - /tmp/ccQiZ3qF.s:4391 .text.HAL_TIMEx_ConfigCommutEvent_DMA:0000000000000084 $d - /tmp/ccQiZ3qF.s:5052 .text.TIMEx_DMACommutationCplt:0000000000000000 TIMEx_DMACommutationCplt - /tmp/ccQiZ3qF.s:5106 .text.TIMEx_DMACommutationHalfCplt:0000000000000000 TIMEx_DMACommutationHalfCplt - /tmp/ccQiZ3qF.s:4398 .text.HAL_TIMEx_MasterConfigSynchronization:0000000000000000 $t - /tmp/ccQiZ3qF.s:4405 .text.HAL_TIMEx_MasterConfigSynchronization:0000000000000000 HAL_TIMEx_MasterConfigSynchronization - /tmp/ccQiZ3qF.s:4528 .text.HAL_TIMEx_MasterConfigSynchronization:0000000000000094 $d - /tmp/ccQiZ3qF.s:4533 .text.HAL_TIMEx_ConfigBreakDeadTime:0000000000000000 $t - /tmp/ccQiZ3qF.s:4540 .text.HAL_TIMEx_ConfigBreakDeadTime:0000000000000000 HAL_TIMEx_ConfigBreakDeadTime - /tmp/ccQiZ3qF.s:4666 .text.HAL_TIMEx_ConfigBreakDeadTime:000000000000008c $d - /tmp/ccQiZ3qF.s:4671 .text.HAL_TIMEx_ConfigBreakInput:0000000000000000 $t - /tmp/ccQiZ3qF.s:4678 .text.HAL_TIMEx_ConfigBreakInput:0000000000000000 HAL_TIMEx_ConfigBreakInput - /tmp/ccQiZ3qF.s:4707 .text.HAL_TIMEx_ConfigBreakInput:000000000000001c $d - /tmp/ccQiZ3qF.s:4715 .text.HAL_TIMEx_ConfigBreakInput:0000000000000024 $t - /tmp/ccQiZ3qF.s:4871 .text.HAL_TIMEx_RemapConfig:0000000000000000 $t - /tmp/ccQiZ3qF.s:4878 .text.HAL_TIMEx_RemapConfig:0000000000000000 HAL_TIMEx_RemapConfig - /tmp/ccQiZ3qF.s:4955 .text.HAL_TIMEx_RemapConfig:0000000000000058 $d - /tmp/ccQiZ3qF.s:4960 .text.HAL_TIMEx_GroupChannel5:0000000000000000 $t - /tmp/ccQiZ3qF.s:4967 .text.HAL_TIMEx_GroupChannel5:0000000000000000 HAL_TIMEx_GroupChannel5 - /tmp/ccQiZ3qF.s:5024 .text.HAL_TIMEx_CommutCallback:0000000000000000 $t - /tmp/ccQiZ3qF.s:5031 .text.HAL_TIMEx_CommutCallback:0000000000000000 HAL_TIMEx_CommutCallback - /tmp/ccQiZ3qF.s:5045 .text.TIMEx_DMACommutationCplt:0000000000000000 $t - /tmp/ccQiZ3qF.s:5078 .text.HAL_TIMEx_CommutHalfCpltCallback:0000000000000000 $t - /tmp/ccQiZ3qF.s:5085 .text.HAL_TIMEx_CommutHalfCpltCallback:0000000000000000 HAL_TIMEx_CommutHalfCpltCallback - /tmp/ccQiZ3qF.s:5099 .text.TIMEx_DMACommutationHalfCplt:0000000000000000 $t - /tmp/ccQiZ3qF.s:5132 .text.HAL_TIMEx_BreakCallback:0000000000000000 $t - /tmp/ccQiZ3qF.s:5139 .text.HAL_TIMEx_BreakCallback:0000000000000000 HAL_TIMEx_BreakCallback - /tmp/ccQiZ3qF.s:5153 .text.HAL_TIMEx_Break2Callback:0000000000000000 $t - /tmp/ccQiZ3qF.s:5160 .text.HAL_TIMEx_Break2Callback:0000000000000000 HAL_TIMEx_Break2Callback - /tmp/ccQiZ3qF.s:5174 .text.HAL_TIMEx_HallSensor_GetState:0000000000000000 $t - /tmp/ccQiZ3qF.s:5181 .text.HAL_TIMEx_HallSensor_GetState:0000000000000000 HAL_TIMEx_HallSensor_GetState - /tmp/ccQiZ3qF.s:5198 .text.HAL_TIMEx_GetChannelNState:0000000000000000 $t - /tmp/ccQiZ3qF.s:5205 .text.HAL_TIMEx_GetChannelNState:0000000000000000 HAL_TIMEx_GetChannelNState + /tmp/cc8CKt63.s:18 .text.TIM_CCxNChannelCmd:0000000000000000 $t + /tmp/cc8CKt63.s:24 .text.TIM_CCxNChannelCmd:0000000000000000 TIM_CCxNChannelCmd + /tmp/cc8CKt63.s:62 .text.TIM_DMAErrorCCxN:0000000000000000 $t + /tmp/cc8CKt63.s:68 .text.TIM_DMAErrorCCxN:0000000000000000 TIM_DMAErrorCCxN + /tmp/cc8CKt63.s:133 .text.TIM_DMADelayPulseNCplt:0000000000000000 $t + /tmp/cc8CKt63.s:139 .text.TIM_DMADelayPulseNCplt:0000000000000000 TIM_DMADelayPulseNCplt + /tmp/cc8CKt63.s:233 .text.HAL_TIMEx_HallSensor_MspInit:0000000000000000 $t + /tmp/cc8CKt63.s:240 .text.HAL_TIMEx_HallSensor_MspInit:0000000000000000 HAL_TIMEx_HallSensor_MspInit + /tmp/cc8CKt63.s:254 .text.HAL_TIMEx_HallSensor_Init:0000000000000000 $t + /tmp/cc8CKt63.s:261 .text.HAL_TIMEx_HallSensor_Init:0000000000000000 HAL_TIMEx_HallSensor_Init + /tmp/cc8CKt63.s:418 .text.HAL_TIMEx_HallSensor_MspDeInit:0000000000000000 $t + /tmp/cc8CKt63.s:425 .text.HAL_TIMEx_HallSensor_MspDeInit:0000000000000000 HAL_TIMEx_HallSensor_MspDeInit + /tmp/cc8CKt63.s:439 .text.HAL_TIMEx_HallSensor_DeInit:0000000000000000 $t + /tmp/cc8CKt63.s:446 .text.HAL_TIMEx_HallSensor_DeInit:0000000000000000 HAL_TIMEx_HallSensor_DeInit + /tmp/cc8CKt63.s:503 .text.HAL_TIMEx_HallSensor_Start:0000000000000000 $t + /tmp/cc8CKt63.s:510 .text.HAL_TIMEx_HallSensor_Start:0000000000000000 HAL_TIMEx_HallSensor_Start + /tmp/cc8CKt63.s:659 .text.HAL_TIMEx_HallSensor_Start:00000000000000bc $d + /tmp/cc8CKt63.s:665 .text.HAL_TIMEx_HallSensor_Stop:0000000000000000 $t + /tmp/cc8CKt63.s:672 .text.HAL_TIMEx_HallSensor_Stop:0000000000000000 HAL_TIMEx_HallSensor_Stop + /tmp/cc8CKt63.s:723 .text.HAL_TIMEx_HallSensor_Start_IT:0000000000000000 $t + /tmp/cc8CKt63.s:730 .text.HAL_TIMEx_HallSensor_Start_IT:0000000000000000 HAL_TIMEx_HallSensor_Start_IT + /tmp/cc8CKt63.s:886 .text.HAL_TIMEx_HallSensor_Start_IT:00000000000000c8 $d + /tmp/cc8CKt63.s:892 .text.HAL_TIMEx_HallSensor_Stop_IT:0000000000000000 $t + /tmp/cc8CKt63.s:899 .text.HAL_TIMEx_HallSensor_Stop_IT:0000000000000000 HAL_TIMEx_HallSensor_Stop_IT + /tmp/cc8CKt63.s:955 .text.HAL_TIMEx_HallSensor_Start_DMA:0000000000000000 $t + /tmp/cc8CKt63.s:962 .text.HAL_TIMEx_HallSensor_Start_DMA:0000000000000000 HAL_TIMEx_HallSensor_Start_DMA + /tmp/cc8CKt63.s:1135 .text.HAL_TIMEx_HallSensor_Start_DMA:00000000000000e4 $d + /tmp/cc8CKt63.s:1144 .text.HAL_TIMEx_HallSensor_Stop_DMA:0000000000000000 $t + /tmp/cc8CKt63.s:1151 .text.HAL_TIMEx_HallSensor_Stop_DMA:0000000000000000 HAL_TIMEx_HallSensor_Stop_DMA + /tmp/cc8CKt63.s:1207 .text.HAL_TIMEx_OCN_Start:0000000000000000 $t + /tmp/cc8CKt63.s:1214 .text.HAL_TIMEx_OCN_Start:0000000000000000 HAL_TIMEx_OCN_Start + /tmp/cc8CKt63.s:1403 .text.HAL_TIMEx_OCN_Start:00000000000000fc $d + /tmp/cc8CKt63.s:1409 .text.HAL_TIMEx_OCN_Stop:0000000000000000 $t + /tmp/cc8CKt63.s:1416 .text.HAL_TIMEx_OCN_Stop:0000000000000000 HAL_TIMEx_OCN_Stop + /tmp/cc8CKt63.s:1504 .text.HAL_TIMEx_OCN_Start_IT:0000000000000000 $t + /tmp/cc8CKt63.s:1511 .text.HAL_TIMEx_OCN_Start_IT:0000000000000000 HAL_TIMEx_OCN_Start_IT + /tmp/cc8CKt63.s:1742 .text.HAL_TIMEx_OCN_Start_IT:0000000000000138 $d + /tmp/cc8CKt63.s:1748 .text.HAL_TIMEx_OCN_Stop_IT:0000000000000000 $t + /tmp/cc8CKt63.s:1755 .text.HAL_TIMEx_OCN_Stop_IT:0000000000000000 HAL_TIMEx_OCN_Stop_IT + /tmp/cc8CKt63.s:1893 .text.HAL_TIMEx_OCN_Start_DMA:0000000000000000 $t + /tmp/cc8CKt63.s:1900 .text.HAL_TIMEx_OCN_Start_DMA:0000000000000000 HAL_TIMEx_OCN_Start_DMA + /tmp/cc8CKt63.s:2261 .text.HAL_TIMEx_OCN_Start_DMA:000000000000020c $d + /tmp/cc8CKt63.s:2270 .text.HAL_TIMEx_OCN_Stop_DMA:0000000000000000 $t + /tmp/cc8CKt63.s:2277 .text.HAL_TIMEx_OCN_Stop_DMA:0000000000000000 HAL_TIMEx_OCN_Stop_DMA + /tmp/cc8CKt63.s:2415 .text.HAL_TIMEx_PWMN_Start:0000000000000000 $t + /tmp/cc8CKt63.s:2422 .text.HAL_TIMEx_PWMN_Start:0000000000000000 HAL_TIMEx_PWMN_Start + /tmp/cc8CKt63.s:2611 .text.HAL_TIMEx_PWMN_Start:00000000000000fc $d + /tmp/cc8CKt63.s:2617 .text.HAL_TIMEx_PWMN_Stop:0000000000000000 $t + /tmp/cc8CKt63.s:2624 .text.HAL_TIMEx_PWMN_Stop:0000000000000000 HAL_TIMEx_PWMN_Stop + /tmp/cc8CKt63.s:2712 .text.HAL_TIMEx_PWMN_Start_IT:0000000000000000 $t + /tmp/cc8CKt63.s:2719 .text.HAL_TIMEx_PWMN_Start_IT:0000000000000000 HAL_TIMEx_PWMN_Start_IT + /tmp/cc8CKt63.s:2950 .text.HAL_TIMEx_PWMN_Start_IT:0000000000000138 $d + /tmp/cc8CKt63.s:2956 .text.HAL_TIMEx_PWMN_Stop_IT:0000000000000000 $t + /tmp/cc8CKt63.s:2963 .text.HAL_TIMEx_PWMN_Stop_IT:0000000000000000 HAL_TIMEx_PWMN_Stop_IT + /tmp/cc8CKt63.s:3101 .text.HAL_TIMEx_PWMN_Start_DMA:0000000000000000 $t + /tmp/cc8CKt63.s:3108 .text.HAL_TIMEx_PWMN_Start_DMA:0000000000000000 HAL_TIMEx_PWMN_Start_DMA + /tmp/cc8CKt63.s:3469 .text.HAL_TIMEx_PWMN_Start_DMA:000000000000020c $d + /tmp/cc8CKt63.s:3478 .text.HAL_TIMEx_PWMN_Stop_DMA:0000000000000000 $t + /tmp/cc8CKt63.s:3485 .text.HAL_TIMEx_PWMN_Stop_DMA:0000000000000000 HAL_TIMEx_PWMN_Stop_DMA + /tmp/cc8CKt63.s:3623 .text.HAL_TIMEx_OnePulseN_Start:0000000000000000 $t + /tmp/cc8CKt63.s:3630 .text.HAL_TIMEx_OnePulseN_Start:0000000000000000 HAL_TIMEx_OnePulseN_Start + /tmp/cc8CKt63.s:3749 .text.HAL_TIMEx_OnePulseN_Stop:0000000000000000 $t + /tmp/cc8CKt63.s:3756 .text.HAL_TIMEx_OnePulseN_Stop:0000000000000000 HAL_TIMEx_OnePulseN_Stop + /tmp/cc8CKt63.s:3844 .text.HAL_TIMEx_OnePulseN_Start_IT:0000000000000000 $t + /tmp/cc8CKt63.s:3851 .text.HAL_TIMEx_OnePulseN_Start_IT:0000000000000000 HAL_TIMEx_OnePulseN_Start_IT + /tmp/cc8CKt63.s:3980 .text.HAL_TIMEx_OnePulseN_Stop_IT:0000000000000000 $t + /tmp/cc8CKt63.s:3987 .text.HAL_TIMEx_OnePulseN_Stop_IT:0000000000000000 HAL_TIMEx_OnePulseN_Stop_IT + /tmp/cc8CKt63.s:4086 .text.HAL_TIMEx_ConfigCommutEvent:0000000000000000 $t + /tmp/cc8CKt63.s:4093 .text.HAL_TIMEx_ConfigCommutEvent:0000000000000000 HAL_TIMEx_ConfigCommutEvent + /tmp/cc8CKt63.s:4184 .text.HAL_TIMEx_ConfigCommutEvent_IT:0000000000000000 $t + /tmp/cc8CKt63.s:4191 .text.HAL_TIMEx_ConfigCommutEvent_IT:0000000000000000 HAL_TIMEx_ConfigCommutEvent_IT + /tmp/cc8CKt63.s:4282 .text.HAL_TIMEx_ConfigCommutEvent_DMA:0000000000000000 $t + /tmp/cc8CKt63.s:4289 .text.HAL_TIMEx_ConfigCommutEvent_DMA:0000000000000000 HAL_TIMEx_ConfigCommutEvent_DMA + /tmp/cc8CKt63.s:4391 .text.HAL_TIMEx_ConfigCommutEvent_DMA:0000000000000084 $d + /tmp/cc8CKt63.s:5052 .text.TIMEx_DMACommutationCplt:0000000000000000 TIMEx_DMACommutationCplt + /tmp/cc8CKt63.s:5106 .text.TIMEx_DMACommutationHalfCplt:0000000000000000 TIMEx_DMACommutationHalfCplt + /tmp/cc8CKt63.s:4398 .text.HAL_TIMEx_MasterConfigSynchronization:0000000000000000 $t + /tmp/cc8CKt63.s:4405 .text.HAL_TIMEx_MasterConfigSynchronization:0000000000000000 HAL_TIMEx_MasterConfigSynchronization + /tmp/cc8CKt63.s:4528 .text.HAL_TIMEx_MasterConfigSynchronization:0000000000000094 $d + /tmp/cc8CKt63.s:4533 .text.HAL_TIMEx_ConfigBreakDeadTime:0000000000000000 $t + /tmp/cc8CKt63.s:4540 .text.HAL_TIMEx_ConfigBreakDeadTime:0000000000000000 HAL_TIMEx_ConfigBreakDeadTime + /tmp/cc8CKt63.s:4666 .text.HAL_TIMEx_ConfigBreakDeadTime:000000000000008c $d + /tmp/cc8CKt63.s:4671 .text.HAL_TIMEx_ConfigBreakInput:0000000000000000 $t + /tmp/cc8CKt63.s:4678 .text.HAL_TIMEx_ConfigBreakInput:0000000000000000 HAL_TIMEx_ConfigBreakInput + /tmp/cc8CKt63.s:4707 .text.HAL_TIMEx_ConfigBreakInput:000000000000001c $d + /tmp/cc8CKt63.s:4715 .text.HAL_TIMEx_ConfigBreakInput:0000000000000024 $t + /tmp/cc8CKt63.s:4871 .text.HAL_TIMEx_RemapConfig:0000000000000000 $t + /tmp/cc8CKt63.s:4878 .text.HAL_TIMEx_RemapConfig:0000000000000000 HAL_TIMEx_RemapConfig + /tmp/cc8CKt63.s:4955 .text.HAL_TIMEx_RemapConfig:0000000000000058 $d + /tmp/cc8CKt63.s:4960 .text.HAL_TIMEx_GroupChannel5:0000000000000000 $t + /tmp/cc8CKt63.s:4967 .text.HAL_TIMEx_GroupChannel5:0000000000000000 HAL_TIMEx_GroupChannel5 + /tmp/cc8CKt63.s:5024 .text.HAL_TIMEx_CommutCallback:0000000000000000 $t + /tmp/cc8CKt63.s:5031 .text.HAL_TIMEx_CommutCallback:0000000000000000 HAL_TIMEx_CommutCallback + /tmp/cc8CKt63.s:5045 .text.TIMEx_DMACommutationCplt:0000000000000000 $t + /tmp/cc8CKt63.s:5078 .text.HAL_TIMEx_CommutHalfCpltCallback:0000000000000000 $t + /tmp/cc8CKt63.s:5085 .text.HAL_TIMEx_CommutHalfCpltCallback:0000000000000000 HAL_TIMEx_CommutHalfCpltCallback + /tmp/cc8CKt63.s:5099 .text.TIMEx_DMACommutationHalfCplt:0000000000000000 $t + /tmp/cc8CKt63.s:5132 .text.HAL_TIMEx_BreakCallback:0000000000000000 $t + /tmp/cc8CKt63.s:5139 .text.HAL_TIMEx_BreakCallback:0000000000000000 HAL_TIMEx_BreakCallback + /tmp/cc8CKt63.s:5153 .text.HAL_TIMEx_Break2Callback:0000000000000000 $t + /tmp/cc8CKt63.s:5160 .text.HAL_TIMEx_Break2Callback:0000000000000000 HAL_TIMEx_Break2Callback + /tmp/cc8CKt63.s:5174 .text.HAL_TIMEx_HallSensor_GetState:0000000000000000 $t + /tmp/cc8CKt63.s:5181 .text.HAL_TIMEx_HallSensor_GetState:0000000000000000 HAL_TIMEx_HallSensor_GetState + /tmp/cc8CKt63.s:5198 .text.HAL_TIMEx_GetChannelNState:0000000000000000 $t + /tmp/cc8CKt63.s:5205 .text.HAL_TIMEx_GetChannelNState:0000000000000000 HAL_TIMEx_GetChannelNState .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o.lst index 9a69e84..6be251a 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_timebase_tim_template.o.lst @@ -514,21 +514,21 @@ 301 .file 12 "../system/include/stm32l4-hal-driver/stm32l4xx_hal_cortex.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_timebase_tim_template.c - /tmp/ccYjsKMc.s:18 .text.HAL_InitTick:0000000000000000 $t - /tmp/ccYjsKMc.s:25 .text.HAL_InitTick:0000000000000000 HAL_InitTick - /tmp/ccYjsKMc.s:161 .text.HAL_InitTick:0000000000000098 $d + /tmp/ccofztOF.s:18 .text.HAL_InitTick:0000000000000000 $t + /tmp/ccofztOF.s:25 .text.HAL_InitTick:0000000000000000 HAL_InitTick + /tmp/ccofztOF.s:161 .text.HAL_InitTick:0000000000000098 $d *COM*:000000000000004c TimHandle - /tmp/ccYjsKMc.s:171 .text.HAL_SuspendTick:0000000000000000 $t - /tmp/ccYjsKMc.s:178 .text.HAL_SuspendTick:0000000000000000 HAL_SuspendTick - /tmp/ccYjsKMc.s:196 .text.HAL_SuspendTick:0000000000000010 $d - /tmp/ccYjsKMc.s:201 .text.HAL_ResumeTick:0000000000000000 $t - /tmp/ccYjsKMc.s:208 .text.HAL_ResumeTick:0000000000000000 HAL_ResumeTick - /tmp/ccYjsKMc.s:226 .text.HAL_ResumeTick:0000000000000010 $d - /tmp/ccYjsKMc.s:231 .text.HAL_TIM_PeriodElapsedCallback:0000000000000000 $t - /tmp/ccYjsKMc.s:238 .text.HAL_TIM_PeriodElapsedCallback:0000000000000000 HAL_TIM_PeriodElapsedCallback - /tmp/ccYjsKMc.s:258 .text.TIM6_DAC_IRQHandler:0000000000000000 $t - /tmp/ccYjsKMc.s:265 .text.TIM6_DAC_IRQHandler:0000000000000000 TIM6_DAC_IRQHandler - /tmp/ccYjsKMc.s:284 .text.TIM6_DAC_IRQHandler:000000000000000c $d + /tmp/ccofztOF.s:171 .text.HAL_SuspendTick:0000000000000000 $t + /tmp/ccofztOF.s:178 .text.HAL_SuspendTick:0000000000000000 HAL_SuspendTick + /tmp/ccofztOF.s:196 .text.HAL_SuspendTick:0000000000000010 $d + /tmp/ccofztOF.s:201 .text.HAL_ResumeTick:0000000000000000 $t + /tmp/ccofztOF.s:208 .text.HAL_ResumeTick:0000000000000000 HAL_ResumeTick + /tmp/ccofztOF.s:226 .text.HAL_ResumeTick:0000000000000010 $d + /tmp/ccofztOF.s:231 .text.HAL_TIM_PeriodElapsedCallback:0000000000000000 $t + /tmp/ccofztOF.s:238 .text.HAL_TIM_PeriodElapsedCallback:0000000000000000 HAL_TIM_PeriodElapsedCallback + /tmp/ccofztOF.s:258 .text.TIM6_DAC_IRQHandler:0000000000000000 $t + /tmp/ccofztOF.s:265 .text.TIM6_DAC_IRQHandler:0000000000000000 TIM6_DAC_IRQHandler + /tmp/ccofztOF.s:284 .text.TIM6_DAC_IRQHandler:000000000000000c $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_uart.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_uart.o.lst index eed9962..9c48909 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_uart.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_uart.o.lst @@ -11200,167 +11200,167 @@ 5838 .file 13 "../system/include/stm32l4-hal-driver/stm32l4xx_hal_uart_ex.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_uart.c - /tmp/ccYezGDp.s:18 .text.UART_EndTxTransfer:0000000000000000 $t - /tmp/ccYezGDp.s:24 .text.UART_EndTxTransfer:0000000000000000 UART_EndTxTransfer - /tmp/ccYezGDp.s:47 .text.UART_EndRxTransfer:0000000000000000 $t - /tmp/ccYezGDp.s:53 .text.UART_EndRxTransfer:0000000000000000 UART_EndRxTransfer - /tmp/ccYezGDp.s:97 .text.UART_TxISR_8BIT:0000000000000000 $t - /tmp/ccYezGDp.s:103 .text.UART_TxISR_8BIT:0000000000000000 UART_TxISR_8BIT - /tmp/ccYezGDp.s:155 .text.UART_TxISR_16BIT:0000000000000000 $t - /tmp/ccYezGDp.s:161 .text.UART_TxISR_16BIT:0000000000000000 UART_TxISR_16BIT - /tmp/ccYezGDp.s:218 .text.HAL_UART_MspInit:0000000000000000 $t - /tmp/ccYezGDp.s:225 .text.HAL_UART_MspInit:0000000000000000 HAL_UART_MspInit - /tmp/ccYezGDp.s:239 .text.HAL_UART_MspDeInit:0000000000000000 $t - /tmp/ccYezGDp.s:246 .text.HAL_UART_MspDeInit:0000000000000000 HAL_UART_MspDeInit - /tmp/ccYezGDp.s:260 .text.HAL_UART_DeInit:0000000000000000 $t - /tmp/ccYezGDp.s:267 .text.HAL_UART_DeInit:0000000000000000 HAL_UART_DeInit - /tmp/ccYezGDp.s:335 .text.HAL_UART_Transmit_IT:0000000000000000 $t - /tmp/ccYezGDp.s:342 .text.HAL_UART_Transmit_IT:0000000000000000 HAL_UART_Transmit_IT - /tmp/ccYezGDp.s:439 .text.HAL_UART_Transmit_IT:000000000000006c $d - /tmp/ccYezGDp.s:445 .text.HAL_UART_Transmit_DMA:0000000000000000 $t - /tmp/ccYezGDp.s:452 .text.HAL_UART_Transmit_DMA:0000000000000000 HAL_UART_Transmit_DMA - /tmp/ccYezGDp.s:580 .text.HAL_UART_Transmit_DMA:000000000000009c $d - /tmp/ccYezGDp.s:1229 .text.UART_DMATransmitCplt:0000000000000000 UART_DMATransmitCplt - /tmp/ccYezGDp.s:1343 .text.UART_DMATxHalfCplt:0000000000000000 UART_DMATxHalfCplt - /tmp/ccYezGDp.s:1435 .text.UART_DMAError:0000000000000000 UART_DMAError - /tmp/ccYezGDp.s:587 .text.HAL_UART_DMAPause:0000000000000000 $t - /tmp/ccYezGDp.s:594 .text.HAL_UART_DMAPause:0000000000000000 HAL_UART_DMAPause - /tmp/ccYezGDp.s:683 .text.HAL_UART_DMAResume:0000000000000000 $t - /tmp/ccYezGDp.s:690 .text.HAL_UART_DMAResume:0000000000000000 HAL_UART_DMAResume - /tmp/ccYezGDp.s:761 .text.HAL_UART_DMAStop:0000000000000000 $t - /tmp/ccYezGDp.s:768 .text.HAL_UART_DMAStop:0000000000000000 HAL_UART_DMAStop - /tmp/ccYezGDp.s:885 .text.HAL_UART_Abort:0000000000000000 $t - /tmp/ccYezGDp.s:892 .text.HAL_UART_Abort:0000000000000000 HAL_UART_Abort - /tmp/ccYezGDp.s:1027 .text.HAL_UART_AbortTransmit:0000000000000000 $t - /tmp/ccYezGDp.s:1034 .text.HAL_UART_AbortTransmit:0000000000000000 HAL_UART_AbortTransmit - /tmp/ccYezGDp.s:1100 .text.HAL_UART_AbortReceive:0000000000000000 $t - /tmp/ccYezGDp.s:1107 .text.HAL_UART_AbortReceive:0000000000000000 HAL_UART_AbortReceive - /tmp/ccYezGDp.s:1202 .text.HAL_UART_TxCpltCallback:0000000000000000 $t - /tmp/ccYezGDp.s:1209 .text.HAL_UART_TxCpltCallback:0000000000000000 HAL_UART_TxCpltCallback - /tmp/ccYezGDp.s:1223 .text.UART_DMATransmitCplt:0000000000000000 $t - /tmp/ccYezGDp.s:1279 .text.UART_EndTransmit_IT:0000000000000000 $t - /tmp/ccYezGDp.s:1285 .text.UART_EndTransmit_IT:0000000000000000 UART_EndTransmit_IT - /tmp/ccYezGDp.s:1316 .text.HAL_UART_TxHalfCpltCallback:0000000000000000 $t - /tmp/ccYezGDp.s:1323 .text.HAL_UART_TxHalfCpltCallback:0000000000000000 HAL_UART_TxHalfCpltCallback - /tmp/ccYezGDp.s:1337 .text.UART_DMATxHalfCplt:0000000000000000 $t - /tmp/ccYezGDp.s:1366 .text.HAL_UART_RxCpltCallback:0000000000000000 $t - /tmp/ccYezGDp.s:1373 .text.HAL_UART_RxCpltCallback:0000000000000000 HAL_UART_RxCpltCallback - /tmp/ccYezGDp.s:1387 .text.HAL_UART_RxHalfCpltCallback:0000000000000000 $t - /tmp/ccYezGDp.s:1394 .text.HAL_UART_RxHalfCpltCallback:0000000000000000 HAL_UART_RxHalfCpltCallback - /tmp/ccYezGDp.s:1408 .text.HAL_UART_ErrorCallback:0000000000000000 $t - /tmp/ccYezGDp.s:1415 .text.HAL_UART_ErrorCallback:0000000000000000 HAL_UART_ErrorCallback - /tmp/ccYezGDp.s:1429 .text.UART_DMAError:0000000000000000 $t - /tmp/ccYezGDp.s:1510 .text.UART_DMAAbortOnError:0000000000000000 $t - /tmp/ccYezGDp.s:1516 .text.UART_DMAAbortOnError:0000000000000000 UART_DMAAbortOnError - /tmp/ccYezGDp.s:1544 .text.HAL_UART_AbortCpltCallback:0000000000000000 $t - /tmp/ccYezGDp.s:1551 .text.HAL_UART_AbortCpltCallback:0000000000000000 HAL_UART_AbortCpltCallback - /tmp/ccYezGDp.s:1565 .text.HAL_UART_Abort_IT:0000000000000000 $t - /tmp/ccYezGDp.s:1572 .text.HAL_UART_Abort_IT:0000000000000000 HAL_UART_Abort_IT - /tmp/ccYezGDp.s:1750 .text.HAL_UART_Abort_IT:00000000000000ec $d - /tmp/ccYezGDp.s:1829 .text.UART_DMATxAbortCallback:0000000000000000 UART_DMATxAbortCallback - /tmp/ccYezGDp.s:1762 .text.UART_DMARxAbortCallback:0000000000000000 UART_DMARxAbortCallback - /tmp/ccYezGDp.s:1756 .text.UART_DMARxAbortCallback:0000000000000000 $t - /tmp/ccYezGDp.s:1823 .text.UART_DMATxAbortCallback:0000000000000000 $t - /tmp/ccYezGDp.s:1885 .text.HAL_UART_AbortTransmitCpltCallback:0000000000000000 $t - /tmp/ccYezGDp.s:1892 .text.HAL_UART_AbortTransmitCpltCallback:0000000000000000 HAL_UART_AbortTransmitCpltCallback - /tmp/ccYezGDp.s:1906 .text.HAL_UART_AbortTransmit_IT:0000000000000000 $t - /tmp/ccYezGDp.s:1913 .text.HAL_UART_AbortTransmit_IT:0000000000000000 HAL_UART_AbortTransmit_IT - /tmp/ccYezGDp.s:1991 .text.HAL_UART_AbortTransmit_IT:0000000000000060 $d - /tmp/ccYezGDp.s:2002 .text.UART_DMATxOnlyAbortCallback:0000000000000000 UART_DMATxOnlyAbortCallback - /tmp/ccYezGDp.s:1996 .text.UART_DMATxOnlyAbortCallback:0000000000000000 $t - /tmp/ccYezGDp.s:2031 .text.HAL_UART_AbortReceiveCpltCallback:0000000000000000 $t - /tmp/ccYezGDp.s:2038 .text.HAL_UART_AbortReceiveCpltCallback:0000000000000000 HAL_UART_AbortReceiveCpltCallback - /tmp/ccYezGDp.s:2052 .text.HAL_UART_AbortReceive_IT:0000000000000000 $t - /tmp/ccYezGDp.s:2059 .text.HAL_UART_AbortReceive_IT:0000000000000000 HAL_UART_AbortReceive_IT - /tmp/ccYezGDp.s:2174 .text.HAL_UART_AbortReceive_IT:0000000000000098 $d - /tmp/ccYezGDp.s:2185 .text.UART_DMARxOnlyAbortCallback:0000000000000000 UART_DMARxOnlyAbortCallback - /tmp/ccYezGDp.s:2179 .text.UART_DMARxOnlyAbortCallback:0000000000000000 $t - /tmp/ccYezGDp.s:2225 .text.HAL_UARTEx_RxEventCallback:0000000000000000 $t - /tmp/ccYezGDp.s:2232 .text.HAL_UARTEx_RxEventCallback:0000000000000000 HAL_UARTEx_RxEventCallback - /tmp/ccYezGDp.s:2246 .text.HAL_UART_IRQHandler:0000000000000000 $t - /tmp/ccYezGDp.s:2253 .text.HAL_UART_IRQHandler:0000000000000000 HAL_UART_IRQHandler - /tmp/ccYezGDp.s:2670 .text.HAL_UART_IRQHandler:0000000000000274 $d - /tmp/ccYezGDp.s:2676 .text.UART_RxISR_8BIT:0000000000000000 $t - /tmp/ccYezGDp.s:2682 .text.UART_RxISR_8BIT:0000000000000000 UART_RxISR_8BIT - /tmp/ccYezGDp.s:2789 .text.UART_RxISR_16BIT:0000000000000000 $t - /tmp/ccYezGDp.s:2795 .text.UART_RxISR_16BIT:0000000000000000 UART_RxISR_16BIT - /tmp/ccYezGDp.s:2904 .text.UART_DMARxHalfCplt:0000000000000000 $t - /tmp/ccYezGDp.s:2910 .text.UART_DMARxHalfCplt:0000000000000000 UART_DMARxHalfCplt - /tmp/ccYezGDp.s:2946 .text.UART_DMAReceiveCplt:0000000000000000 $t - /tmp/ccYezGDp.s:2952 .text.UART_DMAReceiveCplt:0000000000000000 UART_DMAReceiveCplt - /tmp/ccYezGDp.s:3029 .text.HAL_UART_ReceiverTimeout_Config:0000000000000000 $t - /tmp/ccYezGDp.s:3036 .text.HAL_UART_ReceiverTimeout_Config:0000000000000000 HAL_UART_ReceiverTimeout_Config - /tmp/ccYezGDp.s:3061 .text.HAL_UART_ReceiverTimeout_Config:0000000000000014 $d - /tmp/ccYezGDp.s:3066 .text.HAL_UART_EnableReceiverTimeout:0000000000000000 $t - /tmp/ccYezGDp.s:3073 .text.HAL_UART_EnableReceiverTimeout:0000000000000000 HAL_UART_EnableReceiverTimeout - /tmp/ccYezGDp.s:3136 .text.HAL_UART_EnableReceiverTimeout:0000000000000044 $d - /tmp/ccYezGDp.s:3141 .text.HAL_UART_DisableReceiverTimeout:0000000000000000 $t - /tmp/ccYezGDp.s:3148 .text.HAL_UART_DisableReceiverTimeout:0000000000000000 HAL_UART_DisableReceiverTimeout - /tmp/ccYezGDp.s:3211 .text.HAL_UART_DisableReceiverTimeout:0000000000000044 $d - /tmp/ccYezGDp.s:3216 .text.HAL_MultiProcessor_EnterMuteMode:0000000000000000 $t - /tmp/ccYezGDp.s:3223 .text.HAL_MultiProcessor_EnterMuteMode:0000000000000000 HAL_MultiProcessor_EnterMuteMode - /tmp/ccYezGDp.s:3242 .text.HAL_HalfDuplex_EnableTransmitter:0000000000000000 $t - /tmp/ccYezGDp.s:3249 .text.HAL_HalfDuplex_EnableTransmitter:0000000000000000 HAL_HalfDuplex_EnableTransmitter - /tmp/ccYezGDp.s:3298 .text.HAL_HalfDuplex_EnableReceiver:0000000000000000 $t - /tmp/ccYezGDp.s:3305 .text.HAL_HalfDuplex_EnableReceiver:0000000000000000 HAL_HalfDuplex_EnableReceiver - /tmp/ccYezGDp.s:3354 .text.HAL_LIN_SendBreak:0000000000000000 $t - /tmp/ccYezGDp.s:3361 .text.HAL_LIN_SendBreak:0000000000000000 HAL_LIN_SendBreak - /tmp/ccYezGDp.s:3405 .text.HAL_UART_GetState:0000000000000000 $t - /tmp/ccYezGDp.s:3412 .text.HAL_UART_GetState:0000000000000000 HAL_UART_GetState - /tmp/ccYezGDp.s:3434 .text.HAL_UART_GetError:0000000000000000 $t - /tmp/ccYezGDp.s:3441 .text.HAL_UART_GetError:0000000000000000 HAL_UART_GetError - /tmp/ccYezGDp.s:3459 .text.UART_SetConfig:0000000000000000 $t - /tmp/ccYezGDp.s:3466 .text.UART_SetConfig:0000000000000000 UART_SetConfig - /tmp/ccYezGDp.s:3570 .text.UART_SetConfig:000000000000008c $d - /tmp/ccYezGDp.s:3580 .text.UART_SetConfig:000000000000009e $t - /tmp/ccYezGDp.s:3591 .text.UART_SetConfig:00000000000000b2 $d - /tmp/ccYezGDp.s:3595 .text.UART_SetConfig:00000000000000b6 $t - /tmp/ccYezGDp.s:3626 .text.UART_SetConfig:00000000000000da $d - /tmp/ccYezGDp.s:3823 .text.UART_SetConfig:00000000000001d6 $d - /tmp/ccYezGDp.s:3897 .text.UART_SetConfig:000000000000023a $d - /tmp/ccYezGDp.s:3963 .text.UART_SetConfig:0000000000000288 $d - /tmp/ccYezGDp.s:3975 .text.UART_SetConfig:00000000000002ac $t - /tmp/ccYezGDp.s:4085 .text.UART_SetConfig:0000000000000320 $d - /tmp/ccYezGDp.s:4090 .text.UART_AdvFeatureConfig:0000000000000000 $t - /tmp/ccYezGDp.s:4097 .text.UART_AdvFeatureConfig:0000000000000000 UART_AdvFeatureConfig - /tmp/ccYezGDp.s:4220 .text.UART_WaitOnFlagUntilTimeout:0000000000000000 $t - /tmp/ccYezGDp.s:4227 .text.UART_WaitOnFlagUntilTimeout:0000000000000000 UART_WaitOnFlagUntilTimeout - /tmp/ccYezGDp.s:4336 .text.HAL_UART_Transmit:0000000000000000 $t - /tmp/ccYezGDp.s:4343 .text.HAL_UART_Transmit:0000000000000000 HAL_UART_Transmit - /tmp/ccYezGDp.s:4519 .text.HAL_UART_Receive:0000000000000000 $t - /tmp/ccYezGDp.s:4526 .text.HAL_UART_Receive:0000000000000000 HAL_UART_Receive - /tmp/ccYezGDp.s:4751 .text.UART_CheckIdleState:0000000000000000 $t - /tmp/ccYezGDp.s:4758 .text.UART_CheckIdleState:0000000000000000 UART_CheckIdleState - /tmp/ccYezGDp.s:4848 .text.HAL_UART_Init:0000000000000000 $t - /tmp/ccYezGDp.s:4855 .text.HAL_UART_Init:0000000000000000 HAL_UART_Init - /tmp/ccYezGDp.s:4943 .text.HAL_HalfDuplex_Init:0000000000000000 $t - /tmp/ccYezGDp.s:4950 .text.HAL_HalfDuplex_Init:0000000000000000 HAL_HalfDuplex_Init - /tmp/ccYezGDp.s:5044 .text.HAL_LIN_Init:0000000000000000 $t - /tmp/ccYezGDp.s:5051 .text.HAL_LIN_Init:0000000000000000 HAL_LIN_Init - /tmp/ccYezGDp.s:5181 .text.HAL_MultiProcessor_Init:0000000000000000 $t - /tmp/ccYezGDp.s:5188 .text.HAL_MultiProcessor_Init:0000000000000000 HAL_MultiProcessor_Init - /tmp/ccYezGDp.s:5302 .text.HAL_MultiProcessor_EnableMuteMode:0000000000000000 $t - /tmp/ccYezGDp.s:5309 .text.HAL_MultiProcessor_EnableMuteMode:0000000000000000 HAL_MultiProcessor_EnableMuteMode - /tmp/ccYezGDp.s:5354 .text.HAL_MultiProcessor_DisableMuteMode:0000000000000000 $t - /tmp/ccYezGDp.s:5361 .text.HAL_MultiProcessor_DisableMuteMode:0000000000000000 HAL_MultiProcessor_DisableMuteMode - /tmp/ccYezGDp.s:5406 .text.UART_Start_Receive_IT:0000000000000000 $t - /tmp/ccYezGDp.s:5413 .text.UART_Start_Receive_IT:0000000000000000 UART_Start_Receive_IT - /tmp/ccYezGDp.s:5532 .text.UART_Start_Receive_IT:00000000000000a4 $d - /tmp/ccYezGDp.s:5538 .text.HAL_UART_Receive_IT:0000000000000000 $t - /tmp/ccYezGDp.s:5545 .text.HAL_UART_Receive_IT:0000000000000000 HAL_UART_Receive_IT - /tmp/ccYezGDp.s:5624 .text.HAL_UART_Receive_IT:000000000000004c $d - /tmp/ccYezGDp.s:5629 .text.UART_Start_Receive_DMA:0000000000000000 $t - /tmp/ccYezGDp.s:5636 .text.UART_Start_Receive_DMA:0000000000000000 UART_Start_Receive_DMA - /tmp/ccYezGDp.s:5728 .text.UART_Start_Receive_DMA:0000000000000078 $d - /tmp/ccYezGDp.s:5735 .text.HAL_UART_Receive_DMA:0000000000000000 $t - /tmp/ccYezGDp.s:5742 .text.HAL_UART_Receive_DMA:0000000000000000 HAL_UART_Receive_DMA - /tmp/ccYezGDp.s:5821 .text.HAL_UART_Receive_DMA:000000000000004c $d - /tmp/ccYezGDp.s:3639 .text.UART_SetConfig:00000000000000e7 $d - /tmp/ccYezGDp.s:3639 .text.UART_SetConfig:00000000000000e8 $t - /tmp/ccYezGDp.s:3832 .text.UART_SetConfig:00000000000001df $d - /tmp/ccYezGDp.s:3832 .text.UART_SetConfig:00000000000001e0 $t - /tmp/ccYezGDp.s:3906 .text.UART_SetConfig:0000000000000243 $d - /tmp/ccYezGDp.s:3906 .text.UART_SetConfig:0000000000000244 $t + /tmp/ccOVqyPR.s:18 .text.UART_EndTxTransfer:0000000000000000 $t + /tmp/ccOVqyPR.s:24 .text.UART_EndTxTransfer:0000000000000000 UART_EndTxTransfer + /tmp/ccOVqyPR.s:47 .text.UART_EndRxTransfer:0000000000000000 $t + /tmp/ccOVqyPR.s:53 .text.UART_EndRxTransfer:0000000000000000 UART_EndRxTransfer + /tmp/ccOVqyPR.s:97 .text.UART_TxISR_8BIT:0000000000000000 $t + /tmp/ccOVqyPR.s:103 .text.UART_TxISR_8BIT:0000000000000000 UART_TxISR_8BIT + /tmp/ccOVqyPR.s:155 .text.UART_TxISR_16BIT:0000000000000000 $t + /tmp/ccOVqyPR.s:161 .text.UART_TxISR_16BIT:0000000000000000 UART_TxISR_16BIT + /tmp/ccOVqyPR.s:218 .text.HAL_UART_MspInit:0000000000000000 $t + /tmp/ccOVqyPR.s:225 .text.HAL_UART_MspInit:0000000000000000 HAL_UART_MspInit + /tmp/ccOVqyPR.s:239 .text.HAL_UART_MspDeInit:0000000000000000 $t + /tmp/ccOVqyPR.s:246 .text.HAL_UART_MspDeInit:0000000000000000 HAL_UART_MspDeInit + /tmp/ccOVqyPR.s:260 .text.HAL_UART_DeInit:0000000000000000 $t + /tmp/ccOVqyPR.s:267 .text.HAL_UART_DeInit:0000000000000000 HAL_UART_DeInit + /tmp/ccOVqyPR.s:335 .text.HAL_UART_Transmit_IT:0000000000000000 $t + /tmp/ccOVqyPR.s:342 .text.HAL_UART_Transmit_IT:0000000000000000 HAL_UART_Transmit_IT + /tmp/ccOVqyPR.s:439 .text.HAL_UART_Transmit_IT:000000000000006c $d + /tmp/ccOVqyPR.s:445 .text.HAL_UART_Transmit_DMA:0000000000000000 $t + /tmp/ccOVqyPR.s:452 .text.HAL_UART_Transmit_DMA:0000000000000000 HAL_UART_Transmit_DMA + /tmp/ccOVqyPR.s:580 .text.HAL_UART_Transmit_DMA:000000000000009c $d + /tmp/ccOVqyPR.s:1229 .text.UART_DMATransmitCplt:0000000000000000 UART_DMATransmitCplt + /tmp/ccOVqyPR.s:1343 .text.UART_DMATxHalfCplt:0000000000000000 UART_DMATxHalfCplt + /tmp/ccOVqyPR.s:1435 .text.UART_DMAError:0000000000000000 UART_DMAError + /tmp/ccOVqyPR.s:587 .text.HAL_UART_DMAPause:0000000000000000 $t + /tmp/ccOVqyPR.s:594 .text.HAL_UART_DMAPause:0000000000000000 HAL_UART_DMAPause + /tmp/ccOVqyPR.s:683 .text.HAL_UART_DMAResume:0000000000000000 $t + /tmp/ccOVqyPR.s:690 .text.HAL_UART_DMAResume:0000000000000000 HAL_UART_DMAResume + /tmp/ccOVqyPR.s:761 .text.HAL_UART_DMAStop:0000000000000000 $t + /tmp/ccOVqyPR.s:768 .text.HAL_UART_DMAStop:0000000000000000 HAL_UART_DMAStop + /tmp/ccOVqyPR.s:885 .text.HAL_UART_Abort:0000000000000000 $t + /tmp/ccOVqyPR.s:892 .text.HAL_UART_Abort:0000000000000000 HAL_UART_Abort + /tmp/ccOVqyPR.s:1027 .text.HAL_UART_AbortTransmit:0000000000000000 $t + /tmp/ccOVqyPR.s:1034 .text.HAL_UART_AbortTransmit:0000000000000000 HAL_UART_AbortTransmit + /tmp/ccOVqyPR.s:1100 .text.HAL_UART_AbortReceive:0000000000000000 $t + /tmp/ccOVqyPR.s:1107 .text.HAL_UART_AbortReceive:0000000000000000 HAL_UART_AbortReceive + /tmp/ccOVqyPR.s:1202 .text.HAL_UART_TxCpltCallback:0000000000000000 $t + /tmp/ccOVqyPR.s:1209 .text.HAL_UART_TxCpltCallback:0000000000000000 HAL_UART_TxCpltCallback + /tmp/ccOVqyPR.s:1223 .text.UART_DMATransmitCplt:0000000000000000 $t + /tmp/ccOVqyPR.s:1279 .text.UART_EndTransmit_IT:0000000000000000 $t + /tmp/ccOVqyPR.s:1285 .text.UART_EndTransmit_IT:0000000000000000 UART_EndTransmit_IT + /tmp/ccOVqyPR.s:1316 .text.HAL_UART_TxHalfCpltCallback:0000000000000000 $t + /tmp/ccOVqyPR.s:1323 .text.HAL_UART_TxHalfCpltCallback:0000000000000000 HAL_UART_TxHalfCpltCallback + /tmp/ccOVqyPR.s:1337 .text.UART_DMATxHalfCplt:0000000000000000 $t + /tmp/ccOVqyPR.s:1366 .text.HAL_UART_RxCpltCallback:0000000000000000 $t + /tmp/ccOVqyPR.s:1373 .text.HAL_UART_RxCpltCallback:0000000000000000 HAL_UART_RxCpltCallback + /tmp/ccOVqyPR.s:1387 .text.HAL_UART_RxHalfCpltCallback:0000000000000000 $t + /tmp/ccOVqyPR.s:1394 .text.HAL_UART_RxHalfCpltCallback:0000000000000000 HAL_UART_RxHalfCpltCallback + /tmp/ccOVqyPR.s:1408 .text.HAL_UART_ErrorCallback:0000000000000000 $t + /tmp/ccOVqyPR.s:1415 .text.HAL_UART_ErrorCallback:0000000000000000 HAL_UART_ErrorCallback + /tmp/ccOVqyPR.s:1429 .text.UART_DMAError:0000000000000000 $t + /tmp/ccOVqyPR.s:1510 .text.UART_DMAAbortOnError:0000000000000000 $t + /tmp/ccOVqyPR.s:1516 .text.UART_DMAAbortOnError:0000000000000000 UART_DMAAbortOnError + /tmp/ccOVqyPR.s:1544 .text.HAL_UART_AbortCpltCallback:0000000000000000 $t + /tmp/ccOVqyPR.s:1551 .text.HAL_UART_AbortCpltCallback:0000000000000000 HAL_UART_AbortCpltCallback + /tmp/ccOVqyPR.s:1565 .text.HAL_UART_Abort_IT:0000000000000000 $t + /tmp/ccOVqyPR.s:1572 .text.HAL_UART_Abort_IT:0000000000000000 HAL_UART_Abort_IT + /tmp/ccOVqyPR.s:1750 .text.HAL_UART_Abort_IT:00000000000000ec $d + /tmp/ccOVqyPR.s:1829 .text.UART_DMATxAbortCallback:0000000000000000 UART_DMATxAbortCallback + /tmp/ccOVqyPR.s:1762 .text.UART_DMARxAbortCallback:0000000000000000 UART_DMARxAbortCallback + /tmp/ccOVqyPR.s:1756 .text.UART_DMARxAbortCallback:0000000000000000 $t + /tmp/ccOVqyPR.s:1823 .text.UART_DMATxAbortCallback:0000000000000000 $t + /tmp/ccOVqyPR.s:1885 .text.HAL_UART_AbortTransmitCpltCallback:0000000000000000 $t + /tmp/ccOVqyPR.s:1892 .text.HAL_UART_AbortTransmitCpltCallback:0000000000000000 HAL_UART_AbortTransmitCpltCallback + /tmp/ccOVqyPR.s:1906 .text.HAL_UART_AbortTransmit_IT:0000000000000000 $t + /tmp/ccOVqyPR.s:1913 .text.HAL_UART_AbortTransmit_IT:0000000000000000 HAL_UART_AbortTransmit_IT + /tmp/ccOVqyPR.s:1991 .text.HAL_UART_AbortTransmit_IT:0000000000000060 $d + /tmp/ccOVqyPR.s:2002 .text.UART_DMATxOnlyAbortCallback:0000000000000000 UART_DMATxOnlyAbortCallback + /tmp/ccOVqyPR.s:1996 .text.UART_DMATxOnlyAbortCallback:0000000000000000 $t + /tmp/ccOVqyPR.s:2031 .text.HAL_UART_AbortReceiveCpltCallback:0000000000000000 $t + /tmp/ccOVqyPR.s:2038 .text.HAL_UART_AbortReceiveCpltCallback:0000000000000000 HAL_UART_AbortReceiveCpltCallback + /tmp/ccOVqyPR.s:2052 .text.HAL_UART_AbortReceive_IT:0000000000000000 $t + /tmp/ccOVqyPR.s:2059 .text.HAL_UART_AbortReceive_IT:0000000000000000 HAL_UART_AbortReceive_IT + /tmp/ccOVqyPR.s:2174 .text.HAL_UART_AbortReceive_IT:0000000000000098 $d + /tmp/ccOVqyPR.s:2185 .text.UART_DMARxOnlyAbortCallback:0000000000000000 UART_DMARxOnlyAbortCallback + /tmp/ccOVqyPR.s:2179 .text.UART_DMARxOnlyAbortCallback:0000000000000000 $t + /tmp/ccOVqyPR.s:2225 .text.HAL_UARTEx_RxEventCallback:0000000000000000 $t + /tmp/ccOVqyPR.s:2232 .text.HAL_UARTEx_RxEventCallback:0000000000000000 HAL_UARTEx_RxEventCallback + /tmp/ccOVqyPR.s:2246 .text.HAL_UART_IRQHandler:0000000000000000 $t + /tmp/ccOVqyPR.s:2253 .text.HAL_UART_IRQHandler:0000000000000000 HAL_UART_IRQHandler + /tmp/ccOVqyPR.s:2670 .text.HAL_UART_IRQHandler:0000000000000274 $d + /tmp/ccOVqyPR.s:2676 .text.UART_RxISR_8BIT:0000000000000000 $t + /tmp/ccOVqyPR.s:2682 .text.UART_RxISR_8BIT:0000000000000000 UART_RxISR_8BIT + /tmp/ccOVqyPR.s:2789 .text.UART_RxISR_16BIT:0000000000000000 $t + /tmp/ccOVqyPR.s:2795 .text.UART_RxISR_16BIT:0000000000000000 UART_RxISR_16BIT + /tmp/ccOVqyPR.s:2904 .text.UART_DMARxHalfCplt:0000000000000000 $t + /tmp/ccOVqyPR.s:2910 .text.UART_DMARxHalfCplt:0000000000000000 UART_DMARxHalfCplt + /tmp/ccOVqyPR.s:2946 .text.UART_DMAReceiveCplt:0000000000000000 $t + /tmp/ccOVqyPR.s:2952 .text.UART_DMAReceiveCplt:0000000000000000 UART_DMAReceiveCplt + /tmp/ccOVqyPR.s:3029 .text.HAL_UART_ReceiverTimeout_Config:0000000000000000 $t + /tmp/ccOVqyPR.s:3036 .text.HAL_UART_ReceiverTimeout_Config:0000000000000000 HAL_UART_ReceiverTimeout_Config + /tmp/ccOVqyPR.s:3061 .text.HAL_UART_ReceiverTimeout_Config:0000000000000014 $d + /tmp/ccOVqyPR.s:3066 .text.HAL_UART_EnableReceiverTimeout:0000000000000000 $t + /tmp/ccOVqyPR.s:3073 .text.HAL_UART_EnableReceiverTimeout:0000000000000000 HAL_UART_EnableReceiverTimeout + /tmp/ccOVqyPR.s:3136 .text.HAL_UART_EnableReceiverTimeout:0000000000000044 $d + /tmp/ccOVqyPR.s:3141 .text.HAL_UART_DisableReceiverTimeout:0000000000000000 $t + /tmp/ccOVqyPR.s:3148 .text.HAL_UART_DisableReceiverTimeout:0000000000000000 HAL_UART_DisableReceiverTimeout + /tmp/ccOVqyPR.s:3211 .text.HAL_UART_DisableReceiverTimeout:0000000000000044 $d + /tmp/ccOVqyPR.s:3216 .text.HAL_MultiProcessor_EnterMuteMode:0000000000000000 $t + /tmp/ccOVqyPR.s:3223 .text.HAL_MultiProcessor_EnterMuteMode:0000000000000000 HAL_MultiProcessor_EnterMuteMode + /tmp/ccOVqyPR.s:3242 .text.HAL_HalfDuplex_EnableTransmitter:0000000000000000 $t + /tmp/ccOVqyPR.s:3249 .text.HAL_HalfDuplex_EnableTransmitter:0000000000000000 HAL_HalfDuplex_EnableTransmitter + /tmp/ccOVqyPR.s:3298 .text.HAL_HalfDuplex_EnableReceiver:0000000000000000 $t + /tmp/ccOVqyPR.s:3305 .text.HAL_HalfDuplex_EnableReceiver:0000000000000000 HAL_HalfDuplex_EnableReceiver + /tmp/ccOVqyPR.s:3354 .text.HAL_LIN_SendBreak:0000000000000000 $t + /tmp/ccOVqyPR.s:3361 .text.HAL_LIN_SendBreak:0000000000000000 HAL_LIN_SendBreak + /tmp/ccOVqyPR.s:3405 .text.HAL_UART_GetState:0000000000000000 $t + /tmp/ccOVqyPR.s:3412 .text.HAL_UART_GetState:0000000000000000 HAL_UART_GetState + /tmp/ccOVqyPR.s:3434 .text.HAL_UART_GetError:0000000000000000 $t + /tmp/ccOVqyPR.s:3441 .text.HAL_UART_GetError:0000000000000000 HAL_UART_GetError + /tmp/ccOVqyPR.s:3459 .text.UART_SetConfig:0000000000000000 $t + /tmp/ccOVqyPR.s:3466 .text.UART_SetConfig:0000000000000000 UART_SetConfig + /tmp/ccOVqyPR.s:3570 .text.UART_SetConfig:000000000000008c $d + /tmp/ccOVqyPR.s:3580 .text.UART_SetConfig:000000000000009e $t + /tmp/ccOVqyPR.s:3591 .text.UART_SetConfig:00000000000000b2 $d + /tmp/ccOVqyPR.s:3595 .text.UART_SetConfig:00000000000000b6 $t + /tmp/ccOVqyPR.s:3626 .text.UART_SetConfig:00000000000000da $d + /tmp/ccOVqyPR.s:3823 .text.UART_SetConfig:00000000000001d6 $d + /tmp/ccOVqyPR.s:3897 .text.UART_SetConfig:000000000000023a $d + /tmp/ccOVqyPR.s:3963 .text.UART_SetConfig:0000000000000288 $d + /tmp/ccOVqyPR.s:3975 .text.UART_SetConfig:00000000000002ac $t + /tmp/ccOVqyPR.s:4085 .text.UART_SetConfig:0000000000000320 $d + /tmp/ccOVqyPR.s:4090 .text.UART_AdvFeatureConfig:0000000000000000 $t + /tmp/ccOVqyPR.s:4097 .text.UART_AdvFeatureConfig:0000000000000000 UART_AdvFeatureConfig + /tmp/ccOVqyPR.s:4220 .text.UART_WaitOnFlagUntilTimeout:0000000000000000 $t + /tmp/ccOVqyPR.s:4227 .text.UART_WaitOnFlagUntilTimeout:0000000000000000 UART_WaitOnFlagUntilTimeout + /tmp/ccOVqyPR.s:4336 .text.HAL_UART_Transmit:0000000000000000 $t + /tmp/ccOVqyPR.s:4343 .text.HAL_UART_Transmit:0000000000000000 HAL_UART_Transmit + /tmp/ccOVqyPR.s:4519 .text.HAL_UART_Receive:0000000000000000 $t + /tmp/ccOVqyPR.s:4526 .text.HAL_UART_Receive:0000000000000000 HAL_UART_Receive + /tmp/ccOVqyPR.s:4751 .text.UART_CheckIdleState:0000000000000000 $t + /tmp/ccOVqyPR.s:4758 .text.UART_CheckIdleState:0000000000000000 UART_CheckIdleState + /tmp/ccOVqyPR.s:4848 .text.HAL_UART_Init:0000000000000000 $t + /tmp/ccOVqyPR.s:4855 .text.HAL_UART_Init:0000000000000000 HAL_UART_Init + /tmp/ccOVqyPR.s:4943 .text.HAL_HalfDuplex_Init:0000000000000000 $t + /tmp/ccOVqyPR.s:4950 .text.HAL_HalfDuplex_Init:0000000000000000 HAL_HalfDuplex_Init + /tmp/ccOVqyPR.s:5044 .text.HAL_LIN_Init:0000000000000000 $t + /tmp/ccOVqyPR.s:5051 .text.HAL_LIN_Init:0000000000000000 HAL_LIN_Init + /tmp/ccOVqyPR.s:5181 .text.HAL_MultiProcessor_Init:0000000000000000 $t + /tmp/ccOVqyPR.s:5188 .text.HAL_MultiProcessor_Init:0000000000000000 HAL_MultiProcessor_Init + /tmp/ccOVqyPR.s:5302 .text.HAL_MultiProcessor_EnableMuteMode:0000000000000000 $t + /tmp/ccOVqyPR.s:5309 .text.HAL_MultiProcessor_EnableMuteMode:0000000000000000 HAL_MultiProcessor_EnableMuteMode + /tmp/ccOVqyPR.s:5354 .text.HAL_MultiProcessor_DisableMuteMode:0000000000000000 $t + /tmp/ccOVqyPR.s:5361 .text.HAL_MultiProcessor_DisableMuteMode:0000000000000000 HAL_MultiProcessor_DisableMuteMode + /tmp/ccOVqyPR.s:5406 .text.UART_Start_Receive_IT:0000000000000000 $t + /tmp/ccOVqyPR.s:5413 .text.UART_Start_Receive_IT:0000000000000000 UART_Start_Receive_IT + /tmp/ccOVqyPR.s:5532 .text.UART_Start_Receive_IT:00000000000000a4 $d + /tmp/ccOVqyPR.s:5538 .text.HAL_UART_Receive_IT:0000000000000000 $t + /tmp/ccOVqyPR.s:5545 .text.HAL_UART_Receive_IT:0000000000000000 HAL_UART_Receive_IT + /tmp/ccOVqyPR.s:5624 .text.HAL_UART_Receive_IT:000000000000004c $d + /tmp/ccOVqyPR.s:5629 .text.UART_Start_Receive_DMA:0000000000000000 $t + /tmp/ccOVqyPR.s:5636 .text.UART_Start_Receive_DMA:0000000000000000 UART_Start_Receive_DMA + /tmp/ccOVqyPR.s:5728 .text.UART_Start_Receive_DMA:0000000000000078 $d + /tmp/ccOVqyPR.s:5735 .text.HAL_UART_Receive_DMA:0000000000000000 $t + /tmp/ccOVqyPR.s:5742 .text.HAL_UART_Receive_DMA:0000000000000000 HAL_UART_Receive_DMA + /tmp/ccOVqyPR.s:5821 .text.HAL_UART_Receive_DMA:000000000000004c $d + /tmp/ccOVqyPR.s:3639 .text.UART_SetConfig:00000000000000e7 $d + /tmp/ccOVqyPR.s:3639 .text.UART_SetConfig:00000000000000e8 $t + /tmp/ccOVqyPR.s:3832 .text.UART_SetConfig:00000000000001df $d + /tmp/ccOVqyPR.s:3832 .text.UART_SetConfig:00000000000001e0 $t + /tmp/ccOVqyPR.s:3906 .text.UART_SetConfig:0000000000000243 $d + /tmp/ccOVqyPR.s:3906 .text.UART_SetConfig:0000000000000244 $t .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_uart_ex.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_uart_ex.o.lst index 87a7ffa..fff2de7 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_uart_ex.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_hal_uart_ex.o.lst @@ -2191,30 +2191,30 @@ 1019 .file 12 "../system/include/stm32l4-hal-driver/stm32l4xx_hal.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_hal_uart_ex.c - /tmp/ccpQJ5f5.s:18 .text.UARTEx_Wakeup_AddressConfig:0000000000000000 $t - /tmp/ccpQJ5f5.s:24 .text.UARTEx_Wakeup_AddressConfig:0000000000000000 UARTEx_Wakeup_AddressConfig - /tmp/ccpQJ5f5.s:60 .text.HAL_RS485Ex_Init:0000000000000000 $t - /tmp/ccpQJ5f5.s:67 .text.HAL_RS485Ex_Init:0000000000000000 HAL_RS485Ex_Init - /tmp/ccpQJ5f5.s:182 .text.HAL_UARTEx_WakeupCallback:0000000000000000 $t - /tmp/ccpQJ5f5.s:189 .text.HAL_UARTEx_WakeupCallback:0000000000000000 HAL_UARTEx_WakeupCallback - /tmp/ccpQJ5f5.s:203 .text.HAL_UARTEx_EnableClockStopMode:0000000000000000 $t - /tmp/ccpQJ5f5.s:210 .text.HAL_UARTEx_EnableClockStopMode:0000000000000000 HAL_UARTEx_EnableClockStopMode - /tmp/ccpQJ5f5.s:248 .text.HAL_UARTEx_DisableClockStopMode:0000000000000000 $t - /tmp/ccpQJ5f5.s:255 .text.HAL_UARTEx_DisableClockStopMode:0000000000000000 HAL_UARTEx_DisableClockStopMode - /tmp/ccpQJ5f5.s:293 .text.HAL_MultiProcessorEx_AddressLength_Set:0000000000000000 $t - /tmp/ccpQJ5f5.s:300 .text.HAL_MultiProcessorEx_AddressLength_Set:0000000000000000 HAL_MultiProcessorEx_AddressLength_Set - /tmp/ccpQJ5f5.s:353 .text.HAL_UARTEx_StopModeWakeUpSourceConfig:0000000000000000 $t - /tmp/ccpQJ5f5.s:360 .text.HAL_UARTEx_StopModeWakeUpSourceConfig:0000000000000000 HAL_UARTEx_StopModeWakeUpSourceConfig - /tmp/ccpQJ5f5.s:462 .text.HAL_UARTEx_EnableStopMode:0000000000000000 $t - /tmp/ccpQJ5f5.s:469 .text.HAL_UARTEx_EnableStopMode:0000000000000000 HAL_UARTEx_EnableStopMode - /tmp/ccpQJ5f5.s:507 .text.HAL_UARTEx_DisableStopMode:0000000000000000 $t - /tmp/ccpQJ5f5.s:514 .text.HAL_UARTEx_DisableStopMode:0000000000000000 HAL_UARTEx_DisableStopMode - /tmp/ccpQJ5f5.s:552 .text.HAL_UARTEx_ReceiveToIdle:0000000000000000 $t - /tmp/ccpQJ5f5.s:559 .text.HAL_UARTEx_ReceiveToIdle:0000000000000000 HAL_UARTEx_ReceiveToIdle - /tmp/ccpQJ5f5.s:820 .text.HAL_UARTEx_ReceiveToIdle_IT:0000000000000000 $t - /tmp/ccpQJ5f5.s:827 .text.HAL_UARTEx_ReceiveToIdle_IT:0000000000000000 HAL_UARTEx_ReceiveToIdle_IT - /tmp/ccpQJ5f5.s:914 .text.HAL_UARTEx_ReceiveToIdle_DMA:0000000000000000 $t - /tmp/ccpQJ5f5.s:921 .text.HAL_UARTEx_ReceiveToIdle_DMA:0000000000000000 HAL_UARTEx_ReceiveToIdle_DMA + /tmp/ccVJeawu.s:18 .text.UARTEx_Wakeup_AddressConfig:0000000000000000 $t + /tmp/ccVJeawu.s:24 .text.UARTEx_Wakeup_AddressConfig:0000000000000000 UARTEx_Wakeup_AddressConfig + /tmp/ccVJeawu.s:60 .text.HAL_RS485Ex_Init:0000000000000000 $t + /tmp/ccVJeawu.s:67 .text.HAL_RS485Ex_Init:0000000000000000 HAL_RS485Ex_Init + /tmp/ccVJeawu.s:182 .text.HAL_UARTEx_WakeupCallback:0000000000000000 $t + /tmp/ccVJeawu.s:189 .text.HAL_UARTEx_WakeupCallback:0000000000000000 HAL_UARTEx_WakeupCallback + /tmp/ccVJeawu.s:203 .text.HAL_UARTEx_EnableClockStopMode:0000000000000000 $t + /tmp/ccVJeawu.s:210 .text.HAL_UARTEx_EnableClockStopMode:0000000000000000 HAL_UARTEx_EnableClockStopMode + /tmp/ccVJeawu.s:248 .text.HAL_UARTEx_DisableClockStopMode:0000000000000000 $t + /tmp/ccVJeawu.s:255 .text.HAL_UARTEx_DisableClockStopMode:0000000000000000 HAL_UARTEx_DisableClockStopMode + /tmp/ccVJeawu.s:293 .text.HAL_MultiProcessorEx_AddressLength_Set:0000000000000000 $t + /tmp/ccVJeawu.s:300 .text.HAL_MultiProcessorEx_AddressLength_Set:0000000000000000 HAL_MultiProcessorEx_AddressLength_Set + /tmp/ccVJeawu.s:353 .text.HAL_UARTEx_StopModeWakeUpSourceConfig:0000000000000000 $t + /tmp/ccVJeawu.s:360 .text.HAL_UARTEx_StopModeWakeUpSourceConfig:0000000000000000 HAL_UARTEx_StopModeWakeUpSourceConfig + /tmp/ccVJeawu.s:462 .text.HAL_UARTEx_EnableStopMode:0000000000000000 $t + /tmp/ccVJeawu.s:469 .text.HAL_UARTEx_EnableStopMode:0000000000000000 HAL_UARTEx_EnableStopMode + /tmp/ccVJeawu.s:507 .text.HAL_UARTEx_DisableStopMode:0000000000000000 $t + /tmp/ccVJeawu.s:514 .text.HAL_UARTEx_DisableStopMode:0000000000000000 HAL_UARTEx_DisableStopMode + /tmp/ccVJeawu.s:552 .text.HAL_UARTEx_ReceiveToIdle:0000000000000000 $t + /tmp/ccVJeawu.s:559 .text.HAL_UARTEx_ReceiveToIdle:0000000000000000 HAL_UARTEx_ReceiveToIdle + /tmp/ccVJeawu.s:820 .text.HAL_UARTEx_ReceiveToIdle_IT:0000000000000000 $t + /tmp/ccVJeawu.s:827 .text.HAL_UARTEx_ReceiveToIdle_IT:0000000000000000 HAL_UARTEx_ReceiveToIdle_IT + /tmp/ccVJeawu.s:914 .text.HAL_UARTEx_ReceiveToIdle_DMA:0000000000000000 $t + /tmp/ccVJeawu.s:921 .text.HAL_UARTEx_ReceiveToIdle_DMA:0000000000000000 HAL_UARTEx_ReceiveToIdle_DMA .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx_hal_conf.h.24.9ebdf529a64add7ef5197540a829be93 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_adc.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_adc.o.lst index 16a473f..1c7e975 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_adc.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_adc.o.lst @@ -9144,30 +9144,30 @@ 973 .file 8 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_adc.c - /tmp/ccwLE0Ps.s:18 .text.LL_ADC_CommonDeInit:0000000000000000 $t - /tmp/ccwLE0Ps.s:25 .text.LL_ADC_CommonDeInit:0000000000000000 LL_ADC_CommonDeInit - /tmp/ccwLE0Ps.s:61 .text.LL_ADC_CommonDeInit:0000000000000018 $d - /tmp/ccwLE0Ps.s:66 .text.LL_ADC_CommonInit:0000000000000000 $t - /tmp/ccwLE0Ps.s:73 .text.LL_ADC_CommonInit:0000000000000000 LL_ADC_CommonInit - /tmp/ccwLE0Ps.s:201 .text.LL_ADC_CommonInit:0000000000000070 $d - /tmp/ccwLE0Ps.s:209 .text.LL_ADC_CommonStructInit:0000000000000000 $t - /tmp/ccwLE0Ps.s:216 .text.LL_ADC_CommonStructInit:0000000000000000 LL_ADC_CommonStructInit - /tmp/ccwLE0Ps.s:240 .text.LL_ADC_DeInit:0000000000000000 $t - /tmp/ccwLE0Ps.s:247 .text.LL_ADC_DeInit:0000000000000000 LL_ADC_DeInit - /tmp/ccwLE0Ps.s:620 .text.LL_ADC_DeInit:00000000000001fc $d - /tmp/ccwLE0Ps.s:627 .text.LL_ADC_Init:0000000000000000 $t - /tmp/ccwLE0Ps.s:634 .text.LL_ADC_Init:0000000000000000 LL_ADC_Init - /tmp/ccwLE0Ps.s:686 .text.LL_ADC_StructInit:0000000000000000 $t - /tmp/ccwLE0Ps.s:693 .text.LL_ADC_StructInit:0000000000000000 LL_ADC_StructInit - /tmp/ccwLE0Ps.s:714 .text.LL_ADC_REG_Init:0000000000000000 $t - /tmp/ccwLE0Ps.s:721 .text.LL_ADC_REG_Init:0000000000000000 LL_ADC_REG_Init - /tmp/ccwLE0Ps.s:815 .text.LL_ADC_REG_Init:000000000000005c $d - /tmp/ccwLE0Ps.s:820 .text.LL_ADC_REG_StructInit:0000000000000000 $t - /tmp/ccwLE0Ps.s:827 .text.LL_ADC_REG_StructInit:0000000000000000 LL_ADC_REG_StructInit - /tmp/ccwLE0Ps.s:855 .text.LL_ADC_INJ_Init:0000000000000000 $t - /tmp/ccwLE0Ps.s:862 .text.LL_ADC_INJ_Init:0000000000000000 LL_ADC_INJ_Init - /tmp/ccwLE0Ps.s:938 .text.LL_ADC_INJ_StructInit:0000000000000000 $t - /tmp/ccwLE0Ps.s:945 .text.LL_ADC_INJ_StructInit:0000000000000000 LL_ADC_INJ_StructInit + /tmp/ccPH373Q.s:18 .text.LL_ADC_CommonDeInit:0000000000000000 $t + /tmp/ccPH373Q.s:25 .text.LL_ADC_CommonDeInit:0000000000000000 LL_ADC_CommonDeInit + /tmp/ccPH373Q.s:61 .text.LL_ADC_CommonDeInit:0000000000000018 $d + /tmp/ccPH373Q.s:66 .text.LL_ADC_CommonInit:0000000000000000 $t + /tmp/ccPH373Q.s:73 .text.LL_ADC_CommonInit:0000000000000000 LL_ADC_CommonInit + /tmp/ccPH373Q.s:201 .text.LL_ADC_CommonInit:0000000000000070 $d + /tmp/ccPH373Q.s:209 .text.LL_ADC_CommonStructInit:0000000000000000 $t + /tmp/ccPH373Q.s:216 .text.LL_ADC_CommonStructInit:0000000000000000 LL_ADC_CommonStructInit + /tmp/ccPH373Q.s:240 .text.LL_ADC_DeInit:0000000000000000 $t + /tmp/ccPH373Q.s:247 .text.LL_ADC_DeInit:0000000000000000 LL_ADC_DeInit + /tmp/ccPH373Q.s:620 .text.LL_ADC_DeInit:00000000000001fc $d + /tmp/ccPH373Q.s:627 .text.LL_ADC_Init:0000000000000000 $t + /tmp/ccPH373Q.s:634 .text.LL_ADC_Init:0000000000000000 LL_ADC_Init + /tmp/ccPH373Q.s:686 .text.LL_ADC_StructInit:0000000000000000 $t + /tmp/ccPH373Q.s:693 .text.LL_ADC_StructInit:0000000000000000 LL_ADC_StructInit + /tmp/ccPH373Q.s:714 .text.LL_ADC_REG_Init:0000000000000000 $t + /tmp/ccPH373Q.s:721 .text.LL_ADC_REG_Init:0000000000000000 LL_ADC_REG_Init + /tmp/ccPH373Q.s:815 .text.LL_ADC_REG_Init:000000000000005c $d + /tmp/ccPH373Q.s:820 .text.LL_ADC_REG_StructInit:0000000000000000 $t + /tmp/ccPH373Q.s:827 .text.LL_ADC_REG_StructInit:0000000000000000 LL_ADC_REG_StructInit + /tmp/ccPH373Q.s:855 .text.LL_ADC_INJ_Init:0000000000000000 $t + /tmp/ccPH373Q.s:862 .text.LL_ADC_INJ_Init:0000000000000000 LL_ADC_INJ_Init + /tmp/ccPH373Q.s:938 .text.LL_ADC_INJ_StructInit:0000000000000000 $t + /tmp/ccPH373Q.s:945 .text.LL_ADC_INJ_StructInit:0000000000000000 LL_ADC_INJ_StructInit .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_comp.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_comp.o.lst index f4ce73d..e5b8d9a 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_comp.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_comp.o.lst @@ -1311,14 +1311,14 @@ 176 .file 7 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_comp.c - /tmp/ccZU6xG3.s:18 .text.LL_COMP_DeInit:0000000000000000 $t - /tmp/ccZU6xG3.s:25 .text.LL_COMP_DeInit:0000000000000000 LL_COMP_DeInit - /tmp/ccZU6xG3.s:62 .text.LL_COMP_Init:0000000000000000 $t - /tmp/ccZU6xG3.s:69 .text.LL_COMP_Init:0000000000000000 LL_COMP_Init - /tmp/ccZU6xG3.s:126 .text.LL_COMP_Init:0000000000000034 $d - /tmp/ccZU6xG3.s:131 .text.LL_COMP_StructInit:0000000000000000 $t - /tmp/ccZU6xG3.s:138 .text.LL_COMP_StructInit:0000000000000000 LL_COMP_StructInit - /tmp/ccZU6xG3.s:166 .text.LL_COMP_StructInit:0000000000000014 $d + /tmp/ccnv26Vw.s:18 .text.LL_COMP_DeInit:0000000000000000 $t + /tmp/ccnv26Vw.s:25 .text.LL_COMP_DeInit:0000000000000000 LL_COMP_DeInit + /tmp/ccnv26Vw.s:62 .text.LL_COMP_Init:0000000000000000 $t + /tmp/ccnv26Vw.s:69 .text.LL_COMP_Init:0000000000000000 LL_COMP_Init + /tmp/ccnv26Vw.s:126 .text.LL_COMP_Init:0000000000000034 $d + /tmp/ccnv26Vw.s:131 .text.LL_COMP_StructInit:0000000000000000 $t + /tmp/ccnv26Vw.s:138 .text.LL_COMP_StructInit:0000000000000000 LL_COMP_StructInit + /tmp/ccnv26Vw.s:166 .text.LL_COMP_StructInit:0000000000000014 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_crc.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_crc.o.lst index d0ca8eb..8e3839c 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_crc.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_crc.o.lst @@ -613,9 +613,9 @@ 81 .file 7 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_crc.c - /tmp/ccodQCVE.s:18 .text.LL_CRC_DeInit:0000000000000000 $t - /tmp/ccodQCVE.s:25 .text.LL_CRC_DeInit:0000000000000000 LL_CRC_DeInit - /tmp/ccodQCVE.s:71 .text.LL_CRC_DeInit:0000000000000024 $d + /tmp/ccHqCY66.s:18 .text.LL_CRC_DeInit:0000000000000000 $t + /tmp/ccHqCY66.s:25 .text.LL_CRC_DeInit:0000000000000000 LL_CRC_DeInit + /tmp/ccHqCY66.s:71 .text.LL_CRC_DeInit:0000000000000024 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_dac.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_dac.o.lst index ce5f55c..3719a54 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_dac.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_dac.o.lst @@ -3435,13 +3435,13 @@ 207 .file 8 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_dac.c - /tmp/ccu6wHeT.s:18 .text.LL_DAC_DeInit:0000000000000000 $t - /tmp/ccu6wHeT.s:25 .text.LL_DAC_DeInit:0000000000000000 LL_DAC_DeInit - /tmp/ccu6wHeT.s:61 .text.LL_DAC_DeInit:0000000000000018 $d - /tmp/ccu6wHeT.s:66 .text.LL_DAC_Init:0000000000000000 $t - /tmp/ccu6wHeT.s:73 .text.LL_DAC_Init:0000000000000000 LL_DAC_Init - /tmp/ccu6wHeT.s:167 .text.LL_DAC_StructInit:0000000000000000 $t - /tmp/ccu6wHeT.s:174 .text.LL_DAC_StructInit:0000000000000000 LL_DAC_StructInit + /tmp/ccaEPWEk.s:18 .text.LL_DAC_DeInit:0000000000000000 $t + /tmp/ccaEPWEk.s:25 .text.LL_DAC_DeInit:0000000000000000 LL_DAC_DeInit + /tmp/ccaEPWEk.s:61 .text.LL_DAC_DeInit:0000000000000018 $d + /tmp/ccaEPWEk.s:66 .text.LL_DAC_Init:0000000000000000 $t + /tmp/ccaEPWEk.s:73 .text.LL_DAC_Init:0000000000000000 LL_DAC_Init + /tmp/ccaEPWEk.s:167 .text.LL_DAC_StructInit:0000000000000000 $t + /tmp/ccaEPWEk.s:174 .text.LL_DAC_StructInit:0000000000000000 LL_DAC_StructInit .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o.lst index 31a001c..23c0472 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_dma.o.lst @@ -4633,16 +4633,16 @@ 693 .file 9 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_dma.c - /tmp/cczxC3ix.s:18 .text.LL_DMA_DeInit:0000000000000000 $t - /tmp/cczxC3ix.s:25 .text.LL_DMA_DeInit:0000000000000000 LL_DMA_DeInit - /tmp/cczxC3ix.s:441 .text.LL_DMA_DeInit:0000000000000194 $d - /tmp/cczxC3ix.s:461 .text.LL_DMA_Init:0000000000000000 $t - /tmp/cczxC3ix.s:468 .text.LL_DMA_Init:0000000000000000 LL_DMA_Init - /tmp/cczxC3ix.s:622 .text.LL_DMA_Init:0000000000000074 $d - /tmp/cczxC3ix.s:631 .text.LL_DMA_StructInit:0000000000000000 $t - /tmp/cczxC3ix.s:638 .text.LL_DMA_StructInit:0000000000000000 LL_DMA_StructInit - /tmp/cczxC3ix.s:675 .rodata.CHANNEL_OFFSET_TAB:0000000000000000 $d - /tmp/cczxC3ix.s:679 .rodata.CHANNEL_OFFSET_TAB:0000000000000000 CHANNEL_OFFSET_TAB + /tmp/cchzyKoT.s:18 .text.LL_DMA_DeInit:0000000000000000 $t + /tmp/cchzyKoT.s:25 .text.LL_DMA_DeInit:0000000000000000 LL_DMA_DeInit + /tmp/cchzyKoT.s:441 .text.LL_DMA_DeInit:0000000000000194 $d + /tmp/cchzyKoT.s:461 .text.LL_DMA_Init:0000000000000000 $t + /tmp/cchzyKoT.s:468 .text.LL_DMA_Init:0000000000000000 LL_DMA_Init + /tmp/cchzyKoT.s:622 .text.LL_DMA_Init:0000000000000074 $d + /tmp/cchzyKoT.s:631 .text.LL_DMA_StructInit:0000000000000000 $t + /tmp/cchzyKoT.s:638 .text.LL_DMA_StructInit:0000000000000000 LL_DMA_StructInit + /tmp/cchzyKoT.s:675 .rodata.CHANNEL_OFFSET_TAB:0000000000000000 $d + /tmp/cchzyKoT.s:679 .rodata.CHANNEL_OFFSET_TAB:0000000000000000 CHANNEL_OFFSET_TAB .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_exti.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_exti.o.lst index f61fea9..9759986 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_exti.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_exti.o.lst @@ -1947,14 +1947,14 @@ 613 .file 7 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_exti.c - /tmp/cctgmU8I.s:18 .text.LL_EXTI_DeInit:0000000000000000 $t - /tmp/cctgmU8I.s:25 .text.LL_EXTI_DeInit:0000000000000000 LL_EXTI_DeInit - /tmp/cctgmU8I.s:68 .text.LL_EXTI_DeInit:0000000000000028 $d - /tmp/cctgmU8I.s:75 .text.LL_EXTI_Init:0000000000000000 $t - /tmp/cctgmU8I.s:82 .text.LL_EXTI_Init:0000000000000000 LL_EXTI_Init - /tmp/cctgmU8I.s:570 .text.LL_EXTI_Init:000000000000018c $d - /tmp/cctgmU8I.s:575 .text.LL_EXTI_StructInit:0000000000000000 $t - /tmp/cctgmU8I.s:582 .text.LL_EXTI_StructInit:0000000000000000 LL_EXTI_StructInit + /tmp/ccMg3Ri6.s:18 .text.LL_EXTI_DeInit:0000000000000000 $t + /tmp/ccMg3Ri6.s:25 .text.LL_EXTI_DeInit:0000000000000000 LL_EXTI_DeInit + /tmp/ccMg3Ri6.s:68 .text.LL_EXTI_DeInit:0000000000000028 $d + /tmp/ccMg3Ri6.s:75 .text.LL_EXTI_Init:0000000000000000 $t + /tmp/ccMg3Ri6.s:82 .text.LL_EXTI_Init:0000000000000000 LL_EXTI_Init + /tmp/ccMg3Ri6.s:570 .text.LL_EXTI_Init:000000000000018c $d + /tmp/ccMg3Ri6.s:575 .text.LL_EXTI_StructInit:0000000000000000 $t + /tmp/ccMg3Ri6.s:582 .text.LL_EXTI_StructInit:0000000000000000 LL_EXTI_StructInit .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o.lst index aa07bff..c5218f9 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_gpio.o.lst @@ -3681,13 +3681,13 @@ 863 .file 9 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_gpio.c - /tmp/ccgfUo6V.s:18 .text.LL_GPIO_DeInit:0000000000000000 $t - /tmp/ccgfUo6V.s:25 .text.LL_GPIO_DeInit:0000000000000000 LL_GPIO_DeInit - /tmp/ccgfUo6V.s:273 .text.LL_GPIO_DeInit:0000000000000110 $d - /tmp/ccgfUo6V.s:285 .text.LL_GPIO_Init:0000000000000000 $t - /tmp/ccgfUo6V.s:292 .text.LL_GPIO_Init:0000000000000000 LL_GPIO_Init - /tmp/ccgfUo6V.s:822 .text.LL_GPIO_StructInit:0000000000000000 $t - /tmp/ccgfUo6V.s:829 .text.LL_GPIO_StructInit:0000000000000000 LL_GPIO_StructInit + /tmp/ccT81Avi.s:18 .text.LL_GPIO_DeInit:0000000000000000 $t + /tmp/ccT81Avi.s:25 .text.LL_GPIO_DeInit:0000000000000000 LL_GPIO_DeInit + /tmp/ccT81Avi.s:273 .text.LL_GPIO_DeInit:0000000000000110 $d + /tmp/ccT81Avi.s:285 .text.LL_GPIO_Init:0000000000000000 $t + /tmp/ccT81Avi.s:292 .text.LL_GPIO_Init:0000000000000000 LL_GPIO_Init + /tmp/ccT81Avi.s:822 .text.LL_GPIO_StructInit:0000000000000000 $t + /tmp/ccT81Avi.s:829 .text.LL_GPIO_StructInit:0000000000000000 LL_GPIO_StructInit .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o.lst index 8176af5..bcc634d 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_i2c.o.lst @@ -3914,13 +3914,13 @@ 319 .file 8 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_i2c.c - /tmp/cciYaJMy.s:18 .text.LL_I2C_DeInit:0000000000000000 $t - /tmp/cciYaJMy.s:25 .text.LL_I2C_DeInit:0000000000000000 LL_I2C_DeInit - /tmp/cciYaJMy.s:127 .text.LL_I2C_DeInit:0000000000000060 $d - /tmp/cciYaJMy.s:134 .text.LL_I2C_Init:0000000000000000 $t - /tmp/cciYaJMy.s:141 .text.LL_I2C_Init:0000000000000000 LL_I2C_Init - /tmp/cciYaJMy.s:277 .text.LL_I2C_StructInit:0000000000000000 $t - /tmp/cciYaJMy.s:284 .text.LL_I2C_StructInit:0000000000000000 LL_I2C_StructInit + /tmp/ccMT8oyY.s:18 .text.LL_I2C_DeInit:0000000000000000 $t + /tmp/ccMT8oyY.s:25 .text.LL_I2C_DeInit:0000000000000000 LL_I2C_DeInit + /tmp/ccMT8oyY.s:127 .text.LL_I2C_DeInit:0000000000000060 $d + /tmp/ccMT8oyY.s:134 .text.LL_I2C_Init:0000000000000000 $t + /tmp/ccMT8oyY.s:141 .text.LL_I2C_Init:0000000000000000 LL_I2C_Init + /tmp/ccMT8oyY.s:277 .text.LL_I2C_StructInit:0000000000000000 $t + /tmp/ccMT8oyY.s:284 .text.LL_I2C_StructInit:0000000000000000 LL_I2C_StructInit .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_lptim.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_lptim.o.lst index 9188bde..278b91a 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_lptim.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_lptim.o.lst @@ -7034,17 +7034,17 @@ 483 .file 10 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_lptim.c - /tmp/cc7DvBD6.s:18 .text.LL_LPTIM_DeInit:0000000000000000 $t - /tmp/cc7DvBD6.s:25 .text.LL_LPTIM_DeInit:0000000000000000 LL_LPTIM_DeInit - /tmp/cc7DvBD6.s:99 .text.LL_LPTIM_DeInit:0000000000000040 $d - /tmp/cc7DvBD6.s:105 .text.LL_LPTIM_StructInit:0000000000000000 $t - /tmp/cc7DvBD6.s:112 .text.LL_LPTIM_StructInit:0000000000000000 LL_LPTIM_StructInit - /tmp/cc7DvBD6.s:135 .text.LL_LPTIM_Init:0000000000000000 $t - /tmp/cc7DvBD6.s:142 .text.LL_LPTIM_Init:0000000000000000 LL_LPTIM_Init - /tmp/cc7DvBD6.s:196 .text.LL_LPTIM_Init:0000000000000030 $d - /tmp/cc7DvBD6.s:201 .text.LL_LPTIM_Disable:0000000000000000 $t - /tmp/cc7DvBD6.s:208 .text.LL_LPTIM_Disable:0000000000000000 LL_LPTIM_Disable - /tmp/cc7DvBD6.s:470 .text.LL_LPTIM_Disable:0000000000000124 $d + /tmp/ccEsYOvD.s:18 .text.LL_LPTIM_DeInit:0000000000000000 $t + /tmp/ccEsYOvD.s:25 .text.LL_LPTIM_DeInit:0000000000000000 LL_LPTIM_DeInit + /tmp/ccEsYOvD.s:99 .text.LL_LPTIM_DeInit:0000000000000040 $d + /tmp/ccEsYOvD.s:105 .text.LL_LPTIM_StructInit:0000000000000000 $t + /tmp/ccEsYOvD.s:112 .text.LL_LPTIM_StructInit:0000000000000000 LL_LPTIM_StructInit + /tmp/ccEsYOvD.s:135 .text.LL_LPTIM_Init:0000000000000000 $t + /tmp/ccEsYOvD.s:142 .text.LL_LPTIM_Init:0000000000000000 LL_LPTIM_Init + /tmp/ccEsYOvD.s:196 .text.LL_LPTIM_Init:0000000000000030 $d + /tmp/ccEsYOvD.s:201 .text.LL_LPTIM_Disable:0000000000000000 $t + /tmp/ccEsYOvD.s:208 .text.LL_LPTIM_Disable:0000000000000000 LL_LPTIM_Disable + /tmp/ccEsYOvD.s:470 .text.LL_LPTIM_Disable:0000000000000124 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_lpuart.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_lpuart.o.lst index 27a5470..59acc01 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_lpuart.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_lpuart.o.lst @@ -3433,14 +3433,14 @@ 253 .file 9 "../system/include/stm32l4-hal-driver/stm32l4xx_ll_rcc.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_lpuart.c - /tmp/ccUXeu0J.s:18 .text.LL_LPUART_DeInit:0000000000000000 $t - /tmp/ccUXeu0J.s:25 .text.LL_LPUART_DeInit:0000000000000000 LL_LPUART_DeInit - /tmp/ccUXeu0J.s:71 .text.LL_LPUART_DeInit:0000000000000024 $d - /tmp/ccUXeu0J.s:77 .text.LL_LPUART_Init:0000000000000000 $t - /tmp/ccUXeu0J.s:84 .text.LL_LPUART_Init:0000000000000000 LL_LPUART_Init - /tmp/ccUXeu0J.s:206 .text.LL_LPUART_Init:000000000000007c $d - /tmp/ccUXeu0J.s:211 .text.LL_LPUART_StructInit:0000000000000000 $t - /tmp/ccUXeu0J.s:218 .text.LL_LPUART_StructInit:0000000000000000 LL_LPUART_StructInit + /tmp/cchZ754c.s:18 .text.LL_LPUART_DeInit:0000000000000000 $t + /tmp/cchZ754c.s:25 .text.LL_LPUART_DeInit:0000000000000000 LL_LPUART_DeInit + /tmp/cchZ754c.s:71 .text.LL_LPUART_DeInit:0000000000000024 $d + /tmp/cchZ754c.s:77 .text.LL_LPUART_Init:0000000000000000 $t + /tmp/cchZ754c.s:84 .text.LL_LPUART_Init:0000000000000000 LL_LPUART_Init + /tmp/cchZ754c.s:206 .text.LL_LPUART_Init:000000000000007c $d + /tmp/cchZ754c.s:211 .text.LL_LPUART_StructInit:0000000000000000 $t + /tmp/cchZ754c.s:218 .text.LL_LPUART_StructInit:0000000000000000 LL_LPUART_StructInit .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_opamp.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_opamp.o.lst index 9e00497..2dabb77 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_opamp.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_opamp.o.lst @@ -351,12 +351,12 @@ 150 .file 7 "../system/include/stm32l4-hal-driver/stm32l4xx_ll_opamp.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_opamp.c - /tmp/ccr0sChm.s:18 .text.LL_OPAMP_DeInit:0000000000000000 $t - /tmp/ccr0sChm.s:25 .text.LL_OPAMP_DeInit:0000000000000000 LL_OPAMP_DeInit - /tmp/ccr0sChm.s:45 .text.LL_OPAMP_Init:0000000000000000 $t - /tmp/ccr0sChm.s:52 .text.LL_OPAMP_Init:0000000000000000 LL_OPAMP_Init - /tmp/ccr0sChm.s:112 .text.LL_OPAMP_StructInit:0000000000000000 $t - /tmp/ccr0sChm.s:119 .text.LL_OPAMP_StructInit:0000000000000000 LL_OPAMP_StructInit + /tmp/cc9LbWLO.s:18 .text.LL_OPAMP_DeInit:0000000000000000 $t + /tmp/cc9LbWLO.s:25 .text.LL_OPAMP_DeInit:0000000000000000 LL_OPAMP_DeInit + /tmp/cc9LbWLO.s:45 .text.LL_OPAMP_Init:0000000000000000 $t + /tmp/cc9LbWLO.s:52 .text.LL_OPAMP_Init:0000000000000000 LL_OPAMP_Init + /tmp/cc9LbWLO.s:112 .text.LL_OPAMP_StructInit:0000000000000000 $t + /tmp/cc9LbWLO.s:119 .text.LL_OPAMP_StructInit:0000000000000000 LL_OPAMP_StructInit .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_pwr.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_pwr.o.lst index d07ace1..9da560f 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_pwr.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_pwr.o.lst @@ -1563,9 +1563,9 @@ 70 .file 7 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_pwr.c - /tmp/cczXZ9bA.s:18 .text.LL_PWR_DeInit:0000000000000000 $t - /tmp/cczXZ9bA.s:25 .text.LL_PWR_DeInit:0000000000000000 LL_PWR_DeInit - /tmp/cczXZ9bA.s:60 .text.LL_PWR_DeInit:0000000000000018 $d + /tmp/ccWP8GH4.s:18 .text.LL_PWR_DeInit:0000000000000000 $t + /tmp/ccWP8GH4.s:25 .text.LL_PWR_DeInit:0000000000000000 LL_PWR_DeInit + /tmp/ccWP8GH4.s:60 .text.LL_PWR_DeInit:0000000000000018 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o.lst index 7644c57..3ae1af2 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_rcc.o.lst @@ -12597,91 +12597,91 @@ 4397 .file 7 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_rcc.c - /tmp/cc6OILJa.s:18 .text.RCC_GetHCLKClockFreq:0000000000000000 $t - /tmp/cc6OILJa.s:24 .text.RCC_GetHCLKClockFreq:0000000000000000 RCC_GetHCLKClockFreq - /tmp/cc6OILJa.s:52 .text.RCC_GetHCLKClockFreq:0000000000000010 $d - /tmp/cc6OILJa.s:58 .text.RCC_GetPCLK1ClockFreq:0000000000000000 $t - /tmp/cc6OILJa.s:64 .text.RCC_GetPCLK1ClockFreq:0000000000000000 RCC_GetPCLK1ClockFreq - /tmp/cc6OILJa.s:90 .text.RCC_GetPCLK1ClockFreq:0000000000000010 $d - /tmp/cc6OILJa.s:96 .text.RCC_GetPCLK2ClockFreq:0000000000000000 $t - /tmp/cc6OILJa.s:102 .text.RCC_GetPCLK2ClockFreq:0000000000000000 RCC_GetPCLK2ClockFreq - /tmp/cc6OILJa.s:128 .text.RCC_GetPCLK2ClockFreq:0000000000000010 $d - /tmp/cc6OILJa.s:134 .text.RCC_PLL_GetFreqDomain_SYS:0000000000000000 $t - /tmp/cc6OILJa.s:140 .text.RCC_PLL_GetFreqDomain_SYS:0000000000000000 RCC_PLL_GetFreqDomain_SYS - /tmp/cc6OILJa.s:365 .text.RCC_PLL_GetFreqDomain_SYS:00000000000000f0 $d - /tmp/cc6OILJa.s:373 .text.RCC_GetSystemClockFreq:0000000000000000 $t - /tmp/cc6OILJa.s:379 .text.RCC_GetSystemClockFreq:0000000000000000 RCC_GetSystemClockFreq - /tmp/cc6OILJa.s:402 .text.RCC_GetSystemClockFreq:0000000000000012 $d - /tmp/cc6OILJa.s:595 .text.RCC_GetSystemClockFreq:00000000000000dc $d - /tmp/cc6OILJa.s:603 .text.RCC_PLL_GetFreqDomain_SAI:0000000000000000 $t - /tmp/cc6OILJa.s:609 .text.RCC_PLL_GetFreqDomain_SAI:0000000000000000 RCC_PLL_GetFreqDomain_SAI - /tmp/cc6OILJa.s:839 .text.RCC_PLL_GetFreqDomain_SAI:00000000000000f4 $d - /tmp/cc6OILJa.s:847 .text.RCC_PLL_GetFreqDomain_48M:0000000000000000 $t - /tmp/cc6OILJa.s:853 .text.RCC_PLL_GetFreqDomain_48M:0000000000000000 RCC_PLL_GetFreqDomain_48M - /tmp/cc6OILJa.s:1078 .text.RCC_PLL_GetFreqDomain_48M:00000000000000f0 $d - /tmp/cc6OILJa.s:1086 .text.RCC_PLLSAI1_GetFreqDomain_SAI:0000000000000000 $t - /tmp/cc6OILJa.s:1092 .text.RCC_PLLSAI1_GetFreqDomain_SAI:0000000000000000 RCC_PLLSAI1_GetFreqDomain_SAI - /tmp/cc6OILJa.s:1322 .text.RCC_PLLSAI1_GetFreqDomain_SAI:00000000000000f4 $d - /tmp/cc6OILJa.s:1330 .text.RCC_PLLSAI1_GetFreqDomain_48M:0000000000000000 $t - /tmp/cc6OILJa.s:1336 .text.RCC_PLLSAI1_GetFreqDomain_48M:0000000000000000 RCC_PLLSAI1_GetFreqDomain_48M - /tmp/cc6OILJa.s:1561 .text.RCC_PLLSAI1_GetFreqDomain_48M:00000000000000f0 $d - /tmp/cc6OILJa.s:1569 .text.RCC_PLLSAI1_GetFreqDomain_ADC:0000000000000000 $t - /tmp/cc6OILJa.s:1575 .text.RCC_PLLSAI1_GetFreqDomain_ADC:0000000000000000 RCC_PLLSAI1_GetFreqDomain_ADC - /tmp/cc6OILJa.s:1800 .text.RCC_PLLSAI1_GetFreqDomain_ADC:00000000000000f0 $d - /tmp/cc6OILJa.s:1808 .text.RCC_PLLSAI2_GetFreqDomain_SAI:0000000000000000 $t - /tmp/cc6OILJa.s:1814 .text.RCC_PLLSAI2_GetFreqDomain_SAI:0000000000000000 RCC_PLLSAI2_GetFreqDomain_SAI - /tmp/cc6OILJa.s:2044 .text.RCC_PLLSAI2_GetFreqDomain_SAI:00000000000000f4 $d - /tmp/cc6OILJa.s:2052 .text.RCC_PLLSAI2_GetFreqDomain_ADC:0000000000000000 $t - /tmp/cc6OILJa.s:2058 .text.RCC_PLLSAI2_GetFreqDomain_ADC:0000000000000000 RCC_PLLSAI2_GetFreqDomain_ADC - /tmp/cc6OILJa.s:2286 .text.RCC_PLLSAI2_GetFreqDomain_ADC:00000000000000f0 $d - /tmp/cc6OILJa.s:2294 .text.LL_RCC_DeInit:0000000000000000 $t - /tmp/cc6OILJa.s:2301 .text.LL_RCC_DeInit:0000000000000000 LL_RCC_DeInit - /tmp/cc6OILJa.s:2437 .text.LL_RCC_DeInit:00000000000000a8 $d - /tmp/cc6OILJa.s:2443 .text.LL_RCC_GetSystemClocksFreq:0000000000000000 $t - /tmp/cc6OILJa.s:2450 .text.LL_RCC_GetSystemClocksFreq:0000000000000000 LL_RCC_GetSystemClocksFreq - /tmp/cc6OILJa.s:2485 .text.LL_RCC_GetUSARTClockFreq:0000000000000000 $t - /tmp/cc6OILJa.s:2492 .text.LL_RCC_GetUSARTClockFreq:0000000000000000 LL_RCC_GetUSARTClockFreq - /tmp/cc6OILJa.s:2537 .text.LL_RCC_GetUSARTClockFreq:000000000000002a $d - /tmp/cc6OILJa.s:2541 .text.LL_RCC_GetUSARTClockFreq:000000000000002e $t - /tmp/cc6OILJa.s:2607 .text.LL_RCC_GetUSARTClockFreq:000000000000007c $d - /tmp/cc6OILJa.s:2768 .text.LL_RCC_GetUSARTClockFreq:000000000000013c $d - /tmp/cc6OILJa.s:2776 .text.LL_RCC_GetUARTClockFreq:0000000000000000 $t - /tmp/cc6OILJa.s:2783 .text.LL_RCC_GetUARTClockFreq:0000000000000000 LL_RCC_GetUARTClockFreq - /tmp/cc6OILJa.s:2971 .text.LL_RCC_GetUARTClockFreq:00000000000000d4 $d - /tmp/cc6OILJa.s:2981 .text.LL_RCC_GetI2CClockFreq:0000000000000000 $t - /tmp/cc6OILJa.s:2988 .text.LL_RCC_GetI2CClockFreq:0000000000000000 LL_RCC_GetI2CClockFreq - /tmp/cc6OILJa.s:3231 .text.LL_RCC_GetI2CClockFreq:0000000000000114 $d - /tmp/cc6OILJa.s:3244 .text.LL_RCC_GetLPUARTClockFreq:0000000000000000 $t - /tmp/cc6OILJa.s:3251 .text.LL_RCC_GetLPUARTClockFreq:0000000000000000 LL_RCC_GetLPUARTClockFreq - /tmp/cc6OILJa.s:3343 .text.LL_RCC_GetLPUARTClockFreq:0000000000000060 $d - /tmp/cc6OILJa.s:3349 .text.LL_RCC_GetLPTIMClockFreq:0000000000000000 $t - /tmp/cc6OILJa.s:3356 .text.LL_RCC_GetLPTIMClockFreq:0000000000000000 LL_RCC_GetLPTIMClockFreq - /tmp/cc6OILJa.s:3398 .text.LL_RCC_GetLPTIMClockFreq:000000000000002a $d - /tmp/cc6OILJa.s:3571 .text.LL_RCC_GetLPTIMClockFreq:0000000000000100 $d - /tmp/cc6OILJa.s:3579 .text.LL_RCC_GetSAIClockFreq:0000000000000000 $t - /tmp/cc6OILJa.s:3586 .text.LL_RCC_GetSAIClockFreq:0000000000000000 LL_RCC_GetSAIClockFreq - /tmp/cc6OILJa.s:3788 .text.LL_RCC_GetSAIClockFreq:00000000000000ec $d - /tmp/cc6OILJa.s:3797 .text.LL_RCC_GetSDMMCClockFreq:0000000000000000 $t - /tmp/cc6OILJa.s:3804 .text.LL_RCC_GetSDMMCClockFreq:0000000000000000 LL_RCC_GetSDMMCClockFreq - /tmp/cc6OILJa.s:3973 .text.LL_RCC_GetSDMMCClockFreq:00000000000000b0 $d - /tmp/cc6OILJa.s:3979 .text.LL_RCC_GetRNGClockFreq:0000000000000000 $t - /tmp/cc6OILJa.s:3986 .text.LL_RCC_GetRNGClockFreq:0000000000000000 LL_RCC_GetRNGClockFreq - /tmp/cc6OILJa.s:4155 .text.LL_RCC_GetRNGClockFreq:00000000000000b0 $d - /tmp/cc6OILJa.s:4161 .text.LL_RCC_GetADCClockFreq:0000000000000000 $t - /tmp/cc6OILJa.s:4168 .text.LL_RCC_GetADCClockFreq:0000000000000000 LL_RCC_GetADCClockFreq - /tmp/cc6OILJa.s:4248 .text.LL_RCC_GetADCClockFreq:0000000000000050 $d - /tmp/cc6OILJa.s:4253 .text.LL_RCC_GetSWPMIClockFreq:0000000000000000 $t - /tmp/cc6OILJa.s:4260 .text.LL_RCC_GetSWPMIClockFreq:0000000000000000 LL_RCC_GetSWPMIClockFreq - /tmp/cc6OILJa.s:4322 .text.LL_RCC_GetSWPMIClockFreq:0000000000000038 $d - /tmp/cc6OILJa.s:4328 .text.LL_RCC_GetDFSDMClockFreq:0000000000000000 $t - /tmp/cc6OILJa.s:4335 .text.LL_RCC_GetDFSDMClockFreq:0000000000000000 LL_RCC_GetDFSDMClockFreq - /tmp/cc6OILJa.s:4387 .text.LL_RCC_GetDFSDMClockFreq:000000000000002c $d - /tmp/cc6OILJa.s:415 .text.RCC_GetSystemClockFreq:000000000000001f $d - /tmp/cc6OILJa.s:415 .text.RCC_GetSystemClockFreq:0000000000000020 $t - /tmp/cc6OILJa.s:2620 .text.LL_RCC_GetUSARTClockFreq:0000000000000089 $d - /tmp/cc6OILJa.s:2620 .text.LL_RCC_GetUSARTClockFreq:000000000000008a $t - /tmp/cc6OILJa.s:3411 .text.LL_RCC_GetLPTIMClockFreq:0000000000000037 $d - /tmp/cc6OILJa.s:3411 .text.LL_RCC_GetLPTIMClockFreq:0000000000000038 $t + /tmp/cc1BlKmF.s:18 .text.RCC_GetHCLKClockFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:24 .text.RCC_GetHCLKClockFreq:0000000000000000 RCC_GetHCLKClockFreq + /tmp/cc1BlKmF.s:52 .text.RCC_GetHCLKClockFreq:0000000000000010 $d + /tmp/cc1BlKmF.s:58 .text.RCC_GetPCLK1ClockFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:64 .text.RCC_GetPCLK1ClockFreq:0000000000000000 RCC_GetPCLK1ClockFreq + /tmp/cc1BlKmF.s:90 .text.RCC_GetPCLK1ClockFreq:0000000000000010 $d + /tmp/cc1BlKmF.s:96 .text.RCC_GetPCLK2ClockFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:102 .text.RCC_GetPCLK2ClockFreq:0000000000000000 RCC_GetPCLK2ClockFreq + /tmp/cc1BlKmF.s:128 .text.RCC_GetPCLK2ClockFreq:0000000000000010 $d + /tmp/cc1BlKmF.s:134 .text.RCC_PLL_GetFreqDomain_SYS:0000000000000000 $t + /tmp/cc1BlKmF.s:140 .text.RCC_PLL_GetFreqDomain_SYS:0000000000000000 RCC_PLL_GetFreqDomain_SYS + /tmp/cc1BlKmF.s:365 .text.RCC_PLL_GetFreqDomain_SYS:00000000000000f0 $d + /tmp/cc1BlKmF.s:373 .text.RCC_GetSystemClockFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:379 .text.RCC_GetSystemClockFreq:0000000000000000 RCC_GetSystemClockFreq + /tmp/cc1BlKmF.s:402 .text.RCC_GetSystemClockFreq:0000000000000012 $d + /tmp/cc1BlKmF.s:595 .text.RCC_GetSystemClockFreq:00000000000000dc $d + /tmp/cc1BlKmF.s:603 .text.RCC_PLL_GetFreqDomain_SAI:0000000000000000 $t + /tmp/cc1BlKmF.s:609 .text.RCC_PLL_GetFreqDomain_SAI:0000000000000000 RCC_PLL_GetFreqDomain_SAI + /tmp/cc1BlKmF.s:839 .text.RCC_PLL_GetFreqDomain_SAI:00000000000000f4 $d + /tmp/cc1BlKmF.s:847 .text.RCC_PLL_GetFreqDomain_48M:0000000000000000 $t + /tmp/cc1BlKmF.s:853 .text.RCC_PLL_GetFreqDomain_48M:0000000000000000 RCC_PLL_GetFreqDomain_48M + /tmp/cc1BlKmF.s:1078 .text.RCC_PLL_GetFreqDomain_48M:00000000000000f0 $d + /tmp/cc1BlKmF.s:1086 .text.RCC_PLLSAI1_GetFreqDomain_SAI:0000000000000000 $t + /tmp/cc1BlKmF.s:1092 .text.RCC_PLLSAI1_GetFreqDomain_SAI:0000000000000000 RCC_PLLSAI1_GetFreqDomain_SAI + /tmp/cc1BlKmF.s:1322 .text.RCC_PLLSAI1_GetFreqDomain_SAI:00000000000000f4 $d + /tmp/cc1BlKmF.s:1330 .text.RCC_PLLSAI1_GetFreqDomain_48M:0000000000000000 $t + /tmp/cc1BlKmF.s:1336 .text.RCC_PLLSAI1_GetFreqDomain_48M:0000000000000000 RCC_PLLSAI1_GetFreqDomain_48M + /tmp/cc1BlKmF.s:1561 .text.RCC_PLLSAI1_GetFreqDomain_48M:00000000000000f0 $d + /tmp/cc1BlKmF.s:1569 .text.RCC_PLLSAI1_GetFreqDomain_ADC:0000000000000000 $t + /tmp/cc1BlKmF.s:1575 .text.RCC_PLLSAI1_GetFreqDomain_ADC:0000000000000000 RCC_PLLSAI1_GetFreqDomain_ADC + /tmp/cc1BlKmF.s:1800 .text.RCC_PLLSAI1_GetFreqDomain_ADC:00000000000000f0 $d + /tmp/cc1BlKmF.s:1808 .text.RCC_PLLSAI2_GetFreqDomain_SAI:0000000000000000 $t + /tmp/cc1BlKmF.s:1814 .text.RCC_PLLSAI2_GetFreqDomain_SAI:0000000000000000 RCC_PLLSAI2_GetFreqDomain_SAI + /tmp/cc1BlKmF.s:2044 .text.RCC_PLLSAI2_GetFreqDomain_SAI:00000000000000f4 $d + /tmp/cc1BlKmF.s:2052 .text.RCC_PLLSAI2_GetFreqDomain_ADC:0000000000000000 $t + /tmp/cc1BlKmF.s:2058 .text.RCC_PLLSAI2_GetFreqDomain_ADC:0000000000000000 RCC_PLLSAI2_GetFreqDomain_ADC + /tmp/cc1BlKmF.s:2286 .text.RCC_PLLSAI2_GetFreqDomain_ADC:00000000000000f0 $d + /tmp/cc1BlKmF.s:2294 .text.LL_RCC_DeInit:0000000000000000 $t + /tmp/cc1BlKmF.s:2301 .text.LL_RCC_DeInit:0000000000000000 LL_RCC_DeInit + /tmp/cc1BlKmF.s:2437 .text.LL_RCC_DeInit:00000000000000a8 $d + /tmp/cc1BlKmF.s:2443 .text.LL_RCC_GetSystemClocksFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:2450 .text.LL_RCC_GetSystemClocksFreq:0000000000000000 LL_RCC_GetSystemClocksFreq + /tmp/cc1BlKmF.s:2485 .text.LL_RCC_GetUSARTClockFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:2492 .text.LL_RCC_GetUSARTClockFreq:0000000000000000 LL_RCC_GetUSARTClockFreq + /tmp/cc1BlKmF.s:2537 .text.LL_RCC_GetUSARTClockFreq:000000000000002a $d + /tmp/cc1BlKmF.s:2541 .text.LL_RCC_GetUSARTClockFreq:000000000000002e $t + /tmp/cc1BlKmF.s:2607 .text.LL_RCC_GetUSARTClockFreq:000000000000007c $d + /tmp/cc1BlKmF.s:2768 .text.LL_RCC_GetUSARTClockFreq:000000000000013c $d + /tmp/cc1BlKmF.s:2776 .text.LL_RCC_GetUARTClockFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:2783 .text.LL_RCC_GetUARTClockFreq:0000000000000000 LL_RCC_GetUARTClockFreq + /tmp/cc1BlKmF.s:2971 .text.LL_RCC_GetUARTClockFreq:00000000000000d4 $d + /tmp/cc1BlKmF.s:2981 .text.LL_RCC_GetI2CClockFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:2988 .text.LL_RCC_GetI2CClockFreq:0000000000000000 LL_RCC_GetI2CClockFreq + /tmp/cc1BlKmF.s:3231 .text.LL_RCC_GetI2CClockFreq:0000000000000114 $d + /tmp/cc1BlKmF.s:3244 .text.LL_RCC_GetLPUARTClockFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:3251 .text.LL_RCC_GetLPUARTClockFreq:0000000000000000 LL_RCC_GetLPUARTClockFreq + /tmp/cc1BlKmF.s:3343 .text.LL_RCC_GetLPUARTClockFreq:0000000000000060 $d + /tmp/cc1BlKmF.s:3349 .text.LL_RCC_GetLPTIMClockFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:3356 .text.LL_RCC_GetLPTIMClockFreq:0000000000000000 LL_RCC_GetLPTIMClockFreq + /tmp/cc1BlKmF.s:3398 .text.LL_RCC_GetLPTIMClockFreq:000000000000002a $d + /tmp/cc1BlKmF.s:3571 .text.LL_RCC_GetLPTIMClockFreq:0000000000000100 $d + /tmp/cc1BlKmF.s:3579 .text.LL_RCC_GetSAIClockFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:3586 .text.LL_RCC_GetSAIClockFreq:0000000000000000 LL_RCC_GetSAIClockFreq + /tmp/cc1BlKmF.s:3788 .text.LL_RCC_GetSAIClockFreq:00000000000000ec $d + /tmp/cc1BlKmF.s:3797 .text.LL_RCC_GetSDMMCClockFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:3804 .text.LL_RCC_GetSDMMCClockFreq:0000000000000000 LL_RCC_GetSDMMCClockFreq + /tmp/cc1BlKmF.s:3973 .text.LL_RCC_GetSDMMCClockFreq:00000000000000b0 $d + /tmp/cc1BlKmF.s:3979 .text.LL_RCC_GetRNGClockFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:3986 .text.LL_RCC_GetRNGClockFreq:0000000000000000 LL_RCC_GetRNGClockFreq + /tmp/cc1BlKmF.s:4155 .text.LL_RCC_GetRNGClockFreq:00000000000000b0 $d + /tmp/cc1BlKmF.s:4161 .text.LL_RCC_GetADCClockFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:4168 .text.LL_RCC_GetADCClockFreq:0000000000000000 LL_RCC_GetADCClockFreq + /tmp/cc1BlKmF.s:4248 .text.LL_RCC_GetADCClockFreq:0000000000000050 $d + /tmp/cc1BlKmF.s:4253 .text.LL_RCC_GetSWPMIClockFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:4260 .text.LL_RCC_GetSWPMIClockFreq:0000000000000000 LL_RCC_GetSWPMIClockFreq + /tmp/cc1BlKmF.s:4322 .text.LL_RCC_GetSWPMIClockFreq:0000000000000038 $d + /tmp/cc1BlKmF.s:4328 .text.LL_RCC_GetDFSDMClockFreq:0000000000000000 $t + /tmp/cc1BlKmF.s:4335 .text.LL_RCC_GetDFSDMClockFreq:0000000000000000 LL_RCC_GetDFSDMClockFreq + /tmp/cc1BlKmF.s:4387 .text.LL_RCC_GetDFSDMClockFreq:000000000000002c $d + /tmp/cc1BlKmF.s:415 .text.RCC_GetSystemClockFreq:000000000000001f $d + /tmp/cc1BlKmF.s:415 .text.RCC_GetSystemClockFreq:0000000000000020 $t + /tmp/cc1BlKmF.s:2620 .text.LL_RCC_GetUSARTClockFreq:0000000000000089 $d + /tmp/cc1BlKmF.s:2620 .text.LL_RCC_GetUSARTClockFreq:000000000000008a $t + /tmp/cc1BlKmF.s:3411 .text.LL_RCC_GetLPTIMClockFreq:0000000000000037 $d + /tmp/cc1BlKmF.s:3411 .text.LL_RCC_GetLPTIMClockFreq:0000000000000038 $t .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_rng.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_rng.o.lst index fd94512..3b12fbb 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_rng.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_rng.o.lst @@ -923,9 +923,9 @@ 71 .file 7 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_rng.c - /tmp/ccp5vwaN.s:18 .text.LL_RNG_DeInit:0000000000000000 $t - /tmp/ccp5vwaN.s:25 .text.LL_RNG_DeInit:0000000000000000 LL_RNG_DeInit - /tmp/ccp5vwaN.s:61 .text.LL_RNG_DeInit:0000000000000018 $d + /tmp/ccFCT0Sk.s:18 .text.LL_RNG_DeInit:0000000000000000 $t + /tmp/ccFCT0Sk.s:25 .text.LL_RNG_DeInit:0000000000000000 LL_RNG_DeInit + /tmp/ccFCT0Sk.s:61 .text.LL_RNG_DeInit:0000000000000018 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_rtc.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_rtc.o.lst index 64856e1..a53b35f 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_rtc.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_rtc.o.lst @@ -8006,41 +8006,41 @@ 1666 .file 8 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_rtc.c - /tmp/ccGTtKin.s:18 .text.LL_RTC_StructInit:0000000000000000 $t - /tmp/ccGTtKin.s:25 .text.LL_RTC_StructInit:0000000000000000 LL_RTC_StructInit - /tmp/ccGTtKin.s:49 .text.LL_RTC_TIME_StructInit:0000000000000000 $t - /tmp/ccGTtKin.s:56 .text.LL_RTC_TIME_StructInit:0000000000000000 LL_RTC_TIME_StructInit - /tmp/ccGTtKin.s:79 .text.LL_RTC_DATE_StructInit:0000000000000000 $t - /tmp/ccGTtKin.s:86 .text.LL_RTC_DATE_StructInit:0000000000000000 LL_RTC_DATE_StructInit - /tmp/ccGTtKin.s:110 .text.LL_RTC_ALMA_Init:0000000000000000 $t - /tmp/ccGTtKin.s:117 .text.LL_RTC_ALMA_Init:0000000000000000 LL_RTC_ALMA_Init - /tmp/ccGTtKin.s:381 .text.LL_RTC_ALMA_Init:000000000000012c $d - /tmp/ccGTtKin.s:388 .text.LL_RTC_ALMB_Init:0000000000000000 $t - /tmp/ccGTtKin.s:395 .text.LL_RTC_ALMB_Init:0000000000000000 LL_RTC_ALMB_Init - /tmp/ccGTtKin.s:658 .text.LL_RTC_ALMB_Init:000000000000012c $d - /tmp/ccGTtKin.s:665 .text.LL_RTC_ALMA_StructInit:0000000000000000 $t - /tmp/ccGTtKin.s:672 .text.LL_RTC_ALMA_StructInit:0000000000000000 LL_RTC_ALMA_StructInit - /tmp/ccGTtKin.s:702 .text.LL_RTC_ALMB_StructInit:0000000000000000 $t - /tmp/ccGTtKin.s:709 .text.LL_RTC_ALMB_StructInit:0000000000000000 LL_RTC_ALMB_StructInit - /tmp/ccGTtKin.s:739 .text.LL_RTC_EnterInitMode:0000000000000000 $t - /tmp/ccGTtKin.s:746 .text.LL_RTC_EnterInitMode:0000000000000000 LL_RTC_EnterInitMode - /tmp/ccGTtKin.s:845 .text.LL_RTC_EnterInitMode:000000000000004c $d - /tmp/ccGTtKin.s:850 .text.LL_RTC_DeInit:0000000000000000 $t - /tmp/ccGTtKin.s:857 .text.LL_RTC_DeInit:0000000000000000 LL_RTC_DeInit - /tmp/ccGTtKin.s:943 .text.LL_RTC_DeInit:000000000000004c $d - /tmp/ccGTtKin.s:948 .text.LL_RTC_Init:0000000000000000 $t - /tmp/ccGTtKin.s:955 .text.LL_RTC_Init:0000000000000000 LL_RTC_Init - /tmp/ccGTtKin.s:1054 .text.LL_RTC_ExitInitMode:0000000000000000 $t - /tmp/ccGTtKin.s:1061 .text.LL_RTC_ExitInitMode:0000000000000000 LL_RTC_ExitInitMode - /tmp/ccGTtKin.s:1085 .text.LL_RTC_WaitForSynchro:0000000000000000 $t - /tmp/ccGTtKin.s:1092 .text.LL_RTC_WaitForSynchro:0000000000000000 LL_RTC_WaitForSynchro - /tmp/ccGTtKin.s:1234 .text.LL_RTC_WaitForSynchro:000000000000007c $d - /tmp/ccGTtKin.s:1239 .text.LL_RTC_TIME_Init:0000000000000000 $t - /tmp/ccGTtKin.s:1246 .text.LL_RTC_TIME_Init:0000000000000000 LL_RTC_TIME_Init - /tmp/ccGTtKin.s:1453 .text.LL_RTC_TIME_Init:00000000000000e4 $d - /tmp/ccGTtKin.s:1459 .text.LL_RTC_DATE_Init:0000000000000000 $t - /tmp/ccGTtKin.s:1466 .text.LL_RTC_DATE_Init:0000000000000000 LL_RTC_DATE_Init - /tmp/ccGTtKin.s:1654 .text.LL_RTC_DATE_Init:00000000000000d4 $d + /tmp/cc9fL46V.s:18 .text.LL_RTC_StructInit:0000000000000000 $t + /tmp/cc9fL46V.s:25 .text.LL_RTC_StructInit:0000000000000000 LL_RTC_StructInit + /tmp/cc9fL46V.s:49 .text.LL_RTC_TIME_StructInit:0000000000000000 $t + /tmp/cc9fL46V.s:56 .text.LL_RTC_TIME_StructInit:0000000000000000 LL_RTC_TIME_StructInit + /tmp/cc9fL46V.s:79 .text.LL_RTC_DATE_StructInit:0000000000000000 $t + /tmp/cc9fL46V.s:86 .text.LL_RTC_DATE_StructInit:0000000000000000 LL_RTC_DATE_StructInit + /tmp/cc9fL46V.s:110 .text.LL_RTC_ALMA_Init:0000000000000000 $t + /tmp/cc9fL46V.s:117 .text.LL_RTC_ALMA_Init:0000000000000000 LL_RTC_ALMA_Init + /tmp/cc9fL46V.s:381 .text.LL_RTC_ALMA_Init:000000000000012c $d + /tmp/cc9fL46V.s:388 .text.LL_RTC_ALMB_Init:0000000000000000 $t + /tmp/cc9fL46V.s:395 .text.LL_RTC_ALMB_Init:0000000000000000 LL_RTC_ALMB_Init + /tmp/cc9fL46V.s:658 .text.LL_RTC_ALMB_Init:000000000000012c $d + /tmp/cc9fL46V.s:665 .text.LL_RTC_ALMA_StructInit:0000000000000000 $t + /tmp/cc9fL46V.s:672 .text.LL_RTC_ALMA_StructInit:0000000000000000 LL_RTC_ALMA_StructInit + /tmp/cc9fL46V.s:702 .text.LL_RTC_ALMB_StructInit:0000000000000000 $t + /tmp/cc9fL46V.s:709 .text.LL_RTC_ALMB_StructInit:0000000000000000 LL_RTC_ALMB_StructInit + /tmp/cc9fL46V.s:739 .text.LL_RTC_EnterInitMode:0000000000000000 $t + /tmp/cc9fL46V.s:746 .text.LL_RTC_EnterInitMode:0000000000000000 LL_RTC_EnterInitMode + /tmp/cc9fL46V.s:845 .text.LL_RTC_EnterInitMode:000000000000004c $d + /tmp/cc9fL46V.s:850 .text.LL_RTC_DeInit:0000000000000000 $t + /tmp/cc9fL46V.s:857 .text.LL_RTC_DeInit:0000000000000000 LL_RTC_DeInit + /tmp/cc9fL46V.s:943 .text.LL_RTC_DeInit:000000000000004c $d + /tmp/cc9fL46V.s:948 .text.LL_RTC_Init:0000000000000000 $t + /tmp/cc9fL46V.s:955 .text.LL_RTC_Init:0000000000000000 LL_RTC_Init + /tmp/cc9fL46V.s:1054 .text.LL_RTC_ExitInitMode:0000000000000000 $t + /tmp/cc9fL46V.s:1061 .text.LL_RTC_ExitInitMode:0000000000000000 LL_RTC_ExitInitMode + /tmp/cc9fL46V.s:1085 .text.LL_RTC_WaitForSynchro:0000000000000000 $t + /tmp/cc9fL46V.s:1092 .text.LL_RTC_WaitForSynchro:0000000000000000 LL_RTC_WaitForSynchro + /tmp/cc9fL46V.s:1234 .text.LL_RTC_WaitForSynchro:000000000000007c $d + /tmp/cc9fL46V.s:1239 .text.LL_RTC_TIME_Init:0000000000000000 $t + /tmp/cc9fL46V.s:1246 .text.LL_RTC_TIME_Init:0000000000000000 LL_RTC_TIME_Init + /tmp/cc9fL46V.s:1453 .text.LL_RTC_TIME_Init:00000000000000e4 $d + /tmp/cc9fL46V.s:1459 .text.LL_RTC_DATE_Init:0000000000000000 $t + /tmp/cc9fL46V.s:1466 .text.LL_RTC_DATE_Init:0000000000000000 LL_RTC_DATE_Init + /tmp/cc9fL46V.s:1654 .text.LL_RTC_DATE_Init:00000000000000d4 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_spi.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_spi.o.lst index 89c9225..abaf4b4 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_spi.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_spi.o.lst @@ -3210,13 +3210,13 @@ 309 .file 8 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_spi.c - /tmp/cctFjKDz.s:18 .text.LL_SPI_DeInit:0000000000000000 $t - /tmp/cctFjKDz.s:25 .text.LL_SPI_DeInit:0000000000000000 LL_SPI_DeInit - /tmp/cctFjKDz.s:136 .text.LL_SPI_DeInit:0000000000000060 $d - /tmp/cctFjKDz.s:144 .text.LL_SPI_Init:0000000000000000 $t - /tmp/cctFjKDz.s:151 .text.LL_SPI_Init:0000000000000000 LL_SPI_Init - /tmp/cctFjKDz.s:260 .text.LL_SPI_StructInit:0000000000000000 $t - /tmp/cctFjKDz.s:267 .text.LL_SPI_StructInit:0000000000000000 LL_SPI_StructInit + /tmp/cc9hYqZp.s:18 .text.LL_SPI_DeInit:0000000000000000 $t + /tmp/cc9hYqZp.s:25 .text.LL_SPI_DeInit:0000000000000000 LL_SPI_DeInit + /tmp/cc9hYqZp.s:136 .text.LL_SPI_DeInit:0000000000000060 $d + /tmp/cc9hYqZp.s:144 .text.LL_SPI_Init:0000000000000000 $t + /tmp/cc9hYqZp.s:151 .text.LL_SPI_Init:0000000000000000 LL_SPI_Init + /tmp/cc9hYqZp.s:260 .text.LL_SPI_StructInit:0000000000000000 $t + /tmp/cc9hYqZp.s:267 .text.LL_SPI_StructInit:0000000000000000 LL_SPI_StructInit .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_swpmi.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_swpmi.o.lst index 61a5cf2..9f95eff 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_swpmi.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_swpmi.o.lst @@ -2205,13 +2205,13 @@ 185 .file 8 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_swpmi.c - /tmp/cc1Zi9Fc.s:18 .text.LL_SWPMI_DeInit:0000000000000000 $t - /tmp/cc1Zi9Fc.s:25 .text.LL_SWPMI_DeInit:0000000000000000 LL_SWPMI_DeInit - /tmp/cc1Zi9Fc.s:71 .text.LL_SWPMI_DeInit:0000000000000024 $d - /tmp/cc1Zi9Fc.s:76 .text.LL_SWPMI_Init:0000000000000000 $t - /tmp/cc1Zi9Fc.s:83 .text.LL_SWPMI_Init:0000000000000000 LL_SWPMI_Init - /tmp/cc1Zi9Fc.s:149 .text.LL_SWPMI_StructInit:0000000000000000 $t - /tmp/cc1Zi9Fc.s:156 .text.LL_SWPMI_StructInit:0000000000000000 LL_SWPMI_StructInit + /tmp/ccPU2bI0.s:18 .text.LL_SWPMI_DeInit:0000000000000000 $t + /tmp/ccPU2bI0.s:25 .text.LL_SWPMI_DeInit:0000000000000000 LL_SWPMI_DeInit + /tmp/ccPU2bI0.s:71 .text.LL_SWPMI_DeInit:0000000000000024 $d + /tmp/ccPU2bI0.s:76 .text.LL_SWPMI_Init:0000000000000000 $t + /tmp/ccPU2bI0.s:83 .text.LL_SWPMI_Init:0000000000000000 LL_SWPMI_Init + /tmp/ccPU2bI0.s:149 .text.LL_SWPMI_StructInit:0000000000000000 $t + /tmp/ccPU2bI0.s:156 .text.LL_SWPMI_StructInit:0000000000000000 LL_SWPMI_StructInit .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o.lst index e90c7d7..568b9eb 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_tim.o.lst @@ -10401,61 +10401,61 @@ 2167 .file 8 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_tim.c - /tmp/cc24Rd4K.s:18 .text.OC1Config:0000000000000000 $t - /tmp/cc24Rd4K.s:24 .text.OC1Config:0000000000000000 OC1Config - /tmp/cc24Rd4K.s:144 .text.OC1Config:0000000000000088 $d - /tmp/cc24Rd4K.s:149 .text.OC2Config:0000000000000000 $t - /tmp/cc24Rd4K.s:155 .text.OC2Config:0000000000000000 OC2Config - /tmp/cc24Rd4K.s:273 .text.OC2Config:0000000000000090 $d - /tmp/cc24Rd4K.s:278 .text.OC3Config:0000000000000000 $t - /tmp/cc24Rd4K.s:284 .text.OC3Config:0000000000000000 OC3Config - /tmp/cc24Rd4K.s:402 .text.OC3Config:0000000000000090 $d - /tmp/cc24Rd4K.s:407 .text.OC4Config:0000000000000000 $t - /tmp/cc24Rd4K.s:413 .text.OC4Config:0000000000000000 OC4Config - /tmp/cc24Rd4K.s:513 .text.OC4Config:0000000000000074 $d - /tmp/cc24Rd4K.s:518 .text.OC5Config:0000000000000000 $t - /tmp/cc24Rd4K.s:524 .text.OC5Config:0000000000000000 OC5Config - /tmp/cc24Rd4K.s:620 .text.OC5Config:0000000000000074 $d - /tmp/cc24Rd4K.s:625 .text.OC6Config:0000000000000000 $t - /tmp/cc24Rd4K.s:631 .text.OC6Config:0000000000000000 OC6Config - /tmp/cc24Rd4K.s:725 .text.OC6Config:0000000000000074 $d - /tmp/cc24Rd4K.s:730 .text.IC1Config:0000000000000000 $t - /tmp/cc24Rd4K.s:736 .text.IC1Config:0000000000000000 IC1Config - /tmp/cc24Rd4K.s:779 .text.IC2Config:0000000000000000 $t - /tmp/cc24Rd4K.s:785 .text.IC2Config:0000000000000000 IC2Config - /tmp/cc24Rd4K.s:828 .text.IC3Config:0000000000000000 $t - /tmp/cc24Rd4K.s:834 .text.IC3Config:0000000000000000 IC3Config - /tmp/cc24Rd4K.s:877 .text.IC4Config:0000000000000000 $t - /tmp/cc24Rd4K.s:883 .text.IC4Config:0000000000000000 IC4Config - /tmp/cc24Rd4K.s:926 .text.LL_TIM_DeInit:0000000000000000 $t - /tmp/cc24Rd4K.s:933 .text.LL_TIM_DeInit:0000000000000000 LL_TIM_DeInit - /tmp/cc24Rd4K.s:1257 .text.LL_TIM_DeInit:000000000000014c $d - /tmp/cc24Rd4K.s:1272 .text.LL_TIM_StructInit:0000000000000000 $t - /tmp/cc24Rd4K.s:1279 .text.LL_TIM_StructInit:0000000000000000 LL_TIM_StructInit - /tmp/cc24Rd4K.s:1305 .text.LL_TIM_Init:0000000000000000 $t - /tmp/cc24Rd4K.s:1312 .text.LL_TIM_Init:0000000000000000 LL_TIM_Init - /tmp/cc24Rd4K.s:1467 .text.LL_TIM_Init:00000000000000c4 $d - /tmp/cc24Rd4K.s:1472 .text.LL_TIM_OC_StructInit:0000000000000000 $t - /tmp/cc24Rd4K.s:1479 .text.LL_TIM_OC_StructInit:0000000000000000 LL_TIM_OC_StructInit - /tmp/cc24Rd4K.s:1510 .text.LL_TIM_OC_Init:0000000000000000 $t - /tmp/cc24Rd4K.s:1517 .text.LL_TIM_OC_Init:0000000000000000 LL_TIM_OC_Init - /tmp/cc24Rd4K.s:1608 .text.LL_TIM_IC_StructInit:0000000000000000 $t - /tmp/cc24Rd4K.s:1615 .text.LL_TIM_IC_StructInit:0000000000000000 LL_TIM_IC_StructInit - /tmp/cc24Rd4K.s:1639 .text.LL_TIM_IC_Init:0000000000000000 $t - /tmp/cc24Rd4K.s:1646 .text.LL_TIM_IC_Init:0000000000000000 LL_TIM_IC_Init - /tmp/cc24Rd4K.s:1714 .text.LL_TIM_ENCODER_StructInit:0000000000000000 $t - /tmp/cc24Rd4K.s:1721 .text.LL_TIM_ENCODER_StructInit:0000000000000000 LL_TIM_ENCODER_StructInit - /tmp/cc24Rd4K.s:1756 .text.LL_TIM_ENCODER_Init:0000000000000000 $t - /tmp/cc24Rd4K.s:1763 .text.LL_TIM_ENCODER_Init:0000000000000000 LL_TIM_ENCODER_Init - /tmp/cc24Rd4K.s:1858 .text.LL_TIM_HALLSENSOR_StructInit:0000000000000000 $t - /tmp/cc24Rd4K.s:1865 .text.LL_TIM_HALLSENSOR_StructInit:0000000000000000 LL_TIM_HALLSENSOR_StructInit - /tmp/cc24Rd4K.s:1888 .text.LL_TIM_HALLSENSOR_Init:0000000000000000 $t - /tmp/cc24Rd4K.s:1895 .text.LL_TIM_HALLSENSOR_Init:0000000000000000 LL_TIM_HALLSENSOR_Init - /tmp/cc24Rd4K.s:1999 .text.LL_TIM_BDTR_StructInit:0000000000000000 $t - /tmp/cc24Rd4K.s:2006 .text.LL_TIM_BDTR_StructInit:0000000000000000 LL_TIM_BDTR_StructInit - /tmp/cc24Rd4K.s:2043 .text.LL_TIM_BDTR_Init:0000000000000000 $t - /tmp/cc24Rd4K.s:2050 .text.LL_TIM_BDTR_Init:0000000000000000 LL_TIM_BDTR_Init - /tmp/cc24Rd4K.s:2157 .text.LL_TIM_BDTR_Init:0000000000000078 $d + /tmp/ccZUeITC.s:18 .text.OC1Config:0000000000000000 $t + /tmp/ccZUeITC.s:24 .text.OC1Config:0000000000000000 OC1Config + /tmp/ccZUeITC.s:144 .text.OC1Config:0000000000000088 $d + /tmp/ccZUeITC.s:149 .text.OC2Config:0000000000000000 $t + /tmp/ccZUeITC.s:155 .text.OC2Config:0000000000000000 OC2Config + /tmp/ccZUeITC.s:273 .text.OC2Config:0000000000000090 $d + /tmp/ccZUeITC.s:278 .text.OC3Config:0000000000000000 $t + /tmp/ccZUeITC.s:284 .text.OC3Config:0000000000000000 OC3Config + /tmp/ccZUeITC.s:402 .text.OC3Config:0000000000000090 $d + /tmp/ccZUeITC.s:407 .text.OC4Config:0000000000000000 $t + /tmp/ccZUeITC.s:413 .text.OC4Config:0000000000000000 OC4Config + /tmp/ccZUeITC.s:513 .text.OC4Config:0000000000000074 $d + /tmp/ccZUeITC.s:518 .text.OC5Config:0000000000000000 $t + /tmp/ccZUeITC.s:524 .text.OC5Config:0000000000000000 OC5Config + /tmp/ccZUeITC.s:620 .text.OC5Config:0000000000000074 $d + /tmp/ccZUeITC.s:625 .text.OC6Config:0000000000000000 $t + /tmp/ccZUeITC.s:631 .text.OC6Config:0000000000000000 OC6Config + /tmp/ccZUeITC.s:725 .text.OC6Config:0000000000000074 $d + /tmp/ccZUeITC.s:730 .text.IC1Config:0000000000000000 $t + /tmp/ccZUeITC.s:736 .text.IC1Config:0000000000000000 IC1Config + /tmp/ccZUeITC.s:779 .text.IC2Config:0000000000000000 $t + /tmp/ccZUeITC.s:785 .text.IC2Config:0000000000000000 IC2Config + /tmp/ccZUeITC.s:828 .text.IC3Config:0000000000000000 $t + /tmp/ccZUeITC.s:834 .text.IC3Config:0000000000000000 IC3Config + /tmp/ccZUeITC.s:877 .text.IC4Config:0000000000000000 $t + /tmp/ccZUeITC.s:883 .text.IC4Config:0000000000000000 IC4Config + /tmp/ccZUeITC.s:926 .text.LL_TIM_DeInit:0000000000000000 $t + /tmp/ccZUeITC.s:933 .text.LL_TIM_DeInit:0000000000000000 LL_TIM_DeInit + /tmp/ccZUeITC.s:1257 .text.LL_TIM_DeInit:000000000000014c $d + /tmp/ccZUeITC.s:1272 .text.LL_TIM_StructInit:0000000000000000 $t + /tmp/ccZUeITC.s:1279 .text.LL_TIM_StructInit:0000000000000000 LL_TIM_StructInit + /tmp/ccZUeITC.s:1305 .text.LL_TIM_Init:0000000000000000 $t + /tmp/ccZUeITC.s:1312 .text.LL_TIM_Init:0000000000000000 LL_TIM_Init + /tmp/ccZUeITC.s:1467 .text.LL_TIM_Init:00000000000000c4 $d + /tmp/ccZUeITC.s:1472 .text.LL_TIM_OC_StructInit:0000000000000000 $t + /tmp/ccZUeITC.s:1479 .text.LL_TIM_OC_StructInit:0000000000000000 LL_TIM_OC_StructInit + /tmp/ccZUeITC.s:1510 .text.LL_TIM_OC_Init:0000000000000000 $t + /tmp/ccZUeITC.s:1517 .text.LL_TIM_OC_Init:0000000000000000 LL_TIM_OC_Init + /tmp/ccZUeITC.s:1608 .text.LL_TIM_IC_StructInit:0000000000000000 $t + /tmp/ccZUeITC.s:1615 .text.LL_TIM_IC_StructInit:0000000000000000 LL_TIM_IC_StructInit + /tmp/ccZUeITC.s:1639 .text.LL_TIM_IC_Init:0000000000000000 $t + /tmp/ccZUeITC.s:1646 .text.LL_TIM_IC_Init:0000000000000000 LL_TIM_IC_Init + /tmp/ccZUeITC.s:1714 .text.LL_TIM_ENCODER_StructInit:0000000000000000 $t + /tmp/ccZUeITC.s:1721 .text.LL_TIM_ENCODER_StructInit:0000000000000000 LL_TIM_ENCODER_StructInit + /tmp/ccZUeITC.s:1756 .text.LL_TIM_ENCODER_Init:0000000000000000 $t + /tmp/ccZUeITC.s:1763 .text.LL_TIM_ENCODER_Init:0000000000000000 LL_TIM_ENCODER_Init + /tmp/ccZUeITC.s:1858 .text.LL_TIM_HALLSENSOR_StructInit:0000000000000000 $t + /tmp/ccZUeITC.s:1865 .text.LL_TIM_HALLSENSOR_StructInit:0000000000000000 LL_TIM_HALLSENSOR_StructInit + /tmp/ccZUeITC.s:1888 .text.LL_TIM_HALLSENSOR_Init:0000000000000000 $t + /tmp/ccZUeITC.s:1895 .text.LL_TIM_HALLSENSOR_Init:0000000000000000 LL_TIM_HALLSENSOR_Init + /tmp/ccZUeITC.s:1999 .text.LL_TIM_BDTR_StructInit:0000000000000000 $t + /tmp/ccZUeITC.s:2006 .text.LL_TIM_BDTR_StructInit:0000000000000000 LL_TIM_BDTR_StructInit + /tmp/ccZUeITC.s:2043 .text.LL_TIM_BDTR_Init:0000000000000000 $t + /tmp/ccZUeITC.s:2050 .text.LL_TIM_BDTR_Init:0000000000000000 LL_TIM_BDTR_Init + /tmp/ccZUeITC.s:2157 .text.LL_TIM_BDTR_Init:0000000000000078 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o.lst index 75e7a75..67dd466 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_usart.o.lst @@ -4884,18 +4884,18 @@ 568 .file 9 "../system/include/stm32l4-hal-driver/stm32l4xx_ll_rcc.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_usart.c - /tmp/ccE0p6Em.s:18 .text.LL_USART_DeInit:0000000000000000 $t - /tmp/ccE0p6Em.s:25 .text.LL_USART_DeInit:0000000000000000 LL_USART_DeInit - /tmp/ccE0p6Em.s:183 .text.LL_USART_DeInit:000000000000009c $d - /tmp/ccE0p6Em.s:192 .text.LL_USART_Init:0000000000000000 $t - /tmp/ccE0p6Em.s:199 .text.LL_USART_Init:0000000000000000 LL_USART_Init - /tmp/ccE0p6Em.s:408 .text.LL_USART_Init:00000000000000d0 $d - /tmp/ccE0p6Em.s:418 .text.LL_USART_StructInit:0000000000000000 $t - /tmp/ccE0p6Em.s:425 .text.LL_USART_StructInit:0000000000000000 LL_USART_StructInit - /tmp/ccE0p6Em.s:456 .text.LL_USART_ClockInit:0000000000000000 $t - /tmp/ccE0p6Em.s:463 .text.LL_USART_ClockInit:0000000000000000 LL_USART_ClockInit - /tmp/ccE0p6Em.s:532 .text.LL_USART_ClockStructInit:0000000000000000 $t - /tmp/ccE0p6Em.s:539 .text.LL_USART_ClockStructInit:0000000000000000 LL_USART_ClockStructInit + /tmp/ccuXFrde.s:18 .text.LL_USART_DeInit:0000000000000000 $t + /tmp/ccuXFrde.s:25 .text.LL_USART_DeInit:0000000000000000 LL_USART_DeInit + /tmp/ccuXFrde.s:183 .text.LL_USART_DeInit:000000000000009c $d + /tmp/ccuXFrde.s:192 .text.LL_USART_Init:0000000000000000 $t + /tmp/ccuXFrde.s:199 .text.LL_USART_Init:0000000000000000 LL_USART_Init + /tmp/ccuXFrde.s:408 .text.LL_USART_Init:00000000000000d0 $d + /tmp/ccuXFrde.s:418 .text.LL_USART_StructInit:0000000000000000 $t + /tmp/ccuXFrde.s:425 .text.LL_USART_StructInit:0000000000000000 LL_USART_StructInit + /tmp/ccuXFrde.s:456 .text.LL_USART_ClockInit:0000000000000000 $t + /tmp/ccuXFrde.s:463 .text.LL_USART_ClockInit:0000000000000000 LL_USART_ClockInit + /tmp/ccuXFrde.s:532 .text.LL_USART_ClockStructInit:0000000000000000 $t + /tmp/ccuXFrde.s:539 .text.LL_USART_ClockStructInit:0000000000000000 LL_USART_ClockStructInit .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_utils.o.lst b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_utils.o.lst index 90422fe..a5fcac8 100644 --- a/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_utils.o.lst +++ b/STM32L476_ParaMETEO/system/src/stm32l4-hal-driver/stm32l4xx_ll_utils.o.lst @@ -8937,35 +8937,35 @@ 1064 .file 10 "../system/include/cmsis/stm32l4xx/device/stm32l4xx.h" DEFINED SYMBOLS *ABS*:0000000000000000 stm32l4xx_ll_utils.c - /tmp/cc1lS96C.s:18 .text.UTILS_GetPLLOutputFrequency:0000000000000000 $t - /tmp/cc1lS96C.s:24 .text.UTILS_GetPLLOutputFrequency:0000000000000000 UTILS_GetPLLOutputFrequency - /tmp/cc1lS96C.s:59 .text.UTILS_PLL_IsBusy:0000000000000000 $t - /tmp/cc1lS96C.s:65 .text.UTILS_PLL_IsBusy:0000000000000000 UTILS_PLL_IsBusy - /tmp/cc1lS96C.s:123 .text.UTILS_PLL_IsBusy:000000000000002c $d - /tmp/cc1lS96C.s:128 .text.LL_Init1msTick:0000000000000000 $t - /tmp/cc1lS96C.s:135 .text.LL_Init1msTick:0000000000000000 LL_Init1msTick - /tmp/cc1lS96C.s:168 .text.LL_Init1msTick:0000000000000018 $d - /tmp/cc1lS96C.s:174 .text.LL_mDelay:0000000000000000 $t - /tmp/cc1lS96C.s:181 .text.LL_mDelay:0000000000000000 LL_mDelay - /tmp/cc1lS96C.s:225 .text.LL_mDelay:0000000000000028 $d - /tmp/cc1lS96C.s:230 .text.LL_SetSystemCoreClock:0000000000000000 $t - /tmp/cc1lS96C.s:237 .text.LL_SetSystemCoreClock:0000000000000000 LL_SetSystemCoreClock - /tmp/cc1lS96C.s:253 .text.LL_SetSystemCoreClock:0000000000000008 $d - /tmp/cc1lS96C.s:258 .text.LL_SetFlashLatency:0000000000000000 $t - /tmp/cc1lS96C.s:265 .text.LL_SetFlashLatency:0000000000000000 LL_SetFlashLatency - /tmp/cc1lS96C.s:441 .text.LL_SetFlashLatency:00000000000000a8 $d - /tmp/cc1lS96C.s:455 .text.UTILS_EnablePLLAndSwitchSystem:0000000000000000 $t - /tmp/cc1lS96C.s:461 .text.UTILS_EnablePLLAndSwitchSystem:0000000000000000 UTILS_EnablePLLAndSwitchSystem - /tmp/cc1lS96C.s:627 .text.UTILS_EnablePLLAndSwitchSystem:00000000000000a4 $d - /tmp/cc1lS96C.s:634 .text.LL_PLL_ConfigSystemClock_MSI:0000000000000000 $t - /tmp/cc1lS96C.s:641 .text.LL_PLL_ConfigSystemClock_MSI:0000000000000000 LL_PLL_ConfigSystemClock_MSI - /tmp/cc1lS96C.s:811 .text.LL_PLL_ConfigSystemClock_MSI:00000000000000c0 $d - /tmp/cc1lS96C.s:818 .text.LL_PLL_ConfigSystemClock_HSI:0000000000000000 $t - /tmp/cc1lS96C.s:825 .text.LL_PLL_ConfigSystemClock_HSI:0000000000000000 LL_PLL_ConfigSystemClock_HSI - /tmp/cc1lS96C.s:917 .text.LL_PLL_ConfigSystemClock_HSI:000000000000005c $d - /tmp/cc1lS96C.s:924 .text.LL_PLL_ConfigSystemClock_HSE:0000000000000000 $t - /tmp/cc1lS96C.s:931 .text.LL_PLL_ConfigSystemClock_HSE:0000000000000000 LL_PLL_ConfigSystemClock_HSE - /tmp/cc1lS96C.s:1053 .text.LL_PLL_ConfigSystemClock_HSE:000000000000007c $d + /tmp/ccEjE1zt.s:18 .text.UTILS_GetPLLOutputFrequency:0000000000000000 $t + /tmp/ccEjE1zt.s:24 .text.UTILS_GetPLLOutputFrequency:0000000000000000 UTILS_GetPLLOutputFrequency + /tmp/ccEjE1zt.s:59 .text.UTILS_PLL_IsBusy:0000000000000000 $t + /tmp/ccEjE1zt.s:65 .text.UTILS_PLL_IsBusy:0000000000000000 UTILS_PLL_IsBusy + /tmp/ccEjE1zt.s:123 .text.UTILS_PLL_IsBusy:000000000000002c $d + /tmp/ccEjE1zt.s:128 .text.LL_Init1msTick:0000000000000000 $t + /tmp/ccEjE1zt.s:135 .text.LL_Init1msTick:0000000000000000 LL_Init1msTick + /tmp/ccEjE1zt.s:168 .text.LL_Init1msTick:0000000000000018 $d + /tmp/ccEjE1zt.s:174 .text.LL_mDelay:0000000000000000 $t + /tmp/ccEjE1zt.s:181 .text.LL_mDelay:0000000000000000 LL_mDelay + /tmp/ccEjE1zt.s:225 .text.LL_mDelay:0000000000000028 $d + /tmp/ccEjE1zt.s:230 .text.LL_SetSystemCoreClock:0000000000000000 $t + /tmp/ccEjE1zt.s:237 .text.LL_SetSystemCoreClock:0000000000000000 LL_SetSystemCoreClock + /tmp/ccEjE1zt.s:253 .text.LL_SetSystemCoreClock:0000000000000008 $d + /tmp/ccEjE1zt.s:258 .text.LL_SetFlashLatency:0000000000000000 $t + /tmp/ccEjE1zt.s:265 .text.LL_SetFlashLatency:0000000000000000 LL_SetFlashLatency + /tmp/ccEjE1zt.s:441 .text.LL_SetFlashLatency:00000000000000a8 $d + /tmp/ccEjE1zt.s:455 .text.UTILS_EnablePLLAndSwitchSystem:0000000000000000 $t + /tmp/ccEjE1zt.s:461 .text.UTILS_EnablePLLAndSwitchSystem:0000000000000000 UTILS_EnablePLLAndSwitchSystem + /tmp/ccEjE1zt.s:627 .text.UTILS_EnablePLLAndSwitchSystem:00000000000000a4 $d + /tmp/ccEjE1zt.s:634 .text.LL_PLL_ConfigSystemClock_MSI:0000000000000000 $t + /tmp/ccEjE1zt.s:641 .text.LL_PLL_ConfigSystemClock_MSI:0000000000000000 LL_PLL_ConfigSystemClock_MSI + /tmp/ccEjE1zt.s:811 .text.LL_PLL_ConfigSystemClock_MSI:00000000000000c0 $d + /tmp/ccEjE1zt.s:818 .text.LL_PLL_ConfigSystemClock_HSI:0000000000000000 $t + /tmp/ccEjE1zt.s:825 .text.LL_PLL_ConfigSystemClock_HSI:0000000000000000 LL_PLL_ConfigSystemClock_HSI + /tmp/ccEjE1zt.s:917 .text.LL_PLL_ConfigSystemClock_HSI:000000000000005c $d + /tmp/ccEjE1zt.s:924 .text.LL_PLL_ConfigSystemClock_HSE:0000000000000000 $t + /tmp/ccEjE1zt.s:931 .text.LL_PLL_ConfigSystemClock_HSE:0000000000000000 LL_PLL_ConfigSystemClock_HSE + /tmp/ccEjE1zt.s:1053 .text.LL_PLL_ConfigSystemClock_HSE:000000000000007c $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stm32l4xx.h.39.54a1fe1e096c7852edd649652f013a11 .group:0000000000000000 wm4.stm32l471xx.h.35.e78ad65c513105dda311dc495ac74d04 diff --git a/STM32L476_ParaMETEO/system/src/umb_master/umb_0x23_offline_data.o.lst b/STM32L476_ParaMETEO/system/src/umb_master/umb_0x23_offline_data.o.lst index 8295132..fbad3bd 100644 --- a/STM32L476_ParaMETEO/system/src/umb_master/umb_0x23_offline_data.o.lst +++ b/STM32L476_ParaMETEO/system/src/umb_master/umb_0x23_offline_data.o.lst @@ -585,12 +585,12 @@ 6:../include/main.h **** #include "config_data.h" 7:../include/main.h **** 8:../include/main.h **** #define SW_VER "EA00" - 9:../include/main.h **** #define SW_DATE "23052021" + 9:../include/main.h **** #define SW_DATE "30062021" 10:../include/main.h **** 11:../include/main.h **** #define SYSTICK_TICKS_PER_SECONDS 100 12:../include/main.h **** #define SYSTICK_TICKS_PERIOD 10 13:../include/main.h **** - 14:../include/main.h **** #define INTERNAL_WATCHDOG + 14:../include/main.h **** //#define INTERNAL_WATCHDOG 15:../include/main.h **** #define EXTERNAL_WATCHDOG 16:../include/main.h **** 17:../include/main.h **** #define PWR_SWITCH_BOTH @@ -689,17 +689,17 @@ 407 .file 27 "../system/include/aprs/ax25.h" DEFINED SYMBOLS *ABS*:0000000000000000 umb_0x23_offline_data.c - /tmp/ccbcQ5zo.s:18 .text.umb_0x23_offline_data_request:0000000000000000 $t - /tmp/ccbcQ5zo.s:25 .text.umb_0x23_offline_data_request:0000000000000000 umb_0x23_offline_data_request - /tmp/ccbcQ5zo.s:91 .text.umb_0x23_offline_data_callback:0000000000000000 $t - /tmp/ccbcQ5zo.s:98 .text.umb_0x23_offline_data_callback:0000000000000000 umb_0x23_offline_data_callback - /tmp/ccbcQ5zo.s:133 .text.umb_0x23_offline_data_callback:0000000000000020 $d - /tmp/ccbcQ5zo.s:153 .text.umb_0x23_offline_data_callback:0000000000000034 $d - /tmp/ccbcQ5zo.s:376 .text.umb_0x23_offline_data_callback:0000000000000128 $d - /tmp/ccbcQ5zo.s:140 .text.umb_0x23_offline_data_callback:0000000000000027 $d - /tmp/ccbcQ5zo.s:140 .text.umb_0x23_offline_data_callback:0000000000000028 $t - /tmp/ccbcQ5zo.s:161 .text.umb_0x23_offline_data_callback:000000000000003b $d - /tmp/ccbcQ5zo.s:161 .text.umb_0x23_offline_data_callback:000000000000003c $t + /tmp/ccsezKjJ.s:18 .text.umb_0x23_offline_data_request:0000000000000000 $t + /tmp/ccsezKjJ.s:25 .text.umb_0x23_offline_data_request:0000000000000000 umb_0x23_offline_data_request + /tmp/ccsezKjJ.s:91 .text.umb_0x23_offline_data_callback:0000000000000000 $t + /tmp/ccsezKjJ.s:98 .text.umb_0x23_offline_data_callback:0000000000000000 umb_0x23_offline_data_callback + /tmp/ccsezKjJ.s:133 .text.umb_0x23_offline_data_callback:0000000000000020 $d + /tmp/ccsezKjJ.s:153 .text.umb_0x23_offline_data_callback:0000000000000034 $d + /tmp/ccsezKjJ.s:376 .text.umb_0x23_offline_data_callback:0000000000000128 $d + /tmp/ccsezKjJ.s:140 .text.umb_0x23_offline_data_callback:0000000000000027 $d + /tmp/ccsezKjJ.s:140 .text.umb_0x23_offline_data_callback:0000000000000028 $t + /tmp/ccsezKjJ.s:161 .text.umb_0x23_offline_data_callback:000000000000003b $d + /tmp/ccsezKjJ.s:161 .text.umb_0x23_offline_data_callback:000000000000003c $t .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.umb_frame_t.h.9.05796095a57acd1575f07118cb6ab058 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f @@ -744,7 +744,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.config.h.2.f5e96167cb26b0ce97c5e7513a95bc8b .group:0000000000000000 wm4.afsk.h.17.cf8f922069680e152e1eddb76cd4cc82 .group:0000000000000000 wm4.ax25.h.18.077e42a4af9016476e34900472a8fe18 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 UNDEFINED SYMBOLS rte_wx_umb_channel_values diff --git a/STM32L476_ParaMETEO/system/src/umb_master/umb_0x26_status.o.lst b/STM32L476_ParaMETEO/system/src/umb_master/umb_0x26_status.o.lst index 6f123de..c499bcc 100644 --- a/STM32L476_ParaMETEO/system/src/umb_master/umb_0x26_status.o.lst +++ b/STM32L476_ParaMETEO/system/src/umb_master/umb_0x26_status.o.lst @@ -226,12 +226,12 @@ 6:../include/main.h **** #include "config_data.h" 7:../include/main.h **** 8:../include/main.h **** #define SW_VER "EA00" - 9:../include/main.h **** #define SW_DATE "23052021" + 9:../include/main.h **** #define SW_DATE "30062021" 10:../include/main.h **** 11:../include/main.h **** #define SYSTICK_TICKS_PER_SECONDS 100 12:../include/main.h **** #define SYSTICK_TICKS_PERIOD 10 13:../include/main.h **** - 14:../include/main.h **** #define INTERNAL_WATCHDOG + 14:../include/main.h **** //#define INTERNAL_WATCHDOG 15:../include/main.h **** #define EXTERNAL_WATCHDOG 16:../include/main.h **** 17:../include/main.h **** #define PWR_SWITCH_BOTH @@ -345,11 +345,11 @@ 208 .file 20 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/string.h" DEFINED SYMBOLS *ABS*:0000000000000000 umb_0x26_status.c - /tmp/ccJSL4Z2.s:18 .text.umb_0x26_status_request:0000000000000000 $t - /tmp/ccJSL4Z2.s:25 .text.umb_0x26_status_request:0000000000000000 umb_0x26_status_request - /tmp/ccJSL4Z2.s:94 .text.umb_0x26_status_callback:0000000000000000 $t - /tmp/ccJSL4Z2.s:101 .text.umb_0x26_status_callback:0000000000000000 umb_0x26_status_callback - /tmp/ccJSL4Z2.s:185 .text.umb_0x26_status_callback:0000000000000040 $d + /tmp/ccKSzhhi.s:18 .text.umb_0x26_status_request:0000000000000000 $t + /tmp/ccKSzhhi.s:25 .text.umb_0x26_status_request:0000000000000000 umb_0x26_status_request + /tmp/ccKSzhhi.s:94 .text.umb_0x26_status_callback:0000000000000000 $t + /tmp/ccKSzhhi.s:101 .text.umb_0x26_status_callback:0000000000000000 umb_0x26_status_callback + /tmp/ccKSzhhi.s:185 .text.umb_0x26_status_callback:0000000000000040 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.umb_frame_t.h.9.05796095a57acd1575f07118cb6ab058 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f @@ -371,7 +371,7 @@ DEFINED SYMBOLS .group:0000000000000000 wm4.config.h.2.f5e96167cb26b0ce97c5e7513a95bc8b .group:0000000000000000 wm4.afsk.h.17.cf8f922069680e152e1eddb76cd4cc82 .group:0000000000000000 wm4.ax25.h.18.077e42a4af9016476e34900472a8fe18 - .group:0000000000000000 wm4.main.h.8.3d6ad69e5f061008d8c5cc5f5c9dd15a + .group:0000000000000000 wm4.main.h.8.42cf439a5d154d59d134d46c01c2e721 .group:0000000000000000 wm4._newlib_version.h.4.875b979a44719054cd750d0952ad3fd6 .group:0000000000000000 wm4.newlib.h.18.5e5ca3429233af402d406a202f1fc1ac .group:0000000000000000 wm4.ieeefp.h.77.220d9cccac42cd58761758bee2df4ce6 diff --git a/STM32L476_ParaMETEO/system/src/umb_master/umb_channel_pool.o.lst b/STM32L476_ParaMETEO/system/src/umb_master/umb_channel_pool.o.lst index 53b6ed5..a3acdee 100644 --- a/STM32L476_ParaMETEO/system/src/umb_master/umb_channel_pool.o.lst +++ b/STM32L476_ParaMETEO/system/src/umb_master/umb_channel_pool.o.lst @@ -118,8 +118,8 @@ 95 .file 11 "../system/include/umb_master/umb_0x23_offline_data.h" DEFINED SYMBOLS *ABS*:0000000000000000 umb_channel_pool.c - /tmp/ccctnmqC.s:18 .text.umb_channel_pool:0000000000000000 $t - /tmp/ccctnmqC.s:25 .text.umb_channel_pool:0000000000000000 umb_channel_pool + /tmp/cceZFAtT.s:18 .text.umb_channel_pool:0000000000000000 $t + /tmp/cceZFAtT.s:25 .text.umb_channel_pool:0000000000000000 umb_channel_pool .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f .group:0000000000000000 wm4.umb_defines.h.9.77e79b84cd2dd9a74204aaeb1ca9d6be diff --git a/STM32L476_ParaMETEO/system/src/umb_master/umb_master.o.lst b/STM32L476_ParaMETEO/system/src/umb_master/umb_master.o.lst index b66b6a2..f0a552e 100644 --- a/STM32L476_ParaMETEO/system/src/umb_master/umb_master.o.lst +++ b/STM32L476_ParaMETEO/system/src/umb_master/umb_master.o.lst @@ -2132,46 +2132,46 @@ 1509 .file 29 "../system/include/umb_master/umb_0x26_status.h" DEFINED SYMBOLS *ABS*:0000000000000000 umb_master.c - /tmp/ccreuZme.s:18 .text.umb_master_init:0000000000000000 $t - /tmp/ccreuZme.s:25 .text.umb_master_init:0000000000000000 umb_master_init - /tmp/ccreuZme.s:117 .text.umb_master_init:0000000000000058 $d - /tmp/ccreuZme.s:122 .text.umb_calc_crc:0000000000000000 $t - /tmp/ccreuZme.s:129 .text.umb_calc_crc:0000000000000000 umb_calc_crc - /tmp/ccreuZme.s:175 .text.umb_parse_serial_buffer_to_frame:0000000000000000 $t - /tmp/ccreuZme.s:182 .text.umb_parse_serial_buffer_to_frame:0000000000000000 umb_parse_serial_buffer_to_frame - /tmp/ccreuZme.s:370 .text.umb_parse_frame_to_serial_buffer:0000000000000000 $t - /tmp/ccreuZme.s:377 .text.umb_parse_frame_to_serial_buffer:0000000000000000 umb_parse_frame_to_serial_buffer - /tmp/ccreuZme.s:539 .text.umb_master_callback:0000000000000000 $t - /tmp/ccreuZme.s:546 .text.umb_master_callback:0000000000000000 umb_master_callback - /tmp/ccreuZme.s:614 .text.umb_pooling_handler:0000000000000000 $t - /tmp/ccreuZme.s:621 .text.umb_pooling_handler:0000000000000000 umb_pooling_handler - /tmp/ccreuZme.s:651 .text.umb_pooling_handler:000000000000001a $d - /tmp/ccreuZme.s:657 .text.umb_pooling_handler:0000000000000020 $t - /tmp/ccreuZme.s:808 .text.umb_pooling_handler:00000000000000d8 $d - /tmp/ccreuZme.s:813 .text.umb_get_current_qf:0000000000000000 $t - /tmp/ccreuZme.s:820 .text.umb_get_current_qf:0000000000000000 umb_get_current_qf - /tmp/ccreuZme.s:916 .text.umb_get_current_qf:0000000000000054 $d - /tmp/ccreuZme.s:922 .text.umb_construct_status_str:0000000000000000 $t - /tmp/ccreuZme.s:929 .text.umb_construct_status_str:0000000000000000 umb_construct_status_str - /tmp/ccreuZme.s:1106 .text.umb_construct_status_str:00000000000000bc $d - /tmp/ccreuZme.s:1112 .text.umb_clear_error_history:0000000000000000 $t - /tmp/ccreuZme.s:1119 .text.umb_clear_error_history:0000000000000000 umb_clear_error_history - /tmp/ccreuZme.s:1158 .text.umb_get_windspeed:0000000000000000 $t - /tmp/ccreuZme.s:1165 .text.umb_get_windspeed:0000000000000000 umb_get_windspeed - /tmp/ccreuZme.s:1213 .text.umb_get_windspeed:000000000000002c $d - /tmp/ccreuZme.s:1218 .text.umb_get_windgusts:0000000000000000 $t - /tmp/ccreuZme.s:1225 .text.umb_get_windgusts:0000000000000000 umb_get_windgusts - /tmp/ccreuZme.s:1273 .text.umb_get_windgusts:000000000000002c $d - /tmp/ccreuZme.s:1278 .text.umb_get_winddirection:0000000000000000 $t - /tmp/ccreuZme.s:1285 .text.umb_get_winddirection:0000000000000000 umb_get_winddirection - /tmp/ccreuZme.s:1341 .text.umb_get_winddirection:0000000000000038 $d - /tmp/ccreuZme.s:1347 .text.umb_get_temperature:0000000000000000 $t - /tmp/ccreuZme.s:1354 .text.umb_get_temperature:0000000000000000 umb_get_temperature - /tmp/ccreuZme.s:1403 .text.umb_get_temperature:000000000000003c $d - /tmp/ccreuZme.s:1410 .text.umb_get_qnh:0000000000000000 $t - /tmp/ccreuZme.s:1417 .text.umb_get_qnh:0000000000000000 umb_get_qnh - /tmp/ccreuZme.s:1466 .text.umb_get_qnh:000000000000003c $d - /tmp/ccreuZme.s:1473 .rodata.umb_construct_status_str.str1.4:0000000000000000 $d + /tmp/ccEUFt7x.s:18 .text.umb_master_init:0000000000000000 $t + /tmp/ccEUFt7x.s:25 .text.umb_master_init:0000000000000000 umb_master_init + /tmp/ccEUFt7x.s:117 .text.umb_master_init:0000000000000058 $d + /tmp/ccEUFt7x.s:122 .text.umb_calc_crc:0000000000000000 $t + /tmp/ccEUFt7x.s:129 .text.umb_calc_crc:0000000000000000 umb_calc_crc + /tmp/ccEUFt7x.s:175 .text.umb_parse_serial_buffer_to_frame:0000000000000000 $t + /tmp/ccEUFt7x.s:182 .text.umb_parse_serial_buffer_to_frame:0000000000000000 umb_parse_serial_buffer_to_frame + /tmp/ccEUFt7x.s:370 .text.umb_parse_frame_to_serial_buffer:0000000000000000 $t + /tmp/ccEUFt7x.s:377 .text.umb_parse_frame_to_serial_buffer:0000000000000000 umb_parse_frame_to_serial_buffer + /tmp/ccEUFt7x.s:539 .text.umb_master_callback:0000000000000000 $t + /tmp/ccEUFt7x.s:546 .text.umb_master_callback:0000000000000000 umb_master_callback + /tmp/ccEUFt7x.s:614 .text.umb_pooling_handler:0000000000000000 $t + /tmp/ccEUFt7x.s:621 .text.umb_pooling_handler:0000000000000000 umb_pooling_handler + /tmp/ccEUFt7x.s:651 .text.umb_pooling_handler:000000000000001a $d + /tmp/ccEUFt7x.s:657 .text.umb_pooling_handler:0000000000000020 $t + /tmp/ccEUFt7x.s:808 .text.umb_pooling_handler:00000000000000d8 $d + /tmp/ccEUFt7x.s:813 .text.umb_get_current_qf:0000000000000000 $t + /tmp/ccEUFt7x.s:820 .text.umb_get_current_qf:0000000000000000 umb_get_current_qf + /tmp/ccEUFt7x.s:916 .text.umb_get_current_qf:0000000000000054 $d + /tmp/ccEUFt7x.s:922 .text.umb_construct_status_str:0000000000000000 $t + /tmp/ccEUFt7x.s:929 .text.umb_construct_status_str:0000000000000000 umb_construct_status_str + /tmp/ccEUFt7x.s:1106 .text.umb_construct_status_str:00000000000000bc $d + /tmp/ccEUFt7x.s:1112 .text.umb_clear_error_history:0000000000000000 $t + /tmp/ccEUFt7x.s:1119 .text.umb_clear_error_history:0000000000000000 umb_clear_error_history + /tmp/ccEUFt7x.s:1158 .text.umb_get_windspeed:0000000000000000 $t + /tmp/ccEUFt7x.s:1165 .text.umb_get_windspeed:0000000000000000 umb_get_windspeed + /tmp/ccEUFt7x.s:1213 .text.umb_get_windspeed:000000000000002c $d + /tmp/ccEUFt7x.s:1218 .text.umb_get_windgusts:0000000000000000 $t + /tmp/ccEUFt7x.s:1225 .text.umb_get_windgusts:0000000000000000 umb_get_windgusts + /tmp/ccEUFt7x.s:1273 .text.umb_get_windgusts:000000000000002c $d + /tmp/ccEUFt7x.s:1278 .text.umb_get_winddirection:0000000000000000 $t + /tmp/ccEUFt7x.s:1285 .text.umb_get_winddirection:0000000000000000 umb_get_winddirection + /tmp/ccEUFt7x.s:1341 .text.umb_get_winddirection:0000000000000038 $d + /tmp/ccEUFt7x.s:1347 .text.umb_get_temperature:0000000000000000 $t + /tmp/ccEUFt7x.s:1354 .text.umb_get_temperature:0000000000000000 umb_get_temperature + /tmp/ccEUFt7x.s:1403 .text.umb_get_temperature:000000000000003c $d + /tmp/ccEUFt7x.s:1410 .text.umb_get_qnh:0000000000000000 $t + /tmp/ccEUFt7x.s:1417 .text.umb_get_qnh:0000000000000000 umb_get_qnh + /tmp/ccEUFt7x.s:1466 .text.umb_get_qnh:000000000000003c $d + /tmp/ccEUFt7x.s:1473 .rodata.umb_construct_status_str.str1.4:0000000000000000 $d .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4._newlib_version.h.4.875b979a44719054cd750d0952ad3fd6 .group:0000000000000000 wm4.newlib.h.18.5e5ca3429233af402d406a202f1fc1ac diff --git a/STM32L476_ParaMETEO/system/src/ve_direct_protocol/parser.o.lst b/STM32L476_ParaMETEO/system/src/ve_direct_protocol/parser.o.lst index c08bc51..28a57de 100644 --- a/STM32L476_ParaMETEO/system/src/ve_direct_protocol/parser.o.lst +++ b/STM32L476_ParaMETEO/system/src/ve_direct_protocol/parser.o.lst @@ -2697,54 +2697,54 @@ 2002 .file 10 "/usr/local/bin/gcc-arm-none-eabi-7-2018-q2-update/arm-none-eabi/include/string.h" DEFINED SYMBOLS *ABS*:0000000000000000 parser.c - /tmp/ccUtsMIN.s:18 .text.copy_till_non_printable_char:0000000000000000 $t - /tmp/ccUtsMIN.s:24 .text.copy_till_non_printable_char:0000000000000000 copy_till_non_printable_char - /tmp/ccUtsMIN.s:127 .text.get_key_value_from_str:0000000000000000 $t - /tmp/ccUtsMIN.s:133 .text.get_key_value_from_str:0000000000000000 get_key_value_from_str - /tmp/ccUtsMIN.s:345 .text.get_key_value_from_str:0000000000000118 $d - /tmp/ccUtsMIN.s:367 .text.ve_direct_parser_init:0000000000000000 $t - /tmp/ccUtsMIN.s:374 .text.ve_direct_parser_init:0000000000000000 ve_direct_parser_init - /tmp/ccUtsMIN.s:404 .text.ve_direct_cut_to_checksum:0000000000000000 $t - /tmp/ccUtsMIN.s:411 .text.ve_direct_cut_to_checksum:0000000000000000 ve_direct_cut_to_checksum - /tmp/ccUtsMIN.s:485 .text.ve_direct_validate_checksum:0000000000000000 $t - /tmp/ccUtsMIN.s:492 .text.ve_direct_validate_checksum:0000000000000000 ve_direct_validate_checksum - /tmp/ccUtsMIN.s:631 .text.ve_direct_validate_checksum:0000000000000078 $d - /tmp/ccUtsMIN.s:636 .text.ve_direct_parse_to_raw_struct:0000000000000000 $t - /tmp/ccUtsMIN.s:643 .text.ve_direct_parse_to_raw_struct:0000000000000000 ve_direct_parse_to_raw_struct - /tmp/ccUtsMIN.s:723 .text.ve_direct_parse_to_raw_struct:0000000000000062 $d - /tmp/ccUtsMIN.s:729 .text.ve_direct_parse_to_raw_struct:0000000000000068 $t - /tmp/ccUtsMIN.s:963 .text.ve_direct_parse_to_raw_struct:00000000000001c0 $d - /tmp/ccUtsMIN.s:980 .text.ve_direct_parse_to_raw_struct:0000000000000204 $t - /tmp/ccUtsMIN.s:1130 .text.ve_direct_parse_to_raw_struct:00000000000002cc $d + /tmp/ccotspK7.s:18 .text.copy_till_non_printable_char:0000000000000000 $t + /tmp/ccotspK7.s:24 .text.copy_till_non_printable_char:0000000000000000 copy_till_non_printable_char + /tmp/ccotspK7.s:127 .text.get_key_value_from_str:0000000000000000 $t + /tmp/ccotspK7.s:133 .text.get_key_value_from_str:0000000000000000 get_key_value_from_str + /tmp/ccotspK7.s:345 .text.get_key_value_from_str:0000000000000118 $d + /tmp/ccotspK7.s:367 .text.ve_direct_parser_init:0000000000000000 $t + /tmp/ccotspK7.s:374 .text.ve_direct_parser_init:0000000000000000 ve_direct_parser_init + /tmp/ccotspK7.s:404 .text.ve_direct_cut_to_checksum:0000000000000000 $t + /tmp/ccotspK7.s:411 .text.ve_direct_cut_to_checksum:0000000000000000 ve_direct_cut_to_checksum + /tmp/ccotspK7.s:485 .text.ve_direct_validate_checksum:0000000000000000 $t + /tmp/ccotspK7.s:492 .text.ve_direct_validate_checksum:0000000000000000 ve_direct_validate_checksum + /tmp/ccotspK7.s:631 .text.ve_direct_validate_checksum:0000000000000078 $d + /tmp/ccotspK7.s:636 .text.ve_direct_parse_to_raw_struct:0000000000000000 $t + /tmp/ccotspK7.s:643 .text.ve_direct_parse_to_raw_struct:0000000000000000 ve_direct_parse_to_raw_struct + /tmp/ccotspK7.s:723 .text.ve_direct_parse_to_raw_struct:0000000000000062 $d + /tmp/ccotspK7.s:729 .text.ve_direct_parse_to_raw_struct:0000000000000068 $t + /tmp/ccotspK7.s:963 .text.ve_direct_parse_to_raw_struct:00000000000001c0 $d + /tmp/ccotspK7.s:980 .text.ve_direct_parse_to_raw_struct:0000000000000204 $t + /tmp/ccotspK7.s:1130 .text.ve_direct_parse_to_raw_struct:00000000000002cc $d *COM*:000000000000000c value *COM*:0000000000000009 key - /tmp/ccUtsMIN.s:1149 .text.ve_direct_add_to_average:0000000000000000 $t - /tmp/ccUtsMIN.s:1156 .text.ve_direct_add_to_average:0000000000000000 ve_direct_add_to_average - /tmp/ccUtsMIN.s:1239 .text.ve_direct_add_to_average:0000000000000078 $d - /tmp/ccUtsMIN.s:1244 .text.ve_direct_get_averages:0000000000000000 $t - /tmp/ccUtsMIN.s:1251 .text.ve_direct_get_averages:0000000000000000 ve_direct_get_averages - /tmp/ccUtsMIN.s:1349 .text.ve_direct_get_averages:0000000000000074 $d - /tmp/ccUtsMIN.s:1355 .text.ve_direct_set_sys_voltage:0000000000000000 $t - /tmp/ccUtsMIN.s:1362 .text.ve_direct_set_sys_voltage:0000000000000000 ve_direct_set_sys_voltage - /tmp/ccUtsMIN.s:1376 .text.ve_direct_store_errors:0000000000000000 $t - /tmp/ccUtsMIN.s:1383 .text.ve_direct_store_errors:0000000000000000 ve_direct_store_errors - /tmp/ccUtsMIN.s:1417 .text.ve_direct_error_to_string:0000000000000000 $t - /tmp/ccUtsMIN.s:1424 .text.ve_direct_error_to_string:0000000000000000 ve_direct_error_to_string - /tmp/ccUtsMIN.s:1453 .text.ve_direct_error_to_string:000000000000001a $d - /tmp/ccUtsMIN.s:1571 .text.ve_direct_error_to_string:0000000000000090 $t - /tmp/ccUtsMIN.s:1687 .text.ve_direct_error_to_string:000000000000012c $d - /tmp/ccUtsMIN.s:1704 .text.ve_direct_state_to_string:0000000000000000 $t - /tmp/ccUtsMIN.s:1711 .text.ve_direct_state_to_string:0000000000000000 ve_direct_state_to_string - /tmp/ccUtsMIN.s:1740 .text.ve_direct_state_to_string:000000000000001a $d - /tmp/ccUtsMIN.s:1827 .text.ve_direct_state_to_string:0000000000000088 $d - /tmp/ccUtsMIN.s:1845 .bss.skip_counter:0000000000000000 skip_counter - /tmp/ccUtsMIN.s:1846 .bss.skip_counter:0000000000000000 $d - /tmp/ccUtsMIN.s:1848 .rodata.get_key_value_from_str.str1.4:0000000000000000 $d - /tmp/ccUtsMIN.s:1894 .rodata.ve_direct_error_to_string.str1.4:0000000000000000 $d - /tmp/ccUtsMIN.s:1932 .rodata.ve_direct_parse_to_raw_struct.str1.4:0000000000000000 $d - /tmp/ccUtsMIN.s:1971 .rodata.ve_direct_state_to_string.str1.4:0000000000000000 $d - /tmp/ccUtsMIN.s:1751 .text.ve_direct_state_to_string:0000000000000025 $d - /tmp/ccUtsMIN.s:1751 .text.ve_direct_state_to_string:0000000000000026 $t + /tmp/ccotspK7.s:1149 .text.ve_direct_add_to_average:0000000000000000 $t + /tmp/ccotspK7.s:1156 .text.ve_direct_add_to_average:0000000000000000 ve_direct_add_to_average + /tmp/ccotspK7.s:1239 .text.ve_direct_add_to_average:0000000000000078 $d + /tmp/ccotspK7.s:1244 .text.ve_direct_get_averages:0000000000000000 $t + /tmp/ccotspK7.s:1251 .text.ve_direct_get_averages:0000000000000000 ve_direct_get_averages + /tmp/ccotspK7.s:1349 .text.ve_direct_get_averages:0000000000000074 $d + /tmp/ccotspK7.s:1355 .text.ve_direct_set_sys_voltage:0000000000000000 $t + /tmp/ccotspK7.s:1362 .text.ve_direct_set_sys_voltage:0000000000000000 ve_direct_set_sys_voltage + /tmp/ccotspK7.s:1376 .text.ve_direct_store_errors:0000000000000000 $t + /tmp/ccotspK7.s:1383 .text.ve_direct_store_errors:0000000000000000 ve_direct_store_errors + /tmp/ccotspK7.s:1417 .text.ve_direct_error_to_string:0000000000000000 $t + /tmp/ccotspK7.s:1424 .text.ve_direct_error_to_string:0000000000000000 ve_direct_error_to_string + /tmp/ccotspK7.s:1453 .text.ve_direct_error_to_string:000000000000001a $d + /tmp/ccotspK7.s:1571 .text.ve_direct_error_to_string:0000000000000090 $t + /tmp/ccotspK7.s:1687 .text.ve_direct_error_to_string:000000000000012c $d + /tmp/ccotspK7.s:1704 .text.ve_direct_state_to_string:0000000000000000 $t + /tmp/ccotspK7.s:1711 .text.ve_direct_state_to_string:0000000000000000 ve_direct_state_to_string + /tmp/ccotspK7.s:1740 .text.ve_direct_state_to_string:000000000000001a $d + /tmp/ccotspK7.s:1827 .text.ve_direct_state_to_string:0000000000000088 $d + /tmp/ccotspK7.s:1845 .bss.skip_counter:0000000000000000 skip_counter + /tmp/ccotspK7.s:1846 .bss.skip_counter:0000000000000000 $d + /tmp/ccotspK7.s:1848 .rodata.get_key_value_from_str.str1.4:0000000000000000 $d + /tmp/ccotspK7.s:1894 .rodata.ve_direct_error_to_string.str1.4:0000000000000000 $d + /tmp/ccotspK7.s:1932 .rodata.ve_direct_parse_to_raw_struct.str1.4:0000000000000000 $d + /tmp/ccotspK7.s:1971 .rodata.ve_direct_state_to_string.str1.4:0000000000000000 $d + /tmp/ccotspK7.s:1751 .text.ve_direct_state_to_string:0000000000000025 $d + /tmp/ccotspK7.s:1751 .text.ve_direct_state_to_string:0000000000000026 $t .group:0000000000000000 wm4.0.95bc707da9dcffdc6c8c58936cbc8421 .group:0000000000000000 wm4.parser.h.9.2d68c8669e0bffa77926bbb9bf5a2aba .group:0000000000000000 wm4.stdintgcc.h.29.6d480f4ba0f60596e88234283d42444f diff --git a/doc/eclipse_debugger_launch/NUCLEO-STM32L476RG.launch b/doc/eclipse_debugger_launch/NUCLEO-STM32L476RG.launch new file mode 100644 index 0000000..9d2e9c0 --- /dev/null +++ b/doc/eclipse_debugger_launch/NUCLEO-STM32L476RG.launch @@ -0,0 +1,61 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/doc/eclipse_debugger_launch/ParaTNC-STM32F100.launch b/doc/eclipse_debugger_launch/ParaTNC-STM32F100.launch new file mode 100644 index 0000000..c72bebe --- /dev/null +++ b/doc/eclipse_debugger_launch/ParaTNC-STM32F100.launch @@ -0,0 +1,63 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/include/main.h b/include/main.h index d1e93f9..2329958 100644 --- a/include/main.h +++ b/include/main.h @@ -6,12 +6,12 @@ #include "config_data.h" #define SW_VER "EA00" -#define SW_DATE "23052021" +#define SW_DATE "30062021" #define SYSTICK_TICKS_PER_SECONDS 100 #define SYSTICK_TICKS_PERIOD 10 -#define INTERNAL_WATCHDOG +//#define INTERNAL_WATCHDOG #define EXTERNAL_WATCHDOG #define PWR_SWITCH_BOTH diff --git a/src/configuration_handler.c b/src/configuration_handler.c index 0a73a1a..0e3bcba 100644 --- a/src/configuration_handler.c +++ b/src/configuration_handler.c @@ -54,6 +54,7 @@ uint32_t configuration_handler_check_crc(void) { // calculated CRC value uint32_t crc_current = 0; +#ifdef STM32F10X_MD_VL // reset CRC engine CRC_ResetDR(); @@ -62,6 +63,23 @@ uint32_t configuration_handler_check_crc(void) { // add 0x0 as a placeholder for CRC value crc_current = CRC_CalcCRC(0x0); +#endif + +#ifdef STM32L471xx + + // reset CRC engine + LL_CRC_ResetCRCCalculationUnit(CRC); + + for (int i = 0; i < CRC_32B_WORD_OFFSET - 1; i++) { + // feed the data into CRC engine + LL_CRC_FeedData32(CRC, *(config_section_first_start + i)); + } + + // placeholder for CRC value itself + CRC->DR = 0x00; + + crc_current = CRC->DR; +#endif // expected crc is stored in the last 32b word of the configuration section crc_expected = *(config_section_first_start + CRC_32B_WORD_OFFSET); @@ -71,6 +89,7 @@ uint32_t configuration_handler_check_crc(void) { out |= 0x01; } +#ifdef STM32F10X_MD_VL // reset the CRC engine CRC_ResetDR(); @@ -79,6 +98,22 @@ uint32_t configuration_handler_check_crc(void) { // add 0x0 as a placeholder for CRC value crc_current = CRC_CalcCRC((uint32_t)0x0); +#endif + +#ifdef STM32L471xx + // reset CRC engine + LL_CRC_ResetCRCCalculationUnit(CRC); + + for (int i = 0; i < CRC_32B_WORD_OFFSET - 1; i++) { + // feed the data into CRC engine + LL_CRC_FeedData32(CRC, *(config_section_second_start + i)); + } + + // placeholder for CRC value itself + CRC->DR = 0x00; + + crc_current = CRC->DR; +#endif //crc_expected = *__config_section_second_end; @@ -200,6 +235,7 @@ uint32_t configuration_handler_restore_default_first(void) { // if second is OK it will be used instead (if its programming counter has value three or more). *(uint16_t*)&config_data_pgm_cntr_first = 0x0002u; +#ifdef STM32F10X_MD_VL // resetting CRC engine CRC_ResetDR(); @@ -208,6 +244,22 @@ uint32_t configuration_handler_restore_default_first(void) { // adding finalizing 0x00 target_crc_value = CRC_CalcCRC((uint32_t)0x0); +#endif + +#ifdef STM32L471xx + // reset CRC engine + LL_CRC_ResetCRCCalculationUnit(CRC); + + for (int i = 0; i < CRC_32B_WORD_OFFSET - 1; i++) { + // feed the data into CRC engine + LL_CRC_FeedData32(CRC, *(config_section_first_start + i)); + } + + // placeholder for CRC value itself + CRC->DR = 0x00; + + target_crc_value = CRC->DR; +#endif // program the CRC value *(uint16_t*)((uint16_t *)config_section_first_start + CRC_16B_WORD_OFFSET) = (uint16_t)(target_crc_value & 0xFFFF); @@ -339,6 +391,7 @@ uint32_t configuration_handler_restore_default_second(void) { // if second is OK it will be used instead (if its programming counter has value three or more). *(uint16_t*)&config_data_pgm_cntr_second = 0x0002u; +#ifdef STM32F10X_MD_VL // resetting CRC engine CRC_ResetDR(); @@ -347,6 +400,22 @@ uint32_t configuration_handler_restore_default_second(void) { // adding finalizing 0x00 target_crc_value = CRC_CalcCRC((uint32_t)0x0); +#endif + +#ifdef STM32L471xx + // reset CRC engine + LL_CRC_ResetCRCCalculationUnit(CRC); + + for (int i = 0; i < CRC_32B_WORD_OFFSET - 1; i++) { + // feed the data into CRC engine + LL_CRC_FeedData32(CRC, *(config_section_first_start + i)); + } + + // placeholder for CRC value itself + CRC->DR = 0x00; + + target_crc_value = CRC->DR; +#endif // program the CRC value *(uint16_t*)((uint16_t *)config_section_second_start + CRC_16B_WORD_OFFSET) = (uint16_t)(target_crc_value & 0xFFFF); diff --git a/system/src/cmsis/stm32l4xx/stm32l4xx_it.c b/system/src/cmsis/stm32l4xx/stm32l4xx_it.c index 6989209..8dbf97e 100644 --- a/system/src/cmsis/stm32l4xx/stm32l4xx_it.c +++ b/system/src/cmsis/stm32l4xx/stm32l4xx_it.c @@ -181,16 +181,16 @@ void PendSV_Handler(void) /** * @brief This function handles System tick timer. */ -void SysTick_Handler(void) -{ - /* USER CODE BEGIN SysTick_IRQn 0 */ - - /* USER CODE END SysTick_IRQn 0 */ - //HAL_IncTick(); - /* USER CODE BEGIN SysTick_IRQn 1 */ - - /* USER CODE END SysTick_IRQn 1 */ -} +//void SysTick_Handler(void) +//{ +// /* USER CODE BEGIN SysTick_IRQn 0 */ +// +// /* USER CODE END SysTick_IRQn 0 */ +// //HAL_IncTick(); +// /* USER CODE BEGIN SysTick_IRQn 1 */ +// +// /* USER CODE END SysTick_IRQn 1 */ +//} /******************************************************************************/ /* STM32L4xx Peripheral Interrupt Handlers */ diff --git a/system/src/drivers/l4/flash_stm32l4x.c b/system/src/drivers/l4/flash_stm32l4x.c index eb15523..2583ded 100644 --- a/system/src/drivers/l4/flash_stm32l4x.c +++ b/system/src/drivers/l4/flash_stm32l4x.c @@ -8,6 +8,10 @@ #include "./drivers/l4/flash_stm32l4x.h" #include "stm32l4xx.h" +#define FLASH_KEY1 0x45670123U /*!< Flash key1 */ +#define FLASH_KEY2 0xCDEF89ABU /*!< Flash key2: used with FLASH_KEY1 + to unlock the FLASH registers access */ + /** * @brief Returns the FLASH Bank1 Status. * @note This function can be used for all STM32F10x devices, it is equivalent @@ -56,78 +60,150 @@ FLASH_Status FLASH_GetBank1Status(void) FLASH_Status FLASH_ErasePage(uint32_t Page_Address) { + uint32_t Page = 0; + + uint32_t Banks = 0; + + // calculate the bank number + if (Page_Address < 0x08080000) { + Banks = FLASH_BANK_1; + } + else { + Banks = FLASH_BANK_2; + } + + Page = Page_Address - 0x08000000 - (0x80000 * (Banks - 1)); + + Page = Page / 2048; + + #if defined (STM32L471xx) || defined (STM32L475xx) || defined (STM32L476xx) || defined (STM32L485xx) || defined (STM32L486xx) || \ + defined (STM32L496xx) || defined (STM32L4A6xx) || \ + defined (STM32L4P5xx) || defined (STM32L4Q5xx) || \ + defined (STM32L4R5xx) || defined (STM32L4R7xx) || defined (STM32L4R9xx) || defined (STM32L4S5xx) || defined (STM32L4S7xx) || defined (STM32L4S9xx) + #if defined (STM32L4P5xx) || defined (STM32L4Q5xx) || defined (STM32L4R5xx) || defined (STM32L4R7xx) || defined (STM32L4R9xx) || defined (STM32L4S5xx) || defined (STM32L4S7xx) || defined (STM32L4S9xx) + if(READ_BIT(FLASH->OPTR, FLASH_OPTR_DBANK) == 0U) + { + CLEAR_BIT(FLASH->CR, FLASH_CR_BKER); + } + else + #endif + { + + if((Banks & FLASH_BANK_1) != 0U) + { + CLEAR_BIT(FLASH->CR, FLASH_CR_BKER); + } + else + { + SET_BIT(FLASH->CR, FLASH_CR_BKER); + } + } + #else + /* Prevent unused argument(s) compilation warning */ + UNUSED(Banks); + #endif + + /* Proceed to erase the page */ + MODIFY_REG(FLASH->CR, FLASH_CR_PNB, ((Page & 0xFFU) << FLASH_CR_PNB_Pos)); + SET_BIT(FLASH->CR, FLASH_CR_PER); + SET_BIT(FLASH->CR, FLASH_CR_STRT); } -/** - * @brief Erase the specified FLASH memory page. - * @param Page FLASH page to erase - * This parameter must be a value between 0 and (max number of pages in the bank - 1) - * @param Banks Bank(s) where the page will be erased - * This parameter can be one of the following values: - * @arg FLASH_BANK_1: Page in bank 1 to be erased - * @arg FLASH_BANK_2: Page in bank 2 to be erased - * @retval None - */ -void FLASH_PageErase(uint32_t Page, uint32_t Banks) -{ - /* Check the parameters */ - assert_param(IS_FLASH_PAGE(Page)); +void FLASH_Unlock(void) { + //HAL_StatusTypeDef status = HAL_OK; -#if defined (STM32L471xx) || defined (STM32L475xx) || defined (STM32L476xx) || defined (STM32L485xx) || defined (STM32L486xx) || \ - defined (STM32L496xx) || defined (STM32L4A6xx) || \ - defined (STM32L4P5xx) || defined (STM32L4Q5xx) || \ - defined (STM32L4R5xx) || defined (STM32L4R7xx) || defined (STM32L4R9xx) || defined (STM32L4S5xx) || defined (STM32L4S7xx) || defined (STM32L4S9xx) -#if defined (STM32L4P5xx) || defined (STM32L4Q5xx) || defined (STM32L4R5xx) || defined (STM32L4R7xx) || defined (STM32L4R9xx) || defined (STM32L4S5xx) || defined (STM32L4S7xx) || defined (STM32L4S9xx) - if(READ_BIT(FLASH->OPTR, FLASH_OPTR_DBANK) == 0U) - { - CLEAR_BIT(FLASH->CR, FLASH_CR_BKER); - } - else -#endif - { + if(READ_BIT(FLASH->CR, FLASH_CR_LOCK) != 0U) + { + /* Authorize the FLASH Registers access */ + WRITE_REG(FLASH->KEYR, FLASH_KEY1); + WRITE_REG(FLASH->KEYR, FLASH_KEY2); - if((Banks & FLASH_BANK_1) != 0U) - { - CLEAR_BIT(FLASH->CR, FLASH_CR_BKER); - } - else - { - SET_BIT(FLASH->CR, FLASH_CR_BKER); - } - } -#else - /* Prevent unused argument(s) compilation warning */ - UNUSED(Banks); -#endif + /* Verify Flash is unlocked */ + if(READ_BIT(FLASH->CR, FLASH_CR_LOCK) != 0U) + { + //status = HAL_ERROR; + } + } - /* Proceed to erase the page */ - MODIFY_REG(FLASH->CR, FLASH_CR_PNB, ((Page & 0xFFU) << FLASH_CR_PNB_Pos)); - SET_BIT(FLASH->CR, FLASH_CR_PER); - SET_BIT(FLASH->CR, FLASH_CR_STRT); + //return status; } -FLASH_Status FLASH_WaitForLastOperation(uint32_t Timeout) -{ - FLASH_Status status = FLASH_COMPLETE; +void FLASH_Lock(void) { + SET_BIT(FLASH->CR, FLASH_CR_LOCK); - /* Check for the Flash Status */ - status = FLASH_GetBank1Status(); - /* Wait for a Flash operation to complete or a TIMEOUT to occur */ - while((status == FLASH_BUSY) && (Timeout != 0x00)) - { - status = FLASH_GetBank1Status(); - Timeout--; - } - if(Timeout == 0x00 ) - { - status = FLASH_TIMEOUT; - } - /* Return the operation status */ - return status; } +///** +// * @brief Erase the specified FLASH memory page. +// * @param Page FLASH page to erase +// * This parameter must be a value between 0 and (max number of pages in the bank - 1) +// * @param Banks Bank(s) where the page will be erased +// * This parameter can be one of the following values: +// * @arg FLASH_BANK_1: Page in bank 1 to be erased +// * @arg FLASH_BANK_2: Page in bank 2 to be erased +// * @retval None +// */ +//void FLASH_PageErase(uint32_t Page, uint32_t Banks) +//{ +// /* Check the parameters */ +// assert_param(IS_FLASH_PAGE(Page)); +// +//#if defined (STM32L471xx) || defined (STM32L475xx) || defined (STM32L476xx) || defined (STM32L485xx) || defined (STM32L486xx) || \ +// defined (STM32L496xx) || defined (STM32L4A6xx) || \ +// defined (STM32L4P5xx) || defined (STM32L4Q5xx) || \ +// defined (STM32L4R5xx) || defined (STM32L4R7xx) || defined (STM32L4R9xx) || defined (STM32L4S5xx) || defined (STM32L4S7xx) || defined (STM32L4S9xx) +//#if defined (STM32L4P5xx) || defined (STM32L4Q5xx) || defined (STM32L4R5xx) || defined (STM32L4R7xx) || defined (STM32L4R9xx) || defined (STM32L4S5xx) || defined (STM32L4S7xx) || defined (STM32L4S9xx) +// if(READ_BIT(FLASH->OPTR, FLASH_OPTR_DBANK) == 0U) +// { +// CLEAR_BIT(FLASH->CR, FLASH_CR_BKER); +// } +// else +//#endif +// { +// +// if((Banks & FLASH_BANK_1) != 0U) +// { +// CLEAR_BIT(FLASH->CR, FLASH_CR_BKER); +// } +// else +// { +// SET_BIT(FLASH->CR, FLASH_CR_BKER); +// } +// } +//#else +// /* Prevent unused argument(s) compilation warning */ +// UNUSED(Banks); +//#endif +// +// /* Proceed to erase the page */ +// MODIFY_REG(FLASH->CR, FLASH_CR_PNB, ((Page & 0xFFU) << FLASH_CR_PNB_Pos)); +// SET_BIT(FLASH->CR, FLASH_CR_PER); +// SET_BIT(FLASH->CR, FLASH_CR_STRT); +//} +// +// +//FLASH_Status FLASH_WaitForLastOperation(uint32_t Timeout) +//{ +// FLASH_Status status = FLASH_COMPLETE; +// +// /* Check for the Flash Status */ +// status = FLASH_GetBank1Status(); +// /* Wait for a Flash operation to complete or a TIMEOUT to occur */ +// while((status == FLASH_BUSY) && (Timeout != 0x00)) +// { +// status = FLASH_GetBank1Status(); +// Timeout--; +// } +// if(Timeout == 0x00 ) +// { +// status = FLASH_TIMEOUT; +// } +// /* Return the operation status */ +// return status; +//} + diff --git a/system/src/drivers/l4/i2c_stm32l4x.c b/system/src/drivers/l4/i2c_stm32l4x.c index 7bb3c3a..a144220 100644 --- a/system/src/drivers/l4/i2c_stm32l4x.c +++ b/system/src/drivers/l4/i2c_stm32l4x.c @@ -29,6 +29,9 @@ volatile uint32_t i2cStartTime; void i2cConfigure() { // funkcja konfiguruje pierwszy kontroler i2c!!! LL_I2C_InitTypeDef I2C_InitStructure; + // disabling I2C in case it was enabled before + LL_I2C_Disable(I2C1); + NVIC_EnableIRQ( I2C1_EV_IRQn ); NVIC_EnableIRQ( I2C1_ER_IRQn ); // if (i2cPinRemap == 0) { @@ -66,10 +69,6 @@ void i2cConfigure() { // funkcja konfiguruje pierwszy kontroler i2c!!! LL_I2C_EnableIT_NACK(I2C1); LL_I2C_EnableIT_ERR(I2C1); -// I2C1->CR2 |= I2C_CR2_ITEVTEN; // w��czenie generowanie przerwania od zdarzen i2c -// I2C1->CR2 |= I2C_CR2_ITBUFEN; -// I2C1->CR2 |= I2C_CR2_ITERREN; - i2c_state = I2C_IDLE; } @@ -102,6 +101,9 @@ int i2cReinit() { int i2c_send_data(int addr, uint8_t* data, int null) { int i; + + i2cVariableReset(); + for (i = 0; (i<32 && *(data+i) != '\0'); i++) i2c_tx_data[i]=data[i]; if (null == 0x01) { // je�eli do slave trzeba wys�a� 0x00 @@ -144,17 +146,39 @@ int i2c_send_data(int addr, uint8_t* data, int null) { } int i2c_receive_data(int addr, int num) { + + i2cVariableReset(); + i2c_rx_bytes_number = num; i2c_remote_addr = addr; i2c_trx_data_counter = 0; i2c_rxing = 1; + // enable periphal and turn on all interrupts + i2cStart(); + + // set addressing mode + LL_I2C_SetMasterAddressingMode(I2C1, LL_I2C_ADDRESSING_MODE_7BIT); + + // set slave address to be sent + LL_I2C_SetSlaveAddr(I2C1, addr); + + // set transfer direction + LL_I2C_SetTransferRequest(I2C1, LL_I2C_REQUEST_READ); + + // disable reload mode to enable auto-stop after last byte + LL_I2C_DisableReloadMode(I2C1); + + // enable auto end + LL_I2C_EnableAutoEndMode(I2C1); + + // set transfer size + LL_I2C_SetTransferSize(I2C1, num); + i2cStartTime = master_time; i2c_state = I2C_RXING; - i2cStart(); - I2C1->CR2 |= I2C_CR2_START; // zadanie warunkow startowych return 0; } @@ -162,10 +186,16 @@ int i2c_receive_data(int addr, int num) { void i2cVariableReset(void) { - I2C1->DR = 0x00; + //I2C1->DR = 0x00; i2c_trx_data_counter = 0; i2c_tx_queue_len = 0; i2c_rx_bytes_number = 0; + + i2c_rxing = 0; + i2c_txing = 0; + i2c_tx_queue_len = 0; + i2c_trx_data_counter = 0; + i2c_rx_bytes_number = 0; } void i2cIrqHandler(void) { @@ -205,26 +235,24 @@ void i2cIrqHandler(void) { // stop the i2c i2cStop(); } - if ((I2C1->SR1 & I2C_SR1_BTF) == I2C_SR1_BTF && i2c_txing == 1) { - // EV_8 - if ((I2C1->SR1 & I2C_SR1_TXE) == I2C_SR1_TXE && i2c_txing == 1 && i2c_trx_data_counter < i2c_tx_queue_len) { - I2C1->DR = i2c_tx_data[i2c_trx_data_counter]; - i2c_trx_data_counter++; - I2C1->SR1 &= (0xFFFFFFFF ^ I2C_SR1_BTF); - } - } - if ((I2C1->SR1 & I2C_SR1_RXNE) == I2C_SR1_RXNE && i2c_rxing == 1) { +// if ((I2C1->SR1 & I2C_SR1_BTF) == I2C_SR1_BTF && i2c_txing == 1) { +// // EV_8 +// if ((I2C1->SR1 & I2C_SR1_TXE) == I2C_SR1_TXE && i2c_txing == 1 && i2c_trx_data_counter < i2c_tx_queue_len) { +// I2C1->DR = i2c_tx_data[i2c_trx_data_counter]; +// i2c_trx_data_counter++; +// I2C1->SR1 &= (0xFFFFFFFF ^ I2C_SR1_BTF); +// } +// } + if ((I2C1->ISR & I2C_ISR_RXNE) == I2C_ISR_RXNE && i2c_rxing == 1) { // EV_7 - *(i2c_rx_data + i2c_trx_data_counter) = I2C1->DR & I2C_DR_DR; + *(i2c_rx_data + i2c_trx_data_counter) = I2C1->RXDR & 0xFF; i2c_trx_data_counter++; - if (i2c_rx_bytes_number-i2c_trx_data_counter == 1) { - I2C1->CR1 &= (0xFFFFFFFF ^ I2C_CR1_ACK); //jezeli odebrano przedostatni bajt to trzeba - // zgasic bit ACK zeby nastepnie wyslano NACK na koniec - } +// if (i2c_rx_bytes_number-i2c_trx_data_counter == 1) { +// I2C1->CR1 &= (0xFFFFFFFF ^ I2C_CR1_ACK); //jezeli odebrano przedostatni bajt to trzeba +// // zgasic bit ACK zeby nastepnie wyslano NACK na koniec +// } if (i2c_rx_bytes_number-i2c_trx_data_counter == 0) { - I2C1->CR1 |= I2C_CR1_STOP; // po odczytaniu z rejestru DR ostatniego bajtu w sekwencji - // nast�puje wys�anie warunk�w STOP na magistrale - while ((I2C1->CR1 & I2C_CR1_STOP) == I2C_CR1_STOP); + while ((I2C1->ISR & I2C_ISR_STOPF) == 0); // wait for STOP conditions to be generated automativally i2c_rxing = 0; //I2C_Cmd(I2C1, DISABLE); *(i2c_rx_data + i2c_trx_data_counter) = '\0'; @@ -239,43 +267,114 @@ void i2cIrqHandler(void) { void i2cErrIrqHandler(void) { - if (((I2C1->SR1 & I2C_SR1_AF) == I2C_SR1_AF) && i2c_trx_data_counter == 0 ) { - // slave nie odpowiedzia� ack na sw�j adres - I2C1->SR1 &= (0xFFFFFFFF ^ I2C_SR1_AF); - I2C1->CR1 |= I2C_CR1_STOP; // zadawanie warunkow STOP i przerywanie komunikacji - while ((I2C1->CR1 & I2C_CR1_STOP) == I2C_CR1_STOP); - i2c_error_counter++; // zwieksza wartosc licznika b��d�w transmisji - I2C1->CR1 |= I2C_CR1_START; // ponawianie komunikacji + if (((I2C1->ISR & I2C_ISR_NACKF) == I2C_ISR_NACKF) && i2c_trx_data_counter == 0 && i2c_state == I2C_RXING) { +// // slave nie odpowiedzia� ack na sw�j adres +// I2C1->SR1 &= (0xFFFFFFFF ^ I2C_SR1_AF); +// I2C1->CR1 |= I2C_CR1_STOP; // zadawanie warunkow STOP i przerywanie komunikacji +// while ((I2C1->CR1 & I2C_CR1_STOP) == I2C_CR1_STOP); +// i2c_error_counter++; // zwieksza wartosc licznika b��d�w transmisji +// I2C1->CR1 |= I2C_CR1_START; // ponawianie komunikacji + + i2c_error_counter++; + + // stop the i2c to reset hardware + i2cStop(); + + // enable periphal and turn on all interrupts + i2cStart(); + + // set addressing mode + LL_I2C_SetMasterAddressingMode(I2C1, LL_I2C_ADDRESSING_MODE_7BIT); + + // set slave address to be sent + LL_I2C_SetSlaveAddr(I2C1, i2c_remote_addr); + + // set transfer direction + LL_I2C_SetTransferRequest(I2C1, LL_I2C_REQUEST_READ); + + // disable reload mode to enable auto-stop after last byte + LL_I2C_DisableReloadMode(I2C1); + + // enable auto end + LL_I2C_EnableAutoEndMode(I2C1); + + // set transfer size + LL_I2C_SetTransferSize(I2C1, i2c_rx_bytes_number); + + I2C1->CR2 |= I2C_CR2_START; + + i2cStartTime = master_time; + + i2c_state = I2C_RXING; } - if (((I2C1->SR1 & I2C_SR1_AF) == I2C_SR1_AF) && i2c_trx_data_counter != 0 ) { + + if (((I2C1->ISR & I2C_ISR_NACKF) == I2C_ISR_NACKF) && i2c_trx_data_counter == 0 && i2c_state == I2C_TXING) { + i2c_error_counter++; + + // stop the i2c to reset hardware + i2cStop(); + + // enable periphal and turn on all interrupts + i2cStart(); + + // set addressing mode + LL_I2C_SetMasterAddressingMode(I2C1, LL_I2C_ADDRESSING_MODE_7BIT); + + // set slave address to be sent + LL_I2C_SetSlaveAddr(I2C1, i2c_remote_addr); + + // set transfer direction + LL_I2C_SetTransferRequest(I2C1, LL_I2C_REQUEST_WRITE); + + // disable reload mode to enable auto-stop after last byte + LL_I2C_DisableReloadMode(I2C1); + + // enable auto end + LL_I2C_EnableAutoEndMode(I2C1); + + // set transfer size + LL_I2C_SetTransferSize(I2C1, i2c_tx_queue_len); + + I2C1->CR2 |= I2C_CR2_START; // zadanie warunkow startowych + } + + if (((I2C1->ISR & I2C_ISR_NACKF) == I2C_ISR_NACKF) && i2c_trx_data_counter != 0 ) { //jezeli slave nie odpowiedzia� ack na wys�any do niego bajt danych - I2C1->SR1 &= (0xFFFFFFFF ^ I2C_SR1_AF); + I2C1->ICR |= I2C_ICR_NACKCF; i2c_error_counter++; i2c_trx_data_counter--; // zmniejszanie warto�ci licznika danych aby nadac jeszcze raz to samo } - if (((I2C1->SR1 & I2C_SR1_ARLO) == I2C_SR1_ARLO) ) { + if (((I2C1->ISR & I2C_ISR_ARLO) == I2C_ISR_ARLO) ) { + + I2C1->ICR |= I2C_ICR_ARLOCF; i2c_error_counter = MAX_I2C_ERRORS_PER_COMM + 1; } - if (((I2C1->SR1 & I2C_SR1_TIMEOUT) == I2C_SR1_TIMEOUT) ) { + if (((I2C1->ISR & I2C_ISR_TIMEOUT) == I2C_ISR_TIMEOUT) ) { + + I2C1->ICR |= I2C_ICR_TIMOUTCF; i2c_error_counter = MAX_I2C_ERRORS_PER_COMM + 1; } - if (((I2C1->SR1 & I2C_SR1_OVR) == I2C_SR1_OVR) ) { + if (((I2C1->ISR & I2C_ISR_OVR) == I2C_ISR_OVR) ) { + + I2C1->ICR |= I2C_ICR_OVRCF; i2c_error_counter = MAX_I2C_ERRORS_PER_COMM + 1; } - if (((I2C1->SR1 & I2C_SR1_BERR) == I2C_SR1_BERR) ) { + if (((I2C1->ISR & I2C_ISR_BERR) == I2C_ISR_BERR) ) { + + I2C1->ICR |= I2C_ICR_BERRCF; i2c_error_counter = MAX_I2C_ERRORS_PER_COMM + 1; @@ -294,28 +393,27 @@ void i2cErrIrqHandler(void) { } void i2cStop(void) { - i2c_rxing = 0; - i2c_txing = 0; - i2c_tx_queue_len = 0; - i2c_trx_data_counter = 0; - i2c_rx_bytes_number = 0; - - LL_I2C_Disable(I2C1); i2c_state = I2C_IDLE; + LL_I2C_DisableIT_TX(I2C1); + LL_I2C_DisableIT_RX(I2C1); + LL_I2C_DisableIT_NACK(I2C1); + LL_I2C_DisableIT_ERR(I2C1); + + LL_I2C_Disable(I2C1); + - NVIC_DisableIRQ( I2C1_ER_IRQn ); - NVIC_DisableIRQ( I2C1_EV_IRQn ); } void i2cStart(void) { - I2C_Cmd(I2C1, ENABLE); + LL_I2C_Enable(I2C1); - - NVIC_EnableIRQ( I2C1_ER_IRQn ); - NVIC_EnableIRQ( I2C1_EV_IRQn ); + LL_I2C_EnableIT_TX(I2C1); + LL_I2C_EnableIT_RX(I2C1); + LL_I2C_EnableIT_NACK(I2C1); + LL_I2C_EnableIT_ERR(I2C1); }